From 22da179659b08d6af1dc5781ca452cfc48258e21 Mon Sep 17 00:00:00 2001 From: hadaq Date: Wed, 9 May 2012 15:21:10 +0000 Subject: [PATCH] version for triggerless runs --- tdc_releases/tdc_v0.2/README.txt | 9 +- .../tdc_v0.2/bit_file/trb3_periph.bit | Bin 3887913 -> 3887913 bytes .../tdc_v0.2/prj_file/trb3_periph.edf | 133215 ++++++++------- tdc_releases/tdc_v0.2/source/TDC.vhd | 384 +- 4 files changed, 68462 insertions(+), 65146 deletions(-) diff --git a/tdc_releases/tdc_v0.2/README.txt b/tdc_releases/tdc_v0.2/README.txt index 7d3ac9c..51f8222 100644 --- a/tdc_releases/tdc_v0.2/README.txt +++ b/tdc_releases/tdc_v0.2/README.txt @@ -43,16 +43,17 @@ Version Details: v0.2 09.05.2012 # of Channels : 32 (for rising & falling edges of 16 channels) -LUTs used : 41443 / 149040 (27,8%) -Registers used : 34873 / 111780 (31,2%) -SLICEs used : 26570 / 74520 (35,7%) +LUTs used : 41718 / 149040 (28,0%) +Registers used : 34930 / 111780 (31,2%) +SLICEs used : 26764 / 74520 (35,9%) - 32 physical channels are implemented in order to measure rising & falling times of 16 pulses (including the reference channel). The timing information of the edges of the same pulse are in the adjacent channels, e.g., Ch0-rising edge of reference time, Ch1-falling edge of reference time, Ch2-rising edge of INP0, Ch3-falling edge of INP0. -- Designed for "with trigger" run. +- Designed for "with trigger" and "triggerless" run. (for slow control refer +to the documentation) - Post trigger window value must be set to minimum 0x1f diff --git a/tdc_releases/tdc_v0.2/bit_file/trb3_periph.bit b/tdc_releases/tdc_v0.2/bit_file/trb3_periph.bit index b8303a9dcb5c50f6c30397eb112ff13555790dee..dfb0f42c768b388b4d311c225d75c7712ec73525 100644 GIT binary patch literal 3887913 zcmeEv4PX@2wf~*TBu-+4$r9xU$mlvueDDeL}{sQ|AOZKJ9lE?u(VmYZ+*`hr_;S;1%AvSQgS zD+0GJx#ebFy5!cCx2{+iSjy%uT(J_8Vjfw_mIRjKoa-l@f5G+TD{i5a>5Rgu7foc* z)A*IQ25$ZO%3?lq$<2X(=3ygz()m*^WMvCiF8SKc{N}*Yg~j~V74xTDzii=(CCe6H zAG3Y_%?oZ|B`X#zUUKWg1-E{E1vD2fyKq5K5i1X@xV4zinR(fi&rG_o=(3W}Or2R? z`h}9uT{M}M1#X4jH4AUx{}lKJ=NI!ypDVs#YH`uUd~(sG$*kg*Rd8s^=ZY?3Gj923 zY)+jrwMe=QY0>1#pZnbBideQ3vP1a{nDaE?8=1;zP@6~t>0*qv_(0iVn#79 z`j;tFrc7n6Se|?O3yiTBvn-4D+AU=`;KN_*e5^{W5uZ4QUn~l(1pajVsrZvS2Fs|E zI~GYgE*b>#JdO=IuPY;AixyN2{Ly*L!XL+0Jb4|Sd=8#qr&jrq)!7Iv%Lq6&hFpoI*fhW(V7MV5wB@K3|FBaIjwH8@>Ja;c4MIKSES z(@@P=q2FRzULLFJXgEf~+o$#u@kF{sBU{(o%DXUb5P-o6*0|X zteu!);k05FtvZ*g-f4GX#1(f2_7pMsx4mxP0R`4w`iy`Y9dR&~TYWa;o|uFPTzG?9 zJ0xMYxGuQ}Bmn~9kwtf&@a_(}$C4QVtM|@1z0=;G*Zz!733n2-*FU8_eoAK#!IS$Z zk9rXJ@0PfIhaSL`$}05u%XzjPMj55%UhDd>^bW3c%U#^DwhlG8Hw!2OPR71ID2MPh+2g6pvbGoo9 z-+Sol&MVF0_HF}ACv zC(=Quw>w_ur6YDa!7_@VB|x6}y-uSAkgG^jb{OJQ2Ud#)xCJQ#vnWFzNBdU4QV;2}c$kyBqGl zi-3zjN+1w^qRC~j7OngJg)2^0yLwB`qGN87@@t?3FBJ9$Dy6^h7L+?QnorjEXn9-l zp<;wxEEcVj+i&S0x!GG_>8TB;6C+X5W^awqIh?ip!A$nVB?j zTpnL+4k7W_m~e)Y-=4wu`xB>RngJL^3IH2Xkc=>F1WFhNNcNG@_E~Qr7W3fVN(FS7?ZHYKZ@-~6f z1syC;+R`1|EhoysN%QJ&%i2MgeFu}?cQ9n%p_KX#=Fk^c46ks8S?;*uczwxyrELw$ z+Tm(?W^wo$dS=lipIO{5pINx#A&SXYPov*QP;}hlQ#=f=o%&gF=BNa-zYI<{#!V&p z5a?+)-HLI2K;P|+rqhECHaRjl&P2T$)D3IEQ~Th3*>^C?1(GT$!AeP|BBA9Dsg?wh=ic=gDPEB(U3dHW1;&I(o~Xd)qOdY)q`3 z8pS>alOE({8zzQwtE?UV??ybYII?vso>x5na1(Ai?|ATjJjQUvDTB$lQ_1;vV~S7X z02ZHT652izJ(nGi^84};ZyH_l$SpL;v{p*W|t7rDcR z_IULBUS76SJ6NV(YN*UMb)l<06bZ%Vy3EP}t;%w->k&T@_=~UUdRZN#v%wcEd zxPI@GxCVzX0zG{Psx8EX?`t?JY;#0+D)GgVQJ$-N$6@;0z%!mhfoRG^Ft8G}7?Veie7cDTzs0c&M3@!6!wr zFOnDFOYttiQOKQy*X5|bU&YRV`j$tX+Cje9fXO)Uz60H6G8KA6WoziEm0!0ITD*^dD>M%sS!!s?j5Lk0C6N@P=!F8dC-vhU!EQvj33zU6#PH{~SQ zR(xs+!C;_MsR~33o7X1oMMlTMmdZv9iAia9m~?fywgKBWBhKrvAKe{OJE(GGq0`8M z$y^UV$9BcT8N7?ch%HlH$|65LZD@rg>?b8pPF3 zFb~bphstqg+8ejU z24&7n$>!u1d%L@0Z`}7^vUcFIdb4Qlv=iY5!4QkX4|&>IQ*s zM;6YI0`tCy7b~*i{th$(13ikXUMp*d59Gs%{l$1*@q~P4@tk~S;fjyAn79REZ;D}weYGWdwS&XrISRfp2xA+X`wWHF#l3rhzN}n!n zqi%vbvKZ+8-w?N7G{pUP-S0C32X1%!4!y34-EI~-2yP#9*mtmvfBe4Ncj$A~>YnH# zFfuO$`VJi# zPP-ua9Ij&YpFjp%ZkwIRV2LBv6$67d-rTO}UE@RLmEz7UyKUMz()P?wjIuFFrkoK6 z@7dj9(z|Tvxwg}H7*iHgJE%jAPPZmL4REs*vmqCb9Y37YAnpR#)8L7l^v8fj+o%1hZLe+uK&dgvUYIE;!>MV zSKeT7x$lNO_g(L@*k#J=qC4l@cj@AwD|Qh`2?RoKxP1q=;}o~!6zCJHd+%B&S38-z zE5_mNvUW&`ny@!Py7QRy1}#^2cp{J+bZdv=E#jx2^vmb!ts2=prg;l9HlvFt89 zvF70Rmi;aC;}YZ!3_-37Y!3)>j}E0W_$pmAC%!(I*G`yaH}^WH?=UDVySX52btqVC zxGx@o=-u(fv7Du&)1yYJ@~(|%Bv#uKqutK-_adHDJ!aPDh|U__gMOqdPB9zjkB_IH&2(+8}>vOAhNwS&vD>yboYk`hlb zb+E#@UxzD$Wz3mkP@GC~(Jz#{ zHNv|+nhFO+cR}{})iJ9(D|Adt{06(|4t%lh62m( z_{=tDR%2sA*4J3=7{bTTjo`I-igImOwGHPY#zBj8ba+g#pORF-NRvKJl2wFiCb zE&yu7vV#Keu-|#N2YFW1`K%6-A!S5#a6SolM;?;3gUg3{+New)f4B(~!tZ$S{v>0R zoqHPTU6U1)(@A-I8ZfE9XqBuThB|Sxi_DXeYI9eT(=JKT9i4M`naIo#P(dq$AkVAn7Pu7aLvo38e- zgUXn4rmP)?If1Crks~&vBTZ_C!?Ih|#whC_=9u(>H&;zPqS=n+gvGEi_W{A7Mb*m= zKIo1thE7+{_0&aRFcAoUg*7}`c6ZD>ykHx+b6t*DJP-MG_e9Fq#ZV0Iiq*c-MKJX! zh7U{5>H7T@vhU!s?Ai;7S2PE#vfkY@!3>vz#Asd9yXM3&^lrw0@1NuH;7$O$GReXAdA+{By!H%w44Oar)Wb_Y{7Z>oe}xqj$^;;a4Z~C z(=2B3VG%rq+KWtsYE&ED1&*^bSXD2L^e#zPo8V32!p0sfb)Q*K9;Ni+R14ie5UU`% z(Q^)UJ5Wh@wyTgl2z+CVtR03E%P#J?_cokOsl}f9gnW+k9G>O$0IC(xzOrd=54BU9 zmbO>GCO=g5H6vv0um$(%U1GGL$7OD7$J{2L!-*j<%(hlvi@tcXmuI~_iK(AN| zPf$yW1jkeTu$Afnq;~v2T{rA%ht$qcH+mO=enY_J(d;)UT|+Jc!wdnpb{J+E>W1SY z&~FHYYlqm^UHZ+oYsf{wMPO(m;5?;rS$2nJ?zvvO2n;*|;l9HlvFvus6uLPf`R?y} zMK>C&-JR`cB;RkCSG0J#B5yW955Y`F42 z_Z+|O&5s|tujTk{#h1UfecLtha_6ELw%R*f(%`{m!W~Gw!&k$MSDa@S{l>B@30sM7 zG|%U$^=J_aRuXS;SV%X3u=Q>}yCXAe1;i0;9^ouCeH&n;AoF-CTF(w@@+s@hYRa8uz4m)l7*#)!wserADF_4JSs{C8_@ke?3=@td>6rW$_B7s zStPbhCiEHjZZ%%;Iks69hppBlq3RJQuNc_U z|J$h@SpP3tA52EyWa{!$-mDu|>$hQxLd*gSic zXyUr@yWBPtk*XF(`VLvD@he9=F@tY6Ndm}3NlL#58SnXR+_sGq<2o1&`%Rrm)u`~= z3P>$EvssPoBqSyzPU7Oz7JPE%P8ZC>r$y}a9e(!DR6G1-09ba>zwAKo+R(r4BvftM?Hrs$2pYk51wwULb_XmRnPdzn?;s%PCYbn>wW-QN);{2n*Y>i$yz1D1^1cva8{w$cV&(lsSD| zFKt@1%8w;q4Qo0-Av%nO%QdZJCpo&8)Em-O9^b_<+(3}U1|MI!TdB0v+^vdxa6l0_ zjYaaa{~<>fLyTp2L3gyY>o*rC3TNwb$yxdqaocWM9oGKwyu-`?;x`N7QOqr4pi zde^NBy5@16(jDHILZ&0$m!~9xhgWuuAZFSbdYIJzbG@t`Qh@kW#HDu~gD<#c@U}l- z2RB@8-{mQiG^vLt`h?%KYE07t1DYMgx8B2#PFIhM34PTrF}YvPTb^s*rB0>b6KDe} z;?TQHOb%v5XO-bCu_B{0878Or zX^2)RcdwA^92=!&R3PoxNWiQ8nl+0VF<^F4pLPl7PXCZ;u#sbVu_$b z9a|VTN<42**LWV`ZH0sHfcMZ1ewgKDzlX!VcsLbD7AVl2mdg~3DKu7q0~Wf})T@rY zTg*G1Fbo8u%NR1{5$8o5g2G)=5rIb?wwokl*}X}UeD;vuwem=p+Le-JcX`wLAFXV{ zql}J3vm|~iEIz!dc;4YD4JVHrAD=>`s+&4t5)=GaC9unzDi1Gj`X->l!wDd`V;;oU z$8hpGV$Av9Wj0`f{2u0|Ya}_K3bX94Rb<~`_^|AbraSKRmyis$9o5-7TjBQlUlcXp zTd{AJWZ6|5Xg5^fEaBTqI@`v$pTXrh>d}X2;8tAhj^EV9`E!X*xqG>tDPi; z4Y%Bo^QHF;vynyYXrOnULA~73w|aNl@YJ?g3=9}x(2W>(Ni_uQO|o_v3T;A87Y$3$ zp5Jx*PAt2ly^CdUz@#VkWMrMJphrPWGuBfl!L*t=Z?>`k6A*M_xa2gI+`BuRDN z@4c8&6u8&tkHLic4ytz!#1bmM8AR~G47}?AOm1-d4!!J=c2Q!7irAR)U0FMDSu0w! zb{b##0xjbta9WYU6f4mr@j7&SPr#TP^~4`}1==Dnqhf4x62J&#Q88p(#ELc87I+7n z;|NpOW6aW7hRDn=O_NC)5Tnto;;OcaSmPOPL0s^J1hcogXlQ|HQ$%DLvLwmHzyY@?O5CuKP86K59b}(k7pLk|6|_P zmG8U^M%l|ZwWsRcIt+=P7cN<>Dorl#NFoKy|UbX~QMMHOlr`>_*wH zd>dE%)g4*Lo|gKnEUvIK%BwWlq2}Qa!L4vNto>IAVc~H8Q3TzVpvA%y3;b(aAaL$6 zw22~HbjV$X4FZcYhH>Aa0ncnZbrRb3kPO)y5PrqhmZ#zV{1|+rIcB!(Bs8Q*e`;~( z<`beVdO)!=KNecBEqrn?9ov*NlNNuNB9Ub*cfoK!UNnf1ZNaNRb zFuX-~+wkxM3+}zaCheQ`=DtiVk9%0Df*X@@7JnSskQNa>f+7GGKKhL;)KM_3@2OT; z0F-!m)BQ;$1RnS&cVyw@w_t37ctDI$bJ1dHxHb=^>J&cHXpS`oG<-~2j9kF(M%ZX*hBS4V*;Nk1Rle?htrzh6@ z?!E6m(sJUW9akT`=$^L@A9()YGdDFY!MWeO?{6(f{9{S`^`o~>du!5-H7(EH@y6wA zzqjr9Z96Jjw?764?>P80k~}WScUzK~R5c0}!jYIbT*4$?dg5zOt$Fi5TkhL-JN9JG zJEW?sp1SVfl$M_!y5!P>+eg)3cKdZFf#9nW!HZhn`g!H02QRw4Y3Y<{lsoU7SoPs` z4_(x9O$3t@YhH!8GbWeD&C3(raq=EbW?}zT1NWw7KPVQr3w0$?k^F7+e0tI4bWZcd7lnMiWDQp%o%ANrS4G*iThmn~Omne^V zG`j${CQHA&WjL*;a0Z%!wH;@uuVxV^uVRkEU#@fs>AfC)> z0$T#UMLCv|X4Wq91X;R5+M}Bz+SUbn1_l@P={{l1RczB+QVD{sh7wbs$$}d8hd5M$ z%Gr|Lib+fwd=aQZH{!jI@kRQ4BbaL~7p0ZpORFaYi8nVed#;yhLB%T=%1J}5-&c`i z5%cg&gD@#9^>!#exf;X(wu3l^zIf;tHiXy4#@zqmG@dpD9j3gZ2b|i0_2ut%(05SB z%T~2n0nz8ETwCcfNoqkP|7_jRKro;$Iy+=4MdUZL0y0YEywE4)HY-ZK7rg;yjrXcv zrv*XptJ!3{w$xk0to+(A>#Oi_Bgi{qf=(HX%G^0SK1s7TzBr7a%Y>U87od~#SKb>_ zJE(GGL1m^33f3Nq8@ixL^_7$De93USPSSW5$t3qhlguIRPjq-8ZYY#wu=P@##9l$X zxm1WtbEJc_y&355dtWCpFZAoBXWI=JAzi!r5DRi0+89FA3O$Rh1A{L{78 z(eN@c$vK+qSfTF@BS|pkVKhTK%vqX(^O^DoQVtJHEK)3D$@Ih@E`=8@ve9n8$O*s0 zF$$s`>8=Pz2(dFSlp!JI5oDw4ZKRhmFu5rTF_Jq@oSrl|L4Xli|73SMr4wh{pCY&^2Qn&dZemf1%mSq zuX=n!4S0$le4TiSUSC1V3$z4r< zCXU$cdp;3^;L68?4VYh~N7S)bb)RXM_sEEo4LwcL)owa6jqbfMy_ z#9S^_W?J(j9#&(i^L)QB#$x_?;ai?=-`}8Ek9cxx)=TPGh`}hke4WA-(eOLn2@t6Y-bd=KEV zB|&aw)>qiLS&Q?@NaOtr0-^OAuCB4walT)8vS$S#UXoA2JIuodiRl~oXp$K&%kD5@ zV>Z6~S?9h(;$BAIG~#!0`(~?`XQ}we(#tYHdH795FgCds=PTI4c6A+w8rY329HWvV zR)RqdB*d<%3(Zc)(+b?8XZ1mJp;fCqqC6aaHAJe)k-;G$ScAt@*rOI#SuPDKj9GLz zN43@>eof8Rw6b%5F7SK@<1ZuK3E#qWw2Vn$6K8STz$7kqFzqf$iNO7fW$hrCnGB4w z!fz(atW_{HIQSF-GSSj9(EBm*BhH}o+f;FX9V{mK^GfE{#?3}kvKcf6Y4YTd< zd2&?`TD@{M3r5OSQ?YAQrCFOanyX_W7KU%9S9W62cp@Ui$=V@FsAF}d8>Udvflikm*+=~LaG|85iQ*uG z<&5Q)moY;xDJjVmUmkDxui`e|mMn+%j5?;3%up0fNzdinujP8A5m|AU;pK)alngf6 z&_<(K1=TLZT1iQv;7WQrpe}Pg(**j}PKUT4#y3l)9V3&a zUF+qu!Us703NkT_77Z6VErKz;4yv%VOwhWz4x!8 z`PQ$-J$i?ecSS7gD}o<8=kV3VZ!EsO#(Q{W!>;?6zq7Y`>eahoB!)WnS6{z(d+{7esv5y0|DC;?J-^<2U-7t>RmET({?oj} ztDE-zXbK34&y_}ga1D%rH+Km@jkO&XA4YPnxI6md(K%eFs&}I;+eI@aA=x!XUVz z++IJ~@V!_*b@$ta%(rm-TFo54F=!a}BOY(bOnHBv?$HlTsG@#{gU4-yfSKi+WZKrm zd~LmlNjhEOx%i(-^0R$Q#sk4A&6_rqH*fMV-%FFH&MHxWplRpAP|(P+t36&ZY%pnG zs-jS(s@Rkl$s47^aAXng;E62e!J~#kQBGZGtQoTy3sSEdFG!8T562%KQ0M~p=J9w! zHJGSjQ%T53TW7{t+5Oh>%z}i&WRXJxh0~1$TCzkgPiKm)vs{ZX!7xg%ebFw!EleHn zhq2rSO(>)-2tR;FD=d*;XU|;^KLi?nFKdS(+8|DX-wm=M&j7zX^#){Hrg#?z-VQeZ z$;=IUK2cMR4#FR2TRS{pk!S!zdGzQu0`Y`f$iwb7oOT|v*df0;SfJNuZK zqx;z|F!%;%dU9~1KWuwwk<^1vSW*n{juPZH045o{e`cNzI^7~)Nq)1YpgZLGA)Z8d zeP6itEN1$1sE5?7@aBg-#-6*%4Uq5t1R^RY_#TBCmK=L@EGEhj?@z@PpP`k-ZNwTwAnGO0nSN8ntM+%_uk9{8m`Kre|soPf)GzF?}44 za-D1`#zBr1K39Q)qB0#fe507~NRl`fH6phyS|<%ut|z_7ouYlicVxksaLP0` zgl;6k6CR?GIfXej# zPQmxi?}5~Qs|{Gf1kWwn!r4xKkDa%K#0%be`GZwWe|#uQLUq&rOXnV0*$M!fc0G5T z9(=?g7{8pXh%b&;s?K#edFk;^f>O2lG?uFRTfZo4hb=VBY{wxd@6Qii@e**vPQ0)& z*HhHE$(RcE)FNS;58zu1J7a-jtDZ00>(y~$f@j<;|M&-WGrw_D;Jn6-o-bR~8;X64 zO1-ldn{_@uzH#&oX)JgZ$l^APg%KKdZ}ItuAXE$CcT5)VLMV&yzT^_~JHDNY9V|&} zz87@5_j;!J7S+x3DdV^Nyu@r=zl1lA_GDUjsYSj&TI1N;lPLI_3>eDjOhHKE?wAQx zZ(n$dm3Ds9?Vm_C#DwFD2jp@!&I=9okp++2%PVB{il!TyRavE2^ArmmV|+t^2_6K- z3e-amFBm>>Y$^uN)f?r&q8zivu+RGnOLc=@yTqp&c!3lDoe?$!T`e#*l$P*t=|e?i zl~3HA-ax)@+MwfBgwD!*8U_;eXW-UE8XJk3bgn4DXjP$s8i2&wdBqH}5J_T|u+0V@BpBy2`A>To%B0sfgqTy)m=et}C693D`232&7@kO{QgGk1Lk%#X1T1 zxg6)<#x8&l&;LS3dbe<7S??D-C~=q5g}@i}fvp_|J}%K!67&L-jSIjkB@Ii^ni-g6 zHdCJ?x8+JzeBno$Ovl*6r=#XD4Q7^LV`drNkiyUci_&(+aM_|N(!j$^)B|bdoINb+ zm?*%YLE)$fWRPkZCN5{0Wwo*0gIhDAfYc8Hr7 zLfxv5{K<%u^=V(-chSFwE@|D@x~DXU&1gNDd6kl1gzI0k?=aSdJn2jw**c?jr#}7a zGp~J;e>DU~*_{3Rp8kF*`{j%;P12_^KK+*vON|rW_4TxpQAD^X9O$){`9V9%EI; z2u)-#R+x_FuXV;r=2sufdb8ZRnxS@>{$ky$%&=aW@oDCVHq{OzSY8GT^$^qKSIbfa z+Ww-}kilL{oBk{Hg=yIz9vfLSf)!=3k%wM+oc-zV^|^PXeSGxH7s|6*k4^tTocF@t z*_Y6ZI;3)cTkVjciR2H~^{~$fEc%YD9h`n*pRFX_iGs1Z+*MhBs2_QJ-jt(aO3AXl ztRRiO_@ik?nTmsgouvuPG+RKo-~aUDqpVI@nKm*c&NzAQaduYOvM(`iO$mk2?@kjJ z@5|bEmICb%8!k(xA{0#+tr%2X{nDjRsy}%s`y~1fXW{ixc5vS-AG3o~_GQ;qj4eBcXWs!Mi`Dd?k ztGMK&@)y5fn#M{Svh^|=S&T2wwpY@~VkAZu_s+O)XXe$*&)l|;x1PN44tCbfp|WG8 z>{#jlZPlkK{F1se%d@Li4yTbtHbxe&D7G2#o)YtQ~s6 zvU}S>hp?4sJC}y}P{HHsh#e+eDRwAtW5p2)){ffGe*MIngU__=Z#l85oo(>ug0~go z+YWBO^O3_~!u|Lm5ah0U{ZmN!^~0;zeDJ@wHy!@)wl^Q&e9_VaU)yorf$c5-e)vXc z-`=?O#F}64-EbGq-ErMR&n&gxy#!(>%J()mwY>hd9rNDYzVyM8iZur}2O3*WtQqHU z@_I(V$hAwJ{rhXsJ`6J0$2V_p`SYr$X1=z)<*mbCTyyZEn;Kh=$56*!bQIyllJCBH zY8c=-0)4v_fSo8NqI z)Ap8ce{IKH;QjAEU%ukN_L~+Se)i^%9^U*+%YndypL%P1%g%Q%`Inav{L&BCypiqj z6lcHo^!qQqdpmOD_NJy2)82e<Z}cTYN0G5I$d%|Mro!KqpX&z@L3*w zQdGq&S*T*2Wu+-Mj@2wp@mEeZOl2p;;=Zyf6H}piG)zi|aB>Yx;gv#Mt5wxUF{!MG zp)&PH#qAhQ+qBz>`wwqh;=RG~q+Ar)l6iQ8R;QH^R7QrvFc8NLVmMsb!o|n6eae_PEW7Xj+Nm8Z;!DDuDBw8qf#1he zXy4X|KWQMuAYF=UyDq*M+F+!DCtm3w&IT7-AsoyNnm#bM5jU3DO@622<4V$2NId3# z5dVp+t8kR-5HqU*KNV_?qWdfTU~)=VCYE}4fsA*ti^OKgMQhrf)9l*q~V{yvP>Z(eahS0V+N@(!sezz8lc}(i4d1j+Ri(B(XwJIS4x8 zcqLV@)Q*TrTkp>@7>an}BpJtcO@AkeCN1?J%1O6TIxJ}a`J7Wbu+y-JglTFq z8l)Y52U^3eM8>QTRv17FdI^f&N64dFDLS|4yW)StrbiW6(+yYpb(Ssk%0n%l^B3g}`r% zV)_o$CJzqDCsN8qi-Lx{+azP@bW0-I!l&UR#ves($*huEwC}n-$t!fH<+DhPcbrO# zR2{9oD4a0W4#>X4Iy8t|pq-h!-0Kf(i}~JaR&B1ExdpZ55{Ok}-FRgR3*joT8jz%# zNsX;Ofdye?PASCIX!6?p-iTg|UYaYZV`o;z52vn89H@ad1y4V1Vrj$?&!#pwK{j-2 z1mS=gvx52#B|btB&tC|WYU)C$ddS4b5gcLx-rRiY@uNy$y1L^l^x9#*DzW-88?SOoyD*-vx4V^9#G(!!A zfwI@p#<;{0qiaawLWofqNbDhPuVj5yaPfibHbCOBZQ{Td5(fhU;xQy+sw%I9HmKmY6o)ZMj9|CgVFYzx;vnb{seqtWn%yHA;xsg5w5Cof3M&>W#aR6KL;pU6 zIzfsLO_e7dV{DR&jxLU3U%_-Ov;h++zY2^m!=8?_iljEDk1XjZH`308j=-NjgS2zt zirhz!7pG`6+YuIelbUJrTx=B43^0);1nXF&T14hpY}*^yElW7|L->)HNCYWzX9xf> zc#0_?xf}}rGC>;{DqzV#e3k|PEDK^z$ZM>CX#$V|Xc|^Ii>N19vS`Oa!xxszZ#!IAZTz!CfI9YjO)HLqt8xGHVcNM5(SAMYPUhwAbKli+wuPL5)6A{fWSpP8SbocI9fB)qm znq9N<+;K;K{DXN%RzCl5!*Vi`zIXrF|66_KrFR_JdU)R9MqWso-o5zL`#QgIsAK$+1YwzrR zcI^K3GRoESUir-3cN|^)-ouY9cqcgZ+xNe`3BiA&_^eplJ07ef?fKUfUnRvWk`)u= ze0#r9e8S}HV zqpcV{c8(t$u}da_PB&mbyy2>c?-JLRRE)-4?i}R10-+I}+{U$@B7H6}@i#y$ ziDr>xE|Tm>s)`S!PdkFk^BZd<=SU*iL&E#{xSbP*Ie|Kdh$O;V*se zdkofz(cZFZQIaUTD*bZKF66Y~r9Pxu=?n}=P-JnOqmdf^*W(FA9UeYh&C3fdt|{d> zmV@3jQ%vakh0r)NL*qP5WI)KimCdXRw0eBdOpi(YrKQf?G3a_*jNyM$cUUa(W9(`J z;}BlI5ulP`3uCcd+T;*$dA?L8QKE&6x=RuxpnmfkvhN@m+8W&2qiT^27^AFYW(AKc zL(^<_OtLvDR-`8xF`oFN+L*yDLZ_Rp&oceGS#N(!V>Rn1L#%oiS7HKXvd!}?gkzmS z1i8kTIafVe<-72_`FzrO&G#_Pz6*@9>Wq7KBS}AO=P+f@J%arzL)FsLSjjBh+4q@g zBFCJ_!k8%FY~S0aP$rq$fI}4%d}pW#K^dhvsUuXFgWk`0`@EdT=IApx#DSpn zI|p-FsNUU}hCYicCHka*Rb%N@K~=Ln>K(pHpMf0+~C$2aO)xch935!ph3$Syh8Q-{B z)(%mcRJ0ewRy#1%u|{{ci69pV!xPSk$2G3btM}lkFYEIfH{>TkZ&%B-Zf6 zY7--3qr8N1umi&>;O)z1>A92eaU~qoiwv3qZnu&?!uBAXT$Y1yZm6APm6T|#8DdSD zs^zMAC;{anB-ocrzJ3H#ZQr8h&vxOK@}#X9YBP5_R=7;f-9f@j97q)R!^6EVDqf z6b-l{UZsR7rGjDBEXyW6O=yf&bAW*=R-R}W1$Z&aqAxfdxGX7-S%p(*lfZ<#BsBuL zcgfn}YTUq067J8J6MORRlny+u=i&jvwyU--Z`u=~cin_=t|yk=ZSz8SlyN`JSYLeh zd-t6{luu#Ob`Z^8DUH1L(1Ta+{af*Mhp)Nxjl&DluRp%3Y0vf*|GW2ouM@MKfsy5?Lr0)=E$QOpSM@gu}F2h?! z;T$LNdFwJfIo1ZxBwv~O$=bk_ar)c&|7~CPdvqzFUE%d*jP}l2V0!gKq^*GMMjDyD zi~1$8?R!^!ha_$%<3QClurUQ9P7i5#MQOZ|E^7xaYdMS7&OIe+V%jy(gDDQbZB0p9 zPTM3nxr05l?$z>FI;e9ThPFBr_sAGMe#E1B9N~;x^dH))ihU!S9`);snZ9!;zU@R~ zN&lE9OV6rj7U$L>O0s}n1IY58TdfPr;A$S)Okr3o#27jgc!NSF2~YOHt|hR72`o~K zBx&Emy1m#>5{p(HwNF(HPiV35r&UWgq$fTOUlsU`MJ7qJ_xsrlE*-5#A3#I!L3Fvi z`X89exvKBR1tJ-caI>;{y3RN7D7Q8=;4B=5VRD?vT4a}S`m-5w4!nB zaekEqR|{ixWg24v z%pC(EOv!l^@jKKO2Uf%yUZ`$#K2X67lO{)WD&z8)pbt>45PCM#@^Umo;kngZUB|Ri zRIhl{1Ff(Xs)?{^$+J-7$lqF~*3m{mSQ_)AA&K5El#08YHUw(FE^CJm;m?T$|-x8{u{v^e_Hm&~K-ixb@<8`u4-A(^zw56U{mo2@`=*^9fS#4Ux72N{!S&O5o2 z06|x~PbIcqnZ?(vJh~A- z#@^(J@fc%^?^d%hxMaL-dB!vc4c)gu&GMC)>wJtiXCTT=pwkVi#hG987-GrzEjKP4 zuWed3(f-X-4_LupT`19@2o-a4!t?J!hL-22>Jt+LR=Kuspnw!g%f+1=kLhj*Zx!8k+#u*?vxAr&PT z;6aU|;T%4S9smi<2BEX^dip3_DNDnRof16Vu(As11ZK%Ky*bHt%JcDP2lp}*XT%|K z36DLbqPrT(2z+(lp!OZY&3?E#mko<-;)31Mx9b5=!{bKz`aqy2I2z5+@-ppN?h-QNj~h`L{LA z5VeVAl+;N8uz@YU8Tb&B&LF7pPJ+Z_(v~@Irvbr9fC?1~ zOf$s0CwTN~Gk?qCK)?>pFtxjY37UT2*DAceSq)oi$*$kTOC*tg$xp(bH2*stB2Q#@ z1)g+p&=n6gOpI^OOTxZG$Cg>p9YIdg@DZI_Dvp^g z5Z(tyt5#HoNn9+A%`YMC1~QQiZM@BZ`(%pBVac+n^<-=vS!hI4C}daRQT8zOn0yo= z7YO$#pwY(fpgETL*bUh14vCxAI`8EUQm|?K%EChu-AafximA^n3OZcGN zc2`3Pfj{1ngtbEg{7|~7ywy2sR7jmdh&OtoV)S%zS=8ZCCZ}f)h23}{@;9Eu1DX4aEM_&=VR700qF^GH`6E8Ei1zK|9&=JmN60SM3 z+I!_($Rd+9I&y>(T{xo0GZ~G^&)5x2>kkxlR2ViOV5QYeFuei@msg!juvZHL0-uNr zmRCYp$v>(pU`STgROMiC(r~t=z+DYF1nPb^L}~}Y*aVuDf#FLjrtdY`IF0|^W_K9& zd_y@_nzl!rF-d<-!OXLKS~FW|*vm$Jv`4hoed%wL^buyptCsqlc=jUk`MNK8R_jFz;`<$0P`jeVdD>AC| zFHAy`<~WTlGpzqf>Oy~G-P%8d9mFN7E@Wf1i4SZfOcs?ZdrC9wD4e||(_hynZn0bM zFswgb`BAHyS?4=GNgug;Vn`eLdH=_Gp)>x*?r3Ez_m2GYA@=^siLV`-_|UP|#YQ!Z z0B;8qg|gxQp=MzZ-(j$N5E;A6FhbxRdx+Eygt=^vYSGYfm5XT#HT0njZN8SqylM2t zlRu4#sZ~}HCZo*ImYwl2Gg|A;0fX)ce&=h)Mz$V%;`3tKl`j$BZrwQ_TmG5+&SQ!- zO=+DFngRyRN7(Q0J?qcY((WBM;z>+Z|E*W^LL-0q(nt5|IkmLO{plm2o@dl+PoNP!&KwO`Cjet{rzVP7?={pFe-)qqiRbfK?6a3-{bgF(bNi<6!^oofFL#Efx4!t@JJl($ zjjp(fQA<8XEf`rG4|m*q4f>#*fZqT7u!Fef)e`c1y2fs-1BtE@D$NAd_)ZMMKJi=I z9HYIq3}b~WKY3Ce`M_moDEh0@rtH&39)Gg+PAhwanD_;@>XtL-n(B;C=ZxEDo)h{R zwB<-HN8&x9gq@@Di#K9qfl&+leyMz!bWnnH6Bz^q)_7&@&{LM(gGYCO@ARgpT8_;9 z-Q%xZ)bi|Y&p!UrJ6gTL;7`j)3&J+b=NFtX&p%xBMkX#2LWy!YcZt#`fuRLhUoeDd*^p9h^T zX>a?=(f{}>l6;Cdd7({WIJoUAC&}+yo@%*?{C*fo{`mZZ+qYeN^nuSEcm~=xk%Ld~ zh4y2h(>?IsvzH&tU;5PJM_}a0eY?r_`xiZSf`Whgruuh5zWe;kPm}F)--q_m9e2D& zwvSIc`1~s`96cUoltq$>*;7}YAWy#X-m_rBg(nAYMv{*#eRd6n17LsrR|(aDn-4x8 zj`F}W+YY?<^qM!Hc^^@J{N^)=^4o~=yW6+je)QQj`(UKy$j$%#{E>^6o`8`9e}#ij zuh~zS9J%h*=U;w7LiGTkIr`(54{m=C+7$dJApU=!{&@SgfB3@>KR)=#EjzXynRoE{ zpFiDl9Km0ISIg^1pM{^dJoWDFtAG9YD-=8-*z%R5&t7^Ex%0$v7zx|He#*83N1wgp zwJGF@ljQNwojCs-g31v;apY3U%RMA+eLAZB{&8r(^2nQjni$L9V4j7h);6znv86R5!7~)7{CTh zM!_RVa*(tI70p9c*zdk*A}%PAS`~M-t7WO`J0=PPkZZTR=Zq{sqtqiR(>zH}WGO_h z!!%+V$+YXeZV>k2M8QwkZ&lhpcfQwV z6ug&li+PD74z>#lD`3H+!@+!waFDdaP*oej0AB5k5fcMdrV~6xiEuKZT^c`1qNSs3 zXbe~djy7!PhHW$A3eF2BSqLK_-<>1$Z;~f!8WDlja_=Ih@^nn|p9y9%%7#S{*A-wC zp{hU(1bRf)Re;<;?r^qHL?Ml&_>ZM6Wvb*!M4O2yt1-kq2NoC&Y3(9ed|o^q!6O?$w0PBj_5QwtP0zita6DSXkA*+V zXy=sxhSI|rG8jiAVibjCQ8A8cc&+F=G%{8V6w&CS(w0ZJNbDpb&7yRnSTYQ04YG?v zC{M5<4>C(5Bk%)<1jIPRY=fm)w2K{i26XZ2lSWBmA#J8v0&AHhez6F=`onQ;Fbg{q z>8&E71OQlDxQ(Zultu zQ2K~c#e2|#_Blcq(mf_$@d)E>;+KxgFa?8>gpuP%gr(Rhq9c$ zo^H@7Sdbu>8eoOUchYg%ZwNf@!PHc6~tgBBPG zFD4TrvK{{Ah@hT{kQ&wQpy2Kf3If)w+?c*YVtQ8v)jJx*bqy%3o}v(15Z{YNbADKC zE3%zzCh-iz{)}RB(zdHen;HY=n~e)SB38~UEgDtS4DlS@Xf%~HR$6CggSoM^&cmAd zS;CiL*b|lfPlF6r+i3dm)x;Gw`HJwp>==0wKeA~aThu&B4^fh^EgiJYT57S$K_QIh zsJKb`O%Bcpkv5X_+M1Ql(!660dlEYYBh5w1c>Tqq#_V-?8OWGi1R78=OBnWyw0x;8 zlSCic%o4sdYMnUL8nulGzHV}n<`Cot6VtoSHzeK|1xddF9iUROON+=h+F)S>1Ilx*EvccNrA-r4YaDo9%fj|*@{c_XwL8IgSlU=pYr&q~Y5h|C6=X=%Ac zP^t*yF{Z(P-9tSl^m(jCrc~)KQIaJrADpP*67|n2_1O7UX$CpCF~1PDC)uWM5Q036 zH-ev#>nU%ZRL6bbDOMyV09G+37|6>&E%T)*H4ao#jNCyN0)B(lbcTXnBWzokP=h{< zp(tk<>zD$(No=CtNnAA3QEmo?s`s>0-kyfwoxVfm5vm>jLODg{54kP~WE36xaW1}C zaUR5Y4UwZwDP?tj7C_e!4O<>HYI^NN#u(?8VzJt4tRVJ}3F@_GrJv<-bj=|~X{-)w zo0cC&P>5MAxNiL#jAC42aTr099I*Tlvp_Z1=jI|w6D--K%s$&vK!_U*kP#)wwwN$0 zn5Aq9=-z+YRPgQF9&=96|k z5X$2i`h+r(4T{aP<`X8UIK99GQPzS!m$Vlx1A-0kJCEUsPl;ZAm1b6}7*>5p*HORL zss3CNtHvJyRTjztUO?4nVB~-+7?{-A7i)QR;gT4bP;n8pS-BhYRjxy)`2fGOi9J{9V@(c@P!J`@;fUXu zSd$J#didqE3qmZ2JkF7jpll<1l%yJo68jQCM=TwLAhtjV(tzJsI*TQ+vEq^#+Y-TY za@>hBl9yyE6&I&OiPIK($*&%jBa1NCYGUfxf4?8IsPtI-!TT{|NKdpIFnz}kX!p)` zlp_{?FPHSLuS+AV<0m<`P3&k>lJ7;6yc-jVJRS^F^}>@6Z@flw@?ICV?KtSzz9|Wq zS)FjY@I;E8D0jsG`vda3P5jp87^qe}@o*C+;pvFrvd16ZwzBEvHX~m>LPl2gluow` z2c?nK@r+=)9x0CT+hOocT2^C}6B*8MbFg90H)ZYM!}qp!jY*&2c26LRX&cPadIxL7f77l{-)owBa2xp`GqBuqzFUlJzcxYGT2I>1_V(<-^ zf|9H;xfRsUhLJI%U6c(Spdtr1#(omHoWBl#W%~ZK-;m6X>|}hk@IybC*_!jK44Ptb`Aa#c!DH5 z8Hw*n`zWn`2ey6iJ@6Y5b_#yD8!;YvSJn=_>%5@dLSwq$a<7XOkCi(ec1L8< z0ml$+wOgVc`*zYk?VzLGeu0Pvn?9A#7aq((<*l9E^#@ifpSM9vFE$nryqg$J}GMl!O%?9;JFqm|Nf;( z^_$(=)?$*hFb6%f^T`w0#IZcIW#z($_QuaR#1^!3z?(~AqUsGewqaz~=v)A!x;r_U26L%O7Jv*I#z>rSvjUI2$-b`#FsIRw0YDvhQ1JE=u6s!$sCzv zj2KYmNl&Qx>^90i0}E2|cD5&vZp$4Re2v7UQ>N9(ymb7oltyxdPQfz^lfvZUB?me(-f?Y@-o~kb8OSS$aYDJ5~w&y!ia~o z1txkUZ4F|Ld84+OVzoKwmk#=&Z80`$2Hm?m!v?#q)Yc=Ipn;RNFK9EuK)wqfqDe{z z1)9P(+il_CU|+=TF$o*dog`y{B~LOsdJ;)8t`Yc6ubChmywwnnQZNoN-7eHFK<2Xn{Kl!v2qw_$>`6o$2h-2S*^~`f$B1JQByl*Lj^a+hc5B4dmU-C|yn6{1 zQ=jR>$bwN>!o^BUq$etOx>|{@4oEo+(e3(QVzzVyInoZ41i(_ndP-lbaAQ zeDrM&WbVvwo_WsmJZI*7%*XjrqACiPHYH19!AyZgD&eDNgUR%k{)Gyz*0NfL6^DE+ zCwyx-Hl?Gi+?To}$UD4zX5b$sphynKuhJ+8PDRkvVM|An1RH39DRbyY&_ZiTg-Rjy zIj}MyRSsF0*3zXT)yC-J57H$0qPU|ICw>01XF(|nS(kp4&dq|TdP_ih`olDX%>CRe$BZjbB zTauRE_RKJ(m!zfBp@JpL^0Oq0;VW_sjBQ?vz^cjSbxZ~^u#t3cUAg(dUZs29{wt?9 z|7rPy&G+jFlJmPaY=b+uXuB6}K7g3KkY?Gv0(8CkG5N2doZm)DsEE^rHVo%Sr4g=l zysw;|fBK|Ph!KEOEW3S^RNsLHcwj)Io6d>>ZDhY>*vS6;ja zi(qbGF~L7sLJ`E@qP%#k>3j!MqMj?*2v=?dNr`CbVZrOF2=0LH-bCO#cwaL3_bol8 zEY6Z%$n{pQbG%7SrIGNsV8ax*RK)$gDuQ^8G7;El50+()-Tdh1NshEzSQ@qu>(m?& z*x5?=C_Lgg_}?!0o<={YK#dL^H$e&nFi=!h25JqV1PP1W}u zrX^D8M!%Qx=XkNlr`GiQTms)0pa<+ir^8k=wuWxlV~Wa@G}$fbIAqDO93)E;IM&3~ z0g{%5oF5W~#e-PVA2!m?Q>Weau_XL)e8|$^$br1PLsTLr7Hh>AquV4DUrDf96_ZL1 z0pNo*DiO?QkmV*4crwb~K3g>`GYC zlWPezh-~&b1fdfGmfeMDK{9l@3Qm*ng7vjMQ5I}ZH5F*~r5A%Vz9WQ^7QihTGFT%4 zX)gi2v#C`zf+A+58*(e*w71L-2XFS{>xwu!ATc)qB@*-7M`q*EJd~(5IDST2cYZg^ zIDt)^(oJu5=q#rM+ZFS0937i}-IX3VZ+NrQ&KCu5j=5S|yW=I$eVeUb4ME2Yfn7xJ zJCyAx!XuIfGl-`fPCH_a_C|+_1?`JjFd2dp1eKbh?SZn6?PQ6M;(@q8iH>*sb_x}G z8tFoVG;~uj^FTh|2KM7yq-D{NKzP(_8}%*zlqH?Od3p;Up|bz z*n;@w0Td^O$*DHUBIW6jHLbFq|WeX9`Ep@|HrnbChK5R?ihkx_SRIIqoc?Tk8dt zfI1e^^Y?#$`uru!zYay4cYjCS7=B$T$;RZscR@Crmd?UN8hjw5`@F{CxKr4YjFKF? zl_dr3hV)wUQd^?#{ik?}VNIG;H5Z0E8eXKBhj+srJPbUKsq6iU7B|J zj(*ZPy0!CK$tav3LBt~+!T#|cW~jQ?oJy8mj67*jT0R)N8t$Vjo;O>Qu5~1?qp>PU zPlzm5Hvu+cmsdne3Q)9R9DHvsT)&aVWh%=Q4C+`wo%riY)GH-P%JN#RJ4n*YQXH|k zL$O#rCQ(@?;*)c;gcT{>MsFcY1~KrcFtORexUAg+nevqL6*&}iKSC;PXj!~h=;aB!?3$3H?TPd9xsfW7g@WB$1s!S z#>i3_c+^m(49qGyUQ6=g{nxGJ{69SLjz<>ho+HophSCrrM~Ab=jm%pA{%t|6nSOD; zGtBO+47P4R)9W2;zt;v94|TTkhCz? z>9|k;NF<$*^-*Gi-7+mb$Zx*=g9wenCp!X8_Z(F_oUkf4+p4D8VBH%SP(kaac~E#j zgIK7kC?efp!$mXH9yb!H_J$s1qLQ_~GO87NiXAuvIGTbk-=0QM5} zcqa{429aIXK=d@Wlk>S*8nS5W1g8kSBL&Ad!qX1brNE4u348}JTRU&#VNeQAM=%mI zQo7?XZbG`lmzU+SIZs|9%RB>>J8)?feg}a)wqgi4|MTH@+;#Y8}M!EyP=h`;~DMA%o>Z)D(U}Pev{kgWLr%>&t z9eTH;uEy-uAT_K6G7Fhm8-bM(Ro360Ja4l*Q6(TzNTrhC602D2#*a=$WokuQEd2on zA?ldau0emqpnC@0!3W(ly5poppsb8)hu4RlpTl-ORmU3l)Rpcu>SpY$3t2l4hIS^$ z)%_*FNBY}+vES~QdhJf% zoil!LXJ}00!AXtD57+%Az(@MqedJGMw}ST^A$O)9Aa@Rbn&3A`TEhjs{L`S$A6wC& zb~q&w%yC|q<@CqN`2))NuVElHdH6B~qB+>9OLLagy1Z%i6>e+jU^zukX)g6zJG;5~=Qo$22schHmq{+@!nj@iri z6pGrASlua!;I4Y|vNRi$I_3P&l=ENDIzL0fZz<_rS?QBm^6yFx8Nbn;BOU=gZvRg0 zaQhBgo~e;VDUB?c>T}y_WZ_dIi_;x`qrZWFRCZrR@UBL3=fXddI|tUN0SCdmzjVxA z&P?5@7;cH#YGiTpBe-i8c{wvDCSN7z->gz2i>&iA+6g}V6v5xlkv@qfKQ^g@nLY=H z8`@F1cNT$b?@_hGa9MVr?K?CaUeU*{BeA|=N*@}6g>*~bPx}76V(-?KHM_tlyXvPP zhrMarf}d~cdtu-5&;IPum7BkN=$7Yyf9uv89$UQan!S(qeR&ufbd;2Q{KevkDw~nm1@c$m#y6+eJ&bx5$_x5jj z6;!?VZ1~+8LbYL^ z4(!VN`o6g8mj}M`=!0K`JA1eEE!em6#upHiK5!l%*s!JV0>HoC(s%d1m5ZO{pN6^ys{Ie`wbWudH0P=i66r>HE`##TdM*7HQb4itg>H98wv#9R4}b$-t>Y9r^& zbBemVLBTrCy8k-dIR`VV<;K9w>X@yS&0uCtw7T`6cdhX(pG&Z8vb5;-AQ4gx#H9bBf0@fzW2l`e_v<4*ucw8Bj6o51CU@!I` z4@c}AIFF;}4#*NPXn&ms+6%jh?3n$hUExHn)>_2GvRYky47ILEh3akx^tyabRD2My zpZy>}aAmUzyMNq0hlx6`mHXJ-fF+B3JRB(RtTecAa6%X_8Vrod(kia~M1VPC3?30| zuF?(8V<>SW??${4npk9Taqm}^4TA*n> z?5r0H33l5TfiF$brbRI;fnDD`x6^W6SHdgB_|<7LZ?Y|Z(G(=XUy2l?b&#{)N`9bljF4E`}XFA zAm4?7vz>$mOF(Z3vOaZISuP?712Yjc`k!oI15QUUbRy5ezbM_aGBH7+>5|Vx5b;In zod6gY33RX6q5BT(xDr2}qx7?NOC74;i#duGM?wH^>7;L+iks61*ronUu})erBqha= z3P^_b3vW6VGYO=YW1(N{!Ad%bSP@n+VdNcBL4uY7hgtYknek9NHY;t8C1#33BHdxO zTB;QSa+4u*w}xC7L++)WIxtx=J+}=!$i_;f_L`Db)GJeBDXKz)J}O1yZDVf73Oz<| zR{9_(VSYB*h853lVa;srr0r<}i$MJjKTC=RPAY`g4T8hDSX0RY2OjiGS?vf?Z7@UV zJ^G{VO~^=3dS!!2u~%MUK#y8JtMF`yIh zxf5X!lhi^J87xjtb)I#1m~1DL^|*RX)0<+8S_gX>M<+p|lTeD5uf%gfLs@AO95F*s zLhk5l(Vj%oc=-o(uCfQszG=3`?N~|WMw0&AlTnX>!86?Hv(W(7+cCt1@%FG|mBWOA zG3g+9p$^p(k-;MvKWboS4;F7ys!J!Ogtoz6iCzubceMAM@!Mb^TU}K;n_l9op=KWx zm$8Bi`iyHZ(Q<@ z%O-Sys5=r4nl?HC!mea(2IDMTmQte66A)-xsnf@5MKOeOPM?b?FRyR}b76r&-|2J? z9<|U0o)Ee2oNkxYFx=4>$(En#zJoqT=zJ4YfG$(zhzkf?R8oauBL%CYy{vS5te=wE zxk?0-mbEGA@{Dfcgto)w1V_Sv(bJ9E;j=mjuE*J$sJ2B2((zgti1Q1z#g)M#mgu%G z4w~4HMt&i^35+f+n({WZXhG8eK`vtpqbz2)UtK(bDvjV`Fwml>8)Kr}K;3vE8+!e_Iqxa7-iLgcr;yCTQ;4s4gi%ybX?SKZ>CT1_aImeX+1(&DOby$)Zlr zY6DEA12_UPgo?&}EMY)e!#3F0RS~NQW??Oj?VQtxis6`~2T?K#7KHUrK~%r%IMDnPip&@?9L(K8bYGd`jrrLU)M!l8p7XgMXGKlhqb|bb*vI7(a%d zg%L-SO7vGuCP)HG)H1us=9tAsVomjt7@0kAz+qVmo~D*qRI*kqg~UQnCSRHa0kP!R zhJOswK6ZW(v%O#wRi1)TPavV5)w(cNKxl;C#l(w{#kP{MIEHAnL(oDg(F3{^f(ltj zMM*1}{)@r)^p_mn&WnIP3-X|owQ=G1Rqc@FpFQoELhsH{_5S)$hTHbc-P^wWmEFV< zd(-vv_fH1v>nu&!`sa0bVG(U4SEY?S@+peDcca^M8N;*XRFf`9t?8 zI^DI9zU7D4zd3#WE2R72fqMyl{O+^XK0E!7E!SXv`}^;ox9{LXlQ!3pk~=V6O8-!4 zTG|1y=Hwk&cw&lwwx9098m`$n9iiGf{l9O)jPk_#`b4%YpQM;zwtB#g-%Z0Codat^ z`>)3gDqmNDCFdX9y>0DXmu%QZUTQjB%{<&Y{q8o3_upJO6-vH3|NdnU-KQe>D;@8% zm2OPKqKknzdB3ZiKYT>#&cYd1W5hd0dK4ZQ!BZWR?&mF4J4EnVsMqGwXR<@H?BWaB z6G=CEog1O4=`)rzf%P>*?7Qjn%0LT7Hb*wKf?T^lhj=u`udpC=hMDOS0J^yigz_PqR z#hl9=JF=|CW>eUcq@-vyxNX-}5dUn-`L>LKhzD`4k|nuzr{EJDs%FfF150{!p-qeY zWcy)>*h%> zFundN$F>D;!N>0Rp1rxIcWq$4w;>Q>PmiBQ&NnZ+4oZyPF0&kg-53dHN{4h~wjDZs zrm2`LbD_jV>74Sj>O>a9|I50a#A_#ZWHAzbpj0=Q$+R-n*VaAWbYHhr^_B%TQ#-LL znIIwEZKh)}lESds&n9*@$<#mr_uMgwDvcZr*8<4cTtjuH4J$@lNT%>fi!D{?6Hh2e zn{uKC6grFHECu5U@4iOHVid75FCewFd#4m`#xrj zyx;1?YAF*g!vF)mMh&ODp5vwqeGVSL(qT`NHo?tq#(Fr(%yKb6qtRS8shFsI$ga`! zmmPtL_o>=JFx2pE>@=b>#dIdQe7{jv=~~*r2F0@5%ov)tFzoKyY)S8)K)EoRrZuDC zi^Qwo&JuE`7o5CSWzj?%M6)dBcCtCwdaD`hNK}9zcWPNnl-bQO;}5L9s%!I|yp*9gK&-DXx&1>WpagAXe>lZIdlFz5-hod zESb{dwHe;d?db@L(q=SqMl}_&)v?_vHv{80?pP-5=J>ay-|g{sS`U?{}s-s2%t~l2{B?Zab!f*4oBrfJw|>`L$T}@U{7s8Wm*8X(y&Zhg4Pd8(Dp0o z3=BEa9aeBVbD~ip?5FC{k{M@Op5)f~M{2^q=kfZz_f((G_$!i>!r$) zgV}lv}nOUz5WHn`Ms?TptYmZc zJUGt`=jm$XQ(xau4*Ft0!%fceGM@Dk{Sq<|FIj*{xm=R-!X6>#MLu;8dFEv>^v)gT}-eAr} zR@WG@kp;r@qO7UbW(HN)er5zvPms#aOu@vUDwEQMRmi@K(CEr(9|7aSE>$~Ru4W6z z9eu?=i`(`ow%XGO#;tX*zA6J>!2^VW1`?fa0eJrYgMe|whUpjW{t6yWTr)k($vbN^ zAUJ=^{C!uRhZ*a)H2&ZpYu0YLU<;&mOfE;3|ENf8*AC1Q)~GDko&@4ka{m71ed#P= z;4}D3#pLs8_=g*2rs2}UWqEktA}!rj=j~h*ng=D#`!Amg1)raP!}85PgqP$F{v!LX zyx^nzmiNrtcT>;K4_tQN?yqc1>z@B7mtt~c_m;~P2XfXDf)%Urfjk2hT&Q#gDYQ`- zXV$5Upk~?q*YD0%wF8ZuN0MV}Fk&=}%?k0Q4F%`$xOU?fxFVYEB%;}gc-&w|T*LER z*GdFJG#~4na9}=M!6OIPldk*7+I66gT{kTf#y$G_8W!2dEot!N+JNJ&4Jc_bc}t1C ztg5$z<1Y~E9pjYDndL-_KkhI6Xw0=rLsj1Dbx|^~(#4e)GaxyTLT3Cd}DR*`|nSC(+;uYk%=omFK|U4FWcEV$}` zNwri9(70jzj6Wnv3k(n@G6%OQk+@n)?6B~5Q#Xba3Lse0s!bTLVPB;|1s-BZR?mW^ z9`8QOX~1sB;hkZd8+4PN+2y$1k6&iB(-OMz4%bw*dQuktyj)-j8I_YHnWPNVUYcBW z^vU@QY=)V^Sv752vvH$j zu2Jk+HSS%vk2COmKWJ|c(;E!I7IZ++UGWm*l#(U0aWlSfd(%=FPDv+-miEN9H&du9 zgf7JdmE}w67W)olOYqGN@l>c{u!P8Wrv}9(fnZ~NO5>BuYZKn5+zu~LX589TBDM!6 zUKe*A`=#0>dK!s$jSqEr=WJ}bm`#hkJel}$5ZH6UQCx=Zik<4k1gWnEFYywI3YEQG zH_db1v?U78NGl~LIwqr8zTpkEskc6jzL2wn7D|W(qR51}?* zLspY%nE```nJp`s)9I2*G`Z+IG+~8xrh5>Eq%4t4TIhBgSq=hIdoCs9@}=oWLXQo$ z`YCY?^#LoC(2jOmQV#+qOR_#}vM(@0%_1*2e(}f}hFbTShV7VV+BKo}2Ile?h3z@M zPCN+0_Z8+Dc<$if2IYAIHz$3*e%I!3xBGsU~wv%TrVRG z`N$z+0%<5xR%atfh@?e7FGt~16BA$Tt&y(kb0ZqVo{ zBrGW+EIdivf!99-xo;ZCL1LeUW1-AMtQg~Jy&CYqbh-s=poOEzP!X7MzN#JGP&b|N zSl}db#11!0byxh2omoa%d_b@{k|GdYyJ7l*6wxf*EYI+Yu75XpTra=}72o*G;X1&V z(T(`;Bg@Zd>DxgctE55i`sQx%xW4l21*?`QzTZuxJ2h{I93WQhN!<(?zUVjaP0y@ZE#myEj}w@Q)D_#SZ+^@-1uMTE01x z<(mlC@+0&2LHEBPxQ|SK1L4Xi$X&j9hmUlh*B=Iy&hm6TtKd#HCZh)CUOSm0?mlau zsvS0Byy_+B?-J4xvp6tqPMky9_14C~og0|YyP+Z^&J8&9O+n-!w>pfN zwZ8Mi-t89Xbe#$om+g^dRn}Ug%7V0Y&$=iG^d>gf*LT_>clFw37)-DGXqN{{+Qqo< zuw;ONCzH@^J%tKG`o)1S;0D0PxqqnjR>$9qCk5m@!4-`e11I-xLa5dvRFICiuzT4Q zD-o~xkL`g85)u5!;&G*O>Ny@z)LCQVlkuw8N0Y0gY|h8(rU?V&yGv`>txK!?>(`yx zd%IH-`CXu*I99VU_6i<);D%1o_z9bC#a)|)=D*p0pkh!kteD_Eq%65RU6$*Q^Q4bYTA~EpdKdbLvMHYV0~x2Z#3McT(+I!fF+$I<;me^>It^A``t8#qi4^ zAe3qvbl}gb#mE6Pd4jWUL72p!fnoVP)06xeY!oxzIxmXxd7E3y>`-9tc>qlV-$nbw z-^2(6A6syGuPOwX{!VhzStgY;Dr=)f{Rmnb5jD8t79!vTv4#@P#usp+Y|AsUZvX!HZBfKD2*GQrcHc06cMy8;9M7wwp+70~4-Vftn!cUez40vDPm$ z%KytL?qZkOk!xA7*f9PDuPf|G)Yn#9fiv;s=fiUrPjw>o_ivAI!~&R#7seTyg^)1w8F9xx+c5+OfjPc5YE8 z0gp8*nbBzB-X)#^)RPi4s!?=0By!bO0?JFlysiElhU||V|F$xR4o91hrPC>}RzHp+ zLNStr;m^Y#6Rp%4uqAfD8#0}f5B@dk`GOsG?JxOF!(UV7gx6rF;V?OymzKDUWA1HC zc@x_uefLD{koCEz$1=77kciboBQ$ zkHGEMoa)*^pU}ez6Q?sjlQ>SwT5OqWW+RJ<)Sgayxkn{KpSO$!@jw%&DvO%c^ahlL zxhEN$6xFA6j3*f`A+y_-lSHS)$0>Nc;X>N1MWuot{He2?G*FFl3e$j#O&KRr7OA}! zr$@9{RnIZmB)Ud1WRjd5(GM!H508BLqZY5k=MyHXoKBv!Z!<*LsbobMYfW)lEsQ`+ z2F=wNW=P`>dSVdD5EN6WYKi3~l05#1sc`4%8{Ig$5pdXxpFQ=p z!%=*;kB~s*;wOsdF;;?;#`2aro(TAMhRayw!uyNo88!2Jt3sCi@6L{7z}Fu3vB;h& z_Qh}U85W=UddESh^|L?mzZM>Q(0Aal@AXd^d!IhzHLK$_g2w`W%=~?f-O%X2KpMaP zRMj7ot&4u*`vco_q<(>0RrOZQRhyu@RbT=+on=9Dm5l}Wj2y^c>!&NyfcH5K9%URv z7A?-2WWcXtGNzT_`Ms`VdCMKxJvK=(nQ7QN4R>O%`<~a=9CSL~PQJO9z4?3g$xpFQ ze5U4_*UtD*OxB4rfAf>EEPVMF=gd27&wllc??ume?6vp5nJhoj&Sz?-x1f-gQIXBcwk+nBz@ z`0OA3EXdyQZQtqp(BZLfJbgy@7#1QJ9Simi^LvMVM-GoY^4i$9Lo=2=X?&)|cf0-2 zky&5kvp#l2>Bj9&e9Nh`ELa#Pp?08wLVoIQV7#2hJu*7RXd&m}C1Ns0#>#y%YP33I zEKvlzS(0MnqfoUvM(cYhCTxcIpWE60?D8G?neWJd%CWDN?%l!vHhIB}n=u_N`(-yv z^4Z@hdF$ztKfm}lTg1%6E&dYpNM1B$>}(Yi{{qAt#efJNVK0uQeuhf;+7lnkV-?Z@ z(xbJ5j>})-@{uZ4JE$J&X=;D9y7HcGz&HWZHmzptOqRqe{^$G9gJw^gva`Wg@0fy} zX$XQ@lHiM3r!h;|t3~Kc>R8u3;)itIQ~we3o&UwLuc3B0(`qSZhd0?iy%{og}OyGR7*b)>IKYO~AU@>jAnE4TkVDW2z7{mTh^3>s8 z-`i_M@i+Zveu__&WoK++hUNe4)4o4C6t6gO4MaHj2rVamde5wS(oS+5t8mN3z&x-{CmIHgbocYDfC)*weW0piNATEM{W} zfd-C*?i9lVF;EN4=*FW<=dVI!Vj4al?w$A^x z@Yri+^?l0szyGdA7NuT`BY*P~e-d!G?`C$xKL3T>`sbY&JSkh}1|+3QDmfJqHT!mWcCb$hZujYv~{6_Ap}Mi7($$F z*rgN`9->g8_m7c~uW;^q~dp&?Vw4ud^G!Tk{W{V;?vyXC^QB z-v89Gu>XR{?tin-dcNchR&u2Af+OP0fBwnk575YB>=X8w?_$X2mQBO}4_Vf|Mk9+o z^hK9SrCxeuLA66G6&j^nyEQVpJl!K;m2FhD!%J^9H_rjJNu734-NA@E31<{_!8(_u$vBIP}2YM{gkMy<0!|aNl#+KlAvH zwmx|EVv_Cye8me}KKbyj=dObEqYtiJvH$(gZN1^SPbl3F_w6TmE8s)#hxDP}K6c~t zq~wAXKY;W{KEC3)hd`$bB{%+j>+c_a87#XGt=anW{wof>|5<|nT^soBRnKqx(bm4J zZ`}93Yc`Pd^k+%Qpy!+)tE1ui-qc(HxT zTm@i~sbu1b^bO6)2slkcN^wcOClRq4(|mP)hp7hYy3q7IwdP<%)yv zy8`gef4_xd^1w=hH~f14_#^MT97=Y6@h#GQ-JuJ2J^mvX+`)&=Td-xqe+ z0<5n!t>;Ao0mr)So=-=J!PhMV_v*X6hE^Uqrz~Je9%+bxK3Bm--nFfjH;<1(tovpW z33#op0cpS2AgiIov|3*Tyw0zs{ayanmX?Y#(=xt#RU~4Tw@xns(jKv|&rHVPHRyNov@fu#I5F}%rpu|!J)}kycU`aK|cbU-{55|}&Bn!q+^2~)a z_GU?XAd9dEY+#$0iUOHm5YH*1K9f55mGpK$T%Rds*bQ%aH>M<^~yonbLphRiHu^ekqLx;xj zXW&a^iA_$20;C_t0b4kg*d)%#K&+(3><5d}G#5$RU)=Joc zCJ(WlL(QvEQ~M;ou=>xY3)qE?Z<(%GzTidSdHcKk4EsrDuW=^nTf3c>s+={9Cn z)rOt6BF{yZQ$wCnnvBeLl8g+5JDw2CyjxIMC3j)SLfW0`us2I8oa=uC8C-Nk`P=zMxgb>v8OP_<;2o6d}oRv-y z5`zd75zE3U8eoFw7KR6rKB;i%)KT@SzQac@o0L;Km{bIUPP{?+P&xX19-0VT>6J{z zS*#s14KWMVz=RB(h@lNO&yr~ML3x|7>BM7U9L5aQi-xqAkZ=GCz&QWtMZ83@XWB)~ zbJ|@IV_}|%-+ifV8o2DM?S(XI%UumI$Fo^nn9z;6)?;U)>5ZF# zAZtq4?Ob($yU5GcQC1~D^y?Ja?Qwf9y31B9DB4$7zzgdAdv<#dh%iin?lB^W(~i;k zm`@#BII!&|`aBd9YWCfBlR_022$kU(7B?s+=na5u8=;o1x6%26fu30#c7E%$$Dy*)yz5*rjZ3rx z4Q8;(*<{O}Gt{e-=i0)cL72JFUKH9yy??;2S2IQw7nOg>HT58@Gd}i7ylCmowCh=k z(**-5CNOXe?52@iJ)HB-CA-NI!wxTn-EQ#{=t+E8!AiQFyd-ITo+#ZYG-OF$ALY~} zvEjs<#D-rwf34C~471j))wKhz-yxI3^=@>@4CyeNrgtWYUjrrU5t^f)YFVqJ$EIrb4%UKENEA;SHadqh3KuqEliKY&qRfoHB%T z>pm^yDL18CphnUtvyd|^5wwpbNg4*0n|xvlMwY%O1>mth%qEG6DRnYg6~uAQmg3zB zSb~Lmw~F=(ylzxVE6OV-8@`EEBbg*bCF(S*SfsL5o5Nhd5+sC5UE&PYpdbJ+fK&tt zAeh{Ndl^^~%mHMSz)TuDDd`*-x}pd)0?XJF!q0IaDS?+wSj$So5x`0b;YiSxE>>Dg zrzBROP$vpjjuNbECHcAsxP#*}cH@|60VM>DXv)|;qFP5$EeR(`?jhb`cudD z<8j687-^c+wgN=61EiOwrSHNN8-;aO6oOwED7~EsTXSKpdjOmdCNy;j-1EoW7=-gjT`8cWriR(?)k~f@)WGIjKrtpDw&%m_Qe#fIlAW#V#&zCJp*Dg zNT>?I_#j=w5q$6tyA6tIhby1e0`g z(mkGZH#Cv75mQ-a;j|JC>5IS#34&ab#yB)bHNCXc-E4L(k+!c5?)5Y4vbI{+}1|0Q%-P07WVvExRl_SA#7!!R9>zoFNq0PS)vVe z%6VnF(55JN{sV8QC&sJNftQh%IK1Sk6GHLkQdy>BGT3>d;;oA~qKeqf7O|sriyYX` z$sL_#4y?`-7-&*gh@2lr1anGfRC=(|8OFsuAl}Z+|5w!x!zF{Q274-6nW(_Bo)!kq z3EVgv2wS+PW#O(ANz<~v9t#7H1#+bgnGZvGe@jGGcb>E#S>k?TR7edM_1DeVrN}a* zU`H!q+HSyBYd=efIu?B%NEhq(c>A zmP|NJ>%(nD*4ux_;k@)|gPs zqb(>qyg@P?v7uy+s$i&tNB50X1isj=YKO~lKRQEV`wkgSEAWIPORBm)mkjp7W~F=C zPz0iD$&xFeWKl|5%`N`S?hO?%P{Giz>2$U3<}~?k8U{J(c2aT;CY!H&Z~;wCzXg9; z&eC}q19M=?vJQ5W^s>#EnH^c?#boW4&u-q0EZ43FEv+_CTe4E=Ub~(QoDQnqjPsD} zujE*WX5-3BxT3SXvI5b2aQ>1-AhE?R=uXfq{$K~*w^C(!V9UzQt2R_*vs{S?Vyb?? z+WA{3g8!hTSH7IK`C-CLJ$hTdlmXm}oCf^2_BF#$y6yZQ0fmh4v2+ww|2 z6TymWGVxxnl-#)C7DN!GvnUm++!F%Dkd9z34CyqSJ|Xf-C+&{5WK>$8n#UU|&9dA5 zr}wJbfd+U)b%wF(kOX64j923zu_bAYZWZM%pn))Eme0bVU-hbnl7^zJ^q{&sHVw$K z7!$V_u%uWSIC+YfSVyd{4n71UyICY&qoj)hnhZ9K(JkC*T*MU&@?C4YGm)g{8gY1O z^yYb~rSqNNnCE;Ayll#gAfBKM9oUp5%D@7F-KY#4=q2=O=^CRWTMRTSEK%NeW$d1+ z0-Kh$bObjgz_J^@ljiM(62t^{KNWCxCZ>iWvjV~5de^pNRhvM4<*insny9>-f;S7} zh6+_S%VC}n!zrIW=di2$D5J$2+fdMx_S{tU6E1x^^DZ$bzdri=<_4zmyY@ z>6c)nB_gG}9q)S6%S#-GNwUOfz*i9_!*tA) zIDL44dCst(1`~K43+j3p|0eD|2#+L4w=8H0cI4Piy3>}>+VImLN)&8!rAN|owOY-C z#Xy+rc&j(olz}(^7?Pw#SZx4Pt4@Ly2`Im7)v)8Z1s z7VR0-d1YWqH%Y4q_LCNc;X$Mc9!;kt0+qL`+F>NCTqCcRj}fJPS-LvMe(w!&@lx<2>gd(%Y2j{pwpmI^t3Dszo1#MCScUt0iB)r%e z+7djH2TTUq@s}vewv2&+;I%OD9kfp8KzC)Z8CkOU9nxE9BoM0hq6%2TfnYyke2*?m=x$)a7R1DkLptW_m{3{jm_VA~Y{`!!1t}$lM3&g4bQ5e-S&}sI z{SvHCh`BFOmWEQYJqzOq3ao;2l#IeBJSNU%AyqqYRW(UkqFF#1P7^?KgGu|867^*! zSM8RRLr9bG(X;fS{nPCm%QXJV07-`{OVZsCj(h4{3R4KY?tpuZc%2|dEuaAvt0QS? z(nfG6CYNMV;ugO71U0MnMuw-AwOEyqM>A^{;Sl=75s53OB)ynj!1))musVi2hE)C+ zlqP)zl;pt3(#UCv@ihn~*p0;1QgVagvjkuqFut4Oz)jWo>+hh`UQUBA_>Z>{OkYZx z8}#N!#G)B>F&(6jG~)YA7rGHE$u`l=TXQvOKo24?506i*PV_faOdy+y3D%&5fRuq2 zt-G9-k`@`exwac$%;dq?z#b$?3-s@h^1M_qEk@z|P@TY-u>XDZm_`=Llo46*tQLLd z=xtnzBauW(30J@BR7C|rzjVqObpESU$%KNjM+xEV#3N0doAo({NG+Q_FVkBm;a6WD zIwP6QFAWPo1z-})foU_FIITMP)Pkj4S8kE>0)M8&f*BUGBz{#&mySS)R8R;=1xrhe zF8^PRz?-vG?eK=W)%z^n>iveg+gXUm6*oWuUy>mwJ#YT~N0G+ub;VYTyUWAU4J(ep z65O_bV=di7|2gblG|=w78F+2V9Z1j5lU8^0Uxy-X;ALOWIDePY9nF)* zT6$$FRN9>ky!;!a`vrswuB4RUdC2l_;1Lg$+_V{A!K9RYEwAKrW3osoq4R{Y#2*aY zHjJ|>E1fzAQ8H;IclPr#S9eO93><}XOFnZVXNuw2L)HE4YE?UIq)}W#4P=hSD{5z$ z+YG#ypOMbOG(yb4(7SQRuyvC({)VuGcyrZQbuk8}1*IuFtz=2ol8@mjMBMFEx?^rz z*6s=36mQ()`GRs@2lijIJJD4L+Q?MhM*g*pG>IR->EFe zZU9M6A<%Y3k4Pi z?nry7rCZ4EMX6Ack!g3(YR7wYsI(H&Ef$A^!CXr!w3oACjaH())Vfvso{5RlO-dA; zR-$#o$b4A4QwT2V*LOJH2o}sTiQ55OY8N3+qN=t0f@cRx!R^l>Kjfwuv3eW61 z+DiW;2g;L#dKf^D83s!Onoye8(y?qcr(xKq}biTY8^bMzZUc%&s3D&dSo7%-pkA4KMI($4^K!9gaS@BvnOb+BJK*p6ey2g^zv zO_MyDK<@sWqA)mB3A%pBda9uGoK5L)Ns^<;3}z&!{Uen42F-}QgmlLF!#U39TXJmWVa-fMFkeX~upen8t&X z8j~NcJN@82N65gLZexa$Dm^k0SY)f(A$Qn1wBjQ>TkDv$XR5W6jhnG!T!=Lu~J4EoF4w9bv8cF|HNuOI-`l!yoXXpS9+&lARM{vfAkq6KC1I| zp%ZaJj7{ob>T__ofgP25rxBQ3rfP?yvh4P!j(u)bhK2O`t$ojei*@hTYrtNcg~7F& zfm70&s`rLM@bg=b0A8V$tWdg@^cIpP_z}|m_W!rF@8DUKSKr=!hu(hS(ZAo)_ZZ-> zZ0%cl(PQ%gzwm?Q*t@dkhC^3rrqI~*F(8M1;Rm0+YVX#MZw9?9W-j>l4Ub*<@Pc1$ zz2Sv@SI^jc)5?c`aAeibCf&Mq*P++8odYFLy|NP2v7g@Dcjv0-AKI{W=l&JjuG;&d zju-Z=T(#@bC7WT%FAtpkXy2iaeQo}cZ})w9-_0w2{GpYbZ+LFSkAJ_u4(2|*;KnVV z*#64Z7w+A9#yu||TJ`Hyw{Pv+KNMl>fSBaP`?D&`-);aC@rt|QCEU6CmY;9^__@D* z4wh^Heesps7F@FBhF`@$z3Ta`D>wh{nycXDojVWRv~9t2TX(&1zlzDG2M=BN{DBSk zy?W?_+ve~2;Hyax& zSr}V+fi1sat>N(=Q4$>5pcTuQbsR#zD@8HeYZ?(o-`6c7v&TV{0fR#}Md1Rb+ zM|+v$e2$kOQbtVH@J6Ks&d&*0C^T$kLX{&u3L_W@ES*JIIbqDh>Wp2pGv9LEwU(}I|pW#%b2!==%7+eVu7INcGTlTV6Vn+5X&xD zVX+qr&M#y@TRPBSAf^M-;dhSIrwh&p$ z$&e1PHb_V24vb(NFbp0%u(jB$V-g~=u@@3J*Gn!My}b$Js@#Dhk2lOfAmF(FJ)A{Z<@4KtjBd^ZAm-FTZ3 zSv&zgaOOQLU@&LRJqAbf2Jp`w`B-kL9UB@CAtj5ZDC4IC| zLAucCGYTW#$9lP{Yp1^X8@hI2?UyB)`(TOp#GG;qpMJ~QO6&)s6StjG11~+PX+sTz6}Kzg!l#;+ z>~UgR3h!Fun@(t_O(|)~q`>sGP|sj3?bGaGlvk!)2o{nMU7}#{MdAd@5EctHOdxb? zC3-_Gm2}Q_fmL1r%ZE;TC4%fVI2H(vS`M`s5qEq!IT*i7G3BYg1KJFg-az83lz@8=D;&3z&vRvCBB~^a6eOA;XYDq19w?KQ->oVSsy-ZdC zPWK&x-ektV`k&zY1Wjc)im3(AklU5i;PKjUa69Xo(k^gKc~bzRm30k1d7(wpjSC&q zzQ@Hm&6#H~E}x`p@|$*enpx~|Ta;8nx8Usy8Q^YI3#OfPL9{oCUL*p8FD{KoLdw9l zDJAu)?|@DO3@qSf1_q5~zhZ#%Ao5MQQwfS&$%BGDKw?jYOxt4}xcZ$W4D2*JVM*2B zVw<``!Y&En2X$g_EnD4+7P`bn1d}#*I^ev0t&@P46BY+cSckhYT3Z$MdITo}Sa%%s zr6%jRs6AFv=_KN!TRs^iX>`%Z5}C9sonE6JUAUl!^01rE2ko*Oq#{nZ$OJ!RATi-4 z+>P}F$LXvpQ$@TOu;(^Ix-5}%vNb6fw4jKQhTAAqDVUU`;6mpK9h4JTvSf&p4br1Z z`dw++2&$8t=tB4y{1U$xiBD2fQ3Um?#VnU;qmax-hNdrvc0gN<6 z43kT-ZqyFw&m*wKVN!xD1uUaV1J@0cJ!Bve&MWDZmsCloV?s(C6k5JC?d=znRH#Pb6Ba5IxjpNF z4<6shLiM@nWTnn?;pD?vg+46pcGR>=w3$x0P62bZDM09|m00gm9UBMV4zi@g0<619 zTm|S0BjNI9z9hDh=V}2MT`9<`KC}dgzGxE1QC?6NBqgkq7DgwX;Yc_jh(Uxph+`XY z$P{SdIbiyb0RIHpoh%)rUMrr4TrQIkb>2rL!HqZuIZ&1`X(?cpiKP5gCmj={Bq8Al zEU4oQ9I{A0{iD{0Tnv;mXd=v1mN+!@E&&;-`{<(BCQCpmjJgm~N!l``RstDf%1vS} z8-jJRd=e`mhk%fVlK9d4Lu$|!BAnw7At9w$msrvtIfMipN#`Qex}>FsX^oCjNnn}T z&=8g~vo^XiR0LF<)NpqwsS~$viC@(YLou_Sz6__|*0i)lG~2iGp?mMcyd@xP#dIbI zuD^2HXI9?dK7ZfAhwk07eDfZ_YZX=RwD}|rX4XlYmp{1s&v^{K3qbFB-zH2V^0m9~ zdh*$P%G;iwf_(SOZM*jpx9xcuD$@sbmb>o+ykI(X-!}jL%QVIC^sjBflqUau{bd(s zq&Gu%e@5A1QODkRC3N>FyAzw2cXv#`yG`lFoct)AU-v;t&+;v4-3uWNxbc;`hwg1# z>DO>b74g@#^Y>{>{zWk+KRa{hZwMy4Z`!DNA&gBzx7>&mr( zM>gGxnctD+oF&9RTcwnM*mrYcT`)49D~Do66-o!Y#wKN zSAM6yfR>h=h=dx_8)Sh>Qm@EvxXm!GE1{^AU1TSNYJk8enroui6BQ1eYc-{lytskm zMyuJ5ZL`6_J(Wl+95e)^%x)Qw2;X^zz^Dw{w!smEZiA-kMN|crlx(Y8{f^{m=BeLSLM?)%yP0T(G&kEoODh2j!)UJ;o#j@U-(b~-g?A`PHw&Bg zrS*=>>iy%}<-*?c%UJ_+E`^Sa-B?wDjb&ppM7+t%41IAWDKUzBV99E@gG!<@r34w+ zumth3%7eV)TF225Gz<$7EaiAV%4Bwf6SbYNqE19Fpm`gpGc3LVQ7}Z7fnpF@~3! zT-v}Dm|8GHn1XeoYm6VA=-1$qu2K(&V%AB{Sgl0e!bla~g`n;^vnDfO?aDf31z-TI z*m8=EZYi*eB=DEXo`7RXLMddX=!9nP5ypEb6(*0ZZp^K_6z2DrAen z2!|v`g$ZwHC_;|mDUYEesQ|UWQ&###?`$;v#YW)o9#XZ#<+$-YQY^bPGj@h(7J6|D zd<1lZ_BP+Zf229lGrI2wVe9>uZQhOt6qr?>Zm)lI-`T4^gSpn5KYi1Knu7I~%iGT; zCEMojy9owDK@R!uzAMioO4{aqE6?4%rB0E-D!UaKEa2ZL%3Ivze+K#&C}Q6FdcV=goNeE=Jgt(-sy^{}{sO{SjTJtcPyGw+H@^l+TQvayC zpcL$DA4n^GLgj9lEYX+J75RwPR}&ljs9Jq(mDZ2Ht42#(1fJFEYgJI&K2gzFw6+@D z|L@${d;uy!u;M=#GCOnT%(>^BnYs7ekGa35zhhN-(qzNrb01khxg-k%tk$;&TJ2!H z56)F;HT>DivFGhtx^c@F2(9++*$^}nva#&_Z3-Y4S$+e?zls(7Vde5&FWg2BcopPl zHw2&9y6#d}K^K#E>xMHoZrkwlS!djzB`>+HDYJCr)^$qn=$2zZo(0)^U_3~7o(bgP zgZ^R>uTbP$ZOw7~(n$J)Gxf%%$nJRF=C9iZxVw+@M{z|K2KaL(b=y0( z%JSA#6Kf;7zhvfOWU0v2U8Ko+e=f*PXsC~l#6Raa^Qs|Wu-?P=NtM&3vJ5)OHG%12 zWT}`zOo^HWeE8)+X9@osK zg>Vxe@b^>K1qRSs8}ATyo2SLGIpzoPAC#^sw~f>SbkrSPv=%M}*LWJXPJD z_k9N4=lhAP4ol18umq6$fg&&gBH~sAvj}Yal8&&|AhKkLoN)@#GDZ-f1y?hyBwGB4 zlo1JAwt-27I@cQoF@fKeg76zVoY`nsNQgxa*h9w!#|b+n!v=4~bSsG#J1)m%INd=! zQqtB>ef~IwGY~>a(niVln1a@6jSHqnh{!~%`uQ*$%*s-;ok$xbXzeDQ`4GM5fy`k& zhccFsu*N85W|YXJB*;)AMLH^Th#Y}H^LR9e473z1KYC6y4{?{9nq}uzqHhw;XlCp| zrblrx%ZWwFWJx^N<3kR#uw0*EFKawyg+>M?x(T=+61!vQiv5$eKWT*War1!Dd8#TM z-nSex51<#=d zi79h1%7H?Z7Hy}Rk6?fkt6=3LdU4>}4HlNYhmcq`aknNvRgg?#)%U3r3ueYC6zT+b z8CHHTkW-0_aSMeg8wXi)l11Rw0t=>LWqGZ-HV|)h3?i3;Tn%oTAkc?DdoYeA*%E*+ z**aMIEa2v1OeC%aTFJ_Jw)6pf7g@TRbQ1b7xD{@$RC*Tyrq?!27~VZEn>nYu9hnD$yx8Xx zWUGt^X@q>>SZ#Q&YUx%t&3a;%Djm2gwj^y;{YTXR5?5PE+e0P`PL~g=TZhO_NSym1 z+29Fs@F^jN;-;Cv60w06X4)j2SJSEyC765?4miF;)U4J9JGb%zFwflcyUv_V59PW=rU;F&2v; zTh~=SmNkdIkjxD*fyEQ(6qgcJJoJXr!*(n#;}}16YhqSP2U@P#%J~%>!vs)J-a)cw zrcv*ke$FwT$%N084^4%Fh<+^IYPK@^CJS>yOxXez5E4m*H=$B)t<>~ZhgqEhp_DGk z31+37V0j8lQ~1tGGOS|(4;Qwg2u%MhZS{7X0&JVf4bGCnyisWwl&l>JSM90*`pyWPE*ufa3N~VF>Hkaw` zx|o!WuKUiYUkwkTP;%%gXXSV>@mg(`^w68`Yd~~LU`28tS!@-*x>C4LGYyXRX#f&* zQnyuN7bgsxW9Kj=GBKs3lQ5Ybz#(nIu66?h2Vp|>5;&uyR9Z?TVU8+ilH&wsulfwt%+#lQa2nZQKeLawZXZ0cYKCtAEz*_ww#uVPf*n+~J z-MxYo{1ZX2K1<}4F8Rfp{PU<+{Jte!uI!V+J#o(8m)uU|EuX8QafP?Oam?g7?>tFE z0=MIo60-FN1RY!aG;u#iaJo}S$Ze-9DASYY-16k);^y`JT7oIue4zf&2}ZzO`*Fk?$r2zoUfEIL@U?2sDA=%AD1NKf8YN zx7=HN_ncD{G;#|mxb-^9@>mEtm2isB-Mi$*t@oY$C&1}W+D5J-oMLcK$G``fW!~ShTi#YPrkP~8xDt0MuZ&bZP@oVyx&vxK_2WFudC9bomz28)!6vZ zNMcpa4F?ZLraA$xRt?Abs&AlA6N1xqf#}>WgBfT@-(W+@af1{rBknFA0o@_XyT;W4 zqAdtgd*r!lr>(N0YpdT{d;2&RnQzra7EfvaO3d4`8eIlOSA7MOE=wYR#< z{`QEiwa<7Cobj?-Phazu?&ME^3U^fj22`xbF21%oZWA}5*$u&vJ4k<5wa++>WP4SX zH>_zAU-i#{mDfgI*KpIy3ZbB}+FvW?qoY4QlXF8;^xElh`#%>{S9Pxr*|?Yg>@;6v zM!wouJYgXm8~yM{$)S|+MTBmELl6GZ=N!ekK9S&!?%~6Al3p^FKpK8KafJszEj}SC`Ffemyq>=Pl6IzlO z4geW_^QbB`r=k;IW~}k|ks~og8jJ1jM^v~1Arot7&M%_A|Mo}>1MKr`3RhA$h`_fm zm{*|cn-FTgn2mmaaHEs|&&A;GRI*h`4D#yYKYeuyOsNOQvlW~kGOmL3V1Fcgr zwg9~sP(4O}AF?hplF-}nPxx+9w*c&008rod$Z3!pvyG&0f?S2{=9ktI5^m&m#8UAx z<9CFFi{Tf5?`~E;!8ep-{5ZI(EYNh${Hte_#cw|6!XJ)bgLxpUKQ+PeS&`Tbe0I7J zKEY?9H$d+-(7V|mG3Pf1Kx#L$=J-JV-k|#ZImAPxsh>d&y<)+oZzTKr6D_Gj4Ce4tO&&s6Ek~egH!Mj@%6^)%K$2y1&6%Mbk%mx6YhkrA@)&yL z{!0@$Yvppr3RSgR&w@5MW;1f-=JsYW59ou8V70)@$h1Pv1F|4bFHoa7@&S#zQzI~LgNrcyl4b!c5s9b( zK4I*qv^q{H`W!V@?l+qoSW2MQCsxd6FcYTL$_lJ8)138Iuy(a+Z@T7`-(})Fm$k9(s|Z72p(JAf)nE^~2m}UeN?z53Z;x zAN9F-mPsg4knAQp;@N%mnjlkGY(H^0I}k@mTQQ|6w2!jbc|w2(l6&` zzi(Pug1)hU@l*m}k`rlz_Rjjpr<`F_UDtC` z{e$;s(&UiLhwzGEZO>cUk04w4Z0KG8`>v|1jm!#!MC10R!!=5ie69ZJZad&)=331c zjN7{<>`9&R*PG30)%CwOs@fg4z+eC8DD=)zD{*R=8tOq=Nm?*pdFm;7nIso=_&=Uvr8(&tVRx6*y zP&x!PS*Nk*eJnj{`}f$RC+COjJYU?x>NFYD*op^P5IvP>24MDa47KBA#QYjd8}*rA z?#-A5EcedG`Dc4i$n?nTdNMtbZFo8YEM<-A<=_r#i4t)8Tp^zHXEH1{m9Oa0B-X|3 zBQr+iau!;o$^4#IR;23>L+PNSE#T@smP2pKGDpjxyeuD;Ne7tHB%jEMKqB+JPrqPP zh6OyS&-9W{R=llAGXYoCr%6bTBI|s~8NvKS&(kNSPaX9TxN};Gdn{kEt;cuC&Rf19 z>N5|$%dAZ5GjH|sX&p;pj%y|L76wo{K$GJH0;U9Rh%|5;5K8oy4jcywb`y~gC5@C0 zhvK!ve$C_^s%4=ccEN{RpwRFxAZ0R~e%z$97yAO}R-Q*wg;<>~>U7qVgJ5{+Ke06@ z>z}XlT(X7LHlu~X9-(cdqOOv+0q|G5Fp|POpX)Vu5k(E=$Pk-JVnxMaM6-tMkDXhF8ef%pdb(~n+tBaK0 z@H}ZUEnV(ut$>vcO#Y3y+4DK|e^d&l%AlYwT9)16Y3(_FYx<;V51kBiQYUU94}Nz= zkLRyDOWqW9nFnv_;Am%MQr1)W)qmA~w=?&v(GzyO z$^TRAT%K)Nc+$csA#Q+ITun^~XRf8C!#U|Ge@&QM?c=XA%4!{C*|vvd*Yuq3OPHV4 z@^={g>YcA&+I;_C(r6Jeto?S#2`vk>h+5GoK#Rzn8Va^M_5@>R6s1GKceaEJ(le%2 zBg`*+8dYgHQHrHSOM`e6Ud=Yww{%J=r|37*zy)5l{ z>!BQ&lREvg)nf`8%IpnlAs#lq);L(yLFK{c~hl=e8{BtTwddI>I@BWuvHB z1(OE1ENc2pM9TtqrxZ8okkY8&Na=7$jyt>;t(>P~hhd}aexwMeS@7Kf1m9;ieZ3zh zHKWL1&%(O`eD@nWHl4c(xUDWy=psVd-TmyYJr_N(=}VhleCiv|y!!pSzW&aI_guE) zuG^m7wc?UL+_kLY(RVVypFQd8-;ch1?2hYp|6$iJfa>~h8~^mqd7pl1!=}IAv*I5A zb$7k;gPZ@)H+Fn+86a=J@zmIr$ikKVgPWHfyW`Gf8}DCs*%P;}J8AbHmcD)7Gn+mq z`$23kefsl2*&TwL+YdDR0p#E9cJY26{ljHXe%3o*PnOkf z+w?Zzb)ol$yMW2NX3mbE4o2C1dj6^nkG^xsW2`ksyRt7Q*~Ja5_9$5CYhgL?NugD8Wd~(xT;8u>~E#_h$eK4ant0zKXOY4Nq|FGw*)vu5kf7}6g-iE z<0DO8JFF}}n7b9~L+`vWIuytpQwQ3TX3Mhy@Z=B@?!-a^3E$yo zg~aW%9=Dlx(_E{KC39fBZW$G3Xu57Wc*kX<-^s&bk|1YgLx@+4%(ar^0pS$3STSWO zW*|$(2^9*Tc16IAPb3e?u^yn;0wC9kL-s@kOqCV{a%bj&EM+yw(c%_6pb1~0QP!eW z&bQVwzyLo;3UZi}3rt?dD+%2yX*Y>Lv~XQ45PNYhzUf|RM;PF6Qg+~M7KNrJOV8Jx z&MY^uu;vFESqE@)&94El%u{ZLi=m0#J~iMkY>6>ECk3)I1gnGOZ#FlI$A<$SEL@-- z9~j^N_;enU@gLnYc!+oB6Re<%l=tn0Zs~yMC|4pKLPlOLG9I zT~B*B=1kJQ(1pm7apc3whx8pH=0zdtw8Rr|Xf(Q+U|bI7HG#TK&*wBpnSNcxKL^*r5?M z;SojI4WS-&fls3h)hlYg56rU43pVDWr>4MNf%*M-VQeB>g%C0tLJAzz?|^?L*{xx# ztI&z(2|W5BV>JUX8Nh@Ot_6nY7=#o}@^fa0s=O1jn7qwpxgeWPbxAtni#iqB#{Wc4 zMl;XzSb9>`!RV2+*-hqSmZLZGN);EFcv8;Y|{`sz*xi% zBD^+CFqJSq;8Z~GxkJEU2Yhk>GF9Y^gg}*(=OQnb%cC-e zECpqGA+n&tzg5K!HgEtD3K=@ZA-Sd#N(qze4}%-7a#$Jx2m@%a9T0irtU_BN%L%~I zT!^{gghYzip_HryXfR3_yTjLXz-^6E#NG++uzwxMp8_l`a9jmsj|W*Zeawd# zywZnt+FLf_1;IreSfQU#HGd>$2+J^l?O?E z0L`vGWXH~jYy*`vjF)jU7MQ^TRPs!SH;D+^A!uz0lsqs;-D13ovC50sS}F!q5mO0pZC}7pLa1m|(A|-tuM49E-QWF8GSrET!8{jJpLnD1GHQ!G+!0uQ*B5XJw*j1@Yhoci^cL*ft0KDs_EWGQj1WS8;S0@%IA!OF; z22fvjU;N`6x@uhV{UA@nY9#&OyMF@r_(xVwerdt%$=^}rc|X44b4xNHw_qjwm*!%X z69CO(otSKvKWM>9Coj!CCb#G1n{UC&FF*b>WqHN#*KOM{`Gn(VpFHPlzknutz>Njr zhlvq;_c|=!fxB~QpMnaO_MU5=0Qt4A%~pDskR~ly`3161-*9)|y(CR;_)``J7(y;3 z?jP-fPj27TlJ&{T`sXh?MhTg-7u=md9&TQvvdo>0g>2xHDv&q61KH1JH7OpV$uP|s zf@%y+25y?N($!>yoV`2$XrFXU2&vK`g0FL(7Mnga-WS{ULz3qKnH3AR_sgDmNC>A@ z0}4*uSHU(VJ4)nsh0J;(va|t9+u6~z!7|o60Bn8CAGippuY;{zh3}8gv;e1D5eYA> z=vrrEg_cyo+U9Ux2$zF3T7GBNWJPucc>`2u@yL&Ce7t4ODr8yN9 z#YM$fX|*~}rwGG#ozf*zs?t&7r#zkSK$K%Qtt z;uYYofCoFflm4~NDt}$%Yi<-39LvkvU)VUS3_xFg zqvd=4+UaK&6;BUUG&RMwu61QG$5S-P7;6hztH}Av z;);h8cD(BtzqchhZq9TpqKidgvZ%(kv)|c~nTsgg!x_c&l@dQeBh`O_PQndPW8aI;#RU=sX6ED~uI2_8nxLQ? zf4tlruQ9DF=wKh3+ypX`P}_sv^k<8423P>&bhb$1@&Xc(ZeU;#7_7hnK6}EyG0cct zg{D>3te2;vr!#%cEMBW$IYZM(PbDtwL<^ag8){H(l{C|c9Ku=1wicm(frc#5tT3$< z6LbaO#iG10z;Xo+vR_iH!!D9gTeVTL2M&kq$$=x7K8uYlV#WH+LPW4m%p%RcB{{~?oYmn%R;rt3RG16Et@F5C6OYQ( zMFH+d0Uc#|X1okpRzkMp0D8vpOqq~AD>T!*uqF)kwht^8}+a zdv}`k36Unw0BMuf)lJh{5SK{555T_YoQxN+f{F1qFP5TSC-I}`VLMu1l40s?&2Lww!x6+om5`lA0*JQGptdxQX0ALJBOb4fAF6*6V{$(F1j+JNfScC+-NG9_}< zu%c0|qwq{E%Ggb#TG)vl$Bn0v{&sLP;LmC@83H7y&WuR~tOHrjGy^OaLO}39$78n5)8Xy|1Pg-xNLWo;KMUC2UQ8Lthta=<6JX2AFXGU`|0=3)bM zU_oSwYTP?b)G=0+ViCro5zNL2X~HxffPyzc!8JB(Zk@$F*B5zhUc{POym^)E`odx@ zrl;U44%}Skwd^r@Ojl67g+?ues4Oi3D^-?&zG11qGzj8GiJG%6j|mO%#WX73O5D7%C8*7mz;>h37n%6N3`9>~f*A?Cm>-cR;mAqR9-pOF2s9N~6fl0)(!tBQR> zS{8WMRqE^D#{(I!0_C_OM+zper!nkc%W_gU%Eq?5Z|V=?>J;MZKp`k*BhF)p6eR-F zH0H(N3Wi5;CCUsFVwkudbFdoBE3iaTUM`v+pmUTv45tu(o8U1-v>1}KNX~QpPWWO`9kk9Ea~hQ!s~GKWG!M9Epu)duhByt}SQb zMjlO-YCn=@F=(#gTDgb1+ z#po>0nzMN0jKSo^16HmCEbTGiKD&6%%`W#NcP_rIPspw_C!d8i;<1o@77X^}Copa? zXV>|(i1=+yH!s<^?Fq`VxZsry;G8`fNVQ{d>d>KM&wY8elKq`sOK;q|_L3W+$*j{i zOrDcD^O~kz^KLtD*Tr31-y}HQOJ{AlYVz_IXZz>udTS#FR=R!w++2*F5IQi-fe@er zKX+zU6Ii)na?{J_PwwsW3AmrVZu0EYSAqLX%5vkk$;wU3Ha>D)p9hsDAIh9h(8HE} zsy#2 zMYZ#YUqc(70No}aOB$fqU+?|NVd(BE=&Y@<*8&N*YbC}*rs$T?D$LvqtGm|Pwv2iL0w5#q*e^8KrRv7KX|?_cfpuAkmJ(Dap(Rt~1ldUd+_6 zhoxf;!DO_fQ0lpwNaR{M;Kg;A3CWmw7Bl7c4#oto)hmgt8z4(`;j&R4$#780%B)fx zf&*U3Er`KA$WRez<0dtUs_$Ur_mk#<6Gu_$@VA4l%MM3D*Yw^(Fc?mnBnL$?3*MW% zuhQy{cTz#;Bnt*(t+qJNl{>48tn#+?C6Px^#l^Da_H&xc?FS|=LEZxTR#oJHZvk3u z*P4qC{0#r(E9|(*zFp=xFbu)8w$2z?fe}&GKMv&D1*h|X_>&#@Ht8L+Q$PpqPU2tXp;w(5NM+GrY!NdAG!0u z-lBS6fNj4@-7PiV~u}WkgMcV^mDE>6eX} z(A-ZwU;Sils3t`3=f*ydAp&3y_FS?b!wC#Rh{{?S53vq9mSm2m*&IJiN*^j<+VhwZ z!{x@oPw=gw;g^;steFzWsEIpb8tc$>o~@uGT1t;d<+8^%&(0_-CpUvR4WhhLMgClRahwwO*sC?7?PyT5Oatyl*~b(KMi^}gWEIC zV6oOhW~MOjf|6v%8xWEwEd&e*D;n$$cA-|C)>l@|EEPG&;`0po`_k%WTc>D976@=l z3qB^FAP9k*K-M4xy^{Es!zSYcai*vJB2Qwf2W0Cqtc^Cl6igusqN^l~?9*EyHd6`` z8R-MeAr_7+hQm$QNZK5fY+{tO8R1qp%YA$f%_sLvRp z#{=#YsTjpzcJ&A~D~gV{3qAfO!s`eCs<{SB}5=ol`s6b^_FKq$`BBxq?xn0*R~B$`D9FyCjEs0+6OIQXDJ=3)`i{0f_WN zeMU-6( z1?MRwTnse~;=cW93^#!LwrzyTOP%~r);H~147A$+-nja{8W&MEi{QI!$*psCFH=HZ zMV61OuOIN>o{d`{okw3~OxpSch6J9hE!jQqe$~m}4@)~df8V|QHia zPg}nW2W(mf*_WL=fSY^U>id>}1R?HCD^c9wJVbMbXZ#4adv`u+e|Nrc2bB&z)Vg(& zs)g8(hYHe%AV1b2q6SQ$%N|LpiMUIBB^H-Ib;#@~boI6V%O-NjX1$t8BT zVg2)#q~A<~9I3LuJ9B5X;agnBHUKwwHGp^LTg8!3S;>qGy-xGuGRz3A#hAr8kw33F zXL=~qZVJ1_7b;sj)<419Y+2Li0}@XG|5bD+7k|!x-s!E4lNZc`NtG3O?DdZBu`%13 zin)@_{-y=fqUVUOY3&iNIX;ldgGp!stU=d!WzGPcZXY?0TO0>i;XY4QXA2%Se15SH z`CxoP9vqaV^0(4tgnW=s)j@mE`qD;KIvjxziQ-a(vuZnNgV^=MrvnIav&)J`9PEA( zz{RM>0zKpg!W_gPota6S{$yYelb!HGGNb_0q@a$G3LK(YPEjok@%cU?w4}VQDuLYGrV0h#V`vfH8}UnRUHDe}I%EE~wfdI62%T=>j#OO6_Ta zYiJNCGdXwrU8p@ol-f@HadYkuU-Wiq?A(s^PRgpqm<2Aj3=Sa`s)+(A1Y>nPzD`G9 zgX>Og*IF7cj3yxZN*!~+xHSbcKP&MKios75aD)c}*iPE01dAYKP=XJ$sgKNY%$e*v z%%0WAsqSBvGV=3r^nmfxBNRIvVsYO2;mf}T@i>HZI#VydfCH8m(-ne|KaUGwJfwAI zJdW4_NV|QNRuSTo8bHT(wzS3L>(I5{4ZUYfMaUA1wKv5y*&OG|8yY9bE-Mf_u4pFE zd)tfS|K)tSGmd(4JXOzBeVTS>J0b#SYRo>-xN3s*v8L9q9$R9T7PJSvWq)2Bx7(VF zX-q_gLc_25W;|!3#`a)v0+lU3^aO6M8Ml9K+T8KYtH8hn;BKTkwu8*8U8I#FYJjyl^HU?^lrj7bAF2OA0!zgq|2>F-{}(xkOaeUN5RC%#57TbQBKtCV2GyVDowU?DR9=y zc@T3Pm=8-(3)YU#MwuNFpsTKB(hOk8!E8sBtLf!Vt}*5)$>8hLdP;J z_!uFLF3w*e!l=-}%(RtZY)MtlXi()~6PcpnGK;5irG{A*Ob2O_W;}?Y2K1i_DOoc> zXqdMW1W6W-4h8wcm@S?gvdkMq4kkkQThGBso8wBxb!H&OaD$)%E{R454a(Q18PP|x zQ^51DMh|-+&!BnZh45g6d2+%mCg5ka04FKqCXxN^|G}8k&}7J=$0J8ajR!t^%8@A@ zK5_@}Avp>h>8(aN4bV{pE9eUc&aqF4zDmF`B~_Hr4qg#KuOhxlV2;qRXH}{<2ICNP zp5988)N7$hI_JeYYbg+U-w6u#-4}L?>n07%UsO>h;y z*;HzW1(vYon8Bs#a0X<1xvMp-v@n`OL=a?_NSBVJPqGKPjZaAF(07yY`srSUXzO`8 ze_2odjzy=umaZ3m4^5IR0{|P-`1x-lQb-8w`)gXmvsmQRn{&?I%ilKmnL)tt<~;e> z?V0HLnXkQ@Ft_b3o7`o8<_+NO)@L3o0KilxGB#n>FCX)EPyYFfPJK0flCXAmgbUd8 zvlHgDz4;k~pMObhrM2#|^jo*HH$TPxEvC(6Iop|c5%L>$((^k6dKAV2)2g?ut~)>T zMq-iW%-jC<3$QX=-&>a1>uGYJpaU$f%wyh;aLt>ZC-3z<+dKO0wCDWoF#e>y>Ak=k zW@OGWdjZ>JTaywCtc38-QpVS7CuH^lowux>taPS{Pw!>#+^=UUwKID8obLR;d^2aS zkb530__cl}16US32s7Zpk@QLTfajf0Sm}TpW@bx=?fG8{=I!8hx29`ErH4Q+e@LZ+ zN1op!gVdXdEnLT$+X00-bIana{b>5 z(g9Cq=U9+y^Iqx6ujh5k(>3YZ7diq3Y^N?`C>?-*d;ZMY7k#Ww{AC;4lksGFJ-uvn zijD0+e#1_+tOMoUP9WM&BTe!%?`n&D#&YqSU&2byFHt&VRyHM|ps~ou>i_2L2(Ue# zN9#Pl{(0_eJ^2~yloQh@ox)!KDSMQWImcvxx0|ru)fV_Pku~1Krerce=au=e(r2tl zXU=7}{8+!I*OSS}D6;ISe=E%1TA)gYod`^n5ptw-81~IP>MpqAC#rPNk2=NQM`6RG z`qtUf{3$Z;@hx@#Dr!V|0W-YpDk>dxQQsqiIT&Q1Pcd3?X1gv^4NJuwUCc7_dQ9IV z>4J>mEtv8yFlvuMh%UURpv*bB;EjTga_?`p7s*uNzvaD@&YyK+-8WD=OalHbW7|E5 zzfTx_tsWYGR_%*9+Vq~+Z)I}mp*1;jWfu-{D0SN5LyN?BP zj5B*S{lzHw(*qaFaha1y!TL|50c4yt$%_WfryhEu($oFh+}EP{uh*SY#A?p%d1Ew6 z2QsJ7BXaeSwbu}6E=?+EE%&nR7?#M?gi3TV={qE3+M-M^yZiVD@2T_jZWFc5x_z(j zjgYbA4LB^ww&$TOLn(wpYb1SQJ>WJP_dGb0C%-^qE}u&sB|vPhh} z{hfTaXN$Nl#je#*ImAjyu+3vmDVq!h>zs>H>)?~t zu$Se`u0A1ixm{xLNy|yd8QZ7jvirXg&Q!9;PvN!Bs71FP)0=jdhHJL5dS>QlTNbvv z+`}*o8eu)!JYXysuVRN`qwM}+0Nd7uyuIX^0Z7n3$XmdqX33X419Vk|D4PYyRot$S zEYPgN&2@z+ZYAUy1=#AM?EYauh>PPoSoYWd@U45!{~s6Lb;qU^H{R!RlMtZpetpNU zmO;ph8y^Ka@Vgt{+WpQ~e}CDI`@g|KTD4&Hi7gd-wUj1^(>9e}}sJ zqSa>cXTP>_FND0h{(cC#`@H|y^!I1u%g%llP`$gqf6+6yZTQ*lpDz8$*t0k7PDg4k zf)EK{*=JTKKD+yc;N-Ehf4cj(yI(l=p#fKAjaRU=`x>7`tA*Zx0qz40zUJ8LHtl}k z#rnIRyz6T}+49aMPknyXrrj?uD)w!?bN7y2^DZO2-wWTl?9o>?Y zy8y^_q*sV>7Bl`E5W~Vk7B-Q)eH!0PdP9ioL9H3a!(8|T{>Be>@I%My7LSmRdY`x` zy9M{UrGq3yBrFR8Fr!EqKN=l729A#gGO(~P#lY3OlJsub*rzu==P*YL0oTn)0uIXZ z2b7g_t+gQI*vV_@1b4L10Gb`%A>h369FPf93eZP-7i60{$QbM+a*GBqu13GK8F0A@ zyX=)(dvt;`CaGb`#b~j^E5(aZK*zB=%tp(R>COOzgmKLBd~1_7@T+zLfy#ML$4)uG zZ7?+C>{IYW0sSn}1lV^D`Z>(7U)LXjf&qQJW82jyP7C<*S}ILZ7-TJ+0V%H#dUCUI zf-@fGL|G8WH?edhBh0Rd&oT;=@ta}*x%1jhF>{92VkfwHc5xePu@}rJ^Z^^IJ=6r) zu!+nzqkd_~ipm*9UXqpI23utmUxp_&kO789 z%`+8q_O= zM+M2)SHxiQe%vneeq>k3Ao5_L_+k==Vj!o%SqgPhvF{^cU`m2votVZh$Df-x!vrp-o2{l#14R&iE)-NJ zg~Ua)Y`<%&Ch)6c!UGZzvY=87mYc0YFnzI(>EdJ?ovq|J#{@@WYzt&y!UIVnvL$bV znwqX;kM@A>W+qa!OS2`i$#R8Aa6=}@G{K4z$!3x^x)4OB-Tl%K?)}b=5RR}1I*TcG z_zUVulz*t}jx5Tqs;XUp+(B5YgE33HQ0u;rCIEj2w+p8Wwmu>LZj^sqhx%T-atg@F z1W0b0?l#-AqG>d3?~B$9P+*iXCu~t|3dr z4gm*iMwzG=!A<1cjUeZhUg_A9%g;`DoHcJWFTh*YM%cD^hpHGKeI|2sY3G5P85$G0 zy43{*^B&BC1|PJ)4T+o)JHX1cIr=PzYn+8qxM7tCJqX!$ZW_VO&pxN&O9)J-Y=#F@ zdQOX-3kW~hjH}PS5_;S1&s)F}jzhsxtNFhYyzQ|R$U*b@fS%jDI1r8ag5;An3bXX; z)_JUmb&FP^%?&6x-RA>INJB(qnLay$ds)!35XhO~Lb?rsvz0kbaU#<#o;p5B_fmoH z##=)X2#tg6a=T=^9o$xnOIF^$Y<+H zh|A5QM2=IrRUk?BMZ_I%C$cXN1snau&1L}mR>iK3ffkpW$Pwah0d#DRZuvT8RRm1T z;bzQYg--k?)MJ+DU{w(jNE*x*RI{#+L%~K(qRAj3E8DU_j7_D3b*+u+5ehM>$`Xe6 zgqgY0h->jHe3mqPMbCK@rfh;^v4M9zx<`5JF9qJPgF3JsiI>;pO0ugI?P z``m_dv|4ob-8e9~!)qPQ0PL^zM<$8Pin{>5n?)Me@D>>el)_d~K*muz=y)#}C*W?K znpFV{4G;v9)bugp3&70)A{<5pg%==XhUm^~l^~;afEH00Ee%uT_+UH?AmbekKRPS7 z5uf0=|2gR-GLHArn=Tq54|Ubi;S+j7MVpIfG3*G%4Sy-HM0`nC4-O3}cBRSxL{{HE z1cT}zj;mbmJcFW9OMP>Y#tRE1T;f2ujuhmy#k)(~Qb0&9MWdMIizH*%=$e#$S#m62 z#5goDEKMWsFQ_2ZvN#w;4=(a+3}izEz`+2JaG{=?K*k&?=Ek~tTtX8(`VXdASg8#r z`b`HFH^g$<(O4QHMkyLCx{_u>Lu@`cLEwX6<~o>_VaNyPq38!ENQB<$A&iO!@DG@; zyd$xW6C8XN#B(K8Vl5cB#Zs3M5$i)TDe>bbGFXFFeLA=f2opg=Gl}dZQW@=9&(n5F zD{XawO*W~^`wp_d{btL3PJB!bT{}M}${c-a)Pz;+(1*;5RVI#V;L~k{`nn#lv}e~2 z27~=IkyjB~?c}EckbBn4L#%uiNH#c97rQ?5m{YEUT@}nQD+q%L3{7X;X zJ?G_L+yljeD{% zz{PLFC*OSZmdmkxjQc=bLjbv#lI*hxeD~!nnH;;}_(L(rMKxBS!Ai&>`D8@Mk$hb{ zR=RT~Blry0X|eH%@5ce*bnSp96Ap+!i!Y&v!qQ?fc!kV5eJB|V(H5!irU_B@IXfca zw$WmjWf5hIm5{|{A`+_ZqAVX-10i}X$R#tMn-^$WSkaw`U1+Rc=>=MCAYx%%lqw#X z9*N}kof#_Sfd4QpzOxWy1#43yKa~WA;r1BYV=!#ZMz^|+4Y4uGgddA)x1id z{4ZzvX&stj`@78fuB{oX*BC~!adPB${*r z2=HC29V^x}O`lhhZYjfO;QlXS)tYGJ%wk&HA9|OewE>jfYhdMmw*jQ1olIY}5Bu(X zjNYBY`4(I6UPH0N8x-_p!`GuP{^`>=NA&Ft{*^dT0jB7qN<`t(kMRc8eO~FMkhx>C zV&iDDWAK6k=8z>~%<>p9bl~S1Qvap^{wzMdF_{PwdRV!7Tt;w21{0HECkb#HcuiQzyMfLI<|Om2mLaEhJ_Y}$dI5z zFKb06IFxLrvQlWQlxemGTa2}!yKaRx9M{nmP>E6yq`s4MteR(Pr+*Xd-y7Na_3@fw@GN)7Uxb2+ADq*F;nu|zCWOK}pX?DEryN2;_vZ&A+=MR{W{b0<# zqpQq*q$O>1JJIn8-CsQ;+K@|Df;$P>Eda4)K-qZ~hO?pk{jM6|J7sxxy8;QIg z7lL~Vy?DWzt?{M-Ael6)Jy=%_xebpyY(XHY7g~OO5nk4rxnHnPE3dYVPQ1NIt3hil zGKIlRpaVleSZVmEZjW(C zT9~*K;PzQ-t(D3rSZ9uIv1YWGu8<@8oD4C3;LeYb5Bg2}@UF5>S)%$KK0<_3`N6=a zE^e+*19Yt9{m87QU%}}zkQ?ya7E+B2y)lO|6w-M_^ZEoTwL)|&%EG9)0##tBfR}h$ z3_}LIc&o6AK~%6CKpw>^dQKkOb9F_ojA?MJgfziB@J}(MV$iwSA}ja z_{uCFmQV40aS}@vk`OLJ)7eB8f)F8%LOr%!9q(!tVR~n*%Zjmx4c$^6Eq58rm(i-dKQ|(G$=@&t;mw6bSt_@b`*v}h=^>% zV7XeuB>IA)Et+-&VIVuK8VZKk*khrf0LB*wDCiVc0KDIW(VMb7cq>P^;en5jW7$=| zSEU1#LZEq+Cwf{%)`q@cq76!d_I1YbZlKQX8)*1k z0;b7grfWgnL{We-P@Dq`UVX^pw8iDPv&__mj&Ph~_@zsSI;||HG^(f5wC^dTE#5L> z9d6>%fO$HyXfB-sRBzL%yFk$Bh(;qAXaO+u7-*woDr9a5OwAl* z%^?AR=y9h-O!p;&M2p7mPbN&>YLGkIvbed^ zr=qpx3K@XgLkGiDwW_=;J4UO@_W`;UgF6R}b!V+zfi||4Os*%exF(Rzh*o7t8!Nc3 zVO?!lx|aE^heKuNbZ8=jc9#J-T?}PR2>Xj)jF*`h6KU(ldatX;Szez%cc$>vh2q?- zJb@Xqns#e2;TKv;vJkQiBjJ2BS zNGs~xfTig@jbDj`IKz_qMq#DBwt0=kR$rAD@f3mt1s8Hyi7YJzF)WmoadQE*z$Y4A z@St2}0X(Em*q#$ppYVO0$rSfka{!k$jIj*cUkfpCb zb^y>Ugj{I1^wniR*o{mr1*cXQvE%IFhi&J3eoyzR;zg0u{a2fdIjt3sny+lIt3+HQ z+0ux6+I*!2tv<+{8Vu;RRuWhgOcsVTr^8>}D#J|+eWb~-V+Vv;(EE3*G3_(yj{x$v zH4yg%HX}%I;x9mx>PM2}0w(Z&FMyRXuC)=cZ_@8ES6ac=v|e|?>p}ah&1^f3nLdp6v~jE+4QO2m$fGMPpoimXL*mBy%vnRq;6TG8yibz*9D|7{Vjpo@E6tWN z@K#1yyTCNjHoIb`gn)& zE5OT{FzBms9R~u93$k^*WI_CfsnCfcI}~IdY}*5L77c)#3^sv{VON;tA&~L9YfPfN zU>B&Ptl?@u1v*Ls?9=WEk7{AMtD$;Jb6V-SJ3!TB}(x%jin_&;wr zKE34_BHumd?@RBU^YWhC2!Hn3mBBY(-QZ>k?&Z7AB<>sCEH5QZnigJsd($o;?A|-B zVhhRMH2LY@JUOuhj-9!y=8zqG>3J{xPTigJ{!YNY^IEn+_R~+EJm-a9erC(UC9lzN z!&rdtk|r;0I9a^>zN94QTe3hVjvzn;q1lkZFan>j5@fj+6$lV!`JwpDty=nWu z^BvW{RHZ{ZwT6MCuo4 zuUu$5giklXU7`?W(f1E4yOY-_?gjJx#TX`WG2~*O!>0y7oNH_%OkT`>ES?@hmwj~^ zYxd_jt1L6JbKE(RDsOw_1&`Mk2P~~MA)T~z(S<*$)WF&jM---l>+DiX6d7U34>L&5c!Cpmv28oXs! zN8>GRAY)qTSDf|5ftmAj1Loo~1xTC>!Cl0SJ9B~GjKn(IAq1^v;O6$B><+nU5Hj@c z&=W;}E}1}s@u0oeE&j(ngMA8qEb!^x&x0SWg2DDdYeP{;`LRmI!Dz$#;$yVPktjAs z>bum+4pm@@g#z~sww8*XMPJ06rJFQ|h-revoKt{44b$*4#OWPn&13&q!kp9T6LL3l zHq4+c)nzG6dPIi>k}kX)pKegrX|5U-xd_m)B7`Y9Gtw!_Hl{9D0~gc)IQWE|^~$po zx`AL8Osb1@2K+paDYgsX-IFm=~_^w0$d!CTw0EWHT@FUyc6x_5eZ^z|JRJ*#%Ub zQ@A2x8uZqZK865<1zP8$H!vQ*b~E?n`Qj@LpZ}lJ*ZZY8Uyn?;hgW@Suu?;o)y`af zLiz`dfy8m>{|wow^y^yN>Nr~kO&&%M^TN59265pD?SWWXa`imhcmy!OZR3Ku$M{90 z+S6`^?6o(b>QCywz?YX3B6BekftV)}vXed{!*~Z_(0qT1IL&TFsMVdc5hzeRg)y89 z)=Z?w2v;)QD~7vnw!t0v~CC-wjm~9J@5rfSCzhR8oJxkof4K6l0H0DZ7FN^Gv-mkF$yG?y8s) z{KHI~EJjH1ppQliw0w^K#sFpC6MffCUr?Tn;P zo(Jj+21|gn$7_sx8aOD@A)n2ANi>mbMC`=o4tQxMzp8MbSs%)65d2w1BFd zcS(+dj}*AxK=}!cQaY0o$4Uc3*9AAmu|yOsW{4}j>1;EVa1f(opQAj(57RU>MaTj4 zu_uznnk5E$X&1EeW)Z$LWbp1NVu@g&NB>c$8j%gs>RFK}Dw8IcI6S-oPq!D_y}$G?f0e$P;1)*IkWe2 zKurOY_ob9GKb^_2JwNr#p5!_G6z}fsd~~p^SDct;&+Mc2K^zK?W=^Xc^M{`Nw-=rG zqIKd64~k!~UzSd()l5&1@sg2W+f)BidQ#@8om;}QGSg>kX8m6KGX~FWum897NpFca z?_tkmBGVo)>$Hj{ry^=3mcz>8%#X4_V1*iQa%{_Y|4S+I$(FDOn7lWe4UgZR*`D8H z$V|HJt?)EbRJb0@f8Fl)^+D)A!g6$aVD_9(OzAL|y{PKE?2X{r(!pT$X;nG|RPQ0D z(m|6^aOupSXSNY{NW{pdFK zCQ1iJXtlX6_%5RTT5p|xt7I~y2TX(l8as|i>c%&;svaG^&6s@KY^PlJrtKHy;w^|IKs zck|zh=J$+cnFpCMSCtM>nmm~QdSaw>7{(1eN?C9FrYaq>5#Uj3gsb)#ZNcDn#K6<* zmJY1kLwpvVZKjJLb~S@ahjIYT7G?2gMRW{6Y#DHKJIXzudZ=!*r>;Kl4|j}t;OY8T z*(qZdJ$esHhlkSW+ITV)Xco~&3G!ADwyj4&^-ld?k*9}Bo?(?a#u+`o`99mTG<|;$ zyKm{3728cu?=iiyM6&-OHHMdj&QoK?85ak=HNAzE=1Ehwqp`ALMWWZxwT$O&J-_GH zx@M{WeESx3k^E?T150VMfypbhduyi1ntxgJ<}+;D-?kS*Z;xPl$Qr|5gq2pNqnr`S zE|Hs>sjX6)qe=&mr}VPav`6#b<=P!N#)=1wFQ@xT2P`$i^8XSV@bB$m^I-fWn1|2IAN2JpXN$G2%rVaxm-TpS z@=mI>j3s{dEp~Fo(~FkH-rTp1QN6DOH>7KR9(cYdT=P@}n7ms~;Q-%#v$uY>tY2>J zd5S&rnaD99!^$q(%0nYeri(Kj;aPwfzL}a;P5+O*cLA)Us`keB%$bBq2xM}a#?y$p zr%f@%`$${3*7E312<0ReJ%(58t&r1N3|{q5tylPfOLxK~M88@?Ewp;|nrI62D#BH< zenl^b5_>C_!c`Rg5L#6Hs8A>Z7o{!v|JL3!bLQmLr1X)tXD4SKzge^PT5D$ZV;=kG zO{+QefvMUoQ9K@J^0LQF1U{+XcNjOz z?%`)RRV=%j$8`iM(u+HF?tk0$Pgm>!%kB$%Z~D!PYk#2lXP?}-`^`@*$JQvz?xuHw)%CxgLlzsa zypQNz-~9T<-A`Wo-HNAvu=DBPEd11tJC|2I^RJ&Ja?<^KQ*%$pbX=^}MwA zrj@1b_wU}jV(;IL>JI51mOAza|9IBjPX;WzbBjP4oVn}lE1rh#ZLnn3mpXSVI^Fla z06N{zXuEIpX;R4&#SZ-F#vAT{-H*I@+s2Q+an+_Pe}AmMYp_p(rx2@vMeeWYrVDFLa9>JYFeDv6X$I8j;pFZ>c zpxX|_Kg-(=F-@xCUR4yVw+_+WI6O0J6kct$xr)KJ!&ORpqe@73YlPm_Bk5$k3*@^T zN+wxt)x@&vwZpH*ICEGB$31u2N(7>7dMsmVbKFUIZB^HSmKOUO&eqkIXT^B3seD#J zFQk2z-31tD*($11EV~`Nv853?DJ7m~85s2eFA8;>agig6Edy}FYD;24NoysLE;1T1 z;&VCZb>C?tFTP-S=OgY|WOu+;drd>Up0fOD+}ACfl3U5ZN(hlVCCE}6*ftgLECny9 zgmh6=E1a+T5(k}b+`83`fj76r!(GVaq8oHI2+MOEGJ(Bch>=t-ANXddcgYQwKFV-x(?_H%!2T2S) z80Z58I7#9-GHBhius$K+0}!7omR;E0m$f@t_gI|Z+UCacz8MCOxC6LIBp1R>I? zYYM#HF5#pc%PkRG1#aFKSP9VWG0@Up2$tOrHvzWVHHb-|q@>9YRfZW}ODz3m;dD-x z)4@PxG7kf%;1fv?OnGM@f>KWJs>4mbH3)1iShzR^mUWIVu%~#vFyAzlJB6UJ!dIog zBLkP)gR-nkS8&PQ?B=~iE+ygZFghz=LLTcG)c-L3x!xFg-Fh-P~s zEu0R()pd$Dw@aNqMlHNlr%ytMsycgC#Up1`QtPIe3_004v&Rx!C+?{kac5#)I|pST zPGlU}_kT>64(u=_eyDZQZ3V8oyIQveAi6$WhNxT_{?#oBQ$WHDA9dnEKd$HUV%)AY z)yLm>6S;Qec*o24|t7WEZnIMv$btkZ>5uu}E8s+9)Mwcv;$#49=<> zxGGQr_dl5-gdmu9;`*a)iVfB?K-BBl%*tk|bW?07Ddk)(s)C$1SZd6RTNrF2`x(=MY zAm42oo*;K|R$3`RU?B}JL*2*7Ie47ft=kSCE2q-oRXWjd>d;XaSireW>x%XD;9*6l ztCcJsqPv4G#-X|+aC6p@3W5`we->9lUA#l-*0i)rNpH21PQ#Cdl}ZVb?{*kn%&x1@ z?p!LF#}kV&aJ_Vi@3*X@1zx5mcUpz;8V~tp3wS-75}m!mNSe5u&_ai93XQN+mntQV zWzcOTOhxR=T9PePgSsDQWt|LFFP;{%jx;PGeqYAX0a=N?lTc!UlUEq+2N&2*I4_{v zX9F+ydN8)k?@}FX=S+$q%NU6i=ya)cV0DOz>{VIfGDnXH*Bs--n`?EupcpPp6r_t< zm_QL@RblTAR?tgIs31iIQT1iY$IQ_WutqD7LF^=%w*7p#>bLq(pJ-EkwQxs9~vNGU`0%w zbD$(f7biO<)(!yuW27_Z&{Wpo@+~wo64HgW0C)*CfK&Lf5<}wB$8eEoyX}EeY{13t z+-xwx#DY^HK{^?8sS%Bf5XoeKO~y|nB}@ig5A-l-U8z78&;^z1t>PqR0q!#nGuk}P zq%!vhX(Bswk|DI61afVdz@m;3fnkCl{@(Nrrk`Lbl1UabwwfjfVIb{x_>wm9@adnh znk8AL5B|}xQT)6{l@7->O)3UfCmvVLvb*T{yEb4<6e1_RXZxmgU(@jQ=RCjRE(P~2 zU*A8MsAK2;to3pXfYM8Jx7DmsD3&)GS72O}4ZV>5?l+!Y ze&54i`3jAMuLAqOH-I2_`6|UI`-ajz-gsX;_alG0djkfV|IQ!p#@P9rzOn`e{^?UN zkh0vp{JVExB>a~)ZR!C09GGwyJwK!OMzZ_P6(9{>cOOZA{POcakh`m7*YZ>ESdT$l z{xfR{IC-^~N;f$V>9Z9luX6rk%5trSDa&DFl81+$C&zY7dRBZ$l@9cEuGitxcf1pZ zCbemhB{Q-HHVtOk#po|ey17YxlMQ#2^qS6cz&}qUFT=OZX~mw}r@UMZcvfX|Q^jcn z$|pI>_0E%8msEftH{Ydq1~de-A@ zy@qwCQ{h!q%x(Bb{I2HBA5Txqke4{b?OR~;} zZ!S>_-*4rIx^r7*JrWyCnkY%z5JUH1;sV+%5*BNpB)e_;+M9Dt;wz~eLtjH8`TZiN z#-whHNT4xK9V8p$vfu?}dopkDDP~5oVPl;OH2P=LUM!=gdg@V(LSj`A+;yXIh1wthG?zvEFFpb;F}PJ;HuXaca1z|OwPyF$Ht4GM9adIgEtmy( z3f0v@6dPTTE?~8mhj#-GM5jA!V6TJkFtGL%)^>_j&*TT!IJkFMRwK}gm{wcsGUIP< zvCiau<)>L&+t=Ngw5Fblm`r93UZ=Hyon9U{(7Z{(7$`v_z&ORS+ZnHLq-862=vZ>1 zceR)q8i=ODm<}=bV{#yA$c4JeomxzgC8mHSDXAL*0|*U_Nata3Btpd&Z9cAg7KoW@ z3e#LCV{k`9;zFmS+s(8@jb@b`yeDMg5@IGGr%QTf_fnIysSVv|j8~~03Vc!Y6_998 zz*E!;WA)&+Uvs*!DsE*(W~#}l!!VECsV)u?$+`s|?$W}+Cc}1^z#HMTKT5L}j{FOH zG3-?GOY8#hTfuS;BJdb1T3W;ksv%YHNyo9p>hdYY=!Qu87!wIPcrnTeT0p87Yz@u* zR39t^FKsCy3JMRm&;r4JBy;fm%5fBdxKyRXrMMq`T=SuVQ8r-0#U1@D>uWC@|K;`v zh$D7+0B<{M`T7s6opb5K_f`H@(~YjbV%PF#iK_Plxzw>Kj93qr-9BQJ-3F@O-Ivc= zwCm#UFM9s`yNTO&)!Mlq!s84g$X)c@@*g)pKl?3^s_wq@jpki9+Ge66zW9r|WZk--Iqo`8*nOcXOd!+4WzefxFe9Rn?#O- zf0kHwG2ndM@wTi>G}M_kB<-X-9j`vQeHC9xVKDnSNxE%7SwdR#>Nyfa*MiM*QBj%~ zHD^xt*35AG+T*GITjI^{uWPSqs9nmE1qFRQGq_i=dM1Xw*Nk(a-SmuT3|^|E(+37$ z2?IO37bQj9N!HfdDYwA#*tEV9+v&eKe%iK&;)@nMQ*9@gaqIgv)2vk$HQpE5+=jZ< zbCXw3S4zs=voPvxjfVkx!6l4PrD^0@&s*wS(v(<$!@vUrTe1c!>4`_^mNihFK6wKV z(N&B)6}TGqTy@;_EOJ|R{s3~+5SDsNjMI{O-oROk8Mt$Y4TY-Z+=bf>stwI!tN^6c zY$Y?h%@pJ(S@bm~?J8zK++Y?x>oB{S(ZW*F>GHOklfh0~XidWNgU=UR{{f-RkT=jH zk?h05sZn|Qk2$b$tO-3MsoL=crqd(3K6D0bW=VSNfUhkQ7WE)tJ31XMz7pxixcpYU zhp{rtW^SDnoU*B5wiFUJv#GQi>$18qXQ0^_WNV{X6) zD4`??4ieyeIvykNg_~6AKo#yGDs`Y}Ocmmx$Wqbih6K5ts0_0;NG`0K6yzn?YL$T` zIUgK0x}KB-7oJ|`ojx@o=9ht>K3(!rK+o=(VJUaYlF4Fm%;;aaFd^#W ziPi_*ci3q|dg*Gfvx2yUyPB^+1BXwWgTdzi;QiT!-IM;{Rdyw>h_6e!y>skzTI;Ta zC3x!dfx%57)rt`+f-11NmSiJ14!g(aWnTISUOQuGW;rL{qDlv@Nz`5;AI4^mL*LimO$E&j7w1%kxo#}ilmh&>9}%7aKfd;gmmNi z1FCsd52GsOvaXP$ws-K(0;H$1V%M4syumSjzitfRfvZlr{gq>0j@bdCxe&b=&C$l7nV zj4Iw`NjzNO7>quJ$2S74l*}Yl?+=xfI3;2#TUm#Du`%Oh@aEdwG!n=fEHwg|luHQn zI%G@DB1+sqTR{iKRMx>Sy1z-=aty2`Q_0ByHb{bEVM&f@0INlUM|CGJJ?0~S^g_k{ z_qE4a&w{J-a>zuVt{SGxA(EuOtYKUPIIX)e!7z;A%xyJ|Dy$T9X5msG8LloGi6uV~ zXj!ykx3D$nZs0Sl?qWz89K+qS@?KWWM7NN>5DAVWDaBQ^gQ>1Zs9^?-z)1MWwwzn- zC{7L7h7FEwRLKNGw}`8cF92IJ%=x0oshR;RCHBziD%#mkNq=7K&pGBj$PJ&CP=@pi zHeoSHR7&L2aUOw+wW@S@O+B-CLXoPfThtM*)d|9fdOIGfkb%o`X@CQGY@DSV=Pvr0 z@8$E$*KhkVKEe2Ugd=tdbmQs9kMV$F?cAT>_uJ>*HXHGvET0|3LW;Zj_bmGB|AI7> z5H0Nkn-+ab>HgjoyYV>S$II#KhW#(DoxAAwznY7O9;D>%K}vkxIh40yyp<(d_uA_f z$~G~ z3FqOFj%CkMur3S?>{&-W^21DC7NNYQdk#UCxxBeE*9E+Kcf`Mp&a~oUYfjHl(s!ti zI=JsZo_7^Cg-T}Ju4(HO{Ob^gOS6e7uPZD4AKrKHQH3wv{6{mfb;fk)#zT*mX+)6Q z{+)PY>s9E#Uu{%2v`*i4`?6{F?efF&i>BRll~ZYFb$4~fn>|Q_WfwOWW_-2j>KUFl zb6WrH|JrO#owaD|ADirkWgl9Xtl@p;vTycPG{F)u)xd7tcTnz(E8cyb0-tuQ{*V4u z6;AWV;)$a4lJ1hs(px*1ac^xZ;kExFB_4{`uv)hy`7&GIx$HgtYn`+EzZkD<_(Z(M zzWM@C`Ax?m29+Nu@!D4>nm?-}XxF;yLrd@|BM9u(;28|!U71M1OWa2B?XE>oDX>bq zRk@Qs;OT=~9f9tlCmZn?;&>iViOw>67c(e*G`fjOg~ho#Cb!-3iqR58|2<Ls&#QH&{jD$h9DR7g-nT#He z6dz?ZwagR(HyGxakBNd7v#GWY1Tl?oMPF+(D{i zCgvtf{Y@MrL!gIZ)W8D;S&U)@w!s)yA=AaUer8clYxuH*vy}E0T)%)E6>EWKBK{u0 zMozTHh-uhEJfd`d!;y)=op&Cww!QYnU8AuDr`y{J}0kFBtO*_ zS2+omUV`cqRor3&?7gV@OmOn@1Ssv-C6_GmR;8!+6vh-mF0t&|7Z}aSmYG)Ph1@I5 zr2)2=1XbMIi3S*hOeHK>f);^i^}60SoVo577bX^-9#7OilkQH4vdorcy;4_kjy-bq zIi%)GWGmZekmaY{$2}8`oOoGszIkrfGgR45XYP~XvfD}ExzEQvWP zI3_u2G*XIroL4g_0Wj47$0V`mK?z^YsT$8HsaZe_T4jwXY2kGNCS7K=v8t}(FMXmu zR>S4yS$$}HP)&)7nhaCYsDr8PK?p$tnPhA?Zq`m`puFdGJ;vWP)3%sp-HJyeqR8f2 zlEASgaw15A(Y;2;D$f)n0FwmrHA@_6;_Yg%V|r#=Ro9vE-yjdCYXN(?o)^``HlNJS z%9M&(#^mH?+?|Bku#-WN#4Jd_&I|#f)|A1P5$Dtl%Slk3Pse2h3jghhl@1dze(K^T z!*I%b`1}A%CSCVPJ$O-Sic?t2I;u=YL^+a#ba9{r)P%0!BsNJVXi?%Pidhj`Lu;mm zH<8+FWw&K^chj@da=fFY^1^gH$rMlzV!v`hdr^;ty8;g`CO<;!jh$c0Z|G{R&utFzO^8jepB(| ztcyw*N;Kz;^3SK^HUe{gNTtK8$E|;G?B)z6IM>ZfnZVgkOnJ;z&jUfHTdE0i4bVZk zm7vJ7+FG5J;LY752A+ytdt>ay7f$}MG#=P9?{^Ln?lCq1MXrBwKzea}-oVd^W%rfj z+xFR|uY!=bbVur(y_s`g$m|_p17Bm0o@qXMiPiYn$u+o?d?lo4JjtGq&oCF1-oK}G z#WmjY%)I{+cMh;S|JD3@zxmRBW56jmd(U|uflzIWVh%jVe?FZ!5itMo z@bTVu2%pvKb^=#dLK`&$P#Mo*iXa!Xv@F(EX@F(dXf0%HP62ZU9*v!MPHfAMiU;VZ>r=mlnz2G8Se=O8ekFISNy|0r5|jx{wXtWAoJ`1d-gZ6+s`ql?JMot({Xms z83UPlue0BNkG=eA?B|qa@k@J3_wAg$Jag`i;$?7fvz-_2ib;{?J zJhrp+f^*LKy*Ov>o|pfNUEK4~P0tx+D^7ZKrzL2YgDV{#E5WmfN7<}B#=Lj1S2D(m zJ+I!$J~I2k{d3HL?-}pnwUZW|F~H_MvFDNhX0N{Ep~vRL&U&c$g*~M&|7iAg@|^yf zKU3SGJGRfMkBNmQ>;}uOA($>5@L~7xrGpL?tkx-CIs}n80p_|YU9|mpZ#&pw&tf+9 zEYO9(!3CWzrnjMI0a{wtaQRHYVA%!#thX3F3p2K-ap2nX|9M;Nr3Z`O6vhLKYVLB% zGjzGUmhD+gtATWU-ZsByQE{(5`Ki)h>?~bTa_+U_+{c~0zh@V}cK*Ih>=);jzPhuN z?j*(^$Uw)qlU+KK85fnjx#yID-^%AR=U$k4^I2B%@8ZXEV*8#djdOR>zVo)qlNLDp zUtzD$JO8QcVz0f7o<+e~ub#avbMB|QU;lTs9U3m*pR7mE!s2^~Ww%Wf`#p=9LC->; z652X}o<+!0Opsa1it{}S9h?(oy1G-94o942_o-pIRzEwGu=SH!9@o!4MP#swPIsds zgH=kNyy-U~N9@LzAgz@=sig-(UeV9)_`#Lf`z9ja4H$fPT)j8sh&}H&8$anw@BIY9 z5tiMX-hS#64WPgn?7e2wJ7MRq?!Mv1M>qcCS;+aRH$Q*&BY%GH8#g_% z@sR=W=I+?|(s#+AHy?&OEB2mu<$HIpRR+Evdw=TDHy;J1@T-XEbQSL%ie>jaIt-5} zmfelp5bqoHLA#OQU3*o$UjU3XL;+c1A#i>$td(fpqeyGM-;tE)EDxw;bW8F|^d;pV zG@oVnl=J}79^Biu+IZV2e5mc%J^~-=!NApuf>n+&%Nd%mwTeve$dbk;#p|lzUZuqQ zhSdg^-3YyFkCHaY?ustBrQr^Zj-KYiP z*?1ye{yDBK3GJSvvveDv1O^z!Y4MMG78re%ZgAVC8tU6J2G$EG%VfMbUWJ*G4wYan zVs{o6q{Psnf+f5m?n$Ktytz=qTAJ#mYp{#rR!4a%lZ*@J)c;17Y!)nOo4yOgzW8Jd zcF%(Jf)-_95vz?`%p!x-Ax}0CA+a0d+v1kAx&~Q7V%BD_tp+@Tbb^e^4qOA1d^+6< zB|SzjM{qt5Bc2q|w(JRU7W>pFt!L7k&>W7at%d&WDz0GL}55B8e~Z| z>{JGJhjy=-KG^PJ&H74kjgme;rO0;!FNLpUG1!(YS4+=iRYV>IPANFAD8n*Ern4p% z>Q0_dM6)iqu)q?P2E5r3I#ev-AcDUk);$pGfN>5=y6TuI9t#4?6jR<90*kx{9R@*1 z2bKT^rx)q|Ub4<%>$+T)`gLP@Kd$lW-#MKn9le4PA7Bl`=U`UG-&!CBo}Ix*_{i;pfeP~o~C}>KGGdkeN!!zMn9TvB zfNnz|p%_3CG{J4jXb&9Q7_$;GVzDJ5Ch!X}juoi{X@gOYcdeM&0FYFLQnaqr>4mXO zGouK#NMwrF9U#Iv@>Oq;O+m)U6rc@^;a7`Uj9!i4bhAYY7|0DgnL#op;Y9|=!Zu5i z8p9M4qtsws-)*YS&W<~U6{~%ZZh<$jxgmp zViF^6Ik7hIqvr10KND#?G*LlEG^xh~!)Z0_1i>Q;=;7_|&caKH^_8U4Bpq_{f;YFT z?pCE_u@g^4oF^h#RQr&oLX=o`85#-GwGy{$KC~P2t$p{ zyctA!3ngB)EpWMle0Q9IrM+RGpCx8-C?VZ|4L)Tt8Aw}A3<3q%vQ=|sGphae8C_&| zzmhIP8>d>k!_i2Hrz{stxQmTW;{sIdlRayVo45?lTkg7a61kdt%Il4sRdIdLloB6CmT;DojC3p` zx^rTZ%`y)k(g^Cd!x=w|ln!*_5veL>IO8y*^ARW7VSwWu!|A4`c#vf}sVwOwOB9_h z(=5ByDVSur0251@Y#(qNRL( zt(GqD=&esD7A87y@g=XK3p?F~YZ4TEa^^?5?tt@)G7B2)}y*UnI(W(<$4@6vdk46oZPy% z1>FVislr~8@ZN{M1YqU7!$g5A8S<7Yf{y1nX|hBhLJYjR=uo)Tu#==sZD6f^Az+5k z1xm(fx1VKR8t2I|7eP7!#25A)ot}kmSW??_q$ID&wn~*W*OC%5(-fFegBa~}PE*-~ ziD5{A8BtLYX%teXN1&w}%V5!(LgLQfV8X<`*op>l2Fs_CG{-QP93 za_A!v9G63{`9K=a|D7rw#>@J8ImXgB>RhW^6dCLxv*cefvW%wF{Vws(Zu-;QYv*3^ z{O2|-+Q0lBMUcDcEBAe1q%5cU5!{7QRKSFb0bw>(Ldh8~e*FBoi~i|z8%|SfwHS7U z_PWcB_IwbMYuGitx2fK=Ebre2S zNKPQ>1)5GbVA)l6FCEsvtz%_OVIjpZ~uQOHN*V(i#SqFmLv|F95buF2m zVaYh_!(j6b3**frIzQcyNz0nPrgvb}dJKE-%xQdZdUNwnrdb_n-q%@iiJxU9hSMKI z1W8(ufmds3&t2->{`1K_UyRQYb#Z&aGcnP8wcB@_o9;W+Ebm)oCg%J+ei{a&O(th@ zufT;n@iW(Z@st3Ua3XzvvKf4UEIl2=^_TbkF_uVv8sDk6xD4NW)9|vL7@)ilpMq1C zb{eF?X?LZkPl&#g@EJH@jL?#7O!syoflrq9bm7)r+AF~;$SmOJ$$fr0+HjwwOaok|Z8s;yweu4`t$Q*lys@!H-=d(D#A>26f@oebBjBOu#IXhD-rw z`iTf`R{*KjFiGl9H?cs89M*nmC6H7|esZiw;K|2S=^z-Yi4Hs!N8wLgtA~II_bn>{ ziR~CH;qFRh_bf=GiUW!5)^so2nMv+YJ;_XrivqZLiJR-?KO|aHjH)-lZIBk7osP<~ zRft4u+Lg?hR)vA6J#Y0z&10}+Dze1*2%YhFNRIl~VbxNUB|j|Pj&DW+iz`}Zd4PE1 z;vXDA#{*TbwK;wo+(}5-&DT$}zJOb>olVN_=9EBv(_3rss^D01Qt}vUK$f-4aJ_qM zb%@K%ia8XMWZLL5dR^}pCUE3WBk6htt59`zFat2|xUQZMC&a`#HBS&roaO(mN{5L^ zW$G9K1uKeR=MsTwZ7n7tj4Iwq)e8*uR%>ANf`WR8fUtEI!2-*WRxG=yJONJykxfc$ zC3up8?KZ$=v&sS2V^o9M+A>bWz9j}LDaXw`IVbf|3EdaqmYz|9TG3J*vChb$qAW!l zSz=iRNz+6P0b`J9u6Cz}S|%ea!Ny!KQ4#ynMHpA6w#q`OWu2D7r(=^-Ns?~BO9{rM z7GB-(YAjZ7lt0#w`=+EM>7uqrv!Hx6#%N083L0wEZ5M&VmSW=2hted{yq$pf4k4Jdnv}wzc}R0 zeG%{~I`WtKFm=OHaq+R zFI{(KVfW93L+jhEd;2^uB0dVMVE-h(>w4^6ugR!Ej9c@7(Nag_ms=Uykrb3O+-6XFgso3$QHwA7-Y`I>EkS+SEd)w3*c*-(trxC9RflnvNmC)WHd( zTshQ{X@^FxHhRSd$4iW#JK3hsM_{+r#iSH4#5C+$W~az(+=L)$iJwYR&mL0&$ilu; zI$uFT7EKu5)E<;Fv>pwAO;*6nF6Nhx^#~Ye{8*I^$4e>i*LdCrM8cw>Nw6t!yifYx$?qoVW#dh6(E6u%C zW*PJcHTGx*;^l*33`G4tjo|Y3N*lz!q+m+2mgCbAEnR3Py5@Wl7@a_QK`<>~E!Q2aafwZq zg0*zA3bnqWUjzuHA;cjUjfwPiOG2f|3oU9TINi3vcio!)49w`1L6ci9a5*V2R$31jm&eWEig!TpIe~ z*OyZFt)#q`d8UvYk4H?Y3OOl!-PG%F*bHV0JVXJgaC!lXGbHS)=1l5Kk}w}K1uX_P z2i_8fG=d9JC0Z~)J$57TV+>4;v7ug5x9y*xJJ&ultGZ=+0>HRsue_wYv{#e!A-CT9ZqlB%q?^*QB^7UJYmUiRZ{SVV4f!9@FBTr9; zQ-VVfz&9;*-B)5lb{LTE?J6+ zlCtWg5`jXBal!ECE8HJQ;gfcsrB48m>SZ#-4DwdpL5mk`ixY4zfu7(VccyqU)dIQUDvtrpzqjpMbI$aI_Iz3a>Wm`~J zs_L^saq?bAby-WK=EDmDpTg$`X}7ug8Lw~svUhuvD&9xcKGEq?6{oXA?H5?$BoYdy zEZv`Uq9QMZ;+h4_#(l+JNy1UTy@KB~xO*-T-NPX{^7U>fyjZWZ6P?!@y@03~7+>YD;XA%r=F(!utI`!utJ= zc-P2{o8ei5zKJF=NA*V)9mkw% zwJ!Xm!8OcL$(i7!#|^bOQ!NNtjXQ@_$*qP~&XS~{2%BuX3acQlbnGPRSOEn{SW-j8 zs1<)gndsbU4hwjLdJxdX`XCN{0xvP6w#9P`|2`tgjW3bMcxjq;YIiZQ@O>Aiu)Bmg z0-uCt3h_#Y1jgzh*@HQ~4*L30*M0W#%I>C?x-k`c zY$;ji;HtzYj+BR^_rOC8Y(1DHu@gQvb7VKlf);u`%Ld_hkFvj5nv9A(W)+}_NJ5ga z4fI&TEQ+JRGm&SHE+49J4k&UcYpp>~xugg$Md+6={Ikztv0m>4_GB%(bd?Kx6ZSO@Z%` z(g7DuDHyCXQ@@&d;x%^dK=D(Lv$s=S9uxyP!NSG%mBlny85>^t(x$@`?)8+Yn5Bt5sZ0@@YR9_3{L4x~KXHz6y(zj;Iux0LG!6`KOk+%93V-HN z>3~I0?jmJNhpn`VG{B5SdMQ6WaU!6*j8Faggl;?F!Y)O7TBS(O0uAoc*RK6kX7+zR z^x#wMZI2hrHRg)_V#Jb%Ht z6ankQTUF^0VU)dQE_hstBNptaM;v}iXZh<>Zw50fS@OW}mb|Xv2gtw``$B1DH&}MF zcCTn5cV1BLko3R`pFlM5QcDl~kB0XU{L-)C{J_T2p50GgIY>Ghlb80Av@-CsPkk@U z@}&W#WIrj{p_F`T6eZfr!xZluD1zUkP=N_IG;rJA&ytsqJoMb`>wNgBRX1;ZWbZX^ zoVw!&yCvEE1CqW`+5HMB*|=LgclPyKTEpt-Y0;-BTogNC~;W9m>P^?(E24W#>4PFX6rA(CZB2!l6QkR>Et zejC9R^~%77fInB&7#i55>~5_D+{+bwYY(I~?CXXS)4H_^H~!;RPiRTCvZSpA(yO!1 z_fAbFiH4ToASPr99tbFQT7o^YBx-jXk4Q5}`$`7GBL!Aj;yX{eTPv7T9ytt+fhDAy zlz>h*S9gh)_B33eLWRKku=Tm9G~UNpuPwP*!=7iD$<@&PIlh32N#zd$UU0FMp-@f2 zO&752W}Vk?TrAJVbhYwc-H?7vCgCwdW zO^>Cx*ceyTM@JoA;|7-WMh)!K@ES!H_t?mG&nVW^a)R6;>EgO>NcV#Aizu3)n^>ac z^pmyoMFjUxrz}%|5tDAyR7`p1V@evFMhZr#)=XE@WMI)k@N5O4ntrm<9WdnuFqnmc zEF(&YaA+8K4Thev&2GxFNEtZ7(eY!Fh9$+$wrPx4&u9H`ht(xux8m?}`j-K2EGN4) zOwJdUTfK}=X#~j_xbPl!Km_RCMV52|w(F*lJIRli6a7|K3UHsRBB;Gg0G?T`?B*ox zbcW7H-BC)S2hERQRGM@vFI7y4iE!j&8P%OV3?oEv?C|+VKFg!v<-uk7rK!4fV23p6 zdm4jP@*%Lg-=<-imG;H-j4M35RY`Mih;$af)BNm;QsS?x8M4$5DXc(9!2%t8KS|my zgEhuIy+o5E%TGg*7r|Zgg*s8gv4{)dijfB2o z>l?#U)n}ybKy8H_>0(%7q1wkALa2j5#u?Mn5d-^4ccE@+EX>wr3N}M&QgU$RHnQwT zYd|+{Mpu$nmguTk@qZ@Jb}+o9T{~?v;iaj5S8WITGBhdrh%~skxx;Pawn(gEa^_c? z<`g4TceNzVTRUCJ?fFxvNfEKTtYbO__Ers}?a=9Vq3w_Y>n61wRFk5S>fq^i3t9u; zcPUHo{pKhMViIjTKuG|PAPsnC#F7I`N9{hwrE5lx$r17LBCq0bln$W0HNkXE=ScRt zLEBX|Bn@@ys59#0s#T}pP7Zi}r8;#Mqe<%-N=aw0z)1``UFlXY*3t}TR0p+m!3j&} zpSX@%qX{}Ktu)}KN`ezt;Uw-`(n?u&k|pz%k_0uEy%w8b@NL3Ct$QKhzO*{GiLJJ{ zDN$%kklHdxGbPOo2}_K~xlI>{)?H`nHn%bmmuh&*TciX<3=E9I*`tnXTS-H=f0gO7 zMCqmoR{Ig058WLuQxPQReOTx$Lob^W(A}FHTp&fdC%VK;d9KOP9U*DxqN0(BF7qpr zA~;`N@5mW;_mbU;OW3YMDB#Xwoh4u>VWB01v&=d2!#h7hO!DvqDb>zD=iP^+XEA(Z zOK4cqOf~Il6d-7dMk}sF+oHxRIA@Tgq^WAm;`L)n7QOE;aC?lEVTN=vX>sPzRzrd9s;|M+G-L)!39xq3l(9WfV%(g;l2Dc~rCUDtpms9Wf_ABeR42j7Q z=kqMP$K^#blmNQdI9ZhrN%}0g!==xALz+}T#|gYHLZ{oY9)quLAulm}jG{7~NnWlb z=kIVPdmcuAX{vCNOO0gmnMh19a_jKKr>$9dO%OpZJ|)@YI9t=xS`&s+f^V{sB^yb} z2)Z>4OVSZb@M&*0OMTFq)Im!{-~zY<0zLYwT~YO>(^^TIhP}T8UJ_|AeSDn+moO;- zeBYWi5#9Kx`zNG??2f>>mT2dDNjH)2=1MF0_&uwJ9)?jvHRPa8d}(JzC6x}Z9_1#? z5zO9_*~~{BVB&(;&%U0k0rt7lS4}zQdGE4rjNPANCVCASKwNibwAMfY@W4M>)QPK^r0Gx_6ik~i z)P6o4TM_5j1%J7hvEm@p3t_ zkNl8$A55t7N(NsfBcFp#+XJd}7>*_th22DiiNqGBfgl%m;6ej6oi4!+UbV}GAr5I% zNn?nY+FFwpLP@sISU!_u2!v)~JAmVWFR-jOQ0A6#6_X_B zDQjVavcxI?Tdf}|QLEuXv>mMS1b56Sbpmy<4{N(aY3L4=kR^eVh;A0qJ(4sjv4WV8 z61anDR<~vc&W4J~+5&tER#aMEsj_TQ(ivvC7oZq}^MNH4Dr}4D4g-5gVeRE$yK`c4 zge=KAAC2INY6*Mo8>)1`NpKt{I?V?sx+}}N+XeLYE3Y+;`{a-K6I9B8QGl|vtH7O z@=@nMurVhlAIK^Joi2@AuB5Z@84BL8e0}pSu=gtInoGBTeeR;alJr}1=ZtY3oyx(| zSuZyQc0c+{I?U%q4spSvUC-nm`OD^#E6vdp;&!<5*4^=yOQd7s~dbyI6NIaaV zQ{=lv$*T=da=H=kw9#$*Txo(OQT0Y)lAZ-6Bu&GxPqVk;z60^o6^_k7<&Me{`g3(d z2^mNPx!EkGhb(h+r*Y6~gJX$DB~fX>+yW;r>29ctJIQp5oiu_YU!$@#rR zQ$c_ulZwjN#q*LABLa8n`wmptMM+gB8kOlxZQx>5T9bz7X|EUr zmuYuM+R?Q0E$(11o9Ck*UZUU*MIHOLvd*5S#G)db`z}^^m;wxRx-9MiA;ve-&&( z=V;DjBPR)8S}g{;^Dsp~1#pzp2O^y0CRzm9m-PD%%C`Ij5h%jJ6qwV3C75l{GF>&p zC4CV@wrFVrOo2%x$;k3sTgd+yk0n%?_-bKjV_f3GpzI29F~q zu;#WS@hnI=Zu zw&>EYOFq*Uz}R$PB~YN1j8!@?(ATXf@dhc$lGqlexpn*M4?{PDJI#r7dR@}s7V+vy zcnLn?fbur<(wWlP2?bZF=QuM~;4 z4XNQfw-8*B*rv#L)9YH7gte*!OsxSM)n_wq#4AqT_>|6`E6z#&5%9}Ul9e7)MV=0- z{SJw$`E-+BB{)*F^N7oVD{{jtOw%)qDc*PR5eS@D5kxUyf(Dq{4v0x>08_P`ubTa{ zYS77+JvDRA!Q%~fKJdp0+ICR2lP)8T8dYu4N=q51Sps#Fg-cK+gR9MwRsczQQ99r{V48YAZDrP_0Yh8>c|0r3G`f8)|zQDpDK$36HMimrs}o zeDj3~S~?u9BT&S?Yzka0AAJi3sKO@Pf7t6S+7!XThGBjCoCzDi-6sz zIk>sXQPNbkG5xxT6Tl=*jyc$P3wA4Kxw=*k-uXkKghH=sa>G7&OF|{#u_Rr(8Nk&> zNi*t_0me^f8A=myh~d~Fb>}b`csQLuR&=w6-=o?Nc)@=B$tkz+mGaPZY6uyzuhMet znh+8HPc8mB1f2I}X!)!VuufIdr-VSDq$WgsC0j$lS28mMd?nczhkPaGo>0VB^1~4D zmHaK6W$tA22H2_I3P~GJ4kZF5_lAhC#oiOmYd4_WCzCf56|owU)|6WjTBpdjDFp1bfbO8PoA zrHQZP9U410Lm*HxBqq(Fh_3|0^Z3bEQV^`OK*^Ap zBtsEj32sOE$ybtXGx*g*UKOWvP_Bl#R)i3Vw4xw!fr8^}tJmLiN(XioJjLWaL`ojfJ74t(#Pz31seyzGth5URhtaQ01)Y%KjI=|-q_Yv~tY_l-N=3nj0d zqXT;ug^tdX8+UvUaL#!`(%2e-L+P7_N=HvNtvfU@S4kd@hU#Fh%dtk#XMX*OGk~;5 z^CPx_+54%A@l;7~nSqhOD+#8@gcW68Og864!Bon9LoLtwKp0f{J_ zkA^D9G7+~Pao5HY|!Y5TgjlCbml{ zbKA7yY)l>_xM*=o>qe;7Ob@f1LDFlc7mEhd@ro2HSD(?XA}A=Z6w%&L`Yi^w2?l0J zHxYf>86vK6jjHP4pv{4S znAE!VWn~?tWPTzZhwfCeuAAarJwE|>hFynvcYIStP`Z%T5ri&S;*zxEO;cGaC48qmiH!kz&P@?jxf_ndH91(PB=@N8 zKwgr2Zil-L)N+BB{k`NoYS9AsjJkMVxtFe<59d4F;=wUl9EnM97^-SNRH6a8dvQr( zW=I3GCZ`|O=S7rdD6L?!BsnTvjIDuzp|k<_&~^Y-H`)${V#YLUyctS&r;<*D2W`z# zlD@S9aLM91O=X_jl}>_UJl%n2Kr?h3Meq`^oledN@t-8SNl6#l4hU6J8JNzoy9{lI z!0tp{r82M)UUv3E3F5s0(v4rv+MTWrq|uVg!fqmj(RLW(C1n|v4zncZhn3F52u*n8 z$A7YA1FPE(r*5Ir;Z-ywvBjjzM>T+5M(b#bA0(Wj<2s5GoD52L0$HK~OnJE?$Pz>n zfQ>G|W#EVB zE|a80@y~KnQtmw<@hT%IFd^MUy3<`PP+}RDTZ|3|VB(C-jS2W?DJEoKPN*z`m4SeZ z5L*n9U@ulJbY-B0VxWk2QtoIagLV5cA>Aq_DuTt0&L32kO1dZm0}IoPTK^X7b`wk1 z5d|g@eL@<}r*x>mL>l+rM_%H3x2o(Ghn!E4I~^`+djIImS6Nn51Ur;FxaL?($zsPM zO94~PkXCj>jOsAe3YNSr(Rn$Drv|wTw5>uO=lue}%lhF2kE`?>a zyH%`a=MXHe!32$M!8B8*;gmo(mo-p+YD{LZRav$(?!$d8~wF4Qtj zl#v+ko)Qlyw2)1zot|9{mQ=?6N+tk(d(O9 zyI;9)``XID%fKBX%zSa}+&4ac`SpwbX~PDL?Lc1Mv*jEAe%qF{a~q$#{GHIf;UhWD zYb7MTYuWHI837(|1P^_vE~iitTj=n1=V6@ogSm5i{(X@u9g_Ig%o{?42$IF)0bZR* zUTh{BJ~=&^eA)MMHOL{I#DdE(Xu-0qmv<1gVH2d|G#Gc*d1o{#$szoR==T+3?1;;q z(~>VwQ%ZiBo`wM;$}Rei@?!P5Usm_XY>Ib4GQx}*JvyXhDGYR{tz46K`&WJUoHZw5 zl7cfH%&FYz$G zgAGeCX60bJ8%Hp374XmKC&@!lCus+&*F%;few@*TEp2F$% z+1GDE(|d6;0@I@Yl4%f_UFF$Dy=3>*(6g4E?0moXVKY+>7CPv4uJ)7|cLG#ZJ$N(3 zu|$=9jjs>wd+9IIOmzja>oU6txr_)`sgoiFFPqHqyg}4JJAfV`LjhVC+IOHHMLr#u z5!mxPRXTu5616Ix?hPe}obd2U*bUx>kTVihoM5Q+6l|6|CI)gyv99E@-mI5SDBViZ zaK0eoykIs4iO5Q4b)%v^Fnk(oN0v=?SJHKbLAs@R7y=7-I0k82CP22)!DRfr#^&LP zK0hPuWZD>PcQa@~F}MX_qXVJp=&YQaGUGMP^DWVsj{UdkR&Xwl$t7jH_4i7)#VP_?5A-jnJ6ZMw?dQF2POH`Fks-79L_Ct(S z5X`P4OK`XCLoF!cLCI(~Dj1xRWq7YaInQfrW6S`ITXB{})xM5NK$yu{!kSFgq=rY} zbtGv`^l4dFl<<_Pq@59@-!hEc*aa8V&#+IiJS=)41!fKl<)Ibr*%7LdNB<)$S5elSaCKr+=yYR3V>;MMm!B#ytb62r8sN*tZ zdmcDuBk+feDjhDxJ^Dq@3wD zUar4l*AnIBsA6XZvj{c5i=T?xhQUH6*Yf z$m)J8@xK0-#L>Cyva=UG^UC@~e_j3uebAIUxySPS^;>Qa-O*WU=kajjm-vx19!}g% zV^Y6(+r`g5{e+f&>9X?{?fSGjAy)Y(#M5gxA-gqCY|oj$ zQl$fR9w#=(k>YiPkz?i|TB+Er;*Li*%eVZzt0CJmT$rp|Z7eXjZG((6u!MAL zB{ZVr0hB09Mw7-D5D!9$%ll>gF{`~|&PDUp;M33@WU1U~u8Xg0O{a%uIcptxsV%{H z+VQeAos$xcb@7D_%VNc#$%29W`+mH|{7H$2mGsPr-CsV0?gW;M;}AzIIh29TTY|HS z4ujKFaqRXi#5h`PGI*mZXCI}3=RmZ=Yt-vZnOF^0M6@kEwpMfx-Mk2r4BFn|zC76U zR_2^Z^jM&L3i}3;-ndqQHdGAO>fr4sj=wP^2^sppq_yDlSi%Bc=(6xq6vZK*PP_>G z;%-$s46RsHJvo8ku2Cvm8atZmcU?7aRrRD_eO3Z~Cn^?p9U32+VREs7CmbN2L`6IQ zorzY!*QJSdvls6qKpKx5P-AP(NS}<8bo&gGkj5SdsMBbtnRYFNs;e-bXr0dz2^hFFZLIW`%%pgCA%d-bmZKdVge!7%#_i?!Vv?8E4ON`6 zZ@r=F+`CVe4qTOslBT)_(iI&ifGVh?52GR*Y>7lIPbl3=QwHKSV%W`@&F9e2)PyqA zplJiM%JhiL%#v8wUeeTldlERk(fj+ z!BdDJRI}G_|Jt>;Jo?1k`=FoTn-JLM4J7?(WU1WI($|h2L6QzEIabfA6W;EgIR&b8 zScmSQcYIMFt?8tv5V&QqDn2K9)pskCwR~LzIG^BUUuXP%-YWF5uZlZxJam3be9D^6 zS&8K0IQrLI(^$3CLdiH7k-*{gWs5%6_$WTsFu0xM)B8GcZ(v+X4kV2S2w)3MH2!mu z=Ph%sFIIj;dhy~9UzH`yj2_Fy8ukw{=r5!0bop>+r!&R7WfJaCI1KN2ex>2+Y2M1T z%Q|oWFsw<4t2Axcp!0;_XasY0hk?z*2-C3_D(A(|9QQp7YA91r+olh`X@Rje<}i;s zy2Ce|EfzG!wYb`6922G0VfBoqy3vz&n4p~_&oRcKYgAE=tvjb;B#Dy+hP8;pyNr6i zAanMj+XGo3JC<@5!;Ly6S?iMWz-_q4yyeYziKW+0bPT-tan`tph9)C{2l6X!$-~2sEWfL)OJ`|sH@Md zRGcqy*L4kUIL(3*uv2GYJmnZ;;jf533(+q5=)%gRU8R(0OQJP)R5w-4m*n@ z{p(~)+ACN#&9W;0PyfnzvKj8cL#2BQ-qS#ht$4E06e4cXh&w@+C29AEnpGRmahxe# zo|6z;n3FW7qe+49N;TOwNvlu|uLOFB)18O2r%w>?V=N}l=|_<%kM4?(nnt}Jn26S7 zM0=@|CXN7;3%-clNzh4L(QATg(!`p;kF`rwY{I|@i5zPZKb0su;-#j#lRi`A3RM$o z4MbLh4TZr%bdCoV5>}Y(65qm}=+;BEoP;9PMv<5cq7W%I1bLuRxWM)LVm+g25ULhD zsz`+}w_va#lgwG|xRTzQO%7)5sYlOQ83)nSCsxcC*Cd%a~_A5F^U>3Gf+AhtE(grIuJZ8 z8?+8Qx*UrQCpjR4mK>_2%!}!5sbY@hefRy zKL6PL_nZGedtU<>MRo0eXLhno;$kMtf=i0+oqV(b`;j266nS!YiFBdVE)ga5McqZk zU|S2N|Jte#Z^C4aHeyQos_m?e{tP4g;l_IrTd{qmywa-YwDDrFt%>Q@p z>?WHKAVH9i=0av?&YU^-oO5UHJ@?+3`+N3t*)KB&AhV$|1LexSU~v1d;sO1ZHK%&) zV9+x;KFMaWXAZ0#P|ju_wFAeqbkN7?u=hresvSC$oqO0SAkaPU)=9Y?zN1$=SV}$N z+2`q;=X)iZJMEz{ok>;S^`&=dXJ=pJE<;k4raGRqE4iv(_k8XaOL=sp>^{i-Bjr5A&Pq;N zTYB3@2w6Mp8|m8N=*JFPQX6&M&~?e&Gkj+~c<|bR4WM@L{<&k-qwGxjwnG1JZ7_D| zUy+}3TyBrD@sxj8(lnoVo_Q?X?R%O2Jc?0czNBZKX-e!<&!R`|;Ccn!ik#9TF7ez) z*;&s$v7>R-yXzDu-%$vscV6?^KqbBP8ODoqvC9;h$PF;Bq#6SZGJh z_=EUlkc=C*`-T``F{Ds-CpWm`4hmGY7arctT*BiY>aXVp4%mdxp8<)O*n?UUQrQ^-09&4dBgx?BZRU1TYH2tnzTMus(7 zfsuR~i|K(HK7>tMvQ+xC>PSUn)QXozm>3I@$|p}1G3agatZW_$n|a8Y6ed@dg6uaI zaY*<37Llv+eYLo3!&qbqd|zU7;fqi2BKOpr$VcFlK_PS(k?W2XmM}e1hFrVFtsNvG zPGPAOARiPMAP$;*1Alcso*A zscUWVV1!K`?(nSUd&A7gN;Ctn${GO_BgI|J_-F_j-e}hY<{A)L$|o(1d=fppPg0n? z3a=`K66F`9Ai%o@?${D&VgMVfSLwK9RXPND3!hXtaZ)fy3P!>+p?56EBDlJ{EQ0{Z zwcwLj1VCVR$i82<5-h6~$XFgmLVTwY78VCSpuyzaU`0@8x7jN-;RP^KmUeGWz+sJ} ztCc2ki7W$*X+`EGq@eAR8EFDkE@FIV3)n{+mvkO#hv#tRE86~&Vf=`%fklRb`l90)pc-*=D<3Xl%R zCUNAWyQ0-k%54HR>q0VS0K5;04;t~*Q_F#DFD8UMJnk_b`Li_8kB|Y zObDFOT_Fmp*T+8Ynt>V@7gHKqOWSdZj5o}%Lcd62>%KYe0{L!s$r9wjbnBgZT;5Ji8xLOv0!#1#54l?d^v`&Z}+U%NA zGfc6=&vL>K>H1vueOyk5=^(plpI0?Ig(I(l%V}3$u#6L?K!b9j?hD zXY|`A=uLo|$uj)-VTY+ja9ju>ldT5j*v9_&q}$3qxJhQRi zh~!QB@C12u_Ds^`OEV&~Nk}oPu$o~G!WEF`*3Td-T~{pyxg;C_xjDxrpFbcE4$R+! z-2J{a`{61#cKBXq&q7DJ;&GzlWvU!;&*13D$Ot66gp~9al1AAj+5CVk=MXuLyLFl? zL@kdPe03?=NWGRWbNb~IgHU!2JWhnN zD-4^3DC{4g5M+sm3z}qPiD#}`lXZtJodmeEU2|L^@d#Ws+|ig;o0A8Sa2^Z?yt>ou zh|_f_C@fSVq&Hy+vpV!c*c67onZ1%Qc?~$j^+}9;WT)_cdnh=8 zg9=jF^}MTk`-IMlpZ?}p^ej|-nHfOwj01{vnL^nuumS$8WWb%kI`P!qpq>SUhUOmOB&PF8dSlr)3V+vVuzmV)>0M6xJqe;N!6Fj_aNax`eE$dZYOPESK`&T?(!Cx#1hn=mOuZYQNBx{cdj*(#$OT z@L=7h6=SctX7YrpXYOr=?7Qzd1QKqSCRlUjeS^VXtb|~t{k{}*k%zHjy_@B0*UVDf zFSlQ~_$^n#7yd-(z~|&#u=f^%)4iV{Z^>1=9?9@Ym+Y)Q5PVaz`|!y!EDAG7e*Z=6 z78>2L#}JUX>C$sl>=2>Pd+Tia5_&+0vL7@z7H{c0%bWd{`b^dZv8}9(7yiU4yu!HI zKd!Dp_eGuqD%@zG?DApBWn43s>oXlgA5YM+k@-Nvoolz$+041wZ#Z}PM@5E=m=I~d zs`7pK1S{cBwl0Z;>}m*EI$V8X9sugxKu~t+!|p=?&1$O9TB*=RbQPKjB;09zm8Hww zUBn|J6@VH3DsWuiv|DcDabs!4^Z1I}ni{R}O`TU33C5aQYT~v*D7%3RgV`632!`UL zgC$^`Ki=M>?9#UEK2{R>%o@uO|k|o#} zc>yBd9PRVWu`~qA`R*Cuzp-0mz_fFNZC=E2jm`=lI$igGOMT@mk|jzC2|}bj;1{dW zrO{=MRbV9%j;m}bUOzUecXZDwn>3LQtOI)l#Dc^{32S1t%wd?y&}1_-&5oKmxSAVM z!;j|@rr(OL9LbXc7w9yRnd)i@^te(TmSblfsX3UAhX-cXsoFs>G(mM3GMV6n6@A*b;n6qpJv28)1p={#JDnE z>i&SI{NnM(Y-f7ps?oE%eKK6hw)&4Kixum|`3kDnEVC1J@+dg={G(Jl)a)zc0gx-= zg%@j@mZ+1XrsOoUXzZ#RS7qla6p{m-dD3^-8q2w~mN{U>K4@Vl0uf+g#VF7FwgjAC0oqqoUUm}KS(rQfqX)KE_GrA@|`Yq&; zjJ&V2LJ{d!=}H27wAj@F3C0^*M3e9VAg|C5Gc?`SSd5uG?0< zud4lXW2@SCH(k18ck8B4FZk)EMPn|)s`W4L{Ni~pV|n+gzx<_j@go?zCydzTSXF)& zt^j%N{HLB?`fYpDigRB8E^kjnSuEV%3sH71NCyQZz6abX053N%5J5~5?IA6;6XA6b0P|Sm!NvFE`Mg0rv^xK zmk0k<%}Jr`er$%S9Wd~G%mCej$3}LT(bhvY955*R;5XYL@00%Cu?Gl2G2?0Q&N=ye7Ko6MsQ-XOUkorArd?r1 z1NRb1uqGkEbvoNX^b%Ic7{)6?BF50gE|o+?@0tEE_Q0$>MB)afH4vbes8a*nkR(JVM5L2Q@AT&v7UscSfmHWE(lsy~YKk9=EOd&7YWPqB zoiQKpr90f|CY%^dr>F;ZU8`ybw;57R+{sdJ0}U4qxf$2cuxP00fclyj6e*B;liHg% z3){Tb!-nqnN2Z(|6haHK?V}y`^+M-#nHNX|gI}3~xdjyseAhATIMtT7EP~t%y!F#U zE+#JkUr09o`f8e0kZ_0=`+OS<ontDWEWzK|3%@e0vG956q17 zCc#WOIgEw;d7aRO>F_2?<2aRV%27BvfQ_cfr?pIiQ)F|y1j74Xl)gEh@ z)MXf2fB#wLcL})azI(GevoXX#9nQ&PB2KmLBr^k=qA znEub_9<2H)8Q%wP?hUPdW_e+^t1`1ZLJuC7TXP^qeCjIL^ao%abar;EMAydwFdDkB zM-k9%jv6vOIjz4l7L=|1nBTM`IZfEd;* zBOhHdJ`^hX;neE$TULa$wxuw~%f_2?2%OKpCG$Q3uHI2IN4e_2A|50kPoG%(Z$5rK z3pXY{@^DOaU8)JDk4=foa)WCr*b6xrZND?>^HpLlLT62Dvgz{yfnK&2kz6u~{$Lgp zocTNj0#{GRGDpIc904_pu&h$NhuD?F;dk7Agv*!2PZ7Hn^4;F~;jezb9LP$g?k-0Z z{e>>6Nwp_4ws=($HCiVZJBn zP$=2b&5U|+N*GS4)U5-$B!lTB_JIHECq?W~*0bgNa95*M-&MDMsNQWpM{PiZm=n|f z3jA5=SlP@Ok(d0d$DC%DHKHkMLxd!yKGIp7Z+_)(UJ==DuaxoAp7&Caz=LgzS(&Wx zTbBT3*NoeB-SO1O{#+^-l;z0|#)=?h)AuSP4rV zFF641`@m#lVxk<;fSB(A#Bfc*8!wSwedJU3_(#=WUGQPCogxiQdgEozV#ua%OXv85 z?9IYQ;gfWXDIL6Z0WzEl?k@5HdbP^k(GBz7e)6SC`o+A%bH z-O1z(9~Swz&hOHqIc7q$7%ySvS==ng*g7qCGCdSFn#Lk*z{|tZ&l=GXO053KwE~RA zv)Um04fZL3kV-fjO`=nqIgpf(FFj>u>@ZLPht7=SH2tL#w9PzBll%BpqmYtLm}&yV zk_7^jA5CR=_q+r$aEb#U*h;n}fuod`ay1=1@3DL$Pe5(~j z74i@#dLyW*E(1M(he{be3&rYI29inlLP6JNDtRbb{X8d#ucyomEe=+psjJ`%ye&r8 z=fFkM;4DglM5Xa{U7xBMnB`%H#HU)4IuYo7APKc)k}<@RGyFYpEuf>_~uqRmLb7g6iD8|O1q8LyL zJh%I4efNdh7Xd0ovR8FLy8%f!#>|s8v-`ujo#7`MvuEwo_Dj#V9~!<-4Be5;Z4&o% z>Tdv}b06<~2#%Gn`6ng)xf{b5vB~e?r*%&!9x+ur0QTE- zVQ>c`Y@s|CG`&I35atDTb2n#XcUQg8yc#b!hv&Qp3lKbAaob4MY zJt@ZQx8!^5rY|P4n1P_eiU0^^My~d3!_hN+D6O@%lgEs9VSL;VkKwY8~dy?9?1G5(_h_p#$Nf6 zT^*f_dp@*w=8c}e{6!2YHRcUvCE1gb{(C|pMvRE&#KztSfK2>u(Vqb4@-M62-d6i0>`xnQs{ek zuKsH0ReLW3M(myKH+=J@d%oQI+Di+cPs8bg`;YDCesk;HHxzH%yYQ7=%b(c!z`O}Q z0ObDh?{_Zz)7h}{F$KQ+EP?NW+lAA;q4~bO*Mb{pwb!k=aYIbx;2-bzk25n=brngmwrhlf6Xfm>wdX&uYgb9*(qN; z7uTvA!o^y>Zfy86<&$r2Ron}=zW&stzr$4*T=MYF_x`?n;nqiPx(E2aM~a(!PLCqa z(7T6YyUjT{$PdHcNA8mYZnkt;en7^jPSG*<0zNf|D&i*e9}czzt0P&_ zk$}?;39ll9+W~h@I9S1!Ud3Vn*pgZ=pFnm5Y@>UTl?S7}^(F<0`~CVPNkYy}XIbo{ zLR)J46q#gCu@Xij$BJ&f3vFqtECVR%kho@L8u*XNW|P3W&WD0#j@1I6lvI%M&4BU( znwVzBD}j|2hpVD_l1X6lT4RL~BXhnhS=N<7q3)9sLhyB(m6gUJtaQP5AtbFJaLv-@ z9Q}LnSjq14H%g=Lhor7=@8D2`{$r4Mzhu@(Vk7OfOoXaz0?q|BNoU~>HziZQ8hxJ1n26*k-RqNJl{(HE zdQ*y}`v*&K!&MT5ah#~`iysCn7KD$}SYjQc(=T0<fNFc46m#hQ>C>;_~>fSGAmK|!GG zrZtf++3)(qwNe6rl*y~i$#~kmHrA2VU7sK)#16sPK{&S1jG045c&~s@Dk=zx6j^$h zcRn1;Q5x{@6iWu`+|qGL}5W~tRgJhJ}K^^PH z4!^oQqjsR11Eo&hW6G%%Uf|(7YUF4dAQxHmC0hXe84u$WH_I6EHo7$_xrE5^5|A~g z83FQ?k&+pBkjDcIws|G>6%sL~MW*B>m{Y`0TL;1smscvLF@NbJOAtYnJj#MnGG zB~Y&?Z3)LRpy)EyH4sROjgg5ex&rl4FB8HsStERxz!?WGRkML!3R_U_&&G2?uZVc--EDrg|ZG zY)mal0WgWdWgk~RsZDkIpp?6^)5qywD3+vsElqHLCH=U@xS4SxC|mF&X#b#zbV(;k zJFc8GDfKHK-t}OckAvnEbR&7s_PG;$^F;NI&W0k$^(IY70T{UuXtqBnl;N_C&=3=u=2m) z!3B5S-Fn@sP4DKP(e}hmJFad6-GA1c?+Op+iv%N8V<;xdNE^>cDph;nv+i?pn8p){W*lmYaY%g@h%U#c)v%} zgD%AI-(a``-|dZ?8{E*9B{zDPWhk>O$2p6!@=L|VR!)0yWn^w~%kbv7)8_XD8uVx+ z>bGWyCZJ<^T5d1YnwGMeMy(#4I&FkC-Oe&M%;zmj={^~T`(%k}F0c;olat`C8pv&b zP^9O92stTyVuid^JG_1Jgh~|ur~eS)N(8#Lr_~w}rhwXL!f}loxz`}}^&`%ftW@A< z1cQ;BJ{4fuO;J7Ibp$RCjz@1p!z+IQa5v&&BrT@^Ld$b%ATU}YF>c?enXD9lCt{MM z6nYcgNpeAM$w<95ugHO`XmY~>x?qyiciWyQK(7iQh;Iw}IYU1~)M&*r%i4zigC?e+ zFJWm>7Gpx*70~X(*Y6}>;z!a>2`eq9-@$Y$c|dRff{GmkLwit%k*f>{Ry51Ui7dM` z!NTgmaYc&_P2y<<({Q>mB6Fp8gU5fmr@%kGdNYu4x7#5y=T)gWPHmw2^CQf12#Lo_ zgkV}*UD&lUoL?XLhUSZeiqlp$c&!l_ybPK%YgrIM!Z^FI?KV?!OFvp{2swT}NgJOA z$n8Uu>MjL~56*2$=MJFk!j#I0$znW~XE(Lgu>vP>;fPRq)Dr&&bl|mECQ3W)sk)g- z=~R3MS(X~HsZ)d5g#oRsFmdxtgb% zF;zR9STLzZ7c6Z#Ru~A-a2pgv3m6KaYSuIZ+wuSgDbEMWuDI)r_E4DnhA&G<%~BAo z(u&5|5Hl3w%+N5TR>QNbKn>>lqalDx$3vmfS<{yqQZJiXinedI6^(fA26SuzU?kC) zen%_+aGrjz!J@#JG(-p?ga&esRI*7EWbbMMG9npTEushc{JzNt;XYEb2q;ZvD4%2s zsSvv8sbFK5f-?kTQ*0;*Zw7+w64+WTZ z{t$x+uNV#?OCo}mHInfLioxN^CmQ?>u|2cw?UPIe2e}V<`4w%(?Kk}F*=1MQPj6Xx(WZ|~7`3)y?2U65JhcyN^FQ;{ z*#BL;1+cVtlJR3O5D!?|3xPd*_HUmCK<>tK&aG0wzF%*}sUF5ZfH{#aU|-5IjcuFX zH~Apk$A)~t!OAi}UBoZ9FSw1$ax0e8-*j{E<#x*JurT5qu79T9jf!v#4O8+@3;Uzl@nTcVFKlVR0EXA!=+s)loUlJ98?vX)FNBc7wjoy3!NRN2DHwZD zU~&C(m5YRHrX8D~r&fj?ppb!NS)G!NF_S}=rR6O5S56mLgg;))Uc1U(y3}UIa=0qj z-w24|iF4W9aWwS+-4+D%K05PQspr>ISHoO(HPH>pLJ&VC&38G+fC5aBJaR z9-Zr^hMfdO-}PX?no(Fn(WG~Oz#Ry4OAAY86;pJL@p{2nX$j7&aNTd|2IrxfV^nVf zr+OrkIMCFs^gypXG=H})JjHm}7$2WuffZj=YLc5X8W#z}sxfTz6RhZbmL=pDB3XuD zPSer=`V=O5R&cK&qU_!h#zY|>!Lm4pHPNA=*^Z-|uuQIa0*qL5dyZHr}#)tkrf`*{I0+vHnPk?8FO~di{A%CA>bdUSBEZvtR@* zUqifkc)~x-AV~WQ{G+Nz6Lf4|17t5v_yJ9;$ic{Ur{Prv{!{}RMMBv{mXRq1kh?V53!*(z_5Aq9OmVCD!{baeh2##x&;4%X6L_YXycBj5m}1?2U1|ta>h@f$h9b z`VsSt1xB%DMw0gUX?7k335hAg@|?TfYqu|IDpbaN6rN38?n4{{S>Cx;}r%|G0r1}B@=C| z0zCD#r+EuBtQ@Pj>;1aPCj&$F=XqyBD6k*YG+8P9cq@ z%?alduC$W0J$&g1&d7QK$kp|vC6b7v1e3lZRf8q|~V=59cvEYIUOA8gzy&Rycbc@&;Ew1iv0TKdfl^Ox+ zfQN?R0{XQKs)Hm5Zf&V}`nz!heA&(3rEoXtXzXR=&<}C&2vQM@3t0iirZTe*=I8N< z#cDKkx^#3Yh~w65Q)5zNMwFx4Bu|>UFbz1ntK*`hUpAOdWe;4uMb!=`E>h^9dScto zoa@2>uj?jPKCpGd#qA4za@kG*!2&vV{faSr7O%LY>Z~pKCUL*E;A6Y~Z9(f_ADQs> zrkf{JJ@d+hQMbGaI9=ji(E9Y!39j)kjJd3R{;HYn^IM<3eL?H4)ssHGaS=w`w@knQ zKe#b43?Z1DIFzf}X`ufD#3`nkf!x2%*uQD(V^!bT zGUF5K0il&3jyd@1&QZ0_(!(<*w4gA^Q?$n+-Qz2HuQL2vcZ3OTdscsJ4c@wvH2Yl zbfyzAIAF<0XSr2b!hy0okN+^z&K-R|Mk-X6IfM!)6e?Uzzg&g)JmY;}+p3QG(f9ZI zita+2Z#%VWyd>?aQRJ#r5o4-hq;tF9ip~&Vza%hdLUvj2gv;>XTuu+xN zPR8QS;+VEJF>GX;j|a_<0wcBq2IEG2G_dC!;67aoJa);c2`_-tgYbc{l28Rc;P7h#lOH~<32ktaTHbQJsV&{HP8?;V z9^pa;y~l3Pmb}NQV{w2ModTEcWN@`F8Gau^bDzwH>tKy-nVK#TFYz#5V7S+g#h88? z3k|pQD>b85oYka>nfN-@7h$rV6_he@1&h@RT7*Xh3=%T1kPJl-CA5n~-@p#0Q^f;~ ze?IYQhr{?5_adI(bfTw|>y1n-Lq~${)6qhm(nRW!w6N-13DXffV+ya_z%+yz)^iX` zGqZdt$Gj(9h3oLpC|Rbd*?7Gwi+z_~i;_whHtKOm9VqVfiR1g5sa8KUk&1`oN5?-b z^M)5Y1 zE}N44&>psGujh>$J#XwUzPtnEN&Bp2qCZ}8@jUy(dCYjArzeH*=jMUhOwV<9HI`%W z%D0^xE&1ki7rm-y-R_ySO{*Gic6RXG(uwfkuH<7cvX8x?@7l($dpY-phlX~(EjyFL zrzFq(2|M#+?9LdwbARDPV^!zdHS;W)^q=^pTjY=fnN#8#=_n@yQ3|123@Mo!dspSh+`5*2w7T)Nz@V?0khi_NHh3M9;6^lerxdwL{X|pK>Rt z-v00%YaY+Ny>d_uJZPvLGLH5|Wtq35b2eKw z@5%M!JZ)P<&OCwPiez^Bjj2N$e`3@Q`d@o#X}B{ys2$)F-%yyNeevzAw~V~Y*XCJT zXXlVT9VXj1@t^FIzv);7+}tzQJ@Ke#-|urj|B!FTf3huWwW%GQs3ku3Wal?L@2!So zb2~1D5SA>$T#l+8=-sNpbgFp3ZA~`6doslis%KGZvJ%9~)U(K;sFJ(Uv%pMmPLH02 zo8{3qXi(nq@W5reRPCTZRR;o-3c%K$c&LD2?F(1*n_GY3!n-Q&Ubwk0E&Lylzxvn# z__Hr8+yac!Z#)JlSwOJf0H!au?tJOI|M@#GVmpBm+xg2k?r2}I@ReWQ(E8h_Z~7rn zcE3uvxd7k&(|wnKTbZ+w$WL7N^Gm+AdVBJCjVFeJAV#PRl>IYdp8m;jyiw-)yEb-``$CFcfR-O zy*Ta$X0!^TWUr-n#nRtzQAkE?|In?)cmtRS%bM>aL?!D~gIXAbyy7#)b zE<={@{{8xI-kAx$J8R8lUwoLd{MFXgpZ~?q&n|rByq8x0^oEPlR^GVv;Wr+Bb@#Qu zg$fxMu{UO*1OIj}`Q)693Oe>-xa#4F#O;#%<*J^tadbKI>V0btk_YUQ6w2;%^E;6` z@G6{9!)t(H+FyLmTS|z#=q_BAiTdi24-nE#wiS1s-31*xEuVxGR4eG%0wNdPV%PAn zKS1P&f2H5}en~`-g7FZLaQQL=7_pWW9ns9Ig{W|{%QX!syN!m1YprM&ewfHBuPM>k zZ~R4$k#!}Sv!Kz+BN4X5sj&%@7sK?185v>u1Ha=N1yFZEu7~js(@Y4l3=c<^jpZO~ zLlyWguLiluF0BNNr?ChMM*KshkyRQwJLnJKNJPe#FCq_)%>>`A6p>*h8{ACq=_+rg zzw_$}AQyc99no$qEB+ zLus!y7CA*4i=57)#_!?5q`#=f#&;OH(dJ}73gi7=oOSOLO^cl2bqpI;1+0(5xLBlu z)x?`|?5!m$$C`d)EsvOyT0{B7y{k6#MhAAul_|1-)iq7HI&}|{5FF8QhLFsgEz^U2 z%O2!Td2pbvLRsS;9C4OgJ4k{f@+&Z-2!R=4Ru4ah0%7`q$<1j^Tud`)0#rC=5Pa^% z8Wf60!hnOyA`mtwz~W}OQD;k=F*~OdeiAR44Q|;CCS3>qY^XX6SRcl-XJb{ktawA% zx=^c&LrBiZhPib`W;EzE8f`X&RRpql5J*}|HUp-VFQHX?*@&Q(U8~{!V#b(#ZYZ8}L&LAu-6a&s@HP_hY#X&D?w1czBCw35IKzo5aC67D^g~(OG zSR|a6h!dI6f$iWN*MlJABIVfQa}V0)iSjfYCrwbqxCg(x&#fI$@W&)^da4-Bcrh9g z>t7NWRj?Gdx*8iGSxGO3PVpZD4n<7HaHC?MCK3cBySd;1DYb8;b|b|c?+g4yC zo6@wVu=R8)UXhYMhWi^6`Uo*BR>C}j<;f7ZnZap+6N|+x6W2?@STVGMG(gSb4FQNG zF7*QelcNA#0YWZ1z!=}!dI(Imw4x-068K-OOTNT{$wMkUE)q9rMgI#;!qdZC^pC< z?u^vqFsV)mNk7WLN{Axw5Qi7%tDbH6wPYP_2`yx3j3uItt*Vv#ka+#*lSz z*`pP7Yzx{lH_K+g(E6$B3Q^EtYYOs~8nJLxcQIl=7Ifl_Aq;3?wfI&7Egv;nIcU3V zR@#UkCgbKbG;vE&|Cwi$f?Q%&&?EawWw8+5=_CbfI#pmOlq0OKqS zI9D-tKQLlh?dW>oPv#hqZR-9cn&x}2&Z{+~hG+l_LD;@zHRYwDVMmySybiRo!6g&; zZiyQT!Af9q!Y4VI+@sURlaO#w86UQq3h^*`tOi)AQI;F=jF|=FYB=Lfli5#LEoW2U zlZO8%ggGYA#wD+3Ga4A<`GD8d9Z%3Uzl^4taTX3HAOx`kSs4_paE5oZEV(5-vcae= ztNbXiMIF07#-D=)5um8@|)qJcV9mLt{eGn-029wg%v@j93{G6Ca*Q-X9uDDv`D$&;%P^MI^w zhzzJ+1Tz`rOjiw(yY4F7gNJ+4(vIzi2i@4=rQ4}?c$?9~HxQUqg!OnbP@K3751$GR z>50xDC*lw~Md9YAxPvjAcR^MCSm4nPGN8WJ#p@v?FA;+fJQpBWlMpg4D-lsMW|vWM!rYl}{8vE}eyyXnm;? z`7dy@Qgo~05w8W%;O2W-@S4B~QgB)@Vbm2@&IZ}A;X$v`8ys}bp<2c1l zt|H@mlW{yq&Un%e;lZQmD%?(HxJDjf&%zB2EzWu-m#k=-6!^_ip#r$G>s+G zF?i~&d?iG2`{=!0CW?HBKiwczlalx;!lWMr*8(s5Qlx1mu@*^ppMpyrCvl^fPow}? z<2cfhE8dd!FbRU;L)a_|q@kmAMaolgayf}Yuue&^Z5A6vJqI^Ij7kz)i{(K9f%mZ~ zOSIvJQ0J5>>D?3sKe`g4=sizLoFsM7z|$s`s8c{_z?7gjOXZAS50lc?Nx`7WhEVR% zL=%$D3&BMii^1OpW6@HO$w#sj5MDYcT`mTbU@EY8?Q-?DII0~easf}}C3P$Sm4$AT zWFo-uP8f@lm8z4XKIarn;20^Xw%ACn_L8JhW+K5ymc&6WOBcjJPM^ADNv7$?%|7wm zXH@NQ5Wv>sOunmizQVTs6M@0bno#v+!g0Og!H*Jj?4x%q!%{4-UvtKUeVzB-_cCFY zUcKO+^=s}JPUP2TVa56#;7-B2-jP3i)0Q=_mt6F#%Xa+amg#HHUUhdd;B@cLsl$RW zy{+6h;f`g0dVCUO=jQ@CmSo@4inU_iB~0FPU%%#}2~~f&@4iv(*8x%1Rgmy!$3Ve@ zV%zowOM7tcl-}1hr?7;Tf`7TMJ^0#!Rrmb#in_}PVt5%De=dYPux}?>2?asE!1c+c zJHC?=as|k@HZD$i5DHepoV!xsyAH_L&l)omD7$dgCm+Nj_8T8tvh0trvf4H03-`VC z;N8s&S}$0Wzf{SdHQ~l*s2I9%bL%c3P145qK-s;&i`>QS7FwEnkgN)AQ+MfPIQICv zs_Bi*s&+VVdJ;xm^-wBpDHQP|c{*xUm0FQxyI$UNPg z8n;WP$8BeLGgevk&uqFGa!L05!bqiNI~tEWiN-)nLJw9}==qVkS)@rzU0Ol^D6<0J zH^+;+6om0mFr~LGXw8+|Y!06o8RRrK$OpO#S0t8@-d3anYv6`H5vkm6%NjrhKImV{ zPq$W@7bDC0z|DOP_;gxfi{lRzVNDkR2M9Q4`5?Q%-$qr|+L6k%vgy4j>6Xm|YF#d=NLHTGUC$Hb%$Y@S0JserYS_#)rb~fI z3Y>|#-JN;^{j+cmbt_C}3R!NldX0sX=-|MEMAJeLO0t-TcG3x1NnVgX>hCWp*rjfN zFB;@KMjp7r9d{56HGCcWpb<_Ry0UcPbkTZ1lQPl-OT-13=fhZbL{g(70)>T6on#H` z@rdBr*#KNkBJ*P64tf*%hb;ZW<0C+`Aab^?If+2|%R|NnfXO>P5*5?y<2IfwvNF)- z9qU*Y)vXFQcP%TnWgRmO%CgY!BdFeKwjJj7=(ZZ5(t@n%;I>R!{YB^;(Ewv@34B?g zTfxex2~A3lq6Z~=UK#Hn1z6fIn8*^P)An1&5<~pI;GWF02tf>^wYH)WQaLmh?>b}|5_P+g$1w^UjR_*-l?5Bz zB4&)6$qWq&ntpgtSS&QuVESysAiY_%TH`F+6E-FPg2DV|!$ixH2Hg-6Sz;+_J8MX} zp_LnXc!P9v(@5^{AErj=no3eBrJIGBB z3A}N%pJLXE4|C~F>Jux;lsYOv&SL^ktV(#xKo_{l@JFeLB4x?6rY3<|4nL2wFj)1X z?8>+hh@VG`5XStsajRqoBpC>rTUcWYb0n?`=yWgR35sB&i!8SpA24!Xrxti11 zzgC1jS)@rEN+u9U(34;bct-sbpf`(8B_|>C{-ncq3Nhmmom1JjM-EHqm=t)q=V!Qsm=| zQ5!*EfOC=+U{2)Y4r&3|&T)lZ117JLQ(hn%8DA1%I>!Y_5;b^Mmb=f@kE?=98Qh+n z9+-QLsvR!EuxT2C6~m@SAGTAW)y@Zq?fa44mk>kKpG2xl18MTpO^;mPx@q~C7ck{ewP+TWVZXuU ze&p+|yY8Iu{H7JZnwdg<{TB3Iylg@7rWIpe*tmQ`l}rA_Wy&1PTR`^uLwRs4Wv4X3 zv;cAA?-2gJw0*%RT<)vE{Vi}`U%6w}gsR`%poF~l$oy8!<4~3$PpbOof}dh0f(mU9 z$=(I*+-Ja@GJf$R3$h4#_`ob*CGHDgPWy%D&TC)rNY%F8+n-%_!y}7V6kN1v85F$u zdXi0=T=2*Zt?=Hgjo*VQvnIeF3Vat?K0-d(^h6JHo`#jA;DK58!+2yj09OriyCr{g z9h*Yg{jYDS+JSnB&WVAti{WqS#Ea3}x6}aZ6m*p0Sj&wRME5)4FU15!5Z@h;&SnIF zZoe2^h{*}ovJ@Tlb^54?R+dvyR%k3OwT(0I(j|uNM~C&wsaJT|)L0hYA1J1^)@7~# zl9F&EpmaYCLB(>Z4GcNr#wJH-IcA(Uu@c+y0Dm@NnaGkf!EC{3(gfUj&I*uR z1?f~z57LVD;09;Pu^0$AICo06Yb9j6#;@~_R90SP8x9m)?zfCu{}1ua0@jfQ4DeNu zomISa5%gXT0lL2mE6S^~Y)}f4@h~TUyb?m1*q)X|zJ);@ke|z+T5V&PW^{`z!%T#q zW%>MALQ>DyLY&smLzZ@OF zi;x(*8fQY_5PvLS)C+{YbsS+X$1#Qd_&%bDg##>VPA<$chK8;*v~l$k?_7(5Jj1~B z-VElK;EuAakb~E%LOQeY))9hdgvd=L_;eAGHvS>K~)}G(;x79po-Gk&^8C#N;^1vLtceMY|wV z;_6CCyK1TjOU=?g7fn8s*OmlNqk$70pSGZrBthpA8JMir&}m|Xd?K0I#8{(bnxOZi zEZx=&Q@~XwHzBedS23d&8~Vkco3C3sirBx6=pWl4*9YZ+du~>>!;w@k@d4D^T>!cL zujSVd%WLprUVjQA0@Y&Mt`M}?wf^9X>4s%H8pQ3~FqR9ne&gMSTpN#7>)V_?4>%3O zzFWVzA{Z$ji}waxxKa5{F2jC})nblv$}lrBUxV>lx_x&XaIbgU8=AzIkUzx*nl)2d zn(=0E(|ZHJ$N}e+5lTTcpG z^CGkD;L52#lT8S#;)b{lxr!`4hmc}BhwjZl9_C9Q z^ur18^%VWg2yluwD7|Z(M1G$0Lx1oK>&cw6#!rivIL@B45{U|}!CBHX6tb18T%Ral zpksYk4b-vZlXRgSaq0XE39)X!Ueyj<)kc!GZqt=&b&e%j<)$`0vP?B}Hb&G`^Q|0|iVni>9jkOV8@9eT~^CMI-n1NKHUvC6buR1y=a zjVoskUZ*Za8`psAC5GnkCWC|)_>z%Q1fzeDZ6zf$lDL9}Rdbl~iJ-A{2?nNP%rMY6y&kV1|?eWQiFdU3m z9fV)%wJd_*ffZ1eF|t+?qfQ%;DRH%xv>ha$gg#+AzJA<#7V6gNb7SVT3Awb75Yn;q#5$ZCYhb_7uCAi^BvWl>eco^p~?$7CmrI>KWkOJFu#h`W%P{E}RZci2uy!j(lJJ4A7v4%;-u)2#@ z+ZO~YJ_qQF49HvH=F-smVko$G3x?Of4k6dIt|#v0DJau-uD=l|yH)KoRz1644VD8V zcEZa$-pR*+_9|ri2+4kSON#r+@t6{LLrpjQlqL|)dVAA~HB}G1Fae}hRddf)nz-E8 zSM7KKQyE0QP?29+ea)U-DOcSG@{ZlT^;X<8jNF$w{UBd|DIi$MRrn(zufq5}i!raT zk$CZ(}nv-ZR1`@7jEMGDz^0y_yxpDhe4BZTG>t^?bV_!c~?Sh#x5vR(@no?I?3Q;NJip z>%?rMRv+1dF^#En{J`WTR5)ab-oCeSq%#B^^}nUMup!$ad^&F?B%b!Z9b1p_jFpjg zC>ANA)%;(VnU(~LyS#yk%EeV1|-oT~H& zKyF(?A6Zum9N{aW_x5=|tlZ99md6W|z|Cz*Eb)mswU#!Q-!YXq0VCJnz|_$S|Cd@EJs&81l@?@o54s>r%=)BCXDa;Bt1L~W$pPfZAo;}eiS^c9 zCt%M)1zz};mDa(?Ke6^$Ys@Pl7`R%}Bs(VRC~Mk(e7muLr#V-H>{P$%<1G8-_YZ zk$yS_FzCG-*xd62klMCqoc+w0;jgaIMfr*C_CR+mIVG$Or`*=XIBy}O0urenz z8p!>U>s)Y5bh4amzMRHAWZ4+TZWT-#8fPrZXpqLk(XmsB)FzJ(rjy?TGjCJ1!~1UH zf`_mC@q+&WvBP?5T>QYc%@0mj?M>NAOgEstDQmbH+>8An52NvOG>CSamoVV1&({42 zt==5}O7`ZD!L2!owS{eMjpyT&fK;PZcHaeIyKQK*{ci zrT3#IWjWu5IYks{IP3AH3KT?y)TPOKEE4xU3{+4G8)lq1SW;0gz_F&Py3=rF5# zYBPL7=G<4~W@%PlTM$IhR3Fi7XGo3RLSV;B5K_$X`&|Vwb7JEPrJ%}^$oM-NJ{c5p zd>m`dOdQYHK}%P!Jl)<1yu2$x6?kb{YR+^tLmx-W8DaiBYSWpYOXlT7W@S2#^`d$- zvO@ErjT_NW9;>Axby1$j{~bH!o|}y%1W~vUqWFSq_;1x>hz&F(%_vg8N;G5Ra3EX) zCO_zf2qe`G)(9{Qh5>)!8hvs}NrFXv55hvG0paxOv(%&%CgyLDMY)Fg3dv*` zuHou64{%{1)W|kGBy}5(*wf};@s%qd%RIuu^==Z#j*?JY+9(U$BjNrGZck1R{J&d{ zXYJ50pWy*e&nTBJJC}4C^rIhh3s7gjC zYHGtX5Bk3^x>=2Ks?#Jsv0|Lm!{dykF>gfRr-)S4Dk8n02=8I)Rs(gcMqAnFHW-#LsA}cJjTsz<54<#9YBm?Hh^sOv(cGNJ$W`B&zssBIxMXz{Qd6> zuWf$RW~18pKbNvoR~8w%93Fy2o>KG*ph;RP3lZyzgJRZ*Z~yE z{wA$Mup~5LdWhv4{GUeWHI21%F5KS~&a408Icsy~DB~v?FkW^H+Yjt7;x<2~v7p1D zV70YqpT#zY5h4v)OHRx+cn4!J=SqvLOo}08=9Ez3A6vZtwRB&|YWUlXmoH@tHnHZH zO;$eXS;D zmJix52a4Efp+$i$S}r_%o$Ilj zq^AkMy4LAD_E9cMHHjwlHLpH<$i7Z?-T(QX+vs_A;-r0=Tv>yA`$PY^sO|r=_bq@` zRA>HY=FW|iG{W3Vzzd~%CJ!6zY6Db3%3n?{k-boAuMss%S-2M}g}M|dU37)wxnXjN zHl-;=p-+<*wAPAUEv5@CUN!4dMPR#H+EM{+?Mit_i>=jw`TxE%b02v@0-|=C1DQMX zn{U4Jeczcmk9nNmL+p=V9(aPyS3ET&VR1>8bL7`c8NQdHY z^0)k2RXgAec~2$k@>1;}vBZ6ZM$`^J!@2IOFU42K16kuhCM$HoboNTV@hNj*JG=5P z{*ya=JC_@a^vY1}``c3kJ|LGo#9p|la2v8jZf; z+O4(Xyo$pw<*VAEG{2D#kd3-<7U|+^`fp#!*FR-mz)IU2FB{LGL{_8#Goi7U$P$+MT2RJdV{en*#4$f}ft0$C%qq#}qpccBF4r}A}S`ckF7Mh*^Q#?~Fv^#l6rbVtU# z~=P=t+8qc8~WSSXprds7xO=7>0;$Ez4D@C@BeMef8y~QA7UT> zvJathb(^&)9YdguI@b=P`&2tH=7y@baq5>lcM(wISJn`CPxc)!W*&$u&l_3L^^K9m zaSQXJ2filG)69WdW@O=fD)5Ehv13Q|4<6P(J$}qA!wxyKJ}0l5uyXM(HgNy>SJ>vx zn=bp7Jb$G1{E}(4vjbm9h4ZiU-T1gUVe0>jIQ+GdV~VYNZ+}kvzB{rgm|Hn!`UdpS z4`9^tsX@fnOWMMGhRk$kD$b#vQweRk(xVGK?9Nbyh z9_?;tcbL{B7>#jgWHH=8mY36@V9>KLFclu7nHS##=S!|!%a&U!e>dp)CT~PE;c@Eb zs{zN#V-_emzJ`&7MnNnzD1tORiE62^uMr~)43tLiH}u1;9~Kq@`>*Y6>BsfgPnfS^%v~r3qhzdu zk%c2rJ9HjdjNZ*A!1-P$6{=oK_Y0ou2>g4qsvUaEvb+E2))o6UFMRmHy|+ET?U|Mb zw=QkF?1e`ceBqAUzj*M?L$- zLMVCk$Tybmy=?#g-u~;uTR%ri9{knK-`aNQu?5S1{{T2*U;W16t>d15bm6Fzzg+RF zZ68~=VA;~P5A7NAFW)%aGIM6j!au!yE2w!7UGb$uzi+#2|9vmE9p3u+y&G?CI{4KU zlVQn`LpQWt_U+9_kma~N-(5KB<+j_twe6W7f#&y(%YNN*}RP0zGkx@_sz z%i1?T`0K+DytSw0W-$29B;CruUw{Vq@YbvLZT{@T&%%;NVfXPH+BVI+9Cj0{E-Y!A z`TVw@wSZg~27*Euf!&EhThMmfpSL};`N88??7i%T%?APRoOR!4M}dMBUaouj_}sQj z-rD@^M-M-=Z~vnUAAY%Q=C6M8Ov{VMuXuRCjbonOdwAsvQu5%kna^%JwC=&rUD0;g z^hbWM_vm9+?V`Z8zx9Df4?Xtm?SE;zY~SYJ>^=O&_VzK5K7QNv2<-if$jeoT_XBV3 z+kA5qLiJzUo_XxSWmjw)aL?u=ZHKpR+xN)L2QF<}u^%wJ+%f<$0essYl0G!O6IE|N zZ*HG?b32h@pC*DH%kGo^;`SYS$+Bw=F%tOvSp=&RHl_wxV>pgh8VLq1{SH1k0_N7l ziviH0GQ*!Jk6aiC8d~gDQ8P@}0E=OM$Dd#d{t8%MYlf93Y)B6=9RJGl$gn_=-OneB z8mlz%;(0NUd^%N$;(4SbaR6EB7b|IkR~AQxM1$-rBps|w#I@LGf`(z#eUB_L?%=mV z8d++5c+d|6dE~-iqtT2k&1#h*d<7lInV$^HaS12j(poDb85+l*1;5yi? z475P>3+J&t3^QvY3lXvK>H$mw?3Yb$y!owF+fhh1Yl;m!-!UYlgSaumw<`lj*lb7e zgDNI~xeq+WkqZhFf3z{RmK&;|ipi~25oRQs15IWy50+G9vYc0KtlX%@O|k?g46%mz zmGjpbQqy8o@>K~I(W%h*tykj&N!T`nJBFiiUKj**FWFsP1(;Wk@;fZi_{xO}uEE51 zBn=v7avsjY68tFVV>qm@f$du{^hO0&%eC(8FASVVz2X%mx+2GwcFD9bmzra9 z7p_;VMO={?C5hvxSVvf$l`dH64E1}OAmub59Co~ zAgJa7NmdVHDzIIVZkMXJ7}9q$rh!UI=OChj64thOW2*y6hED^q&^NJDnwAjbI#fT zevEPfcQDJgg5%}$a7)06H*s^4o@BBaY*(NSgMlX4f$b(9n#3Hf9<*Vg#B<^dUJ4Lx zL)uER#^&MGp*&F+2Y-33%nL}pTrdjNj42g5uZuzY&Z0bu$$9ouOd^n$XP_R~$*9mm z2Kd3)MBE5*mQ<<0#wDk)Ly5o~5wHxF)PhtD@LWr(V!*AWbW9j52`kvdnEkybFeQFbcVjGxY zY0{vVk+Bajv+;*S(s+taOK$_Gz1n~%-dw`MOQaH8cmPi;70|4ioDAUD&Ln{~2&lIO zn4!@J$C<<#7-TinS$Jt0*EfW`ME4cES>oy7Qh^tTuuH-?;W~|)B9kQ6l-U`?#H-1D zPux{c2MTzh43!*PIzGI_D+sg-FC|`DrtF1YfK7|}IfuNIGC{lXYtmPil57%yqy`6+ zwWe}Vn56&(2@KK0$Vc-R;PS%m7MzcbGetFOXa83{rfVvc2KPoTHVtSacU)~&Y2-c zQgx1o2i182wUZoNx6Gi*DO=08G7U{fR7a<>0KG;qPHL+81Pq>6NRI@aE+!=Mn=#b# zMVF$YL(M}lltj@DV3Wga1EyOxb)2!1XlaAh2C|rpR+eie<#5b!D)U7YGrMs!Iwhqn zp>x-eB?W?60T%l}ETaF=5gIptZWS30cXi`DjObRY?SngC(+r2fl2H|^*+;EK&Axyo zGEpchf>z<^!rj}Su#C}g2RRouC<85Hq{#?&I|;~AIZw4vx5TGq9(Al=btynhi9o7& zQ%oc&!S^1>5-=uZ!xb1wG5H~_gy}Vo(V(GE6O5)tT6}W2!eA%{AEY;Eg$EFCY1gxe z0DoU)89E@iiO8qsu|WajhxG@bn^h)o!IAEGD253d8Y)>T0!D6v^^tj)D9ij5!^|&s zJz{c~&R3Qc{8vCX)>f9E7aDYJt=#|vS;OUt<^psY45Pe(MJ=mN)QiZZyg~uHefS~+ z&QF4Y6kn7Mrj9BDu`iU2H1R?jcB6<9Z?UqZuo{*iFTqB^4>J{+Xr%-d17JinYyq}a z?<+MDut0x^iiMrx)KpZxjz`s-6WDAq1WwOCo8>@85qGQP?+bSO4qsfDQ#K0tO;9=q}?2?hs7F6GOqsl3=#MMmN8k z2$uC2SUB&XV}!8+CWxjQGK@Yq2*~wlYnj2dDc0{SIp3Vv2pDxYF7!g%;6uZWj#WRR zK5R|0Y1D#v!xBYT9zaD3X>O3T1g!INTwEr`Q#5`eyQPki8{p4Goj|=k0CwwuV+a*^ zifK@gwB-GT;akIO?Unhd`a3aXGiH~A5j{|^6@+Vwp?iRvCB>u>x;6OBnQ9L z$wU~)G}4Wjz(5KtE2a9?tk)tqtHeg7Bo~GfUBGVWM!eI~6j(aC#|UD7&u1(h?U2{~ zO8OE(b)NkGy1tDpa1|rr%14UQLKC#~)51&K{nZ6^BsHH8n>>)j(IBH}2{M5du5R@4SqeL&G)uik{cX5|&oy(30g_~&TMR`h ztfrMv-2A)|OSjOjC2!MNLX!t0RL~t^OwtN;70Hi45bi+6WcZ@h&ou5ylch+gJ-szo z39LgR-T7%t6apnKthU@7+|`at;cj|A9ryh`Z@F=OHzIJ?3{^X1X;S-4dC+l`YU$5`H@A!3(JZ%ZM|X(tw~I7p^+>}#l}?(z_|W~|qd7pbN^Z-RC0T;m zcG5A)dU@X|vP^RzD{0c5wxpxo{qX5e@?a1`bp&A4@ zHzumk1o0Hd?V2f_r8~u>qa`@vJ$DXv2-V-4f!3-w&tznQUQW7KpWER{_cL>`w|$*@ z(7jF)k~jdpW6}AT#tw>H)4OxZ)qrJrXAOPZ?vP!d4&@kMQKC<;Gf8CD)F30f)#Dl| zk=eb7iI*zUD|)9stfU>enWhDmL7jq?E_`*6E11m!+nsc0RQ0dCcO$Uk9#uOCW~1I_ z#E#M{npCRg87NqLC*2F(Xo8}hnOTQ0otiio)<_8_0|66ttT0iPE4#0D?Y4?BS4@;q zqa|?H+;yir)>p%sG)#FpuS1ralMECwC=nfCWa&y*DHy|QSb_mQweMtLT3T0LLP;NE zGK8&xmx`*_7RpOQ6$6LvsZrw1)j*I7=SwL|IFDWioSzyWDY(j#r?Z?|L!lZOBc5X1 ztWj_+>COZ;S;t%hT`%h?RIU=}9+VRk+<|~@zXa^MBRm65m1PD_>sEHV#h?l;n`Q1< z)iK^(lqfGxt0bLezw|i|m33&BsvY{u&q>u?rNBb-Q`^#+l5I2GiE~GvuV;pT#~+Dd}Q~Q0^FHpdquNiuBsc zYb%j&57PNr1DO@223cgJ;SQQy)3RV2VC;lDSQ^CaE3%d-@?CNs(gX{}yUh}hHwD^w z<)w~LLA;X^JVCq=sDg>?7^|@R6s0pbE$ziyySfU;bX%6tofGf0Zl>|m!fD+dLM4z- zMoAA@_QMELwupN=2YWa*S?b0!99dAMppv^n=SVl*cZI$^3*o7u>xYt?BUW&_t3-xm z`mze-c-;x-hx)I?-N6A}AHaB48b?Z{!&`WH2yEi93Mt7XY<5mL(ivkq%k;dBr~cjU z%*Iu?fwj_(Dsh0lPnpumIVuxBs1cssPBwzbJ~uzt8Wl;EtyD`aNJyyv5^I!1R*BkX z0rivC;9~vDzl8{_eV?iwuE+iVQ?S0`rgK-~(;F}u_nfXUZc}%HS9jD6)>q6EujZhy zIYdg*x-m8V-R{)%#3-AAU1=OaM6;O3y{9av(!&X*d&~1_cYb!=gP4c@J{R^_UuP-Z z*K~k~fl+qYwELGlICK6jV3b|5af&xd{-GO(ktLXi9=iW+Be-WhI^8X41CMTY?M|k> z>>(yS*zFSJremV)b^|+Y{*sMHH(#sRYB3?aV%b%cx5&uPW)=Q1V|VcYZT}N%Ky)Lj<|ks4O#H?%aHQ<7WZ8St?k~`A^Pg;Bo1g zbTse`V4AMKLrl6oA^Lj8_ABZB+Ua^~dMvy7e^Ipqjp3bM^P#%Z7=fnAV8iNO^xFi_ zfhB3(I??IUorE;YE^hF<{Ij`mIp%N)T20A<;du2tUSEHEby8p>jJ zA?9;$a7NIq@=${LsG%eU-H|z(%w(w;e3cSpTkCLmiC1y%h2AhIZ)1?AP^Aroab2AE z2=tWQr0CRv?cmPTtZvMm?`9dd3k0$3jDuQ9l%yE804_Uq~Fl_H9xkC|5 z>g8G0w{x(ov|DID{^c2rEF|@c-2SxNE9L|+NsA1Oo+~Gy6AXY8dR8!Ug_1&6Au+Qm zWeI@R#tOhJ;pxUYG2|hs?-V4H+wqz+8Hmq71mT<(Bn>4R_tA9llz5O;WDO+sKH2|)&?-5rzBakJBwm!(1_Ey+M+sg@i+>ca`$h4E2?WL}mWYa}hO%qmgR z-t_T7_iZH(fgHObtt$Kl?p1Uqpqzfmyeuq1 zCX;Ow@FXH;hdN28m7uMZP?Ag*XLMJ^m9$H~+c8T>=Vlq1WQACKWC#kNBraihYx3f$ z8Qrlsq+cXUWL^dA9!2p8%~ML^1mB_Du?T`9=#G7;v)u~DuAXAjBfYDHZqtj2hzFqC zRgy;$v_diRGNzn|B%BWc#`N(BmFuNOG{8=h>>d;{Al;y7fT=g&g=$oYLbaWA$4BDr zg+N0R&xnvE`cqJ%Axn*P8+jJ7?1luSBXf{tZt1|tcysP~f+#|Xdf6kS`aI-(I?FR@ z;-}xL%&6?tUd_P&yVHLAAqcTvbbwmVK+#dzR*%+L0b~$GT=IRb$jUO9T$* z-(h$$TUkP_Wel(Qs;ye=V>*@=D*#)I5NQ06q-p3vhq$DMj^n?YA`&yDq}%O5NYc#>f{`TU z2X{<--AJ!jOO9R}-d9(!l5p+jT){$9(uOin=NToCBt4uJU`@dix?(Pj=_SdXOqSS1 z(ny|{$WnD$JUEzjo(x3lAWc9&{5KGjZ$75_4ywG~gA1JUo>jvA#kq}ylhI4%3g8+} zM>-=VHtE8rj}nm#CR7w->BD}pswPUCpK$+6Wn(VXp+==9E4Yu-X- zEJ)G0N-76Z?pi<|;lmXyIsV`TU=y3}mV&UL{fw(6ef=P5GdD@vAZOA`rNEWvk~Pu} zA!$k3suhy1!XG|0F(iE|k0c=l3H-SUPb<@_Ilrn9a<=RxoN^e_6DC7y?*8D;5ad9K~J(tYlX?l)c1;AIajr^gLH z9gVyBp7efXIp+bgB**TWLuARE4$iM$r-){=u)06*W|=EJXXDW{-!Eus`xHSC26xC3 zF4cgo7F4<(d|lehnK>l3Id*rXM$R#?4`sOpRK0i@H0Pn=nV4KcT*72Y*AwEBj#)0* z_%sFfu5q1VxRP5s>Ip}tbb6AWriy`_&pE5U+LQEYvV`rqMcgl)Ijaztj-}ImsG5FJ zrD})uG%ic3p-C4uyLS!#8iuhQN!QdkxT}E}m4l+qpmFeAHQ-%8!*ypZ7-cso7`uqM z4R`aCl{ADMn(D0Eb)FQF5);z+lb-W54o>R^+$mHfnT}xV*^|Yky{tx-p52tC3$M*u z@{LFrOW>uJwuHRw9KpPdm!Zg~T`x0AbVLwd0tN&n&G2$WMu`i56P~6mY0X-qvh;L! z&azLZPdeVXdy|Pb9+h;8w`X8KoGG2T=|X#3V`5#i=B!5+-E<$_o~zr7;@Qqr-(X+% zz}&TNva7&;S6ZNpEU@K{doy1k5X|t$@#CdjH3C?QMEaI;RrKx1K1p|HSP!v*US0M@ zIdrSosG#K>DBK|mWeskP^bTbSc2RQ6l16Yey79&!w9=pc??hnl$#dCvDDGU#QyXmN zR&?oh5_MlL>^5=rknRHOLrICt$(wGmo2e4*pgX2@Y;P>p8$hGjfVM1|1TC%7oiQ+} znr2KZ19;wCw^f}-R?wddcWKD8FrF!>=Ce=jWJd$t%D>+0uHH*U z>tSF+ayASM4#fkCjy(;$HKO_s)CP~DClIcIt~7b60-J_oh>1RQdm2u6oU+ms6H)>L zyR$pe6WpAZcH<2t*>09=pl3-MPM;7teTSZO_sx=i>2Bjq!R>gmWy{jaKcm@SyxK9{ zewE;1maY9UvSk$UKrXm6;rCOtshi3~_{w|19uVUZQcJ<+0C^F!*3ygwsVZ$L`#CEBMTdAtzL>k_oFjMbLwD0-KYi8}GA< zV3*V9svgg(zJ;`3`t6CpZHH9t;C3~8rE*7NG&6RMGIp>*Ui|iU_`{f?%|QFfgj@{=AYtcFyd+s8?ak5t?wi2)cGFcXH!hadyRUqB0vQ zRhF0UrkEV+43FXj|F?o)%gItnzcVF&UMeSC{j2Xl1l&>c6GM92cSw)2X$VnBBMYWR z7N+W<`&57a-GXlqjSBalWkzi zjb-{A>}6#8#m+JU5C2Tn4!vdB-E*XE>HbG&wH(>I;#VN*T+ntCC~*~|tP8h!@V^qg zU|mP)BYOewU-v3tm*jKrOj2@$lz>zfx?T8<72o>o{?`w--LmEOy{{kKcW~KT-~P+8 zjSs$Y`?rp+Ygw@6mMt@1Xqmt7;B6}|YFW1N;4}aD!lrfi9oh2fhiBc>@*n#S-oE0V zM*+93_`s&S#~k_fEkB;#-txx2U){E%eT?#Q@6IRg-T&s8Ei(pw@MrrD&OfoMeVPB@ zW6NLo{kqLxIyC0tnZIax5LsSvBXs}n^%ej5z&aSXblc4RWrz12T=JRyn{VHEqh|3gpzOH@tNEAzrJkakvErq>&UwM7PQ^+{pnwQ zec`_QmtA#F`?9(tZybH$cWdGNqHLD;E!(*3P3XSs8%Nq+Z<_hZmLmw&_+9Ikl`VK< z#XoP7aQ>Dzq5I(eSKhy3&pn@9=aIoa+eTiFIZQ=7>ycTY1zw>-b^LOIspOaMA5HLh zB7=SQ_~JLOf`KHx-<9qgM{K{eig)^~LSS)1Ah1Vz4SOe>cC;Po7!jc+c;alROzj9A z?J6m%#fl=DZ7$BhQ-a1CBVk9X&7jfDUHCvc%ksz?z;`q$Se7RE6w8nXYhqSW)VM>M zB4%-!54O7@e!uZaGXmcqB|Q!JT-b0XDQK zh-Qhcc3usGl4g7Z9%Qw~oqVcCPAbUxrUdEEIFBvb?tNf|xY)RZYZlx|I$ykaUQk$t ziP8w{u1au?O&*4rXr=Rl_~ZdR#j4Qk%D_Ltok9&cSyltG6lAxp-Ejxtyzv=zFo=0Ak3rZUo;Q8i-<8<1i|%*!zi#ek655M`wG6BkC5F7#E4>25gBDtFc6bL z_`!-7stlY76|%(Mv~&hO^T1MGxmot>KFz6#D-9)||Fl~>C?+RN3j(^F2r&z3ibBXx z6hdz^Ty{F$`QS?0#)!K3yxBpArR>5dLP0U)ruRsI4^K4OWrg+9I6h%l6YkHT}itRWV}|g$SGx$LRW-9Efs@FxF~G% z3DD^_YN4k>2o>Nnj$i}02SM2c1~AM&F$Fm@ehHjsvYB9=NUu!2kzmCo4EORvLqZ#E zCd6!fo-XZj7Lo&;OV^7~Fqn*sSY-B4ha)C8FlHnisIw!nKQK@qC$xH|-D~7E zfqM*v77!vb8+^Z-T^>f3M2Ux()Wz!&lfh1N!UoS)9^jhlOsE1*6Cwz*W5A)=7DX^5 zB6|89R4~{B>4Z4vrzVvpVsK7Q`@b#SE@#?mcjh>DPLs&h-eChfa&CxPN>1QIVWPou z-{c`Eg%eF}hVYpn#-3cTp&COQ>j0&RA6Xv5sd^q(7~jos5$Lt_DPhPDAjOP4f!`qh z=!I+0#cIeXHIaqcvV~d&1!52Cnf& zmiZtRuXmVCzz>@Crd|lHrnlYjpH?2zOO-x2Ak0Nzzr?4g`SpNQ$4z7FY1J#E$KS^o zCszkR6<(pnhuvXGM+4$uO-3#;Y!y%}B-8Vem%uh9GF@q*BFQAzq0A<7qHoYuin)>X zw%+a^&mpCjzun6J@95ijBB$?AO%-&OoV1U;qG++H=IusFyn7hZjnx)91?en9LN)u4 z4uggsl#FvMr-bo(5p|eO6s&py`VL^BhMkN{NVD3?(CiQ^(5Y4ZAPm5)4Am7IaA`$m zS1_|xGgD?sFmH}zO*ja>UlwlE*#>HwIjA}rzY{sJ+KNy$F2e@8FoziiPWAe*2AKF~ zeTL+aE@icK$dX&SQ5*jUQK6e?gEg3hZWeWJ41*f>?ws!-Cf&kJmOxh+{J&;u9@r(| zJhs;|8Gj$_)*S79=sRH819*1h58#eDcRdJm<6je|PfNy$I~eZhW$Y!(XF4U3RAG>{ zehAVBtU{KELl@cvtzMYrR-TP(c-q+2tafB2=ru7X)cR+ttY4p_)!EP_9a}B$F2g{vTl1?<7I#is3O3G%m z-7PukBrD0#2gDhLJ|K0jaUnx`Qt)oT=r|Hw4kZEV>6$kLm9zj{XV*a5s%r)ub(Q>L zETrukNEfZP0Z$4vrj^v;nuIpvCaKlup#zY#LwQglXS0|&h2~lZo$g00mK;$fL;Ct8 z4@q>0oy9W7rblt!-!F8uZ%O<9C^cDS%q$00uZAq<;v|Nym^pXtHz~{M@UqSpVGBo> zF!YNDlRNd-HaaY?H2*2YWG$|Q0@zu`w0!(PDZC)kYByA)VM!QD6!|W>bJ_@!G=-{b zym9@9rjVD+L=0hxq#?vwCbf@ItyX8}3$p;jCW0G(M1?k~kp&56v1=i%ktIf%R)8LQ zh{2Lb?0V-?X@kOgt&!9s@Nx*;W9T9{oN48Ag=FZ+$H(eLs4=58BIIb26B8~&7J1RU z!FQ26x~g_jq-$B-O=LG}2UCcGkb_a8gwIAYUI5)xr3-U*G+BZQ43z?U=*UtJDtJow zXH^d{OgP0kNCtKv!G5^wS#?G+p@YONzjyl%Z*y;`!qb=jMb{HOMS+E;(JGoc`cWT6 zq+%Sanh;nHAUNgr`xaqUH>6;KeGvOu3Q9;1Dh6yZM&!I$5m;inMUEW}OzP+#4`8fP zq8Ck5!XR1rOR<}*^O-Ig11mSwaP+Z#v?k4gKBl!YOu%INbWRZZ4lIH{`u5OlWZ2K4 zq>+|S16Fx+lEVJHLhn0J?1V+MIIE<4{cln-$~8sJbtyfq$Q6~K6tt=Ahl`ocIGjk( z4ozo`1R9zOe+ph=%S(CcSM6ZiDL7Emm{LwNauG?;0?wHj+|Vi#$B`lIuoh^F6d8-fde79aTGINmb7& zZD*gkR&S~E7<>^bivRGLF}MAO;Q6=xfEZc$ipX#)fM)=v1tB_4d}_yEds zfX5|#bb_)ZN1Bv;j|^1gyBQ?}_cgG4#tlF8A7JoZa__xFU;OaHgB26*o?$22o9>_S z>YDA=)yk4kWO{I{`Jz3~CCH6$fD-8p8bA4lVZ;7uaY@bO#U)PN z9J{qPSY*f{-`xK5A@pf{q-GId6>r!bG|3&6<-9Ium1hY_Lz1L3e82c8y<0fm4|fUG zI}#J?WONtlzo`rA z z_2x9lz$Pq%6pn(&gzkT z907e~gX%j7hN`@dofYwEb4JNiU5I9reb8N^EJ=CvuG?!u`A^5}sOh&$zrv)`&`2!1 zL#Nf`re7p#r{GDg-=33~ung#~w|qfs3a@I2EH0@F z*(FATyv*eiZW5KSo80-1J{Xpaoks>PF7ZNT$W>Mm?i7Y1H3IBE_GGu@0SAk$AbLnq z77=lhE@`b}&W>dG1B?wB8$n$PX`#VO6{_GznDup3L4eWwBImz^EYSf$mgecagmfQH zh<@p_J*&jAi8xIyLwssv*gQ3~sTml}R#c$PtE@!D*BQp`!!GbNAqmDc zqWMb;IVf0*_#JvtR740C1XnSGI|go@QvNGryh5=7MtB|e*JgB`rcP&j;;7S*k2RL>KY zm0{@h53fSa1SM6FuGR%3V&ADMCQA3zS_&*F!GbJ72|ya9gA<}3{u_u1`>S8o4k)en zWWd%02cryi60B=qDr`3V{8|rmS|)+T1R0pGb|v- z4YmQpgs^aVCTzySl`MrFDb1AlK|)4!GOPR)fQKTDw5{W%LP)bxmWL;d5?yh%8%yr4 zsm-%kAWNNb2GdQlAV!8`3_&iiJMd=~fMlB$bBvU<@2n9V?{@KELK$j##*kT!tX8uG z0JwE{PxE0vuu-!5c?SJ$SsX@C_Ju*OJSRwh(;Vj8|_YOFZ_} z#YB+1r$YplfiI^c_@pO&f7b3?={uIZ3_9H=C4GT+LVS+Ad>L78-1*h3((wlLrQf`` zWaADmf;(WKM@URDA%fheatdvJ+CV7DfirgZ!#U@-SC;Q;Ogxs|(tlUA1C1#=Qp;gr zN;mo6B^U#x3>j>2EUa)1w95cT>sBfLSu)Nv=iAQO3avFS7)`CJ2$es9+Xiu77&>4Z z4lk2=dbEk|iZdt~QF(sV;`~C%n>Qra{LolsO*bb7uVitXO^$PCgVJ5$Jhdh?C9Inf zTPv6xZ*tahyEQqi!r8QjC~t>F;@@SFO1`!*$G}PHP-$l!LEIleF}S)ntPWbpay%YR z6hySLRyo3MmB9*U^_uZh8M6H29EJxgtqnE|v@B;8p5eHZw+v5E8ayS=-NDf0uopq- zh4cmrY+l-(GCU6%Dc6#cVARMfV3F{e;pSp)PL9Kp5hbn3fMwK<`ex0OaDIMyzJh%v zh&Qqf4j18IjfjEo_g|IWt;tc>;@*Q|;lsTcRcPSuL!o(`ed$m^x*vWo#{}mJ&Xd+V z-isp(w?m44Dpol4Xm$M277YgQmXaWt0IAuG-ObF}LhLFKrRa)(58YyE>0IjUYP`l{ zN_=y`coEypWC2SQuqQA+xRc()!hH);f)6jTj22^oF9itFn~|+9scS5T&^>$0)WR5w zH$g%pYcLjJIvyY7yof~L87HrEO}%r8(U8((s;4MEVQHpc;1)>}Hw!q%2EzItI`E=Q zi;1wdfo6k+v2dO`_1KRDB^r!&VFR83HrXgi``o!}aTf#6KsXaXFldt`+eAV%e&H6Y zhYE~e3&g(w~Dmdqd-WeYaF zs?i#|J8L5*&!SBL z(X3Rhsz(|;5zfD87fe}fC!7~~Yg2F#TI_}?a4GV*JvOp9ez%N&m+Y3`CQGcbaEEM% z5;739y49*7pGu)Zd*HU%BVD_31f;8A_Xhlt^eR%~!jV5J=|=QR@?`ZLVf0HT|8Ybp z9M{rHOnR|lQt@yop!xFw&rwPc6TDX+s!^6GC3wB!EMfv9y)65cWJ>29iHWs)km@^d zRhdazQkz5V2qmtTl9p!xdpD^#j@G!uvhPapC|_A(aypz#KYnX*Up;G}6lm1(`hpSG zSv|q@%_dhjEv3N(-V1Q>J>kbV=Y_nq0xu4f;$E6m&0-h^^6wcE2cZ8Tv5XXJSXN9i zaEDKQ`^hZHzN4CR>{ipo>*er9Hzo4z><(I4NOggM^d9EOhNOiq$#Hr&xuO>LVEt9) z+p*0?@&dywDwJVvti;_~{fCIf8f1yi0Q24)=Zs#0kW_d80Y|8VgiS%ZsTW3lFp@5( z`;?vN z;It|vEn!KXCrySSNm>9#QcC*a_gqZaQ!l?4M;7Xwp@rtH;rtP5H9Zrv zZBv(Azyhf@I4cmU=gr(#d`MdD@D{il&N#VOhdNy5Dlr%wMJ@q`{yn}7!FYiZhF9=R znT_W#%5J#E$#mBWta6qwA(~DWNs07UBhxf*9WX{Go&lCFfMb;6Aa$v@!`0I|P1kC}i^;JRDV9r&z#={`9vOf%;}uJN#MQx!yy!zdN(OzDwk!vph@o z{dCFZw_HllZ5hG75HIM|LYqUfr{7#v8{U zn(@kL<>k(naerRDZcEzB8=xD3-RK3DLPdd{cJMe!8{o|SGj_Ss9u4r9mOO}OI5}Q^ z8C1XEmU&ZbFW9AI>W9ANN5T&i6~xiJUI^ZmE&a?2K*tzyeh} ztf#?UQjI>lFthdygYmTw(ioKHNRRAImS4tbe=a>K0MFa}m_e4*DkWn9kDWSS(Z9)L ztYky7U?}dRyYz36p89yRlD<1Qw3Y6m&kcTb-c29+rm1n69BH>E*9>(A5_|z;FIKLP z%&V-+!+@F$Tt{#tjmR4#i!soR(@p-N&b_!dKR5VK_=e+~lPguI>Q)Eyaesa+bd$7+ zakd*lE5}QZpYAk)ttJCW$@+Zqkfe$77PmQ;1K@EhsM`c|rNs zyLS!fI4z}Yp)k=FypW8QVAW&|gdmw=dfrmuZqQPFy&>p0Jl4=P?2&3*gBvhL)M7C< zsBxZF1C_kKjzdaPG_a4c5tg7~j9?B9m0_u|NP&O^A4`KZH$K?xV~o0lVF-&{V`5Jp$#J`=RI+rHdF zLmN+7j-_~~b=RVF=)uR_At3~IeYU&O!ID#l3g5%_LAtY-r`FvsoqL{~?u@ls%Fm+j z;5BgF*Kn%+!Y=ohXN69A6p3+anbhsL5milSq0|;342`r@o1At>Z8TtJt=fS_Izx`W zMulYPWC)I<^9z_wZpK!27O()6FAB4^-r7c%VxS?FsHFgzam$52VG`rf;r||bR-iaoD zqQ(rVO=Y@P{CFY5)VO%2K#v@lUnzmF3|7`67y>KQbGQU+T;0(?3a`~OeiCl| z7C-&zZ#e?r`o>w*4(Hr)+)<}f%sr7+PZ!@?QZ>L0LFXS%LZBkgT~FYYrQR%)no-Y$ z&^arGD8vmeITUF^>bRCz5f0RJK~Dj~f~$H(;;2w9a9)a91sXWr&F7?8jV#bsrBdL7sW4wUCd%@u(aqArI7Y8pAc`2pAVGZ7hZJ*D_v-$!1N>2AID# z2}!IVnPIoSqxA?alnVNBAH!5B>l#t-L8E6qrx&2yRO&*KF%95e$4k>}Qj2NSGI#os}IN{FEU zSlHJdnUpZcYy3?GzhWhp{XEbV9{8AV{|VnMCrc(&{KFrgTM6mge?IzH*nf3o$o*!K z#%CEkYTPx?y6g6z5Bz@k`u4~Wo3gBN06R-8SaSW2aG-tmcWkpt<1--5CZtqpwPPuK zCvQHXw$5S^x!`eF^5tWU&-&A2uUPEEsfT{aKKPJt|L64+pC9;z-2)fz{_sh0Rl9io zF?RBG-@X&R-)JQh41UZ=%p(H_Hj(o?mEGf2sFd9Z)%6rAxML49%9NKBswPB`vP7uH zI`&1c$(Jk#F{!6`@6ybFJjqYA@>xH;>QD0g<*DOsY(Eu)x$7iiQa0-&D_>E?u!FK3 zKrtzsWt3DH?2<;AvKVD);^xK~Coi^Ve0=|bXB~lWE_zSa4!We;0gv}}mKy783I*5! zUB;MC7HSD=04vU8Y;1sLq$l`-b~#;>v)*FI@-#8l&qBWT1Cx|=fr4Mj)0W&CXbQ0{ zzGqJOCcGsJ8K3YLtH{%C|10LU^k03Th{cM0GOEew09KyICJ@Zp4-DayWlaJwbx=~c z&lU=_Kk+D!Rr%x$NO!CqPWaBcc2Jh+s2$|26BAxB*ky8SJ8R$S`=96ZttSS4B0BIB z$3D~|#xEDIJ;I)S&G+;1zTG~_a8q`GHcuJYM9%BV?(r&Aj9`R{c@azuBWZX^p=zQC z!g+W(Hj%jKE%s8Lftb`&ynQV8kL{#;`VTK@Pfa)}{=9_kR7!m96ceSyEe2#cK*fYC zG3dP~)DDzoQ-AGn)>r7eso-;_svX{Ioi|-jP^fdSiI4c3N`3S$Q)7`2y;l)EpB3jD z;v)f88eqGJ@W!GiD?_zn`VnnWK1rua{g>}9#J9*kQJ_~^=Nt3#wW~kxYbs?AJh`i$ z-7=;!$hF=7%wEdZ!mlw_>brioE=l)v(rwI!lGhldH|-umFzH5?73nN1!drM_A?X&F zJeSP0)YA^;dmCzp-2>?x>Q5YF;<{-QUNKqTu?MEG_QEH3J*WTRmVx5Hz}E{u^aC;G zyhVQ;z@A<7mDCN;H!Q3N3!sHX@buz;hw znD8Zd$^PZX9s?=Ag?Dkb! zx}e%2U)2uCvJufa<23$^kL*A2tRvtK??R*BlYIxqkHNu0j4a#^un%2Y>iwhd%A(60i!N-%`?yw3YBHwWuR>7Tif)mTFl_?rRT+aZz1EsQP zVFR2;1ZfB{`Nok1&uHZ%Pc$5}8{fh4(EcsA5?5*wcosU*OzCVA)Vr zJM@-ickkgxR=n`&!Yv1v-EwlIlK#BI&>0esbH#)-7oNOWXI`TaJ9=@FPq2wgCPl;AdLC0O=pR(DLHm!w;``p?%@Q z2e-DL-1N)^556&X>xcK;_wug~fA_8Z?Kh7)^2X9%J^F{1kGCyt`-lCjj|`0&;@_X9roKUcl5 z>6y(B?w#9y2`mA;;=+@#`}kF4_fO}oICSx@bua(=2mkSfUF|nNeDJR;Al>rdtxF%c zr2T?de|`9|&tCMHg1@long89!!Vrz6!fR8jP5HA*ZtGs-6@6jzw_a_%Vykqae7q%T*_3~DV_r{-o^5~Yi z_q2Q#Uf%M@ZGYHyuy=0TWqVr=?mfI^#S7nk_2I++wqieGvfxd?KYJZY z9$CI0($BUne-`kI$CnYWbf~`uK2{5&!QMsknV%WuON6IELpy59dsXG@$o)! z#P*F*wqLp{`L4&Z`-dA;?Vt!-c_Kr6+7kLdA4=d)qED=+gvcj1lwkC2u=vt9ffp&*Ps{%yT!l~+@fM2 zIA$466?6v!I-Yq@p#iosFbf3HMKj5H$w|zph3?)5wqLr}=U~@R;krfG_l$IF2hb{k z;7Kt#fxgJGHQIIzML3iLgNP0=Q_?P(mZ=kK5oc*Qq;mr-I%X}w#iWGQLlZcS<=&605wBWVRIOVUa*x?KYs?RrQT%lHUn z$#&SRR9Vt!GaX8Z{MEcuDFK{>J4P*8LQ3!|fGv4}(BUOFCyvc{xz=PH&OQZckiP+D zc!^WD{7rzv7E>{4B-rdD8La1}GEge=C>RKaKi5l_P8T#*KV+;(8K~?=j9_4MJ;lVZ z-yide!3;;igr`Mr04FbqsA5p!w`D04mg7b+k4h(&pGVtdH>D27u zjO~ZJUd6qLiF?|w*y7d>D2rYtJS@O%I9x-yNn>i@V+#Q8xYc%#vJ4KGl0b%w&}0ReMw_~! zb4C|#ERV$mE?LU5l=fgXoj8;L=IL$x;_jj#;#lsA5vf!GWr;&Mt{K1@s}+DJ>bK2ttkf%7i=Xi^=eUlqBPIra>FRjDwZmOgiWgxn5yL z7xGcp3SkZY;2LDHM1+|pVpwOK(-IW{78C#k1~NFTT)i9x)X;^*ok==L3|0#7XfM;b zz!FUbHq?7n*l6Me388WUtp4MjDnh-4_cZXnReB4*J;yOe=>N#7AU`yY#k-Q^(s?<3 zhia;zD^&&Ur-r>N$)~S`n*G9t3hYIPo2qka;xyHFK8?PJ+Aa{t@<$_lC8jS5%G*k0X%T@VW$Bclw;r~NjI~;Uu!0qABpBm` zRWwpV^BL7(H}*maE96t2K--q^;al#c(V&UBV=-473&p0U$j%ZdB^d2FV6^X%Csh(%VMJwFIqWVhEHP(OG1#GK1QDe|6V?Vp z^7ZHx!H(+Ec{jiR|up0>u!x8^sWUB~gSbHW^CeC>`iBo>R_8 zTgyz9rLC30YX{Q!IsK{G!x`HTcfE>x5fiuXaP%13dW!xW)noI$3j zr;1+ZXuYIK?MbMZJA4=>AG7kBYl}@0WoEq{2@|Y^V)eD5ADKE@J4UcJ_m_ataMYtW z8Z(MPfqR8jZ`aSQ3A20cP^F0hM%)42>(HbH4(OPiB1jYUrd!L!@MQxG2o? zN`}SFJ6Xkd(OsUs8?QIUBjB>8esLwHk!y&9uzpTpttCekOtQjkgB^;SwMA=3!0stg z2X>ni{~G2S;ME=IbC)^%5}b{e)Cwm4GK}jVN_1RK1~1n`fay|2&6I|#)CB_FX;@&z zu^EXuB!(V3wlQky07pC+x~XRZ(qIZ~oE4y_;xwaE0N6&yeGS8Qr>O&oD zltY%u<`B&RC$U|x7k!(GK?hQgABNJ2*Tm`(LCxW;dZfcDIQV;uIcRy{{Lo}`EwT*U zYsyk|Ny1z%NU-_>mo>9zZTL@J%ynt@ojGM_KrwmHq z6-5y42=Wpo59j%?YO4Ve1RTaV#1xXDN;h}`q)|Eqlah2`U06vorz``LZa1)cHxZ;P z`(cXFX-~Gkhl)xkeE!(JjVyEnr=pjl0xK9XAo&$sM5~NXWHbPvjxD6Ae~PD52II^+ z0N964e7fgopm)pBPLl*)>haSrD`FLZqbzlRL$?c~&s?P5_Z5sC^Rh(!B9H~1dn)NB z7lr`k2;Kx(6vdi=5~~&lS_3$gP+u6j$!?5JRF*nmos{_4e*;1iekSnax)UYWpakMD z(D0FNB+?C-D`{E>BNrS|F`>=VCegD5bW4FDg`1E+)ut*}{7R-vyeKANjENPK`A8TB zA{c&lKBN)BLW5oBgD~JhQb1H-gR$Wh8zg+FfrLV9AZdysvQ$b~gcQ?|fC`QB{2?j9 zwx1PB3Kc6XOgoRgfGGji6=APgp|-K0L82SMYASKTI6zNY`GPEANhK|_u+^<@l1V3? zUimxtmE$fy1)Wu97Y=WqDne`1JbTIDhsusWg$z zsUt{yzlZMcj%D|hrL%Ms5&J%^bi1KS#{`7LPyP7ogHxcyXGiI8!=@ zNIDB&lY9DP;nNhcQqn^a_rtwAA&9%V`_kzNZn9^p+95(8zSi0F9dAeC({~caSHE!( zwyG>gC`<0e$G{+LB{*XsZXe()R5J(mdv@n#qy?m9ovkS)1V@84_|RDC?j&t`y8W&+ z=}u>PP1<>@=a}fBDQQbENy)j4pl3Ht3L}u^Jh+pI$*Bu1nvLLpdZEhk(p942WA_{{ zb9IB&cu)vWLGY<|x)|KjL13q*ozE#W=q3XZZ^RriiC?LTfwBa#uNk*Jm`*n6c40`K z67I?FclV6V2KHQ*{JW;x`g~L0Mi!m=IJq~IJMB7~%@iKQ<9JuQRGQS%U8U`g8E0|Y zmz$`Ej9#u*KtG%oImuPRRbDypDOS^+Jb4P4Gu}lmQzx8KIwP#QuH9khJQB%2iK5u06D!PLft~Rj3Hk_#>TC>6KIe%2V&| z-}`PyV7dFggJ7tf>+me_G#s(Q?m@TNy^^ZVa6nPs zifJNipqcT~wIrbI#?TjYqPWsg3F){H6cdt0cSXk(?499Y4s4TjosteJB|X^P6}EVn z2%70)sDV56uq0bLpcw8Z-XIf1Lk@T9)5W0NaV=3Xi77AZIu!MTxN9}X#>+`3FlWS-MLEan6eu;S<^JYHA+cD!BWAJ-02Jli@Lz4KQ%j- zd>@OUZ-w^vA#FXqO7$IjOMF_ELz8-~K-H$y?#QXzDhl3wye+&A>f! ztu{iWm(s1dN{HUI1CJ|N0@hd;uENe-=^O)dU`{Ytb|J0jz^;-6xswBHv93y{3AEZ| zNuKL`7bQKw`6_}Pq=hD#cD0vf5ED`h5kp{eB6y*NJGVK7mLMfC5Mel=U}Z^8Otf6- zbm`z2z*vaNO7sPC4J?`_c&02nN6;&I80ZEzMwB->M9LcP;Er1iN_sH$WxAXvu5=G@ zj+eOx_QUR}c`jqZW__`bBa4n*)y$T=B2e}{rHp7#0=c-xn8c|k2+L8;v1!nrPKm2+ znL)jAhYP0w>q-eGje=h2rX)!fvn1&k1aMkNU>&k_r4mMc)KO1I2_@bQM6ULy_eumt{7%&l*W;dXN1|CZ1@`mj0={PR;*HST zS@-Jh;cGk|*Q1>coCvbnanDa#H*VUY>o1uB9@m=rOEw-QX;AfEb2ng+@7@o3SHL?r zV>0_+jC=0sbz2CYaqv11cD=-`^{(^Fh~e};5Y2ily9CpO@QV1|PsDwF8YQdqYPSR(EM#X^dBiu$68)cY>el9_~Rp1COF{a7K6DX_Z7Fjrm@s!#zuI zYaNyVGEIVA0~?fqYeJC`b|H2(DHw0j(2e*o2=rVfj;CZpGFTCrh@0_$1a&Of=I~0P zquj|7`>Ig&?0jEY;ku1rCMJ4lyNU_l;Ec4LA#1GGBnC|s?{e~z8*m36W|hP!f*S1h zV$wUi5kW8BFf#N z76Zk*>v`fT>2msX2g91a2DYD9?<{!rP?ji$nZ7=aEV%0PNLso*O}7`z31E^Ir-wNw zxl>42SPJ_OX$lru%i;%*|*eF1VNFPcE=HTK!LZ*3h~7Oxx;MC z^T>q5d?U#cP1yyQ(>`S&B$3oeDi>zDdiTuq(_!3V0@54@C~0yI|2Z8=FIeGw34H%S zm?XG@r4VmZ!zUj~f?j_FjN2lQt1JO?06n>bT^Zf9n#r%WrXoVYf}8icr}E3wgFqy4cY!ANP{pV!S&20j;U6mn6GQ5-P-NLt`)g#v8iJ9An}+EPl; z?@CIl7AtZb-mr@jM9IW-E0}((NC8>%a=|At-c9dUN|+w6!4;A>B;r229&7 zr=_HY57Q=Pi6m)pRB%BcP1d>iTgN&jvq;Ig4j#C&&#`zllv30;^fDKZGZcyMi$DdcV%G=mp9yWLZz5;|NvfrKtikmr3sj(X2-3jz1V;lU>HXv1&{=a*WN>wD zNXkWjQ!Vg2R~L!;ak8wW?94&~SF%KCGvk;(AT$2ylckb!OaWQ^F&ww>S4m&l>r|pb zSBPMtlA#(?SDKk1`*I_z_iP0Id7r8s-cUC|_t1^u!8uD;Xu{UMjItWWedu8+!M*6? zqXb>h-@yM&+Z{0B!kW3S{^!(r`$-xGK8Ty~>!&`Vyd>!bV3a*>OERI#az2L!_(a9z z3VPPES9!Ve$aZJZ>8ktOgT)(h$u{sRKPCtj;58J%XOZPqnRu_C`Uk)bIXtc^uztyJ z?R)ts>1X@F&>!&q4r*Z5#rCBmOP|29gmLfAg`By3PS-3uzP?k{4(&9!ORAyZX~9y4 z!So<}X$AO#nFghc)S$G#-D+g3VXZ_xv-q@v@3rA!0eJ~VS*0XPT07sR;B_<(ChFLs zVxk!5v?b6RSkg0y-A9JAlxXK+obs|0x^Wj_iHeCb@Ote$&S*oS!Ihz7k`C4C!HPJZ zASJE2F=Z!J)xRKJDd*^sq08p+n{TS@8)PS%|^9PdsxVyF1HS`iyKi4F+csFkojD zJ=vf)tk=|sCh0y&b*(W@hP3+FqR-*Mb_3mX94b2vL0Qul2ikK<=&#_ep zUmx&0{n@q$rwqCl>Ifes%5+dikaSDh9XLq@xMqMu>f`}%1!b4~U@{~4sf)lHRVS?P z(5LbrZY@vEP|zmKPE5*eK{85Of`&Y3%gV#ipvBI#PK*84$#3E$T0Z<`wKuqbt{cS0 z99XqZGFb*o@F-&u&RCGs#8FSfR=PX08$^PseMi$dnB6dPs0z9ua6Zc&-A)F&7n7Iq zM4&__A*n2J+gnId(*Oq%M0V{sBam+K4I~(Cd@`pEhL=GEgANEeuPsR{Ay(rH!VQbc z=<~{U{7Gb~nQ)=Nw*-o)OQD>}=4I!6qZ zQ*qPq`wjy(;X#|j2X5g9toPIt1!{;2m?g8@5A_5-_Y_FwBoXX!YEN|v*0iT}`v-zm z++YS*jUW$UP08VYL#uabX)!@7sktR5XG zWS9#+sN5j^0LnP7PEt$lx==u7Av2qqt+=v1v+r+7y{3*x_Ptt#T2cqu#v#E3?PijM z`|hto%$)$7qH0GJS3_WrBCuVLIvpxOh?XWeFj2uw0_mIjML1g^J6oQ8 zfJ$;Co$?5*{V%E=em878oZg*}PiC`sPcGhROrExLa*eg~P|eQNn8_J<%)sy<(nk%C z86e9eD*3}t$iQC@X*VgE{=1!r_|9G>-FpP-w+3=vSu*TsDnV;{-w5_~M_ID_?ZCjA zVH!AK>7;ef&MCAsoLiO|xL-^tR42xgOqSCJy{e8bq$AQNBm(Al?>f4^!<62gcTZ-& z*nPqEJM+FY?T25g89n>ZxwBIrnS6S~hrUY&e*K7cPk)Ep>3x%=dygRf=Ah0WUD1r5 zexwL0OLq5CypP7f6Iwd`VsbJpxpdI0>gYl`B7I^a@c1TGI}E=s+PkxHGP8DHVC`gM zrtKJ0!)71ivs1?8(;I%dkqmt3h;~nVnB4j8Hj=J6qV&MdpL4V&GyO;r{5e^&`+SP` zw3jjl{^%GDJf=cBq>8vClO=kPWVZ^{$+l!v>S$v+B7b}l&;!EXUoqUigO)E+Ba31h zSuoY@wAIKWPmL^2U-%D?lYu`tqJh&|$erIRccvdvx@JJyJiKb}A8vy5&3hk(^m7WnNwawp4AN9m z@>!Dh;RAqg)$pnpe*YC5{oMCf;wa#o_iVZCTL+$d=V!04-E!cPo9~&o_t66{?*Hrh z7q{Hl{cGa3{n8^39{$5UbN6m}`$f>b?s??K?gM`fc;l7>z5lWG1HE?~cz*v!0I#Te z4OxC;;|IaAOVS6P+rQ%Gy^k#UZ}RHE#*1G&ymablC`r9?3G5>2RX-hlJ1kK!vRpB5 z&z2RNp15Sy3-4?=3{KeR&V!N{emI5Pd4#l(4VvPD0y5zyZ0he@>%G9asS_c zcJHGry8nW_+<54~id(kMd*RWiKCo}ar7vEj3XS+sLAJYf?%w+kytwTuvb+1KLmz(O z^^IGeTJhn3yJGJn2mX2gU$5G8@!vGRefZ{|0Y32PEnBbnYv|s0+dME2cOUq}rH9}7 zcctW0haY|Wxh1z=`sFSE(0$<5m2bbk;r^!%-}1+KyYGMdkFTv*_2NJLefLwpT}fF! zrDO6GLbY=5#jvDz-aq~F%a1&DXw~6A-woYM{#se`TJOpiUjL{2-#$dl!xvK#Q>YNp zRVpTf!Yi)$%EeDX8W#Xbugpa7k$y^!JKiwq_zUe%ONr02d+m>Ukq$Bt%{Fr4FtwVn zae}E#%`Dy3O81@BQOZka*^DgRS&9-gfQjVO3oMz?c-~^{TaAebn+Y=50;7C!$Z06< zTpSfFoZsmh^5t>ORzW_Cnz7dW&W@-M*T{LAwuICC$f_H>nzVQ zV9B}~tCK~=OZDEJuHk$vl;3JGz|1LaEQfTx)z|?`F0iCUT*6?|YvhY-1>AvdBUIpd z6e`@f@8r?Aa>vE~F+@;1&k>U`IwtjA(gF?eJjW@7ow3VnyhgW>Ee_>3TlroCEP>c8 z6k1&~hk>9NE-mD#kke|F@Yx~~@*00*6z*7OwOPgmGs_&O_)g+LzQ9^LDdK=MxU_(o z(NJkg-DXTOExyz(U86j@&ay0{BwCIKiH&R~Lgh6Y5ehp(5epjk`+pn zG$`9@ojGN&BodtuI6pz0eWl94ddG5EB)W)Tx1HeTPS|aRK?xR#g53)67br`sDhkrI z@uUR0n_X#4G$CC;(y_5PNf7DPL?Wgd?~QFXAZ>z}O2clR8wEd|m2b2lCaf7`aUg@W z+(zSp$0$_X7Nw?09%lr}z!DYjS+F~gSHteHFd^>Lm!XgnFv06Y-u0noaAn~r3{2Qp zig<}M^9vt{mx7_nz@x^{xMT-oJ8H)^ySFEV8K!Tg8VbS}lr(o%iyiYzl183eJ!5z{bWVI|aDN;HBq|zoE3g)N-MKNLLbS#9M|ADLjv`r*9bg1VaOMd(HgS=rQ~EuE0Pd%RqkQSa(1KohLVrPW9MBKlVa+Q{(9?HA>yU*wrVa{L$O#4i94jtJD2z_x z*vBan9RjS7^6*)Uh1jfR77ozqF+76Eo_`jS~CoM|eH0wFy$i#~WPOC3R+ncgs9 zI&y)*lt-Pwf(h&q6D=L7=7h+Hoj9$4I5?F;h65A|>q2w|goHx8&ZOqHDPkRx+iN}ubr^c45^I;Hi9)~nFh(WXOxrOO0=V!xUQ%qa3T1m&uA`3NI zak<^&f;#f$n8%uG(AwwO#eY^TSb2@-GTuUSGJp*7G5oZfE5J`}Iz9NIw3idSw3r&( z$12eBm80)q@CQt%gBjF!zzh%W8LIC9CH9Pu^f1s=royO1D~Qz6@fJe&k6FICp#st& z+j2#0rU-j(gg*c~E$K$l?4vI+SOmA>xL1L|Ugl_b2BVIxbyoY_wz!rha>nnzcz&x{ zXe}+~Hg}q;B$s3``Vucw-$A>RbR}7^I_b8= zi_1$O&8k6AYBePaXM{thl?cb}^Nb{7(q0RK+$LheMdj$Wi)b~Z89%R}6mko2H zw*fI3OIcQzJFAgp%Z#uY+eN&&VA)Ne0-8~hWKC3rwc(zun9O(3xp6_hOP5be0x@Y$ zsEbX(6hRynQ4^&@aB0?cf{qjMD=TS&(V6Uf4Q4BeP`s6Ntgn)xukU7cvGvoPtt6v6 z_liwt`Cf;0-{D_BlT|z5LPW{f6jX+i!DWek{G4)ObR=LK-c3$nsqGP8f)BNOg>vCCP+{V*(<{HW@9LI?l;oRxQ{KrCx=_ z3uDeE5Ya|&2(vcHisH73OM`(<*O9ECvqf+fCIwd+YSdymG_pV=g-d=s?1VJKMT%b? z%!MDrh@cMaV|@ebP&YqHflc1G8a;GG5EJChpW4Dagrb#&l3*IQ<6snJF4(9TDC^QHa1_4ZVqBdrN zVnTMqk_N1dWHn+!qpu@hRXQdlts+S5-_Tau2gd#g{I0|VWowHiKRNo51ul^zqp0?357`2fc_O5 z=OpE-!)v#3ArOe@C3h>rmXnGq~;jYD@4b#setGsRgrFRw@9 zf_@*AP>-BLi3oCJP6Sst{sCbYE_|J6&riy+V29SquWX>twmz;-lcX0WN{A6zgH5C?Yy5nZkyJ6Pa5h$Rs3A zDs-X)lx46#$T~F$POv^#jWCCh!lBgAhewVcdjvjzi>e(mjIx6!g983+e`Ma33ow9sz*Q58W-?j0QU)`YK&9e_If9PCr#1bv- z-Yr?|z=s}s2=JP%_k15yum{d#;+C&MN%yWVJej7Bef2>iCnfm7U0c6AcGsS%FKZH8 zz_%#fxun5I&28H+-k(e}%HGmVy0>rK8W=eD)kE(j$-t@iXance%>A`X20nCu_aS)s z&+A`r_T6~^mRyx7hCKvNA(q|Q`xiX4>A~d>YC7FpmF{0}?$k(yRSq=)&}}c#t?D zx}S^al4h{gy51emhr6t@e*#-=8M6FoYEp%DSH;3E8M?aaegck!il z)74$c`i7ZgV1i#8UD(;RKH>IwA;6(BF(-ssc-^QCy=^Y{lJ{KQoot#At-q(N;U-d2 zLDJ2LNo7-LJe(gtJ5kYaEoSk*%kAt*hCbOf%5-Ml%Alm;r_p8ibv2`elIuzyq)+4P zowAt%#jvcZ=?OP+%rT+wkB1+@5ov_$ME;7M=RbQgMi$53m&u%I2-RB_8j%tmS>`n| zz@@nTF4Xe_bU!PBH?huAY(hkRgDkN9A$^+aJqymwunUV%9vd&0^Vn*x%jA7~o0AV6O>NHFGv*NTvmW)yxuEs<*G^ugd&ysrtV^)D^ z3=R3rN<2YJc$YJQ*+|Z2V?xeiWgr(EQ;sxqE}O2iSOMDin8_9=CPi3<+w6N8G7HAz z);890;knLvn;Y= zlFTspLfS@Eer%zFJBr7(q290?X1Z}zI+pN~Wu=(*K+)39Ug*}H>2`XzGvVD^Un)&y zV0M<6#Xlf}MF(Lb?&i5|-JE$|Qz>hz)zrwhoB;zXQ1aE7YzDeRQ>>I&4Z9=%!tP7z;xrrm^-Q94qPX9lwHIgANQT;?>;smy3ZBis)^ou+&XRweSkQ5?U)Hm z5Wxx;cM(sctLpT*zTXR$IG_8rsvX{a&eC|0rHK|MAB_|dw&In804^zK#+iV_1mh-S zOr#i_8Oa0ueya{oQ%ap0G*(YX(M(<$5tyv~@?tb>t4qUZES>*|v3hppcW&E+c{6teOmD#syH4ChjN$VRyxXWhLSdzn?FHF*BXb?X_`=9 zx2>e`qDZ7hoC)Vwv(l@bP|W&WEM^M(vWQ^bo%yA>yNg0YtenrsJ$CZRVAGcmqIMMV z9+5`L4_P{_;p$U4vcMH|q$GTSD1Iu;@J|rT6dYY(3$NPX4V@~;;0WrObds!9Z3~7C zPYA5hC2zpb7{3UNFG!Xb%qS{lc*Tbf>SP%kFr>f*Pp=!<25Ul|c>7^gX;ZAu6h#cV z60<`}OBV&NKXL4%V@(wUEl)%;EbULBS?Bv@a^j+Y|_-gQpWAXe(q9 z7;~;s?G#0w^6@3r=6qfvOKcW%YTKwM2FX%Mk2YzOte_1B%_Hf(7=bIVSGB_@aGN^A zwTipqrvdTlf(&6R=yY)lypMqo!scg{-E(fhU2!G-ab@=<3f_MuP4WI9m|w}As$FZR zKC^4f)VViaHFYImnz4T8MK}~F!7~v+bKeBC9z?cxOnr9EMlkH+_Bz4)59P+>Q@4_C zV(@+aB!_DI#uebU-8wJLgsa?v-DTJ8zH92$Z(dU~cmHH%$&<>G8+1&_?pst$e*K2_ za%Z}TzmG>eX?HYlE_oTm`wcMc228js0ngh8>GfApsGixi@q()n6FlDOeEz$Kx_9ka zUbTDUC7(d)Jpbx7TZ5Qr-rVYEU;jbhLd%MGc9tWsx{%ey78Jv&jET>(`{8Y>cA)X< z$)qDwjB^99eRUz*0brt0+aA))tTRHytVZ{vJr9hs1)--sx|8r2vDvvcO=pfb#J%l~ zBi8^n%NihQM=`y-HxUmI#yC%}sh<|DeXMmpu{>-H3cCLtya#J&jZ zvf^mG!os7Hp09?SXFL!R*Zz1))Tkj)GmEnhbcL%) zf|avMR39GWv`KIA*e0FDl}<_Lm|Qq{z!rtauY3iAi4b1?;9fG)4)N1?8>S4cFmO z0S>Fj9JR6tV+xa8Ep1Q{;NL z2DhlDd7o>cH}DIuti&reMwaN5%~nbfD%bU_eG{L!%b8qoM}0V2Ts9#ZsVSVbu)^|Q(gqe}vb4dA zqf0(=G$l_(tlZIdpV+{T=pJ@=#d+%=sdo4sSCyNjrEV~&DWWETlBC5+f$2_|){X8! zZkFk$3o!1LafoSYtDfPWqS-NLel@HlH=?`E=xGQ|6qd(mLBGl5+{Yb zX4Id+Lpn=FY)L0`I#$y}=Rb~Z-1{#OMh%)&P|>oUMlqU|R2xhfwq;6|&xIu&oY;Yu zxBw^8X-F=YSbDTLF`-ggY+i>KxjA19rKB1wIOMcoOR!4Z18l@sXt)@wVR#o;LDSV9``SAjeDz7x*lWb9ZE z;LUEc05Pp+QAVM;p3$vKk|y0q^zt|L-e#giBmvk((xKvNThej_KCNTYJ?ZovS*VLh zU7>o5F`@n&96wBKI?Q1Bi3G{i9et_x>om%l4BMoF9#xyxjb^n(y}xkg9GV zCFJ~l`0L(v=JM{{-y*KnvbnFGt>Gn?J@_KnYIpA;SVl!8oU!`O) zZd>TjH85LxO`s%G3@<9_TgkxZ-&*mFPa(@Eq5H9oQxEMt`#iw6!V+>mO<(L=5_=^Z zz7%%f<9qoHe6Z2|`uG1{S+eFUQyXsFvlR-yF?Y#=Z|r`1`8Rw6pa1zDa$f8HZm!*L zF8>BfsC(DH;_oX{pV+nW(oep+rwr2fH>jA9^prPtgQoY@JyYiXFyqb;&f`$p!2Qd+ zve}rwt}Ho@z~<^shiU{KDzF`!HIF97s~9w@v1*#O4$$$z1Cy$@gI$gE66soyCGIAK zAw51T%O0OVuL06K5}L5JD}k4C0&2NX`{hdj@58N#M3t2c-R<2mu3_dPj8}hC(T>kA zx@JTwa66*VS{<^ZpJx9ry3e>bnwZ$NeVMy@!*4Vt^KRJO&T!wMATe>n8qz%`th`+EXHQ1=RXuV0vWlK(LWSN&IR5Ez z;p-9!Sn?pG-FzjDdjpF?e>p3$987rz+1=Fy-FK;YPry?dgv#yO;7;mJu7hr~>{@c3 zU{yLCpSq0ix#Pp6WXkOL=6f65NnN+sRP@{)n%i~P7$$BBO)Ji0KNSb7OUEU67mU+f zgP{gH5owuT~0d108tq{Fym4!2~F%~E-Q^YTq;a+{SiyRC~l_<8gc;ZD4sa9A2CLBhCy5mRe=qG2;fNDMhdOyX5`BgR@vIL>ORZL}@Bi?M14bpR@Fn>BSXkSwOOgViw9Cn}}%>d4C=z(S;@HU z76hLIWOY|2wc}>FYRHpl-?!st`J!?3q@cY4%@B2NwuiAffpMnR0T>}E}Zy4bXm1`A7UcwDS{KCztmaUOYx!y9;}cw zbtx9%Z=c%|^&_}0h&L<2$FD8L3Ac3<#RYHy9`7tdSIf_`AWm8w<<1D4{cBLg zaJq!bnQ{`}q}Gi^pSp}%wcNz@E=hAVVy52Z3{UNWX(fQMi+kzcvMtFt?z~B6<_p23 zWNszi0Oq(ohMR{>;!b(1QqdvM-U*yvEuhgTbtKl7*=e(=Q3-@Ie9R?xl;CbWx+vUX zc3rgWvTP39EZm)!YX8_5 zT%B5*t)K=@STzC}2(z$QVjdQOd^ybRK`So`9==N&8n^?c6ku1KB>`X}Eu2YWNW7YQP#$9y>JdaE69O(0HJCw|3Zi=Eql zG3M=Fv!vzYhYb6JZ%yB8o%N>GdqeB$Utab0&f1cLq-4gzv-Vy%@otOV(7I{4V|=al z?$+6dp3i&zt&4X*eAf34_AYmtt{?N-UUqoznLmClZ|^k9vLw!KSoZ_yMl0JYM!kJw z@7uy*&<#tQ1T%VLtT$uyF>dV?>ckJd#n?F=e2NEmyrqt@69#_#_nYk*k?GG{{LQwv z{~~qiA0B`H2kg*ZHp;LsT{!)}ERw#VRU#%WwRhhw3S9f62Aj~s@3q)%&v^66OW0k| zSn*DTonvu0f7>(UJTuSgjg2yjK0S$@bC6H7@N?d@D5jKr{CCW*Yyj+@^9IFaQT5n2mQr51X&-ZV~LD_UI_$kq75T z(kYI>hu%6ZYX^!-t5d{9c6g@u(ja(sE8Oi=%dU$vEpxqc?>clB0TAxP+0CI(FGKOBNc8U<-&GaGwD6K*m3fz))$DUEQ)TB;2^=PeeWA#QuifS13iNL@PW@u%-%Z+@Je#o~ z%Ni3uMs~2!5_VpyvNy$xSu5si(r~JfRi5#aC#^#E=hIm02P+E>ntAt{KYzK9m7nnw zR$0PG$qX)ELZ^)-CPn zzp&AVMyEMX)eh)89K`(!>hDn1Z~|%vPO4PxFx8BNo7gLb?4UBRh0l}lvTdpf=P&wE z>ikjL9{&sW=3V$y>o$(AYVNAZShG1cs^X^sme zEq7!AVEQwek%f!y^2EE_FtYH_FUH6sB?>m%XKkbI@>@M@rL+1Mp|ww%g?C>uYLboG z;rX7td##t|JF8y~t;NU!eQt~_+{rI;8d)rNHlgEwu(t5-kKXc{`P;Y7dEdc;!v{aL z+>yU1`G>viw|mbjc+L2?l^9u6Ot;v|5JncyGIR1lk>`&rSYaFN+aypmU}O=)_Hm`G zkwuy1hG}F$V}-BP7PfqRPNO|~;n^5MyxBH=Md~ju-u9bcv&p}vk;U|FB@G^*)&qB% z;0~lQgm8;Kjgf`LG1|KAnI?=C4yuubt49{(JVq8P9mdSN$Hx$pT?^TSXE_E+xSxY@ zNDHKAS2FwD2xCk6SKfGRzJqbd+i$bye_im)*Vy!xXFvDwS^s$O)>Wxuj4WDaVce%< zf-I+aC>?nSmK@8-;!0ec4F9*(Y zU`yWY3xj`Fg=!}ZJaD*%*nue~8a}j&;HOlU7wb@6tfVQ+rw+$+rD3;HlD7LcU$>?h zPD}d{oTsH1LCLocJpRfhpZBGIwnh;W|FJk7lXR8``a1urqU!Aj`{UjzR% z;B=v#w(hjwrTY$wWmi*cS_YUv=>s1g#f$-19Ru8PBqimFBeoN|XN~blIz!kRs%)k# z%Pc%vC|8zrR>P9|>+g&kY)8lg%ok@2ENHGI>DdHF#|g4~2ie^WX|TR3cnt2;`|hkI z-9DUA5_s96>{d!B%K%nNzNxrvbxiQ-5tMkA0rK5Us6f>VIA2L;!N(B6b=q!H(y5A} zvQcMA+_ox9ILkzNozgunBdxux>k*TD#Z&A{7vDZayvwYViZ>fTH;k&3k}2E4crrnl9yuM#toeN>4EwZuYy zTuJv&aGSy*Sf(VFXVN`Zy!nfzN+!b{e%3_Wc(PA(oN(IK$;7#o!la~sf)ehd0$(KR z%|LViGemy~rwsXwUnreYE!Bc&Hfc*uIgfQ;B|4{^cuA61NxzBRift{XUT4D1M^X|| z<`*!j-YF;X>vaq{HZ6kvleh|14S}X0*vG4BQ-#+Qg6u-PVTXowekqcmV!%6MwDeOd z*kG2v060_mrD1kL9Nhj7Tf^1;yW%QTs7n}1M^!Q8rSMB9?R?0u9VjN% z6xj7fHKfORog^KWfTNG0Q-E4cEAjaFT2&0X`hwjpMK}rrYfDHPF;UVC23k5)6v1Kz z2h}b~XDW?!jX46RFSb(@lkbi?VIzxl-v@n3p58&1Go3hX*-YSDK|^&r6(H3TPuLl| zFrQJ62odqX0ol@-CB-*@9+z zLVs3uX%FJ4X*3B466|Lw4`NFlufe-j114oQIgy)uI2ZKylxKQdE2Wp8Gl^$5^%gAF z$qyan)i*zU14&WhzNEhrLFn+i${b1SGgy&=TXD=V<4S@KI+c_Yz3YIW2rP=VJ9rZc ziQ~_th~mo*3YEANUtemSa7O^4u}><%un$BnNII|_A~`Y2vX7)rR?>E!EbV{tdbdwg zwL_Z6_1)z|ou`;tmnjNXP~NV`WE{DcEK>xzn4Kly&Bgp8N}Bj*uTavM040asHAl&k zLC8r#)k|!(17#V&w`xl|HaCFSw~3_x?VgR85ayNhuE8WF9hyXxZI2Ha-*#&T-ytoz@#GnJGM)cFrMqLwzpL6IitlZ`W|zLTeOFj^cO-JzQ@3M67_iR4 zii{=bwbQ4pec>@_cy?lvlJ3St_8{L?v(EOF4z=}FQUx!!XL-3WD@%gMfUP#i5-SNM znBQK^`S3JlU_^zAAyjREVW2~BL&RbL0tH#Rwe%AM!_BUXn3Oh?^Z%*7#uqkX0_OpbP3oBBmSun4P!eRhI5*w_ z+^^(^RB~ELx942Q?;X4Q6q<9*E~*`V_nzw`30TZkua~ycsb7aRr#lNVu0{P$d-^FHG9?k5*gX2vU6UIw1zCflJX9ybnRf3WWiPPk_WJ?NvNP~>f^g+E8**hcKr%wdT z@3pJGgJ7u2o7t)1Lmd~8!P=;Dk6;OP5{SojF-UB~+0x*jH8G<+;D!W#sYANch?b9} zqmV{pn=6gRP{B&c8pNdF_Nf;!r+z~8X~v=p_6cV2UHE1iP27b>UMT!h4Dh51VHj?* znF&k?pVob3cc`5VEE!a~B-cP~H>Rh_z$N{ZJaM#TN&S*sOA=mwUnQMEmIb$$UC7u6 zCPeEoT?WP5;1sG~={>Go***ypld;muBCXoVp*$sj$Q;{*bC2-fePH zj+L5iEb*v5Vq)%=d@I`6YL%8SD@D?Vf(2k~ zLKt(%!E+x07z$v?TqdrVG-DkQv%)DF|qr(Gnj7jau6khz=%?xEbRhc$>l)= zEqJL5trcHs<>SlNu;&Lh&O|g4ky0AXfpjKvGI!fG=RMy?H%)u5EXi_bSb?1f zMZ4D1Z1~EOd41f$ME1TVxAyf?xs&buRq3)^qG1ZxAVqw|dNq+fbd%j%`|8F;k#T21 z_s+43AwXI3b|%Za#zKGEK%6l^yfcLsL@=#8S9%1_1a^=@J4tZI%pa=SfkynEr*0!_ zZrfUnZWADEJr1}XT&u%Uf;V{R;Y1*fS>FejvVdR% z@Wj<%g=^bX58Vaf9SZKJ`v!PvCnmM|S+;H>OUBUL`E*Od!^=%pfyxrFiH8$a_H2Wc zOoA1TqOE%@#4ziu3e{?L+rB2po#TjLCME+Yf%D2hm+t*%oY$5#4;H~d8Z+$&@lLqO z0i<_ivcxTkY-u>pEuEzzJ5D9I9W@M3lJ0u41Z2nf^J6lAZXD8u76f)g`nY~+eM%>| zAdVlt_I)RNWWi5FCrS>i1iCTj868W}A}1+0jp!b~%{al?heTRLs+m}^OLMDImz{dZ z61;R6IN=;n_i5t<(>rl6Z3q z%9J!3@-WTL?x9PeFfi8fIFq2rHw{Z1OYcff0pwDxI?;?G$hjo0!qUL1Z#H`O#LaGkqn$K zha}ut00ZaaWsfW+@xX3KXTe&xrqd0TU^083H#d`ITAJQqNQ7r65?&8w>G%c`eQ{6> z=sT3bc`~rvvE!4%vkaGLfZ_Zka(*#FmF-Siw}x%)JgiW<7ta_{8j7^@{oEOW`vmp` zhsv3|LDddiRdJG*x*^fc4JUv}S{x;;+7c+ChF3K*11S+&x7|l~OaCkdlpx6z+UzE3 zscN8GupOKe+$hn0H8FZC0k8=e5_n=JOjg{a2<2^#eZP74Xwx(}mVtp5BxT%T(WWz~ zod(RABmwAdObV!^WV5^i#$6|lZBHP3Qyqv3dS=1#N8Jk<%*hNTNL(!?EdwRA&1pfh zgTS1b>IH1Y4osFv7zklJkf9XVO_&tG@ZVA}R-BUvjtrvPhcQz>C9al|mcDMx;BU0> z8tR!y;4p-eilE?XTjJXkt4VFPU}@BHJSNgvQdvrE$w0c*Ib1CvmCjNDTrDLn{VWy0 z)l$-O1g4Tl;%X^rc`{?dK7Rkn9$6?yPT0a2t|mUP;DB&HkRE8_Ee8657#v%zc@5u;k`n0MY-k=-9nrQZ2q%tiVD$6C=%&cW^yttvifg6bO zmWXEQu6T~!`0!yMc-MNR1h?#Sqz7>R3e9bMHR-2K`XGySarEEzyIpkc)uPfq$%_0sc}e()_ryQ7|2N*US&k^__aiytrP=Y! zw^Z%WP9v5i{RK2?YAATDFi7q&`W%!dbqw7u$mkBF$Iw_c0}p9Q!=n0jUr92F+Xl%p zpI5IOj~gatGx%mK>Fld}_M!{2vZN;**>;29mSVy!FRxH;!h;DA&60t*?@-!=LlR7R zYm}FGLyJQ=9f%Z0Cc(+GHR(uQ~eySlg}OjPt_{Y?hKXbwSiCKEUXrSSD0) zy6M?bhfkb-uhVf2~p%y`1VCdV@i zeGh;ndX!kB7Cz3U7}oi)D3jn)I#EP&g8;w~bUX+aZRex`A5P>*47_7I=d>)PL#bd4 z%uKvo-X|YHOuqxBY`eA1eNG+ltP$|tj=;2^os7OiZgq}E@rW%5zAzlT1yS^_2?IQ? zbVq!=#64~c(hUjp1{NepcXJYrRx;BjG~kYVlK#s4md|H>4J<)V1JVspg0_ZgO9>^o zhwe+GJ&O};Knc&kcU)m}6Sb4zw!9owi^>$)v9_CAcZEKZT!V)-i}F;c zX62`2q79^nDk`wY>U^dwhZCw1>5~y}=c%VoM&BX0b*AoCWj7SM^22>~wIPCCTI*RN zHG*aKSy43Q4r{?#!r+!9?E(+w%dwWM#o$n%tL+6ts8e@*~1q`v_PNdhv?Ou;fP(wvkhDT%b9$N(1gNs{&no{(b>q5Tud zY47M*w)wQrX`x$xOVSpSi9gHl5Fork{suP@6Rm*z>p01)6R-*d6*jVX4@clPpF0_~ z!@C@i?8_0g0+LY6V_sx_MWh0I^jpaMplD0wnSn@-68)myy+lUn95uUMuH7ff4jt0| z+O+pl9l)0;YNLNd`kyy~yjLRd^re3SwL{-v%f5i#KOQ)J)#oZXI#`)O3UZaCVYShJ zF@Q7)opSgXoF}^nNpcYUbb|+}EBnENv=c`MpJL~q`?0DWxH3c1Qk$=x=;6RhroWP-gUN;*=M})!Qqpn&OHLTfuCK}IJG`GXt7PQeOY2eU zm#h%+KeRG-s2y53A4$<_87)+@MlYrH1igo)ucY8$8u68UCk^;YZb}2blJ}*7K*_^t z#8>h_8t|1YNdvx;vNRAVQ3fj1SJIvq@s%u01HO{+xbyxUc2;jHuk)Oj=wLyO>HIm% z>pjR``RM-=V6?EVGb95Y_tcVi2w(}dq=l~}oCbVL^83Z)^Jx*^l24`qU&+~NAW+gT zCX3S|zLIOxfUjg+8VHp1i%COT#8>i(G~g>4%g&TJG0Cqn`otufKF3#bWg766U{)zV z`IcDyVlpL=2rjmdr2${bm^2V5=@*mgw1{uX%rxLDq3>#R3Y7GVNu~gOC6@=M1VyZ0 zp(8X}R(rq-xp&K_u)pf);Gfai&t)lj^slxY_?}X7 z)8U2CcJWQ5`#IA6lbc`oi@o4=yeP;LgqbSKpRL*h3e3-a_qOLAJ+KkdyT5tPeFrqx z#E(~TTuxEpL^)vw>osVzv*a0l^xh8ldvVE%RlB#`_}?jH`OB{~ zA2|Hct@EyVO)NxF!S6hWU@^+)gTMLMVnGeMLXvYISf*+ifjrTs>p3*R{~+)o-5 zm@Oe|?Zk|d3zY6zr2BTIq)zFs&&slzq$?ZUM(}Eu!jk#gk~p4PjPW`-(NuOx%b2Kn zG~FnRu7iPw87;8@E4xb@&x3U7)hXO$pA{!HWf^>t{2D-t$ z2;J4SPCZC2!*PNWL6%j1mPL_h?Km&d2ou81mh6lYlT$NhdbNu*DGO0awz}bZe45nu zaG}7Y0-l@ih5?sKW3dRw97|D2mb78?N?8_r99f1OI2#eQVXyOY_kmfgc`PPzs4cA* z`EcG&^Vh6ya~8sGkj=REwg!Qfq_dle!Ube9jsw=1Ld7uY&aTC$%N~epk1c|*%r$C? z5vpPk?m&qm>q-^DKx5Kp;trwI8-xPF{8dp0*MKnSL-%G_H-A0$q z7@M>_BRrp^2S%vl@AO9i{_B;A}4m0@8(8oEoVh{Lg&_>MHeD#Jx3NtBMx zG7&||qjab&9g;?olC-+mlKNs(@GN9WRBF-mHK?VJEwE`N$A2Ni?*CIt6h)CZ?`OJp zU?(<-9CcjidnXz+=oz6VR1pk2_{uOXZ4_YBsU#9i!Q%M%NS%l^CGL>8HsO&A5(Ho$IWa&azB zv4j+WRF;)S$Wq3E_%1FPE9VTyENmf3sJ9I){A6-$;4~`$j&*J2$;_z&>Y&tdtkIT@ zI>I1(I336Anob039OYr=oFQBN_c;wgAu^q%)g&e#?hy2erG(gc0yJSJO(+eiTA4OD zjzg@G7K{nCREuI8V#t#+N@1zpa}+Zs?Nbsc1-0gtSkd$sz$iH7 z`(?${Sa@~#GN)^j1G_^>*HTK*sfdG%tq3{CiG?pAiFgvt{)cQuiHGir&t}(f26*+F z4C13iza)yj!_|t&dl6bbX~1q~_e_eW@cr`Y1&mhMi8AH*Fg8h@f>h=*2WNTaLA$llrE-htgV586~!rh2P!8Ozhsw} zCOPY9Er%uMqzUt0$CU|tk!>zUVCRol@m_?E zmghc@h}N!6x(IB2xTm0P^BKBy+5v+-u(4|5jIkRmwBYEjlz2wVwrFi^dqrcFZITj> zE(IxRftMvuC5$R&mNcOkV=tnmF%y31vZTwWcs^jyokhCWFQg)FP_TbN0CrYS zM2Am@s-;#-LpM$$X?+borr>m71GvvsH3Iklr5$Tbbl)L)7S#^F3%bitFG!)wRLOu5 z5ql=~GcXad4*=sN1(%XExEHA-2_^N;Cg$BAt-VkwiK7R9?-l`VN_ys#N_RV?o%}eY zXN+rxlIj^@(`n}5(X1^?x)LUgO){^lLH)4R@4YnHDdPB{7c+TZ;dP}LQY0RLZn8U3 zP1OK(fJ+OU<^<6_j#cE4v2ecO6^3V^GWO8m*&>|mWJWkvTEM);)go+;OU6M|VP2`J zB$>yO6D3;baC00jq-c8v)c~kF+f=Bgn4uPm33&w=0}Nu}>=P=g9R?27Y6aubN3#yB zPX>E0;MGb=r!5+0T-{T_;^XU42Nt-|+M0sWu^&X1F$_2&i58s8Iyw-~f>^P8eoVwd zUKMi}quPZf4NwyH5~>*FW&~ChnxX{_dC+awu5GCh;KD5Pn6vptL4`)}ta08lSy@=* zNalcB)Inf7$5UA*6SA_}1dP(b0560}0!lCr@hmnAJepo-_y&|^m(eZ?Q5&O@u8up+ zblv2{1X=2sRA<35!2;NRtk1<3L@)*eb*P5AGy~~Dl#IYs@^r4fK4c2o%35h*N+3useM zXcle7mH}yLOu*+*%z8SEzL)q{wDQG9UMdAKboyB;JV!Efx)xcxew{i6G((Vc-F5{QP2O zaVZ7V7%YWlA97s7Uw~LvGy7)%(Vi`l13~zMiyqC?7(Juq)9Ng z`Yc4m;?pn(c3vtD*fCOq<*V>Da_4-^i6aTdI3xvs&cG(KiUBhrX`2cBO`{EXsZxrT zVB-xE^beE-()50XVW1cdf#Om8s?Qqh#7uRf@`bwJDkq9#vfOs;SF!KS-k%kfetG?ljZ?pN-r2V{K>Cv)a7)yt&gpLeUH!Uc<1JZ&6MS2rTcZId-~j0ADD<)pdZ@w z4e0*DJ!5~grwlRKI``+Gdwg}@JBq#Xn_oB^pTz%a)*ou#>izlybC=w*>Hcoa84Uw> zKTFb61G|rb>+)+9lUsjv!zZSG3hsPj|MGu%sC#+Ui{HGbdDq4Ze)X$4w`K?&KixfJ z>pcc6d6@$H_03SYqpj(qZqz+!`Z%WO_iEk@@eTI=}faMp)654IhW6fl9L#dj)i(| zs3?61*X+`#g#FkQj~Bi+y43Z$k5cy};%1`H{dOplMFR$M7-;m|6`IsmY)+WSesLp8G}RX~a1H7DXq z_Z^|}@j3XmZ(mhIH1VOfX3S8qss73@-Vw5+*RZmgODpWfOA>ACJ6&%}=pyyu;hY-B zzA?XH@v@44S|O@;$||_C?rP5~4OjnU%mjN$)#B(mS|~JmYV;w+okV6+mt1MZJ!oY8<-_MB>(4#^ojquW)-nh^*1MB zWRcqyvN^i1gQu7}p2x2w5$@HR|Fz|_|U5cBB zjzOyM`hhxGS~i9k`9;$lQ;eE|yZNLVch-gKyOWGjM}pF-pcN~9x`5?>wL`4Moq5x) z7M8@rkCf^Od+cGhmOYT4iUg(BBA3V^rAJ;uxmYHI+iz~b_m;FO~$ z5VH_iDxHLu)>Nqt%8~?0H}|{P6s)CB@HH41*e^?M_Xs>#yq%Y?Q?L$JIm z+&pEg#|eXzZgF36M1T$4$Y-lz6{KxXxnoBnEo?`m8Sb#=(y{FPW+zrJDX`>azQu7D zRu@Bq0206`35GWyeV$ zSuk{m2Tdk^l9cFT%1P+3%M5^~3_Awy;{$e*W;@QnEYYkp+J$Em7)iKT;JCn&y82q4 zW6QE6mLVrjzZMoF1rtd^B|*8HbRzZ5VFL|YVyvuQsgrc9Qwib?phB~up4SR4)Ke1^ zKVas0bWpNVflRS4Y4;ctXNKOW!3nbj{M0>vt=Hfd;VRL{0u>K!qZZc~mdJuJ zE@)|TTIxfHx9tc?KqlEvIkV_xbV@=k^}bbAt7Wn?< z531RNAHmJxjZ>dJT6ild!M%T_1>IMyU*5dyE0;dIoujhW$&)zz5%X zWX1ije@Vd&Unkwm9()T%ZdWmxzGv6jTUR2>mHRI{vtb_!3?E<(ZApV~AO&`GIbERmgx_nJ(WI#dh%Vp!e{ zNfcUHMhUrdY}ep%6~joDC!n?qr{U#I5a!qf+?!1OEEbOKxX7l#8`DL z{<6rU$dU>`M9DaQ=8WrzFcULP19mH(Sq=ChNI$mj{n3dXw%AwIBVD(xAXL(o98(sp ztp#6Ig>nZlDe3wbOeK9;XzmV!(-_|C0lXbbHb9A@lFYZG%M9<^uFBGSXq`R#GRzdY zpBK+0yC=HVnsLtRWNg~($IYjA?C)kjT2|53X1HBDL$Qtxp$2^3F?V)?(_?|-;%1rx z1Vy$+zQa5*71tMX;}z%D}Xj zBf9+}?(<8di_I^ctm_1q5U#;*|If)ESx}!zbyoX#o^)?h;r%42YfZ`jf}c9qVZ82R zyP|}32_`tp=lS@4f_0FT$Dkw6$OD3R|6x04eSY4s$f$cOD}LA;{UVvEr3x} z=l*AAXV*zsU@|PYL|V_}VFOz40$LELoDBg7O6d|&B29G{lpFNcg7j9aR?mjX68lGt zl$ZA5MuV1HU%ggovDQUXM2kRuls-hDz4jV;G+y*tdFB86&TK+J^rE2l|Gyl_?94ac zeCIpgnK|b>&mYb$)kq_z+~GS%`;rtl31Nzx_>T~y?jg$jF9acU@o>88mK=3?^6>wf z1+E#VN(U--Ph7bjqQVFR_SIb$&pJu%7=bwjvyc-4$2Iuoyw)0OxSAeV%xR)y}KHv`U1Kgh0*x#RjpCr&So zn%AUIHA53jEQF&Vluc4mW1G{s;LiaDcuj?@F^+wPgwQkyL|)gnxvy&{^u*B4@-swNgY=Zf^~!tf||R6v8qL;#4VkSSin-uKEeUePp_;_r>=1x+j1I6%h1!(Sjv&SES zK_Yaf3(kd*`201zSM;i3)v&}7_vr)kmn#z)!77tYtOw1K!rexnMn|qr@i3jJ*Au@* zI1#LXNnb41grFB63BYI`IBi;Yt~0c35Ck2%f}cHImmlFoc0N<)pCIBUT?j0o;GK8! zdeSCe`L=|?$}n0XeFj}XM+%$~sfkMBqXo2mR+Bu(M3D#*n5H+jhNzWPE1H_5Zrzd+2fBeEyVM z=KkbHbe8YVbHDmBC8zk6wz=8bUFdE9=^hBV{_Tw_%8|8gUnStlw}I>Us1M+{x^~C1 z(U(Azi96;#u<`jhpWm?R=C>DOtmUfB*Vi7H`_Of5H{VAnkQil}era*dj?JTM2hRP;fVRtgJj$iR z$y7CMn@3D+8>4VE7j|-g?=Vf)`{dXBEGE4l!q|c@@M3Evhay(^Lcsw;V+vSYw65+rJ#C!y4wW(=HuNE|_UApSZoC(M`p@wdi+PYWHug?=#B%4{JDElU#0cN+tJ}S?Hs;eqTGYxVX;O z1VVxFwwB6W_#u%Jfoi>5%>{ z89h1?_O1k4DBAGe(zw%-S=3*8*7BN}7WIHEciS8czF$H-t9R?BBY6iTX0 zGyVROhCKJ;Of_{G(6|D)n|*GA4MPV5GL6Uy^nNJr>O=3z3=P~!xQfyrCYj!cdJ;g+ zbCXR}?kFLLG$A4VUFhvc*(v0ZY$fC*`6Ito$7NXSYW-txS-=~o!1Hde^5T6rlB*l= z)$=YhChYi>Zb#ZCsxx4wkYMb)vd}~OR!*5%#OCN$MGO4mdI=HK%s>$MbEU-bb1Wdg z#nbS9hbO9Nz7tkupvfQ-G;UID(o5^J&XhxRcYXm*pHU@`nq;P}I0W=z9o zC1z0H$Yh!LzTG>*-Sx>!XC@l5hv_2pUC~d4dWhB*}`}56-+HR zm4YcBX&g&8!Hp#;zsD2sOEkZBg1x^*Dej0PqeUE(D9zL2^uCjrscf#$rmUDcN9dNcII$rZ*)g>Nyg{ z(3NSRJ|qPM4yx{pvYhm9hM2uhvL1%=JxuIL!`Pb2Mx@~kChDRrJy18k&YB7?U@T4o zNZlk3i*Ru~L3TcDLJcw&g21nUx|EI>3*e}0a1=OBpbtV7a}1`%z($Ety|P*vDC*G! zaY#CJ1>v7zLN;X5BTOs7!KO2^pYjE8Zb*(KF_y&q3H)LR0bvOKbUM)_d4U?oHd;i& z!9F4$;v)GPbcHfqfkv4+gNmLmp#2eSoh&DRKYa__bNa_oI&@DL#|6CuM})5TgW+@U z45IVoD39PpD{PmTA(WP7tPF3Zf${$wnP6t35nDIn3R;%d+o2|ds#puCAcJg$MAeYX;) zg&<{7UUDYEBOwUoBgT-K@P&ZLReXwE2(q6fiQ57xmHuU3Ib3qZ0xmyrrCjF~{VHib zLHIvMId)^p-<3zTP6bY9H-3P4-hT9(z3JVVm+O8r(6O_}zV_ZX zZyI_qH|!blw+_~^am?sZ<_}o!c3@S_2Jh&c9;8RyDptB*o%S|+ zh`Fb(F-K{oASc+8E1OX76=f@nm^;of*K5uI12-S&z27Ju{ni&do_aE8b#&nK75IdzDDICMe$GC_+(=$X z?>9H4r(2^tUbv`(UBuiCj#;IZj%aaCdkf?xH@^_fR$kQMj!PFzJy2df*|Cgtos|}L zps^Bg9p^g*Q_xq^imx;+82+n(pELLSr?9R5WX?Zlfdja6Sm;RL@<_79Iuad+v8jvV zj*e-EkqtH)HYx64g&pjw{asPM_sNr#e{>7zJ@5UveFygUz_#}{gK~)9dm9xN{vR=3 zA2@h_j~}=9p15h)q};I4xu2kP*b=ywb(RhsVn*jGROyhV(jkDoBV}RxnFqv~9l4Gz z>~Xd&0)IIm;T|V?sS0j*Q8rq{wvFQ;rw3@gJK9HgU%Dikr+w7R2;1O^|LX z1oEW=T|jRYM0X-lPz>OBRPtfPfO?t%X;Ja}S2&_{fK519JJ`Ja>`3Wdd?!!-@hz~> zpADsdjGA61cfP-LUBr%dQ)7itsjL4x&l3Jd;jeh_Q^P}d=Z4uq8Jt#(tpp zLc_e8e21Q*Oz}2Vu|E3)g6SeK>df8j?3W(yfH%N4^=3_BMP@yfw|q`fV_AT0s>0Nv zfEc0o{;wNHua~1=YJdE)knvtIUD}*H`Ny?@--_IR_Q&o!6b3$^bYPrH zht3vlH)|E*qcE39&wr=)BeC9_TZi4qMo-@Ka=-JG`{-=SU9SQ%jM1%u7?jE^uLdgsQ_ z78%-aJ+y~CbdlLBedfU*mJauv(?)q$Hl@F@Y3o>bpy zc(IQjP%Vpm>2Q?fbfx3{PAs+?JsxOT6iiXy^Pc_QbnA2fTpj!cQsiz}X+~7b;`Cpp z(Z;#XM$00&&h3{jxaiBgdNNOh(cth~7U1rcHY2c}N8_})?#;8#mIV|%TFb)Mo1+N< zEf2LUI+Jrm6T&AK9@(-m+34RrnM1Pz{}EreDBmyXC+YvF7MO6SDjiM;$}W&__uceL zXdaMQZz}D*an&xl?lj<`&UpAg_g(YK+4Di3^I3iCBfI`M>xP?NedN0PcD*=i%YGo? z?l^bfrCV3+1bXVXwmx>{id|p5Y0K`Vt0w+tX5pmMR_%J~^>5#_WmT#SLboh@`oON= z%pMWiItEaO+5al1&ef#XKtF9{Fz3==j2bUts*oa<`4NTq} zw?1;i{9S*z>8^udQz*NS{cYbbe{s`SR(Z4Wc|hU= zJ4eG*V}3>!>@^SQw7%>$jb}{y>Z%^il=$6?SMB-<6#UAn`^VLd1UE8mFL5I|*Z%vZ zTZcaXJFs1b#P59b{4PXUAP;XQI9-KSdp@D;?t1*2`{)M=0QfE-Z+-AxSbxM<{wGUCg3K-yLfv^17w^tl^9N@cv0p54*-qW|P`j;QPTiWZ@RnujPckSs0zWb34d(4QkU8aIfHp7Bj?}JTXZ?e%x7xF3SeFCG*qwF5|Wd}k#Rwo&0 zp6&!bJr>x`vQBQm=@Rg12}TeIa#drmFES*g5&mrG*)zri-nA+{P%{b^l}SU3O?afq zI%E70a93B|@@d zwyg)#{JJDCV$%*>2>T4rh)W>K&eogxI>DGRFRQU>*!S1yfmA?9GmVq<@zQ*(KEXC} zB+CY(tPdItk(6qq9w(j2q3aJOFCy+m(l+q}?yB2)fqn^Du%N8Y))QqQi>I!+e2t?; z++w4}V9Z^#*fM^@*CRPqu(vjGQCUl*f0_HeMwJahWkZ^eUsQItaZ7~{cFZRLAoncN zWTIX6l-F>EyU0Xt4FTCMZvc6Gb!1zaKBR`Qgl}+*w%c~)a`1Dc1)rWxLf%8BKCOK>@wg3B=!n9(tlfy`EEg;)!Om{NKU&xQ%fsYwT#50vh{wDMR5UTw=`NA zt=5+GjV7bXxJ(7J=FEr}2|5xVbEE-CxIoHlNJ$PXyi7Pqsj@}HAyha5k1DGex5-nf zY)aS-Dc5zUH?=qc4U>}ZWd9>p3` zHQREPFzZ+c@LQRPL8~;OiKeN%{3-HsoK0x8HH2*o_^kwtVK`|(WED%pTLBm=UvIMz z*mjHqsjPuXz;9U>+YL@sbEo(Bn(xu%bf5MPGK2ly*jcB&B4;|2H$QW0T8S2<|(8_RX z71B%1Es6J}DJ!T%Xasu|qvxcCDKsGlDuTr%>JFl*3s#Rdao7rnam}#-I|kexqdx}p zHLOq8^$c+tprW5{9LISTKbtO1iH5dL3&PF9AVhH9OR0s%vEk3lVg>8;xP}X*0tpDD zB;=bJ?BdGwC^Hu@?DUSO96Zi2;kZOF!{peg8L|=X#zr(8zuTz6O$)^lD2^OH-Y|Z3 zi39Un<8*fTxlj3^BQ#S1eVd$4l>Qb?>{6?rp(*sTk|pRa!HJ0CQm45aj7e^Zm^w4n z>U3`SD=lRVcGsl@i$DBRMl!cGk!B%*Q)B}L*F`b$X%O^EgXtnf ziIMR13LRZVPSD7M1lSOW8$qfg4m9KI6AYyBKT_bInlj&B(9L(KrULp%gwqcLWtu`+ z>iZ7(rl?}6K-r3F2(=NW=uw3jAQysX$5|~_DCLex*rrh~b8ZOSK_@&08_Mc4m>$#h zpmSQ1mGoQ;aO=g3N*gg)l3MmI|z~sEmRPY+Fm001bs0Z>q7B4yCt39_}O`GHf?{ z)9cg4tx|bhFEYs@Q~j?M;`WWnx#`W6R*6yhlSuUGe-arw{J$1{45Rd*}2lX36X#X@K?N+mP|=BDT*7I zmr_Ci_6m=p$ZKZse2Z>{g3GUj?4P9=FnS@)K%>C+j0Q)9)LJZT6Ehw4rKT-s*bT-j zDV}Z>Gc0Ui1#*a|K}a?H2>(;n@Dd}+=wiq4KkUOzwfH?2Ec{@@`d=AjqY0s9AUj+* z4c|MD&X5wOtf;gCeLZgG%yCiVPO6S@b4e3a-5%`AL?nYK3CkLZXVU?YtFmE2-v*62 z1=U5AI~6m}L0+azY*g9L`ML{ z*7Q+0AZ=tkpCa$eWUke@_$j z3?$f(aiEjqX^`{X z$S+o2(nf%- z!^(I6YV{8lbnMg-FYVabcB2ocyK7P&nf3aq;O<0y#f~9)^wc+|4k6rJ>_*bd2M~R3 z$%dz|dHu=&LEbiht!?hYGYD&S?~g2OP5%>V(k3##+C6A;jSpLP5R@65j#jF9{97ppx}Kgu%8QQvUJ27uakmp z18bl4F=C%v{l~={zBl}hk_$k7U?s3^>mO67uRxStcK-2OaKl|A-l&^e{I%b#TsehI z)%+KL2Csf}ut^PN8up0axO(~N*iz?>={JwA*>THHu(8U6_ucm*aliasl6^5Y zh55IYH3R3~{e`h3S8V*%osVYjy8ewTPrV*E#kbV%n)~2i?;|0_OP<+0;!4OKfER*< z90mqk4GXSpBl3eQX0Mn8?hVUEtONI<7Xn$Fe<4niJ3o?7+9uihYgFmr(#qThht}GD zbc9pvx6Zv^2U1489SP3UnVY~$XwK_QJ!mcor1Y}FDMo#Lq1&(DfP$psVh!@IS2$RM z+!ZBlI|5Bge|@WylJombfi|PoCG=u!ALE*H3R)j656H##%MARGmR2#v0c67Zx!pcF2d4-c+qQNGM49~NRXg}o_@8_-%x9uB9g za(>~W8aGrtZTzg#Xw925jOJ9J<%~WCfPIG-R9nL<2nIMg#%L(TrZfM35tgslx@!{y z+&4?znpx%ddpy;;5U9qd*gf4Bv8(NNWO1t8OH&qCtGBAgkMfe5IZgvoi6{Yx2+rz$ z116-d7!NXWD@|x&x5DIYK2F0bA;{v?@xR~y>x5X1|L*rYoCu$#5<&$2c$#IK1Xe}L zglVG1afVI{3K=@FrOw6>iv$;3=|cFPlI5LLoU0&={Cz_=s4Y^@?o{rnP*1sN_!}Ce zkxa8*R8+7{OxVcOdaQc}c*%b8kU!em-uS63T!(u7)x(CSbrJ&qe zK{2b?LCt${IwIrjnAJjI09q}$ z+2GO!Kgz{S$F?Ir8Lzz~8w{ED>al}Uag>29Vp^OH*1Vnx!^>EaWS>w}SfY_7E2cp2 z*0f}hjV?6X!q^D;4k<0z>;(;Dex};CO8O0`d+D6rB=jc@M1bG=yOvYOtO&;zP(GjWifDZKsG5GkUPi*vI&Z$0d8G7 zT6jgErK;IE109+Y_EspniklLjH5wSQL61zqb!|j0Dyd@DWGs{dw`R)m7DuOHS)X8iOtTU$ zYNmLcOG6t=)l)EHaF$dR7Z;FhjPI$LH2~kO#-uEy5;43PXPj_cF=kQruXqHSwBi8> zK`qxaSVan=m|%+&Y<<`oGk&raFBWsP5+KONvl<7w_TqU~VrMXCUci}@ z1!E&zKhFTlZkiGw=7o^|&OtZQ#MOdflx{|(KfF1-5P5Q5@6P0i z!^t1kupcfPPI4!@?9C3med1acCWzcmTDjl4H z#2BYT_aHIPsSGYI?k;DTRh&nJ1sSt;Q5}hKT1kS_3yjG*8mp`@vcwya%)p{fJ;4$h zLl##sfs#p3GlHJ9Iks)&bgBZ{AX1$OItr-7bZU?^FO$jrnnAzx8K|Ilo-j$vV#~0*jf8dLXcxd@lINLp z{{aoR5p=Ow(OC_L*;I=MI3r~oPJ^rj$-4$AI$VT4V;}hRqhZ9NH#LvU+IHFb7*_yV?X^#AIJM*4_1{~4 z(Yhx`)Vz!S=v{Lkr~z8-+9h*W>{i^XYdZm3XU$!aL5Dd;3SREgbN=M@ z%T`ZpyJ*BK*xSDL-8WZk==)9(oKuPWZ?&|Y`3j0wp}s=MbIVp!a=r}GEAL`k`o-6@ zbjtn>hBLY$;Vx8~{9E_LFMRYuB&V2cQqwUNTk5~FqW1N=pzK2TWh5KQU9h_L^*JjpZTrgu8z244Yl{54>u=m~adGVfbDqFh z&WhcSVqisapSJ(N)In2r%soH`Ae7y;%VIBM$e}x0?LsnaK6hXfg6bu1MP6F`GDWG# zGgj1on{s9bWS@Wf?$INDzvHrE3fKZV0}^u^T?ffa!g7WI1u~UN)%fg;2>kLhnOD4ol8S z@`(o|&ZF!GUsR<7HB3(gHrPPVJGZoDfgNk{(4if$Pa8jru-M95Sio7FS(Ip$7C8re)USP=8xRr~hxozc$Qu7uh zA-j*iu{yQ*Qr&-~(G>S&>9%`YZEMBdzu$!9jGA=?GuO>2 z_n0%#h+wjKrVnJZharRn9hU`bA)vrT@5aMl(21wyQ$BI>*hGE_hZ~*F;I5vL(%aBa);!==AMK$hrz$ayD4a8GU#_0rxH)& zM9@10Zi})37K~2RMA#i%+G?E37uUZKQ>eyc`V24!Bhz3#uiP=#+KJW$_K5Lg>`|@; zGNSYbpP5}YB-Xlc(Ey+WD@_zxY2w>k<-Ai6z5?7=IP6}#NNti|xoGl)xWgu{Dfcai zxmz@5;Valp?~~+D%q9-KKGwc>PF%|(?+lrkU5MFl%BOUgF|?=I#Nk+)qL*mQdzubI z^V4S!D&HTjF?c9QI{1C(HS`cD82M;q@nvR`u@c<0!o0p7PO2dsojv;{42t0)weEo% ziRo-I8XyvE6v%HC*m~WT@WUCGf`}B*^$1loZqtQi6@sE{1uP%q+>CG=t?CN+-Z7y^ zayq=>W{aF;z(rV5jZ0RoV@@9g{`oV)U|EuJ{9!zaFe~V&>qsYGjMfnsT&HPI@a9Xf z>y?DwaMkrJwVBMqiXZEmVBE-pEDhF+QwyU+;#nw*bg9(Q*%5&x9%@;ro1BcUg3iwI zPx7N4Sb>5((z9Jnggm2U#=IhotV~0rN8$;M<9~^lC}VvF^-VGaA)5!%FM#~CAB%KI9;9$|1cKtS8$)00(u>)ATJqf;?=jKctPDESVp(;Y8l0XNq=uMT8*0s~yEbO?`ejEpR5 ztPc%GAv$1Ps-mbdbsp-Q<^`c48van<^g~B{b99nZ1dLdK?AU$0d+jh#c1PubH!b4wgmgFV>kSiCK>i!?*;tX1?WNxm1uBGEc0BX61(AN z00TVV3cKJ|$(eCT2$J*BO8JRMyqD^+X#;R`s}iH!3!<1H%2B^JOPSQ`w}2S$MR>&>SqvB!N1n7l|zXL6tkS&$T5*GVRTT)+T;Tm@6%me)FCu$PGz z-AZ~-vinakjN$I~qEHj^bDUkYAY>uR7|D$Srij3I$*}j{Z8wqykzo)r*kfy))^YZ! z3o`voMFx=aIruBJBbgIpqi8`dl`ssWpdie-Y~&8= zvYfmdn>klA-M}=35LF`hFY%wE(^XB9hhW7u1}2OUXO)3^th*|R^13F2%PgLd_47=L zY78S3uEF`3Kkxy!w2FjG>3V^#n~mrkXSzUV8g;BeHu}E;6{d{ZUxU;}k4viJa8Rj? zvOZyl&e4U&vZRLaF>o_%h8D%H)94HW|c4l zRX4_O@VKMVUCHpHl9R8dIY(Ld9t8#GunChnFzY&2lnimP*dfI)=NK9(lgOT)^&m@( zeqtx=B#%*Fu$1VNeQ^_OEbQ|&RyNy2+$;wfm8`)0+?c}UeK`tK1nwx4)?ZKqvEAM8*0V9P@g^xDY~z_vn3+{7KlPRe^A~s~k8n2hNy4_ddoM z4LF}TN2qGbJF8z4zc!3R!8yKU&G z^$n&+_78Wx2am*dY5LHx-4Iezm|;ztpMF6d*jkOH(? z@SH9%+seWwu1vHBjVz=08VsyJS05P8jx$Jl9>YEu97TDh8V5~{xYX!-G++XRLi|x1 zi5X}MVL(VAr8%|ZtwvOpI?i-qk?EKq_V*2k8Hu=*1c+8ASW;CWBu-Vtg0VE@kug-F zRYd9*qy%Skkkt(XXG%Xszy-+^_{+=2S!ck5C7tK^B?jF>CU>KqJpHsS@cbUt@9?(j zx!z2@`R@m+s(Qscaa^hA`Y7D1R$odOvD@c9bYt64f~7@Y^!e=@Zyz!7C!0rH*LKZA zwLd{;IfV4`Ay@$&d$cGox@WUpGYgQnZ8s~V(4EVMK*80Q?fBi0UTsrBCLvfUuw+F0 zhQ1?ioS}sL%~x>G3UsZ%{l*fkK-fHD%1U7JHe+029(8|TuTr`1$S8~7UcYRlpByL% z*#t|wP${^Y$X{z4`q0FuA>fI*4I54!_10||$^aQ!{N=K?Jr8XJmM}#LZH{V_Wyeb1 z4QyLK8}>qrhoS#j-E9i!iBn{)r)JNCS`;n6&t?vgx{s=}b?s$u5XT61sE@`R9e1|goYZu0OliA**_3pUV5+bx(J3M}-WxDZZM5$4f zxz_4SR5PCr*tNWwNV1&5bj)^k9d{(@?C6p`&X5=Ng5Oxijxo7|uCG>RX zI8B*ZmcTaQsZ$oY+>bq6CO7qPqjb4b)Iy zUI)F+Z7T7t{h%P0F}Qy%LUPJ~h~)+?OH-e3nrHQw8M|iu<+e6{7QlC7<*mJDIW3`w z3nXy^{>`MslUybHqgW6KzUUZ%kn$D?2?JvIrS*D^d&Ucix*$+?b3re2)xc~&1wn`? z^=T7R0MW~7-fgpj#xnan^duAmPItHf$Q`ty#1q0Fq1g!-mLLeM6=94Xxn?(LMyQg5vt#ZbwJ@s-uTbl>DE zN@GrGYj69!mfQ4NvDO-Z&LSeq+ej0F>a~^wkk}eeQOm7d19Tu6N79G{^=RMlgr;Bnw!T#wy_Av!F)2%s^*FRiYx6WsQgh4`A4U z=pQj)oB%*KBEbX%1dM`<&RT&u;BD7eu+ku6z;H%Pa!L}|z{3pwXeHCv=nW>%!XuYW zj?Mz;KMDV^V^%8k0*b22IgYh`D&gbr-7}Sg}jYG2Rfz)6?2|1?E z#u|)52tW{YI28Dy)01bPv<3e9+KKfYWN5a7e*gZp*@tHbRX_aOcVca4^70YSL0hvhT8C2~DWC-Khpva$f7g zShQ~DXNQO19Q^Z%seug(lTKzSWQS1KTy59XIH~1sWuSFZDuuC-BK(=C`I@HXtIxG5 zX8~%}h6k~l^BOfl0A(vjl;>cvMt_f1UBVk+zV(SkP~jJF`!e%^BQO zw;d3`DU6;J_kU&~Rz|*o1q3QNAFY5^^<*c|EKIdHGsnrCV)swO`)d5>01I=cDM~eV zGL;KO6?frZZET|HM5|gWyi7Z%Y9EFr3l4{Xqg54Dkz?QkLLkV-N*Lm{qRG_YTW}2xl|8i<2kZ_wlSkN>2L_N=P-OA#qfUhP} z+A|H?Q{GcP_%1XV0Gl-Wl@{`oqJ)`d!Xtntjma{g8j~jdGa+k$JChA}0U8X$O40;m zU%`{U!_o5c1iWm=XjscgpGe<TxB^Ap7Yw!Xofbr^1WHb1*xD$t+A;(6kC9fa3xD;n)>{YGV}f zu4}r>1c_$4z=3f(917yl>B+NC+5-3cEsGNtt-gDG&*wMwJ}?Dfl(IB;TbOlB8guY| zlfBYwuK}3Kc^$c)fThh<0t|K(1GX(IB*J(B zN4NJF-ab%TXYI(HK9>zUJuv#=K*xTzcT>Tu?f-Nj_fJ5S?Evo6aR!TR)Hkv~McX+=ajrzL0HhWY_NJfI4AD`sUocju(bDRsv@$ z%(N+5`LveuueA@`pBvgC4m`=;VQ#;b<^{j(xwpOd7u$#J$$e44Ce;CURfk5J^nM$k z{*yVxWn&k-A~ybFWHGuYP{v>*>P5g3E&@*RedYz-U_K91?BvC#V}U!?o><>OfAXBd zjzsUh4-a}W$47}b_oH+ObWCAAej5HGKy3E{WN{=z=>Ra;EY%bEf&qDpg`9X({IIQ` zOtL__Sd+D@0q4xlOT5Oct#1Zyo5Hmld%xMP6+S%l0z$%lWuzJ082Hx1=BWo|2TF(b z(R-fKZvOjka?uX9jT~tuTcPZpok!WtB1-k$^ltBE%tn+xMl84mdh|vBH}@baYnB@x zguB~OxsxRWYvt(n@Ycu|b7E*6`};n&^Y4LCuLL>{&KsHNap%KlJ}S~Fa~Aekn^+lD@v8#Y%we;a#C!53d}PIzZ~@7ircf07%OgJH4%_|qLMiPD&a_)%5_ z8K!5Df+}~EixvWwa1n5dU(22>9gdnSpC0kg8&&CWxTAL*>gZ35F8t0W{d1#+J)h$} z+dB@j4c9!qa<;DZ3BO*i{qYIbH`gZ@`wJ_>=BMyMsUp_}Y7jW8`LJo6Y^n;xEnQsr zMOM1T_)7a%viz>X-1EZfJGb!3oN4SIdNVu!k=Yj(8o`Zgrc|)a|11h;_wG|W^r|&% z_*{O?dyzdZE2?_TS~%zkOI?a5r^=*!FJkX$Xo^eb}2WV3Gv{xX<6EW?7vR zMBDlKr+x_`XNrP{gSmBQOVOvJ{pN$Lu<_~rje);BBQ9${CANOhZf3w0`aXfm9$z4o z-OsSxpq)$`)BCnu@7wnG>Bybmd(-njWL2jU2#rPmjB}1rylxw z;K1oUp4f!sD80*6>9FZk29)6s3`Z{=!b9uR#<=#5=h*Y-KHafCu>VwX8N~F|uF}|! zpRvZWAV7+dX@PjOK3-Hi^cQUCi?QcRQ95i2Bg$`t-^lg8=&Yevp>%*@jQ|^SkRz8> z>40hhXJsf4f^1U+puv zM|QAbK$QK%@w6;D%S9?3w41iEK$~`8llIn)MQ5^8o-Tgm7woOm#+>g2zVbHTiw=7Oo{ye3#Q#PG<3n*l}U3Hy?W51H#)J+%m zW(Q*NuQY0({Ux84#W-;KDkj_PiyEmp7^b$FgI)7a+du4OG3+wyz~884(R;8t_B%b> z+k1~bYvdl*)v`F~0KQnYEc__#i?BzHYKLGz)%0i{Dq0q8F9hDy17WjwYo{vjqj%@+ zlfR#g1@`<{l@2EaWw+p-=l9*T^+!*?^Ywl0*Bf{JY*%jGnSd&tQMT)bJQyrNunyaK zaMuk7H{Nws`K@2Q=?}Y?J+gk!xAtE8&Z=E|cV7R^7azN!;nscM`omxQ4g31OZ+*7% z?f?FI?Jq`L`iIAE$o%IWH~s$X|3mnv-#-KRr>(D_dG$ts!R`huEf9RaPO!AE`WUet z`@UUH7_onCcyiaDfj@ies$E;#Za9DIs+$1=JnD`^=&8>GRP|ecj&1(i_h){2^|D8H z{b}av+AWU(W%q`wUtSu>w(UFrm0tR)1AD(U`n%t_>x!pdUw!iyM0w-BX#sI0+x7TGu)?Zc9XlVtX`{ln?GD)b0HVZQ#oZmp^~GI} zgPg}H4lH|(lCyDDTl)2(=Y0PWl{>J;QO)gvY^;cJ3g($BI?)uX~WW%bz z{eq9^wdKh?%I>@WK5*YZKl|9S|9;>q%CyIJNu+Y?V@i|XD>&VoAHVoAASORM>rz;- z;me5PSI_+W&Kst#o_X^s!0Fy_aPz7g5akzt2-&+HAGW#{Ht9m}_4V$=63(sr!jRv< zu&)8zcEjzJ&0G;5-5*=4u$no4GS z-%DxwWF>?Yvd);#-9&B~Yj!lPzW5Z6$B+ zewMhajobMt5@Z=pV}+L0RECH2ob9C@hY~A2jW^m@9dP*+Y&=cCr{VMm z8g>zBViyw|B?nPp*9uDfDPdR zE;na#HxkN5FOCkeC1yC7)B8#mEMtU(+n_CR58hN_ncKZTxS_R0Q(&P4odQhi>*x0OqR_oPUupTDKV|TOr@PhW^t4O zbu$Uawb=!zYEj0~DAis>YS`aZ2kb9^(UJi64Qh1(+HAVitsD}Pt&S-Q=V>ht2g>g4 zSuHmdXth{Mog^%*V$YGl)$*}c$5^`XvYn3Y!H1@K&R+!;u+4F=gZYd|V%814o%0dij;Uu>A;RD&|u&(1u#a4QF|DFG_e{%mvp2c@t#skKZ)*0vxz7e50?TrG>MBkZWax& zG&jL3D8g85wuznYb0}bNp(O-(b)M!lCjg@UtxQFMdx&Wbj^;p2fzU)0yS^46YL}HT zCeQ*eBw-b*DK0IJa~7wG13dybIa+C zQ5s-#d100mc;$?J_o-+DTO3eznHRUX`CO#lkpP-iwW~Gy9{8d!(SUsgKc`iYDDq)GJLV@ib)nD7%2bEOK!@P%Aepi-??$(l_kD z!wgIHBs78Hh?|7WF)I@~TR`4dz+O-qfV-k9+eY#oS^=jE!+OmIfe1sB6!}xSSKjEO z>}s5$>Wz4_{3uJ!H7-04r7rj*g2~6MALR9AtT)m$7VRYysIDrZSN{$2EDBst%AsEFb{K$LI_;5%U73*h~FamH`0Of)de46B9(4IX9%$;blE zSmSgSWc5(cg6wiw(6)drddPP`rZqT)zV8r+%b`*ku8f6D$@A}^L8g0;1wYcOd1N;H zzab>{=_TIx9VWlot#r`o`xcZXd9RK>bY$-_lIc4d$0`}VLD3kBN{1!G(~;}hW_JSK z?FRV0c+q~~#2vSqd3XaE9NAj5 zYc^3JQF53{rGUbHp$Xn*CiI3BeySHUM{4FwoXVfd>2UK~Q{aX-p~;Y5O~}^+(FGxj z7NQ_Ult7gAUwH_vv|u{C>AnTaaMw6i3t~bto`r&SR62MmpzOluZkBvt5~h+=ios=a z%!J7nQ|?43SX6wWO+&?3IAIF3QUur0l3?Dz3Wb53LX&L*5PO+pUJS5f4=B6HOCS-$ zko~=$nuD^#!xtU>bCgA7Hp-~oM_+IVQ0PrXK1|EvgPc9zB_oHiTd*{ZoH*3I6u4?( z;AA#4louSM(m>}D>mn%R=%7h*JJmW#x+_4$_osYTx}A%`svH$Y7agaEiHgj#W*PPQ?IQv_;e7@DrNgBwEW zDkwEpg>g6_G!O?fQ2^w%SJ!!&>im5VMVj1B$*@?Q9m;44 znhK=9^iRpaJb$V*&Yyn_KcrY^K<55~2Jva@HTe})I-CHESOxET{iQqZSXsOM+6{kw z4I7_#_>i~m)YE{T`s4iyRP~1JhT-*n3CERCcCT9bW9$L);JxM8b7crY-U7$HDWW7W*oA(S&s{lnb?pr+ zR}R=Q?Cd;7?8_wNb&|dE%>6*{%|LGggMCgVJ}vXM;XqwIqF7VIkjQ0DV55ZJ#L%{~h;;T+2oBX(TAoOmYv10eH?p*dG zm1)>*r}+W5?b>@DY@YkttE=y7AqB6#ctq`A+6F3!;T71(e)XMqDZM*0t;?`}a!!)F zzdj#_O|m=v`3^3vwQX={^{m1}^$|`#G&t!MycL_D8%z5Ifsa(k`T!PhpcZ$T^U}{W zo(owq@08*J=BdS|IZHvXwk&a+?0mbgH@{DG{9LuYEHGl7R?=kQqA6k4r!an@UHqk4 z<(CL>HelWK(nW@`5t~EXda>A!Mn;9xve+pyPJs{)YlQpRmY7poCz7R}=On#M;S{Xk zzVo7LtjVtXylEyi`Rl_|Nt@Bur2rO}(&WPGNG3Cc#jEZ9(4xlG98Yr`<7c*!@$9BA zRrh1@S*h{3)v~0SXP1t%Gnwk)mjF6eAURlr>@_rFVRznwW3X^M1z6hDF&TC;Hg-*E z;2zx4kmN>YQ9mqsE_N>tVO3-KvtqWhcAhh<#k0==*>r7tfSJO;OV0VVhOJoYBQJp* z137A@{7egWrSh~xdD*NC3k+nnKpNao4pEl2-s;@bI^PO5Y*;kH-Q2Hu{2VT5v-t+6 zd2!|itbt!tJAP`v@c1~ku6smL*yWa{FSrc8z-> z6l{a+*`;2C*4zTPJ#T(d4fX_P?wi;%b?{K^mYZtbY@h4tKsI>6PO!FeUkKsl&oibD*$N?P@vyE#m_TD8 z(rB`hL6IB)@wh$FF!U=bht%Hg%r^@Y=-LO90e;meAfcG!-xX*;#dlsSASsup8&ebX3RY$o%vKJ?F& zt|y{dyFzjU`iCXUSp8UviK%eYHG&m})`=YX>rBc@R*3#UIYpzi7&H!(2rDT#qiKkT z2N}n;1fxoM6)ybo3{%UJIcyd-Y@rpz*kIjY68T`TH0aDQz^f;LQ953a6F6D_l`O&` z1{=4a3z2b+GuSJQ#2aWoZdBTOg-J6}#IGasbV?7L}P3N}V+*$F+>i}>qoc4j zWdDro_98b@WnO~L+`?FC2G01(T|5G6IkkrR?n;c0w6t>lWfwPmk-42!@Z2<1xgM9`%*SENS0n`SE2tYTF4vCWU{Eh zNNsOjz$%_u&=jcxQm;rDFzi6mWbv(r@F*K}Y&avBJXQ;SlsERI?EmTGwx)WjbU1b} zSgI$F#x019dYE010!IM8f{nUl2$zvaVj>%(J7YOEa5I7oyRoC1tW-jpBk?i!10B*Z zn5Kmz=-2{ou3*({Ox%vhF%NtJ(+x0jLSX5SU4=R*KBLHC+A}Q%T&39 z#>b-C1c+^u&Wf5gVXmbxK-c0y!*DG0bZV^3N=$(ZF?LN!tXI#Vu|Tp&1cgWPXf}Z% z-k&U?TO1r3u!LbLoeCy!3v}iQW=ahy;Il)KLftiAXuq84x5u3XP;!H1FiDUAa=|`X z12zxK*#?ksaovSKD@isI57|uTK&yo}U?t)Vn_t9(9N4y16XG1xNWlV}V)w$vcpSFr zk5n>;M~XE8a>_+s#^C^dsR6nPm8C{=`Nkrt{fm?A0yPpj362-w<+@)C(&m4;6wxr zvZFXifjrI3WDF?`zoRgt`+%3%922stPS%A|SQ3HQ6i{^erXFz@>7W>=TuDjwcp=Fr zYgwf#iC40bw@4y1enRN=1=tOWInzWM#=+4qu`2WtRN4)YSF+N|4wQjY>b60Omo7nw zmWCQYfa*r){)$8A5@&c15CrNRl?zK_l{R0)lP9*kCQ#8CKZ)AVI4z=qBoilR+XU7s zUu8u|AP$!gX%}=jxIzwJ{_8Ur&Y}H@Tud#f9Iqb5I*$JQsu3lWm7bvN@a{L(NKIN&12P1H4E1|kvQXb&lI9amky|8@(!@N>6zxD=YR6Kwzy-ANw9=3wGbU?2X;i8d#GuaQ}4&wqU=o=S92k$iv3!5AR!vIg#_GkM^I} zcih2ChXAm3@u|zE<;|@cym-SL27{e`6@)~WrewdclK0O4Tyj8mHQ91F@mEgR?FP#3-f4M}=coO$rq|TRAOyg^82i5(yWwB6Y$lL)S#Qi)yn<-9V-pGF0xMfGRBw(8r@PNJtV`}}lNaVg_qC^8y5+n(SjZdCGgL2YGWe&P=MTK} z`wIc=d)ZLVd6zsNw~lJqF7oFK+ljRaXYuZ7iC>=K)(+fXb-pef86a4_jgQ$mj!(Co z%364Yy1xututX+#F|XihjAM0B{3k_`^>t$->(F9P&BJ1OyOH5tvDa%<=RtmaV1U8$ z8euzGJ)^W9JF|!Dg3nJze?sOp)p&D^dT{H3tr60rTDhHGIyJ8;nWHsU*3~vHvrNeU!L(6j()B$<8uE-46^^Lrr18!u4meaTpz`pT1vcr16vBJi%L7q`Bke78< z04u3r!C}zE!~}(-Y!~d48q(?Br;b?hHb6wcwD?{NyKn6Am2Y|QdfR$VQ=rZtGU zB9yD3HTU-#O&x*-2?110bcfmvn;<|1W6lC2yqYG#i?~b^9Z#t!n?|FIK$4?nE0g+@ zo}kVlz4Jxa`M=i!r`_)Q4xekwzG9WD)u46q_Xrd!3KW%%4Dtr$L9_7O?T_UYHhn)( zU_5kLa8gO2Xal}*h{Q4-=bBWjx}?2{E6+)3QVz0J2tj^X6425@nk6;S4<7+E0(|$i zxmMAYBm4R#WdAsH%`1p<11h?W@j$;HuSdgWqanw(_aHaL1HY`91MHeFPEKtMBkqAr z!Ky9j8bv2AE;nF{GtVLnH`TtvZ8n6TWmR+Y z;yMCok5z(YbBn_*rKSlnN%DB643nPjHZp|9#>^LB^H;0vLFR)*6-M^P3e#UF2V(5r zv{W7W3|+I7u&#iwQBaw0qfNJzLUb5fLkb{YajYB^ADD_q1s?@Re*`%+8&tz3AZ9gheut`0} zh@}p0O%uw@cMWThhQ%O=N3aKED2@S0x`-RYtwODwA^&fq=C3I=xK8CT0gQ5rdN9Ww{ScH$_ksDyDC9~7RLa(mX49s0&-izM~c+lBZ zJlj!S9bzWK;N?`n#nwt}EfakdDXEbtse5xxN{Vo*OjYm-tyEAcTSDZK0UvE}fP84; z{s@O^bD@9g)8!Bkr<>&iaNkjwYhy+hioaSu{dxiF6v&iLNDdL+$XpsrN?Kmbq#|O2 zMryUE!#(GF2+V{nZ7f!nO7M>(f(V&ORUQLMLS4q#P7`bc^ehBa5vZdfLNceuhxX8ZUZS4^!Z{G3awXRwS`zH| zB3EeT5CVd0&r}LKp6&Ym#w?KeqFXzB=njIu$^(_1@K8G=ZXQYgJfiFdz`NGGx_aDu zCl6sz)z?D6S^aGaYZXJ_GalW6d4=)IuiAa!;mrqmEP8sUD3|e+13+vS-v}WaQnIny z{&1A@r+T3jJ3k(3Xw?7+>1 zkcL5x%*|x{k9)Kul~C>wc{+o^UIM+xPR8VcOP>DWrjv_7eq!AI!E3MHeMdvt(}SH2 zy&&Xg_~_x)Au}-cKX&)vWt%^QY+%G<-eTN)e>`prGIxh25Ws`{5b~`Q`KtF0%p14+ zj>RLNp7z|12ZpwrYC7jm$?gsXhn&mFdFR!kmJukb+|ED%dys*iw9TsudB+ma|2c@iZ>RC>W%u{iz zkD>H}lYq=xI#s*(e`9&NGdQPlBzm9Su!iZaKwmkP7L~?AjxUyzjwFE+^2v=1#QQ1IX!pgPrsT#!_X^A*22h z7;CP}yI;o6THL$Pt^|Odz|yLm^)P%vwNRk71xKgkFG2-p+!et!mx)lnTn4<_!cmE=iw8EiI`Vl(BK&6D`ICf z0{E|K%b;{%38$A%&O`2iv)pd<)n+!%4Gb%Jt0z8>;6VVdv1u}?3j;%)1;8=vA1De> zd5BY)^xd52%ntNP&aErrC^23FvgRx(x0MmN0&_&eFpM&U-p}b*8WN3Jn74TF_g5_L zZ4LSEbpe0AE$%Iy;x+VGUelaI790yLSoWdhd?mv|K$nn?0`X-mXx0DtEA=codyR+u z9{8c?Dppf+sZ78H&cteJfw>1brx8u>CUVn^Go(2ME@uhJcd+tC>xOfUlph~c)uA&$ zBv_VsgqJSqNf&|bDoK@501ZrF7foS6kpeP3NG&9ghYyDX);e`u^j4VqXdk{Xxc`7H zx~v|F-bxD>QRVaAe1AIT4wNMlI=1A{z|bp_OZg)j!6$lGn6mHe(darTY*E7^d87eZ zEp(sdS0a^qa+&5#uwJJDV5 z@axDf_zf}5kEU7qn4ArFWdMfl%c~IOUq)JecJ|k-v48aqliu9Ah%J;FalX^P_MW(b znh(uq1Q@ZdY-j=@U)Fbk78mM8WdcIVHRzqw)Xh3I(L?VSnGjBcneLA~Z##3Q=G4za zvkxR(q_Rlv((WI-3yTi^OBg^kcKl-wI|*!$n5|q*27zqxSE!5dQAHET>)_eJf<-Qt zFxvOAOE=TX z2H5xG^NvPn0!I=qTmV4IeS_^nI5{xtmo{uC$B6}W3HfpswC0?^QAtB2lBnr5!fGg6 zGccD>FLZbY`NEKBXF}wUqiIN>ZPtz2P-=-aSBPlDZT@w?GNf}1KNSc}e?XKi0Wxo9 zV-6m#A=(xEn<{Dh{kkA?gr12-4*rA$)wPx>#=VNg#^aC@QZ%~{s+e2 zamr3cipUoT(mi7AFHN|+#_**vn{t1OJzlR8992~=_fSOThldx2Yfz91^lCeISu98V+Q5Aed zGb{4dBpE@vx@jcPjJss_kW$7_e8FVhC0OSWQw#y)rSZZ9-D_ffhYn*38XgsfBh&mp z!5TDO8`z7|kU@&f!K68wAr}`^2Q@t_EDfVTrU{P;U|(VvPg1s(kEsacD;o&vVSgPM z*~j;(51X6H21*=th|`Rp25Pfq0N=b`n%})4P#vWT8kiZvZ7j?-;Hylb32vr=f*{*{ zh}2cr@!xHMK_k9W&*G?Ra>*up4Czv*lHtM%k!I`V0%bQ}5x&M+BJY+F8Y?Y5O``Ci zV4!fR5ro1bTnDvXDi{=_e_m$aXF zHGNUpM9Pg8Abw5MfK{0oq~4w96c0-T7`oUDC&GGfqw)|GoU=wy9Bdx zW;QxRRK8fVxV|Ax;J%ero|)=rATb01`2X^ux2)xdFg1Y}g{NnTLRt6dQlr}PF#1ga z3Qb9)CCtVBDL25$C#$kV@G~GwyzlOraF542C?pj3`ndOVJ9D8Eg&gTG-5v*5#|mGl zDocYh_XNtVY~1amPa#P$K?)a|L70`SHQ8dMaEc#f8W<$b421*Esx_g|HsEG1_unG9 zY5nwk_YQh-X{p2*Ft`WLI}-a+B7ZxANS&yT>#pB_uLaI($Fn#Qv2LL^$kX~#-j#`* z^S8oh=$=e|`X_qwmEo8Dif;TZ0NqYn(>(NGa%cuXy*tuwSX<+@WJQd%M4$$SG)^f2TbA z`SquN(t(txWi%81++cxt+yHum*B7@%-N!tw`6qp_Li?})D7(E{*V8OIZNJ`Dt@XP_ zdsApTJ^q9WZh^(XzXLJ{=~-v`@fwnVOVHyC0*C`E%P&27~n|0(7ju z_#GG9Hesazay@Oztf)BcCzP|ES|`!NruW^Q7tRnTYu%eIPcd57aPg=4p3~^#_w{|l zJkK4>_+*`TNb?y7CGfV}UZLe}JJG_sLgqF1pllx%Z^icWUN-X4YKNUAbWN*g-Y1$W zNTJmhF`_IAFm0PWYGU)+iXlqDWHOvmmYo(JL9GIT5ea)A+h@^g~(P_ORf0m|+NG|tEzTB{GU zJfC)Jwz@Sxa#~UrKl=e3;LYjl0eSn}liaHv{oAvjRcE~1-1fh;{C!jgwVrv>to*)< zKg;h;BG;`QdLG4h*y^F5vG1VEg6_s77sQrwz021>Gt4ugxLff~ucx)gJ|#}wIZLBi zm#&+&QTyZGlWKK2_?%v0b{P${=yPZS^w*ep4JDL?55J-+Ch9aF8;E? z4fp}dFoGmN45w*;>V>mUTXjY?mP2$odfecf)psr~cptvQC$$-yJfFUu(G0NTVQ2iE z$!oS#TS}8>Gfr9IZ@$VHZx(Gj#{(g)jGJ3B0^Awz|A~s%QSuy00`iyhl03*uM9C|! zHZ-^Wh1T4*e*eq*o}2vpWX7efs2$GOu~of6YyHVBA84(f(8*C5Wi?SqV9l=ZjaYy? zi$uR!c>;Zh@UKb#-5TD58DKWJ#ndhS{L zA+p)#p2aXg$M%^0pfx+GXC}%3kPD31-rvc)ve77@rV znvIOMM~ahywFd$jL+R7a!`n7`_H6gJHld)M@v1tz)jH(>t(oWcEKY?>JFnS4|N7dB zX*_uwU@>Np0e!U$mb3 zBhnxEJt~;1io>lRYp4Fkm?^Z^YiKCdyu6;@n;(V`zNUR^srHQU7H}%L3-KA>fqez; zaB$$Bg*!Q!gH*yMNaZH9*`2YLy6+h4j1LrL{NA%EJUBbjd#QQhEjh!QmcRc-O&j+tGLqp;H!nAF=MhoP zK47U=x1Mq-El&@xEzqC7v-^k9j6r9n9iX$@eos+qdp!IodUBe5+Ex*5w_tWk^RDAJ zWPt;KQU{LamqFS6;ZwkI-F72m#NNpND@#ZCWEWTmezU})?KJSJ{ue*2LOF(Ab{iEsIpZd|_ z{oAf))Ynb#{m1I7fBugf0C~G_8<22Ev`l(sb7ub=rrrwj%A4+O7`(3&(CiJ{ZoT*F z9ZxR*!SmZL*zx2Yi*MRCbMO9zPw#63{_Or$`ypiJmQ?_noi+2|rw^>!+j8C~P=7B_ zNP)78L(YtLe|XOF&IJ)vZZPTCR)C zT7gLimTeEODh*IcBbu;)g*}eSftx#MWQA?g_X7SZBhy8~^)Ce&tjsK_vNd|4&RcJ2 z`N1KU1)*0+Nx2od8jx9eqo|Sygk)7P%5I(U5TcCq9^nAJq7=AY@rMc^1P^K0F@SfW zIti&ll(WS~DeC;QNuyB#zcMtj@i4Av^=wfA;8}mAaaRX;2M9IMxxAY<`oAgjXmQmF3qgb$hk7tjc0m&veIGCPK^ z$OE}mhMgbjTW=W+qFM?lkTR7ryhvr1WnhOo3DDqb$bLRxRFS4OA{%^nGZ``4r)ycbVO+CecW5H?n^ z&7*+99w#{~=^_j1K#7v+zF#%w5knu`iTf2cXtxH{*4x#gh^{e|A6;h6W!Zp( zBBx=|E~x`fS83)8LiyuZkxf`eMW)<%B>^l>yX$(Lv6=EmS^-2+O_A}vYi!L1Sd?P` zC5jVYZ|BQM*_f)yAct!mQhC9Nq*FaGrAqbDj#iOxjs#MkbB#GZs7r4QrouKiEF|13 z4k5s+axGXM3Ad9!1K5iB1DD%^kWTh4JIu}4cML=a3u0X%*$nI(b#!&ce}}UI6wk|# zmLf{hpzu~kw_@%v!11Hx8)k2quS`t+)6)5?S6gT?^KdFlAQwwgHPKlHdTC(G|< znOaqO{+P^~`3?XIT~sdCySEeb#(p7*x>q$sbQ;q)Zc{8$4xBA-f<^+$PsPxMDxj)Z zFg8|?`fO~UaXqSWm4hfQqj=0L$1Zv8reee9f9;~lHt7=bC0=g4Qs5r)vsCRs#~rj| za$--^W8Oo{hZ%NZ6o3O<-tmZ@Qq%h?$RAMZ<-9o9T~%aYO)%bg1R>DmU}-fz*(R@* zjrnnsyaoqb+$Swn?T)}N!nCl47|uCUGhy>R+5mBo4QA1-)H53EV^wNJm@?QLqvfC3NK#2Bbk_ zVSzD|?TrSR@OXETWF;giWty7OiRu`#OveYXnP>WPAxj3!adeH$->f4*x{!!oVGC*e z3gV!MvLOUNDU<4Q1z zE%5(JkepCW;P8zE_0exS`3`7kz7EQ6%1Hp6E^3|hlY$P~1T#zylYw2YoQ9x`&>#mc zMfJ!6A606j!d5!qT@~PTGvhHOx?j=q>UqwxAKDmQhr zrX1_*#JMU51!}y-xQ>g)XTg^^Ytc?qWH#MUkyi}>N1*JoOJP>T(3WLqR@s!O1}3ke zLm>doIx#pP;}<#bV$#bPmKMOiS?H6*0C`(xRmDaCUK4nf?DGIKlKl{N5@O(HAxyRc zQDDYu5j3X&$fa-=gfRIt7L2;&ktwpo9$80)F9wKcOasd9Rx(rrgy^)1Yk6)y*@EyD z)Gs6OdAK?cag@*`AF0HBb9@n^6wqX(6_0sY1vknu))@E>X1UC@ergjf3Ij(|p9)_M z`+w(|TFwb~rihh8@j`hu7GV%Fo$5mH005*LjOm1v%wA zY`B4IhY#F00jLsg_Hq^=L-E7q5xM>uGP|@vynM)4yV7U@Bc{=3*% zyvTraRswD(-e6<o2!5IFdas%Tm`$`w=D^Y0?+80H^y%c~GK}tFZIA9d>eQBw>R` zmYtqOAWx!lAH~xa5lR&BWf5h)W1y9Z_JQmMyvRt(fJY=6y0~59Jrl3F5syW}L93T@ zzA1s(>zuB%M@H&#s%kJVgWd)TF_qPFDhIl$Y>=o1_;ms-?cu~DRs5>DWVS)dCYDPM z%X&K^&5^JrwFBfH%~VHP5JkR22u}3QhERgBSH%=w^neqSsMYZDaqeV6;RIUyF}=9%P$PqAjAPjBO}CaT zjz|iJoN`VMD&4pM8}I=p0A=?|Z3Ck0qtpF{w*m7A-K+)W`SKBD3!=z>eGxig6Z%aA zG;&<~h2)xFBYgOprC{1KFLV{GM)!o`=c87O2Dv8}9A2vjMGjXQ!8oGyrsJVnVDJVn zqa@rJ^Q2zN*12DT+5y<%_`r@E7gI0jFcsVX^JVZz!{X_GSz^1mzqAEiv)4cQWqTIK z@NwATQ|`UWJovDdmpr%<{lZLRcOHN6WUX$bB*}hQj{{#53x{!nAlzdDrJOuT1D{!( zsW{^5|KAsi zlZWxAZz#xnwz;*#2|?L?;rffQ*~e}d`?LSb!RKyXbMML6zGL9^mroz}D9B51e(d4J z&n7mnUNnF611XeUMt$82z*ZMJc6HT7?P#@Uq)>L3@W$$^@4XvB-o5@dZ1b}8k$$@v zYZcqlGZL=Du(Yek{r7;Sn}GV7jUDU3y>io>f$xsL5SlD)a1ne{__Om}Aty8W_`pdA z4!r*2LE~DMEp3JDc9h+ekjoDX0fH~0{2aL*3D=GC5ce;ZQ~@{lUvaN)&xYN%yfgKg zRFsQ;j(y_ay?*$Re(yD~-usTw z&0Vq!JNU1@_viCi?~@1idlWFh>!!6QhfOu~-RXZE3Vh|I;~oXr@xvD{-u!DICeI%7 z?m(F8`bU>u(Q@F^B{|bprVKmqgcQv#A;(+nk!goYY+}_9+}a_6Pi37dn?I9HL9l)m zDAWANl(QP0Yw{8ctgOblzaLT>9Ar{rw-sTOm5b-fb~_AVLI+oUO;$k@rY z(F;-f>UuR|tMth4@v(8CCxZP)n|=yy<^+0U+mRq3Sc4na35hSn>%F#c7Fw4!^7r0j zth>kB8t?b&Zk4{E?$X6`sUXrj9VEyuPct+-mT&?_ql-U_OIVFkghF(9#1m4~ma16kO-rJa0)CMi>aJLHn#>LZIS*aQdxEM%%T zf+RVRjeU6_q^Bvz)B?db7LkS8ruiP*sjTab+zDb*7-SJC+i5#LwKWHreP_n;>H3)7 z{aA0H?9Lt$OEk?1c)>lSG-~xVcR@jEJKwWC6+Nu1Twh(#jABFD7%+M9t+!XRX+d39 zqMK((>6L&P2zeuNN?v0xJErCZ3YIx3!?JArcbEz({d_9FMv3i`-ChQdZdhydjVGvQ z0q3WTyAL+}AWc5VzG?{D&Qg6ET-Au7;P?xjD&ZExS!YjEkjOSjx##%4&s-1BRbWsZ zcQiqXsN!A)Ga^XtA+U5jIu-H_P2w~k9_-}N=w7G_!{VWE_`CS5Golo3@}pY9JwtZ{ z*QO>&YVJpn`0x+}9slrc*$qZed`OY_3~^RTs9J$2P&&jBZB$CP*pE*@J`cvH zloI?vDjcJWf}0pqr7<0BpZ!f~Aryrz8X!Z$^5`rHapzLDKdJLpH&8Ft1>tBrf~zu< zE&ibV=)v@YF8CfgW0HSiGaNxiyqA!~Intu*UR`(7WR-xx@OrqVQ+c_2JCmc$Cv?yh z@g$N50}~QYWwNQg=n_I)Kk4u7Q)6Ku&JToa!~MaT4x730!PZfnrDbZCkr0TnK_Vv= zrxg=K6=dTF?1EF4OzGxQx(@$#3wUg|c94YDqY6WUE-Wo7=;#rEt;b2$vOR`VVi>0d z&LKUJ7LkC{egC1$ytZAxn5b#)m@E?5G32mpqslPU2E8{*MG?sUvX~u0lOL~A)Z~l- z{A2G-8KuaiQmlK*jyY8ZHN^vfcg+rtjYcXzCLikUA8 zj0mZ00_rQ8sWY*HM^Hdc87|FGDcX!QuaO3a04wQ$+89MkZiVFNh-JG`Hf05=*#k|? z(f&|Ku_n3jG@LAqr4dCVrbmS|3|F#(5HiRTV2MsiC+m9=%2J{3xnRqkiq+Y*gN;%#jNw_qR_5M^x*r^~Wf45N3U z?o5_0_aa0>r;wVLm36X$;aml79h>5eFhmHs6A1~BZRVbk zBom1*C9O(G&K(S8>elTn8`*%ZI5cQx0ylRMyus*5xM{;7REnAsOcWSdz4DDpE1EyT zO22S|A~~R&mjo84WF@LuK|CA^nSeG1mawG^6bNH{k*oK$BNDbn{h)_DK39FCcqAkA{BH7Y6$cV`x`Am~`{$-j9ckVcIRhc8(5P9eqFiE!vtu|n>ZsyIYE;zY_m zLV!}6Kqo*|hA{s}`Mp5kehga$q1|UE!575t|FJ^5CR{5>HaiJ{-DH^C6~retA0SGn zT*3rIi2%j`Dgpc1wV6o&IpdRULTMpGaOP{UY&Wz|Y|Duk`4lvgHj;^e~D(8-r# zD@9|#($ObUpx~5$O8OIa=Ftob7fKrlevJs#t)(YwZJ|4;<+Qz<7yjZEcfWNEo9>>rcPt=YH#7G_0JfftJ<%@* z1nV_tjeGvK#V@REUc6!9pi4JnfBW5+54`i8X;07KZSPl3>x6B)dK7k*$1duNH?6$+ ztcw;7dL@-gXu_M#yWG4ddOH~~z>LYuQDQ6hg+oScS%v6$0C(C!Jn^^9zrqu%z@5=< zsskx7Sl(>DlMu)Tw=3kc``IdL4Eqen9zMJO{I%^+riZ|Wu zMhTslja_<32r_N;m&>$ETqIoB1lz|S&SGo`@7lWq@)pS{0!}dud-0Gf_O`jn+0qO7 zxp1gU{?V)<(U1%5yK*ja2if~dDjSBfQ91F*%jKOC-+lKr5OPhYD2I%@=C*=ylOg2! zsTFg7g=^dkIz(G?18d-LkiD=d(PMhl;sT4fLHAp892*HpJDvRY~Z*;!2%DXzGA!6A(P}FjJVtB7;$f` z&(CS9@4Lc?>qQbxY;>J)p<|V3XpCn8Q8vJcvSTCVc|lXy>d55qo~bO~amqU-9ty@Z zD45e!W9JwP0$Njzo<6FiZ&2>Gsoc&(Ds5+OR;Izj_9I!rSV{;49ovHSoE(=MHsL5c zb7Mq*9gy;NYF@_{jSGB)@&9OP7@IhUdI2dfuaROojq%>d9n5Y(h>Y1L1{oYjq@wg4 zlFhmwPEH*x=+1|@HeqfbawpDY3d(Y1d7Jmwm-6y9LL+!@ni8= zWgt3gePC!P#8Lh-p$c;VF&s7K1h$GKpa4RcI-2ZlY$Q8@=?3V%IwJLcp~>y36xi$* z>pVH};q)Rg*(Ni_7R+&aV+uw|z!%r051%Zk^oDD*YBYiE^etxz6yzjzLE?R;ocxdq=K(V*vB2afoNDGW5}#@??qLlrJIQac}yuND=J)HNx@at;8Hda zJz|(10k0E5Dy*Y|6!wEn!uSMM-MQo>>2jb!G9mNBX26UJ#6;N?x%(ur2ulUn7d50P zh~iR(C1kros^Kq#!+?0f+VKTPz=550mX5#?LG#oZjzS~sU8|uVLjnq=0{FpHVrf#R z0>W1UAULU8vYq8${+O!c_MwvwLh6@SW@&gGbFJKJBOhvpvIQBOFr`YE1#3t72SKv7 z=-Z@o+FboXN`;PJzQR-sbbb&=g`~p6$G8SMYWV>sCzz}&p=0lO2ZaKo&a_s}&}or^O!cV@!~hC=5rC$YZ%lE>0jrcB^rfzb*Ws1jUk zW-UsVtxMV^DsXRWI@A^ErdKL6BGN%JFeaKHJrDhwTIem2L{3;&_y#Rd=5uR@<7oNZ z${&r^zpBoYXtYM;8*{9}O^*kD9r-?XtuM^EeUp8@lRyjg*cjI@DH}P+1>YTejaw}m z@f38dbY&M}Z*<#H;6@vaadfwx+!!kiPTFP1Yo-dPvMM*P@QVJGQB}lx5JY<&@x_ZasoOt*R9j0PU@Xs(p?WT&rRCvN^!asX4 zkGoM0W9J4FLg3D6f&&7OTo^XHh-Giw1#&qSd%!Pge1gfrjpf(yx&)^wG@w6Fjh%;b zsuv+j^L@Bk<2Ck605QN`jCs8eg4&~Wc8Lv30>I=A$TF8~!@J9@c?^P}w=)L|GDf06 z#B68--vOWms_t&FL=R3H*|%Rf=IIv^w&jGxa~(Oqfn`Go1f*=zDV1I1e}he|TYI^+ zgK#S-#Ye8`JDODCo+~~c7g=hA`riytrEVy0yDcgYO(-Y`C#aSiE#35!nQ5B3kXg7? z=xRW)fnB9dj#4)$Dacfs;6@C0%pe7DN078}O@_+sk6C|i(#h}Ahv8oT!b+V2jt)YQ zMrqvO2>e5Q5{C}GJCXTbJDFVyOE3X#D?$f1&a8pZUZ$Hm3#iF2Y{F89y9rZAV;*!B1QqMNvG%0G+=y?sjRvV<1T{5sDsT_Z2Zf-7 z>$E_Fazsfq!M5&x%O@#J=}tCMDKtxCA+Ca^Q<=$%LKy_%9u*&1$u2TXAlMFUqW@c) z(C~vNu4iFDS&ZaoiwXRTk92&P%0jUgRH+ggrX~bQTuUX9?`c>(B`7zQM4TzyLBKb? zc=K42;>$w;1;dmW39pSR%F@TH)D2-~6OeFs>NG)@WTeU$sciRQyj~o%)DKP&eT6H! zvrRcF1dP2)_ryJ<%B74#HXib}-+&|ovA9Lk1^Wrif%DUuNFjH_dFk)|8#zOW1-t{7A@}pN*W5^Wb6r${0234KUSgQpX`d&Wn zot&!8Pv60l6VQ7)>wWd^+vf4)#N7G#*5ault`Ly>9YSWknP(wVQNGH~R&gFJY=ga;IB6 zEaJ{xod3TX92pPtGt`-_>ZhFBxS;L|<6(4F|kkk^RUUEwS!C>k}< z>L&rCCS#e-hFDIMudWZpts+Kdg%D_h`HFHEFU?s{TO|AIV=;!lC^8|hDIUvn;@8q!0H>hP9G)L ze3R`3;BI16xRD)tf1w5W${EzR z{D$TNa``$;d<@8|jZDgE^u?$tBT!hwvnNQ7jA^=_3E1+r)aa{KWMvNK!X= zg9F$h6!<_u+5sU-NlHuAkk{Ki{UkX8y8`6TE}&4MBxyK;D5>k43CpiZjYg9J870`&$h7zq4B>uM(S)fJ<+{!7 z-oJ!1oJ8+iGEvj;NP8$?M;rqh9oKNO5#0E6D#~47i{)ckpm@0Jjt>klWS{B?u(Qva zpUM1R9CUXI;i!Dr!@m37(WKNcz+&X4ja(0}-f$Us8Uj5Jmz6)ph`L(1 zw?2P>OihtDZ3S*|iZz%WVqPXkQf+> zSfYjuMcBcSY}_N=95Crzj2wXZj?+n3SB=xo(FFz2(U6p^B>~y4y7`EmFuRdPxW%W& zgGt?@oJyT^xgw3zri7K&6YI9I(GAH<*sxvU8?`{y9bembz*w}~XrY$B_Mv*GT%?+2 z{nYS_f%DVfw;!40O*8sWaV!6tC4K#4C-&?cDfcXbr}4XZtne|k!SOlLVj(7)ijCK3 zRcI=bkM->1Y`|BMAg9@G3sN5+Z&&*Wo9aIhQAq^RoaXA z!$SyZauH?GVowbG+I0OAmu!5dRXw5^>g`4ui8VYj))u+74Wc3rL|MpArP4-Qra5tL zvGqO>6pY+@Om6^kkJ0k+OvGm!MCg1^BouQhC+8>2k(|mgtTzVu&sbEt%dW5NXev5u z(%{5*K@d9$>7MT+sOuPP?RC1O`EcWQhiSNTva{G>dXTzWz(IXHv zJia3M4$uVLJ6U#2#gUgi`UbB=loZST)5fVFUn`n?Rw?xE2Unt1 z;5$fCKNfOq3m(I;UE~w!W?94HC&YIUsVWXlS|+}qC{QwlCL9y@UQ2{M_!tw-l_Ak+ zRi;`E45{%GCHR+N;X_-(A2tZ4;l@K~4i%=*v0lQFJ_#E38!||tRW-==V3^A>-U8VM zvu=2V)vQY%Aw**Zd4ONS4+bw0&|E3BdQ!uA2>;wbbp*F5>$t#g>Y4}JeBcu#({)p7 zJeF=HB)7Pbg@4I&_>vOD{E80}|6dqUGSjD3SXvw$Ws*|X7xodH%+e}6>6rzB5b?A8mQxs`#%(b8!;N=Rzgbd3_ zgK6~=tW<(s1gP0omVt~bL7xn&LWH0RmMQfx>Y_}WN(AYWAiF*2(1kSzA(xY8P%f$> zbP8E5qZ)CEq!^iNKsOF)y5t|0+!ekd3wU>N?eM_~iM?%@)$hRi-+oB9{lhb@mG(~< zx@Bv(wkhYHK||XrHzmWK%^4rHnitr`uQ%GK8FYQ^k_ncU2xlh0|Ixbi>on?T*w_^j zm`)R;8t`9hWw%1Je~3;q2HaEMHp!aZw&9heys!HE9~GLLL&ZCcc^^AFE=UeL@a!#X zsAYe~N1EB+F5dBB{4RqgS(UErGzeLjKJi-W*Tk|VRgSk%NGXZ3>AoZXBH?SkBTs2j z_rHeZWPCutvFw#(7`(RPmrs_SgKbx~wdqsP+h*jwjOKqK=g=)wE!}QuzbbzD5ZOH` zP69)VT8N52nLUc+Y4_uHwVH1l+g$@jaanqq02!f7yUtJ&k);@6qnHpQx(V$EpN zJ^$mqMbqC~m-A*av8>iT%RUZ@?oNy3^eK0j7iex5w_;Oy2mBnNLlYKI}ptsT}TPn7_m#jDDd0%`~A zxN8Sd+)+EMO&%F7V1Oa*QM~c*K6|#L5B{pZV|boz8mcw>FmT*0dCC?10AfXOD)V*>ISByu_NYM4gNK5AyeddSM4^3z@N{jRB|FgdOIw2xYapJsicsrxmUQJ>On zSDWd1s>dV3(@ZmlPs#YFOP1Z*kUMSNf4&KshHOaXjxoMl(KOm}ApMC=o(;o_k;TZ% zw|v{ng3MJ z!Y}@3kB3L$m4D(BUE<5$chdCnrl&fWYR~*F)%KTah%OgZQZfzS?|Kp-qo~#rsRA8n zxn7WqpTE3X{HFTMwN&e;In(v;x9Yw2B-8)4?zA;Dbza6?f%TZr)Fgd-bb1SZsp$dG zt1CnoETmR<2OwPcx>_K)9#a1*(Wf4i=?B$qZSWm>G?I2-YcGkBf#fGM2xIfV;@aV) z6==Fy23Heb9PlN<#PW7F_r>n?h$qQHPLZUKrh^)N`(U>Z3{Tq!r?ru%`_@O>lHdM= zgwJzbF+XyscKEF;;9u7cus0_j_D-hh6HH*@rZIXgEXd@04wF6AIsRc5QOz*s&S(aA zTHARB-9k-<=f`w^Ycj*q2fvkub&_q!%jb-XM6HLOnxaGJP0eXkVBR#1&OhL3-Qy{l z(QAe-{W>+L0Y3y;jG-q)>7idYGxyL(%;KcE?ouPI6%|e8!L53&b<)8Vv))gB`)|YB zNQ^>F*-D!yxT)(pd?OY}byj^PTI0_`ps(a z!S%ZV##-*Z?W{Q zoQ2xqW$syw{uPA$1rnAwA6rc4JLwWCxZ$%s3)DOig4*GfKO_rDX79=PK-1e=d%hCw zHbK5}k;-vvhd-6@!=8iEl|cg)|9(NwBE#)j{L`RmZf~nOoaXi{QeEY;88$j7!H`OJ-z#?-${WZW$wObBor0 zhmlikyfwwDY0kW}g__rRyY2DZHbWa{4z4xywt{cpo-BS=J9U$swSzp>R9uisWY_VVv%sIjZtZZqD7!oMtOly? zO{@2Ao4Ea%7Z(HNwdKqmbM|iAbKkAo7XGMidCN`PAAj-Tw_7Iu^YLw`->_}ZFK+!) z+fA#NZ=3M*XTH1kd(Yf@;PD;j?YM8x>KUhj3`E&eSHJko-j=8S`8b5!w`nz?VQ*Uf zQp=53J@Y~_0B~E*-o9$tm3!9Q_~xn~J;A`fzkLyL-P-bapH<7Y?O8MA)m1+l1gzDc zKmNiR<~|+puG=2FkA?jG?E^Dkdgl4Hdt3g|lDozG*biD=*-tEg@9OQ(ys&oJtXo?K zx_Yl3v}M&zgZ92R^WZZttl9+GXaDe{r+=^qLO#0ji9Oq9LKC3AGWV)wKWM#g=J!|a ze`5E63${OA$zZT8XYUxi=XGESpEh{^6Uz<^JNWo)Xwn(s^rjU*?f`?mt>x^t4wT(a z7_o@*fmOSx|{0<0t@${G4X109$e?K~S@5VD`wynD9iDm!1^`56*TzLazfBS)j zd++=4eQS3hl|O~OIU7E@^-pL2eAP|&?fK194;;ARiNAirvR9R~%-k{XyQ|)Q{nzJT zJ!{qex}`|vTMeaQDQp?P% zkf}!+wvz=r+q;Y02`>6;qwJPN+7OyuL|IYsg;1tez|cfQ0tmIjwrO&}a2$+U2LhH) z%LaUtWjMAbuCFs3twN6kG6RM!H2E5EjnXVJGLY+dD}ZGSvLH>4EijZfQvie4(bP|< zE_00UZkCO&m-93M_*}=B4TY>hh8F<2ku0MkkE1McoC@H$W(It$38JjfG_f8+43!IR z-N$gcrfyW&UeUzl3Y!7|&x9V~7VNr*C_-6cw8&?>30GZPop8BN_P1|soedOQrhZ#Nq&VJgNF zb}EcXI+Al2K8YB6Z!Wk+xlV=`lZ9;WD}bAOd$8oLSf&uHca^|~j(z=0^GdPw%-?{- zmj+529dF{wzDT7KWf2pa*u;7|1O@wIg_4cL)3>si3=7t?-iWgQ&p7dHZxWLNWVpG* zj;XUKxd zY3T>-p(GPbVSP~pZ|t9~(5 z7z0Q=6-y5Xkd9b7XBu(`_Kw1^4I0!(HUN7pm6zt2Xcfo|Z)n$R>E-m3OH>YJ=U)fe z^qA?vuD?}!aHpx)8z86qY8|EWprUq zC4!8cwFJ3?%#|=)S~#dEVbetB2JA9yQl%jq!kqIOYA{$Z_60?gi|SEIY!~_SZ}At~ zBvpLQoRX>?P_T|G_`rpwEh%37$o8i+yauT~8u7AFS_NJ-1cL|d_yxk5q;F9JnHEa6 zA;_H~hcFZy3WkWER)(l#vR7lVn2U?yIURq3Kl*d!VUAwldHy2;8?L=rlR*5c;u3#` z3E>ceqLtFEf?0Vfh3c;1Bhh@8O;pR=x^%GCCtbsCs6q%PTbYCv)~W=tVi=ExTe*lf zjblKCz>6j_@f>SnaAZRKf9$;rfE-noH-4+SW~dp5q^2_roh&Q2=D|+z@pT@oAp~-# zGsI3di%keHVvOAZVvtoq_5+t-xIL+98vbJ#<3QqToxub|1QZwJ1{az*VuX-nU0GCw z5M5nDNQfV}C;{gGJNH&~SI;YxhxmQFbCapA`qio5J@=fd`>4k~N^PxL0MiRZWl1R> zMsRFdG9+iNh3t@UaX>+??ZQBXk01@{*plqN9ERRQK;mV^N8 zf#l%Uqrq8*3Fs&tr;p*YcLT6yw$6mi&Dtv!5}@^2hR%zG18|rCg;Piw%9pl~mUIl7 zbcXz4_X@^)nM@13a1BI)P~!7;mSo$|_%r+gwmn?nxTLWSDyIocyw<=m`9H+*{XT`j zGC8*xlcHCh8?6$vN>;Qp#_>`G@|RaBV@kk^2FieBJiYxE*mzy2@30t+n2zH*QYcfS zk(#)g8Uyuoloilapi_(nk)1;Ic2}0*ct62{UU^r5NTI`u)(O*<5e%`M%a+%AmSv#kfF^81Iw=s3GMhbRUP?lfE(C{!f)1Mf zX_O^PoVmlQYdz&ZXGK$JAjQf^JST9%&#%q-g{R_(ZClw!zc)|=O;C7;m0QqKy#Zxd zu>gf{tU%$ryXs(QKNu`XV5&$Xio-op**)KDG+4FiYLPu!0o%Pcx+lRdz(6*y0bBx%;>T!WJZEji65xUMlTgjwfhjIZ03QO}a^shWrP zwoWpr@T`-hO(>{(NX1jLD|BjZb&Bw)!FS_c$lk3m&ZyGkp?dA0>v`Mhq1pkyfP1me zb8!yghkB=w48iE^p}v<>(JVBeWH}$zOK9PQ^)Z1xu8Q;FRasQ;~B5o$If+ssV?^r{KDw;v~8gbZ!^&W}T!`l1-3T z!f7B9Joy6&iZckNZQp{3l#4AAvr|fX7{g*OV+c#T3x;h*G+OlP&`jh_R)djG%L?i! zaJB+t1sE20R8FG3h-wUJx7~~-*ljQ@x;p*SJcKiLAZ=`*9y&TkkVYh8yxn*a4GK8L zE~hO3}h-rDX*zr~}F@Or1pgt5}2ybQ5&~!10HQ1u(;a-~_Cx$xJva6mYWB z9*m&X0h9%}3k=_1MhXJlH3maq2lOuiqaSTB(Lpe}0?1MlY)^CW$}!e4jmQLoW5Uz^ttWlCq$WA&?gX36h{iG4bM> zUJ-+~)geA6II=@ABytNS8LY>(9rPwNK_|yQ+-5N~WD!&>D314+)gFV2W2m7|BvOFh zaRAIS4%$^ z3VC}^sW`s$uZrPDq2LjO)79KQ$v0lO7PGVa-1lDdn<1L48kPIuu|@C&NzqhC9Q34?>gz(iyqq50OsB)Hq; zbBhp+k!1jDX$&}Bw0wXc)0(s^=}ZyM)g$y}Y1P7VQm!{@3#qx)9147#torc&D zqdVO)XWVwh8n|6g6cz#k5wlhH~xvV6cV5S{N+r$kQSFkorRu?K|t+I*Y)=twd z&iLHL^903-CDTSZTuG%wm!eN}^wBv8>B>6RfLUb}98&NIPR&v59nw4OhH+S5fMJ_B z)(llUP&`z2m>99tsFlTtr9%vy6D1fTqO}z$qSc0AfpvnJQDJdrY7)#6Q;|doHj@g5v@ukg zqU?rY=ZE(60Jp-tFZXCKqZ!tZv%S#T^PC74T#Vv^W{^W0GXizrq^cw^Lcv|2@Z z@Sx0e%A2(2(RN;vNZEQPz>@FpJ`5aiuIHvQ{y*l}1oQ z6et!JN;UaM4AL*OsipCBqsSfF2~6Y21Tg(C=~Tz0ItC9aP3#fah%1jMQ~`wfu25_K zLX^1&jHuMXz%|UZN-85F_F)DXzV#FbU?icI1bSf9gMc?w|g4{q+~AECB~3$nr&sKYO8)UIvl_4_>G9hU*f)SnngA-ocbCJZ=)gt2Njg^|X}@Piv6SbP;GmQ~ zcMu5|aOaK(aRh?zViy$j3qROYn8?0d6D>U)O!n5&7idkCcl;>3DEt-I!Bp6#Oy%3; z-|oc&ioP8WBKq*=*}K49vkwmu$Z2=a1IeUKtG_b)sp{LdoCgIjCQX!Kq2&54TJH-H zPe3bp9+8j*Zv*#7W-q}Bv1l(Q$bayaO^yJxjaR)fha;mAsOPzKH(v#@^&{aTMqR}7T3op%>h$KElsylF z$14!9HN*Q6W!I}CX@qu7n7IAzhhPOsWz>;$4?RH>nBq;CF!y52A&dL-2-u1&rDtHe z)2OpChZ)opD##tU8znRWfn((wPH6|pwsarGpY8Ct+XZebm)MX*mMEbvWx3wqwQ1s2 zNMl3}1u=UoNRpYVqBKZ4b9Fu(kYolGKBRXzpqrh1)b+YhBnmBe0(cQ75SrjGO*p@rY3N~wy|18&^BaJq6F zrCb+Vw8yQnFQE^$HwP1F=4nhB2&@f zO*6bTfO9iqcEa4S5Ls4dj1J#BFaWrn4bTMV3G5wrz4|*)%EdpkMfKz=oF|w92=CP@ z7xz>6VqZZ#;TZd=NhKYZR&fmJWW(Rl#TsjGXuB#@L?5{&<4SqcqfM-fJ!Ryq-S zJxd@na6^RS4=^nNV*~(c-6bx;v@@Kfxw7;=k7if9ITOBEF-riFFr~L`r>JNyGO?J% zF4I)`Bh(-gr-hP+f=Eq>(A?MsQ`vaaiMT(9_tqgL zxTJ4l0CUC7i5seMdPz&>@ki2dK47eH?8H$x9$WN-nJHm2?$BFm*#3@^2Am){zJ`5{ zu`JAw;s}Pfu^egl6gcItNoZv}z3mpb=4Dko9LFjbQ`4`b5Ugk;Rn^r;A$7~E^S{Pq z^V4X;`5bjuD)HJrH7t-uGeIzoJB%xad0o*3FQSLzc1<4?gBkqV7s8pB|*3mL9bk zq7W|OPQpkQWUa0zQ6xyqn~t*PE*|D zBsi^tvZUL9@39#nF|!Iy6|1&DC|v*!lhSB{CR}8R`%|Q#nZ*|c z&*P&5Qm_gS=~QzrDSO#V`_XB!Ii%5O@i-G`j3gvU8as4rDQR6Te3-Tc$AUTyI5q@> zl2QO;%P*x5}+`fw4B~Cn0gwN0C@Z+e&GubO1{wjV%eI zm1~k_=^#sGdiC}?^~)fZeLj%p#GOzuzLp`AnvEn;g|8!8SR8FbduDI;u!`K^87ByarL@AaU)4u z_*v5GIQ(|lWO~CR;bCJGy+d?`1OEgB>$p*z=40MGrt^MRH}>=dLra4OD@n#Ze3#nW zc-2T*UW6#S=l#nCn{bbNu!0IkmIvs;ht~TlWO?4dKpTV`T}#{)s`t|Sm)-rTO$Ul$ zX=g9<<^c^IA!oq8bN!zp`mZk0M#J?SKHq!E1gwkayhE1w4UHTRRWA5Qm8d{nV?A7yzBPM=Q$zFujv zxx6@m-sA8{*Ur(M$mOR`?_YgZ)eakIxRz6cI|Np<|)63Lwc{AP(et%I(WvQ$CWK%le45EgOR=tjJ~qJzf31b5^zQwi?J5Y}E{#&B2s zi$%SwC-*ApQkFSQQmE818EU5KX z+^vvaNT!;M(8!8pWd_`oZ8cmBXayWc#ktyt2N{+m>2DkkF2D^i*YUK8^WpmAG_nxo zogjzJ^al)_-uI&pByE?v?OY)W2=|H=tflo*i}_0jUn>VGLcLlf?*ICDvHMMjQ%8A( zRAetPmPR-@CJc{2F(%k-sUSH&yRos#5;OYf5rLk%P>`%(5utnX^b(~?B}$?D@xE-Z z|FFM!G6R{SJ<8;{8ZIaZhNZy(Ns^5n)+Xrz10|`J>Q|eekETf=tqy9VrKusIxYatQ z>jFNW{>&CQ>)87aL3mfcEu#pk4k~}#{zl8ED*sGhP^0O#jNm@MqQfp~5UGg^r19)M zXcMS)($aZVEoLYheXcSH>>D(n$P&|>gh*_XrUucRj0P7Z4ZbFTGTvqs{Bm#noyAlzTb(bPJVsQdf1>BG< zau*dWvtUW@arp42a;~}InEMX8E%V!K+>?~L!b|8My~K=dSP7bx@E)w|J6Ie6mi$Dr zl!9M0SEVHIQUh(pRQrTg+^m$;mg%Im@i*v)^x(9jQ;}0`4v`MTLcalX2s*-5s6T-Y zDbIU&q)07`YkEZt+8(kg1EjbT0HI%_xHDZs$qK;}rZm0tq3vC%ZYL@e;V8OGC^55( zZK4B#=SFHL@KWN~YEj(_eYY{#lMGfsEEy9F2^HjeS=2iB3uOu<5sghlXp`tw=f zQvI&vu`3{b3NsG>(-{_A3Y=E-MgRdYI2K5yoqC)5pbU;tXPe$f^$5cb5p2?isut+i zPY}^5xR0L*fbw4po3b2I=WGB$F}-_;kO)Ldze8k7yN-E{gMk~g3^c+@v6Kq-7reAV zW~HR%!qQUVLl$&hDra!L%M$d*^uk2kN>15rFzCYaMAY)L(Q)Ua9xx{#c% zX+>$3+z`NO6_oKbXN5?VM4w-Iy_F`;54?{gwFk z!lW612MFG=pWqow2p;&iBE0j>!O|m;41qO?f;Gw9R|jROH3`EZl9@^qtzZZa>wT2) z8)bzLlZ34~@5M*i8yc|KL@~Dz-l^8DxkU?xNX}MzKcy@ff{%mVaUO{j%+m&(Hbs=i?I=y>i;3!kIGw4-mZL5rStdBY5DCMR@1HVCfM^ zhQOLc!J1_5TZ6LHnuOsH$xNk*RxkvI^*&1Yk7b1qlZ5^DgI5cpSUb!u3{yKym|L`9 zh-CJ|RLa-?nJgHBkAvRjP8-45B^PT2!zLYHf4eO3uHUNKA>8{rOxD}8Gnln=y0x86nzLKb=P; z3f`$m=CqWue5Itx8M8`oh-9YHq@WZG!SRsZ#~Gemc30pXZ#>Fo!beX79;pa z3cgUmEn{@?N0js_Bt2I-?F~ipI>Fl&_uTK5`*Nahwcs2q8E<^;PicWySE$-ytWkEK zMo`<`n-R(sQC~xWPoLX+<+abgb>*hLh|Ie7bBKrf(SKa_%w79`vG>Z=yKh>x39)W} z{@b3r_8xlQ9gBCrZ~rg$TzSLp*9g7{GcMfq<3rbN`S4fX_tJBFSDp9ayH;)byH{>_ z_P6UdZ@T`vElYpCdH-{JR^9Lcz)${q*)J!oLC#mrp0IoUOTXB=YR-?oy{h@+Q0Tx9 zU)}!7#ozb{BC+C?zf*QzcV+L#5oq?C>mPjb(0^^YWMK14zxeToKC}CMt2TZ7k8{7G z^uBJ(>VJRm$zSZihPe43cL_dZ5Jp?BfBZ|Z_p^7M`Ln%q4}9d#cYJOCAFqG)y|=z^|LfPy zZu{(a_doN($5v0g?lZX`{{sxW_AmGU@!%GeWB+q|KRM@Cc;}x7Zv6Ruw{8C6!27;; z$?i!%e(vs7*M9ZXD=!~d^@*wj>o@;q%SX3d^33{AK6k|@Zrr_j)#c|tbK|ow-~Q14 zhpxNloliYd)xUDq#k)6Ox)Jt#_NDq8_aD0I^>-@6u7cht_wGk5VXW+56*g?B@c(_` z@BFz+sjjp`SM?hMNCznpYL;h@Ha;g}CK;Rw!9Zd-E2EZ&2o#AZ;K^x2oI5?4bzAEe zI+n+bbTaD1xWSXD!eT4yVt%)7%d=QZGFs;}L4lO0x3YbBdmkiqRU{44-Ua6upkR}s zVt-l@MA>yx4ASR|=^$x^-j$tDAvvYasrej_2}Ekmx>Y3YSvY7mBD-aKlY+RZl+$Y@ zNk^Gek>xfE;Al+;_S=4dT!eqs(m~A9>S$$_B{>E5<#e<~(nLZ(w5_-U7zF@4#IRAv zsO=p|n%J^DQsM>)Lf#JHMwXH@EsadDWkEBgcW-+U_BB}t&H8wIiX!2rVGPrPsU*Hu zbC}D8Hr4yt*P~WvlaWr*yH1^HVT$gGn|XwNa7q(QC1GzHk1-JCqvPBxK7oLqy_V6m zXi{Cu&cg9xk|km9cEtN7dz0r~X$ui;lZMLDv)<8=bR4JVZk|jq25GqXi%lNO$|OGP zu9q-X+EnmD3L0#Xiyi1a)PmZuDCKbW9tB=Qo-g;#DAL3D@_&*vInk02{X*9clA`Ef zb{5ROf;l=o*HDp#EEQbm@Nh&)_jr9O6i*j|M->EulTlPSiaTc5iT3HW-LA~Gf9xdB zZAK=58A(sClLo^xvs%ySG!PLfhr{H29-V>!dg-5~pb2w31g1!+=!+rDk4XyJ)gU1)J(;XiY;vm3?C>s@CUsA)UPc)1l zNE>zv(nSjnHZ0?8Io<>u&9L=?O6ijzFUnGL`*5>So+aP~6p}3DG%8L}TIpSPn<8O= zTaySI2=7o9CwBIMI~9cuT7|MVZ`ta2QhwWBo~ktaL9%Ue9SYB5i*f0DUrV;e89T$R z5{Mth(iJYlwO&V&$(+Tp3ZsfWzSv<##LX{{di8g{C!e6hR4_zmqg%m@M7MX6q%0T5 z5R$$7?08#?rq{fJZrGF>jfcs zhAY8jyQIac4Sc}2kTHoEO<8)P4Jkb7Mi5tyuPZrlL)PM*8xwo1cwJLm7C2UsmPgDg zRzcE~BrOE~PDfryf-)4uKohcp9hix?bsV*YmN2g6fZ{tr%Wk5B@UT8^m^fBCYEv&I zG{l@TB##de)sEsqlc@PDX{QgF+zq&-@(7uHFHqZbM zcv_B&OX~E%D(!j3d0O z$2v0hRtM)wGun5C_MP!Af+aO9`1~K05j*XBX!he>i5R5&Y!heOMg!6f$eH!#w-QOZ zMj#r!he)`rfqBggM|Xq7Wo9>EH!lz)-kZjFK7rGw0}V^NX?D$k3a1%sh2;6I-5pHM zLqti_&bk`}JE1N|(h;;y9gXOKP$}~nJ7Jj3mMga!o|B9Cu$@uWc?Ih6T8hjXTMp@d zZ4BHY7d7-mzkq6j8Ri zoLfmZcM`W8Ou{M4?j8ll7Nd7i=1bPWY7(i%js-W^yp{}mbHeFj9an?Pv>#J&T)qpY zTFyKK(5+hDop7F-M$+UR93A(p`U*P{PdN49wiC0x4CA-J8)k>0NKPb@Cc7Wfa$X&L zSwSa+Z8gS(-KbowPKXXSmOwml>*|=Ow$Jno$xlo;o$QWAB4L|Al0-yL3>eXw^w4+6 zlN&ml!^J7N!7pVdus3V~3;Kl*$K=(X_eqom!%iFGhPomNb+e$^sM2&ol-ql-cmCfZ z(S3*AZm4!Jsf2PuX`G#?W|0N+jJyCzX9gX~#f+p|Of>kNbfU2-=ua|s$z+_wZZd@ny8@L&59!>3 zE-mw>G6UxTV8gz2gIV7>6`EXf#WL;?#P5fES}0KxkxL9GSM4$MC+Rn@?e?e6N@K zaZYENrI>kJE+c#GLM=4Ou!XZsXQRPHveK!9cfcjl5r{nxO<2ZGwNRGKFcPdES>_XU ztac-OnY;vbx8W|lD2B1Z-AQyeSZ|uK_OyX&fY~bEud#tnADKpfC52m77`4#pBmV`Z zFfsh2n#akxXcutJA+|8V#lkC1RN=?r;Dq=S*o4j^(fXrf8Cl>u_miYw>IJIaBYrq~ z_lyV48FC3OF_fHl20PJ3|>l1Ga|9b}QE z(}W|o0zg++`rJ5wk?0dm$HFS$0{%!ENvWgO)Ry#D%V7fb9T3_w(6G1B z#8{H9_J|}-+(pJZU8#bx-U+ajK|=s$N~V*+3N`1E5O-z*R|mCFmW3LRql|GJUV{j_ zs6Ke(wgPCt1$juEfZfDSFfP0zpkV=T~o1RHbsUzkz~ZEXJR`uoT|)!5v#RfMg4}w}it02jO&=UyONC%DFM$#fuAvhl^2m{Zf9B zxK)f;kkI@#V~SaN(V#4~P0F|j+q-Y9++)ytFt-X{To!BfA$#rLu zsW6$1cJVhh^!(%c>tCnIeV!l-UI2JT^Kj=$v&!E5$+|EKQXNa1uJhG93K{^H7u&q8SATae|Qq+tK|HvF(;@1c4h zm%@*ejAGc{9{tQBNuSAfy7Xmk5Q3FH!5uq%3Q4cTbmN_wj9lx?^m1Eb3l>LDvG+75 zPtUmh748)kw>uVnYb!3olqSMmxialqpLN~(Tz8#yQ+<1qBFg@*F}X0E?jcQXCrxHd zNk#!*twY{=ZpPcXZmCzfu4d7{JCp4znh}|`S@tlcx0Q>2FnRuzDN66OyYaJq?#5iS z0&}9zUT~3`_CDEug}d=Kzm%AY1rcRy7r9i*=3?RRR4~nyJEZUzAgG%Udm&T#;dHU9 zNP<%8XtnNwm6>9cT`GL?0({x-@*&)1F=Dyb<+}mg_~f}HJw;|@cV9UR_G>*$`t4#lKbx!(2_H2h5sn)>IkOAG{DZ4IkKlC+>jkb>!CY>D|I zp`gHyUi|s&oGqdCR=^pcNF9+?+tj>&_J37SecQ632@hl zd?AsEh3r%&f{P8@S!uF#oq!zfE_LIHmZS`fGXG-dczRnbV7~W{YGff8D(6lN=ux9F z6D|BSC zw`Q!65>}z9RHluYsYTAoZ22P(w!Hiz70_UKFi*XK$6ari9fvNgP@3GqZ*bGZ&?2#Lm z3jg0xL1*qfRXZGWa8leMw478DRa$E+8n|f{mc$DPGtqDuwIU@rZ$%@IpsJP3LLApR zCMkL<+LYFLris{}C$P3!Yo=pd1(F1wNwl_h8x#`MN?2B1oWC2=Z3|6y>EAe({M%*+ zvyk-19k->z*(Gq(^4G04vszC?E9-)U30b!*DVa5|73vr#PO!~d#Bs$l5N8RdJ$C%a ztd$JUUS~RSG<&J%ap<2cU~5)$$50%!gO(-H&XT=#Y};W1pDs{(AOFy?DKzM<=b~LV zDk`YQg}AvX(!?MIjcTPyfm>dc*#kJK+|Y`rLbzg0Zq@xg{OY7wx@0QBne|S%7;u{u z_Pkcy3^vZK*@i2nZjy<&rQjV*72e9MLaX7)1oKYnHta}UY7uU|rdtyYY}&c+?(dvt z)kO`5O-i*1j#sj9OzWCl9w$_Nt#7JQmdH%SkS z9Ve!sbJTV!)7{lLXJpjkZGadaoHyAnRv^M8q-ycYSOeZk;znH?=oq`%OmN3qp>}jP zsUKP(a~9kx*C27|MEeFON0bnyR&g^~TpPS%R0-0&m~`2SnlEexD2bVA+eNHX;4DQJ^+t-|3r)(3^Lr4jKkKROBbG80+ZH4w9R&8JEX(5NVpkcr zFFjT`_lBu}AG}Hh-`#fm^{e+@KqQ-fx%Zj}wW-c|YR8({MUoe0C<~Um_)W_4 z!0%r{akf0Y;}Npp+zSz9ch}x)?wasm99hm*=is4h5quZ+zLK)U-}>3VRC+7V57zrQ z*<_r1h++LGyC2=4`VKVODvxG|VeHt$ktHzV&otXpGltZ6^W%8r5Nic&XAougcgDtz z)7*_R>Ug(C+Y$0MmCT%oEDg__){I*Q@&4NvUm_AeITKk{{S7qf(NSNC1hH1z5lXij zqgzjcq{o!F*F9%JVqJSus2H(cm(h&7?eUE_Ij1a`7oCD(Jr$?3$8E+q*ulMr*g{3( z+6#yqe+1(J!U8{zE2PI#cr8tKQBmO#(2YoffNmp@;E_WaH@;0nP+v`=G#Q;_T?{%y z8m07j78ACf0qaImyJjnkv|PQKtDZ>EhAR z1CF((KE5(x5;0G8=UeR2gyt)FKGw`7lU41!KYS2SQq?EJ+%x&towt@xyEn+CBWH0C#u~XCGs% zGK>tf4!!*ZJ#{ZW0Q9d?zZ^Q;mZS$ZTuJFKBsddfSZIe^KX$KFp?Z;-G);I0Iam%o zJxI-Bayo0}3{O)kSSx-gb*H$HIQk9~x|)*yOvZ&aY#ajwz&y)v^9V-98&6tcjt5ob zc`VEpj+}9Kfx`_=c0&@PotD!u37d{x2YHb7VkAQY4vyt`diyQ#?U?F29H+Wfw@zq= zYCXJ8uxP%nqt45?9?xJ>_-Jic<<)3x8=`1Y=`99ogSl#h4Zse~pdM?#-01W&jU?^8 z9|ZHG(Kf)(u6Ns*H*G(WKUd;^vYT({eey>oC7QzbNB6i>lC)qbGA~w6;dsd(tU*4FXYi zRllUaWI@1?zPibinaps8?Zy$OA@Xpp_U739Dc{Rq?K&%4BUV>B<52`(I83ym|3H0* zjB6clHyozJhb+gtE9gu<^#N5oa8)l!TB1fr3hH`Vm$Wzx%pt-J5|n0^q*G}0a0`pz zT0t;tLMw0nEncX$@!BoJlRes*ji4b-sP-{WO@zf=ycO|oX<$9UkPP((c7)?8N0xPW zvS`zMv@O%s7l7cN4C0!sgy3}b4Q7&hB#N2U5sHol05prxN5i^zqKacs%jCmjD&w#GPZ0JNT%Ab=#9TGdozUjp1gZDY&Z~D3Jl|ZD6TNX|>?) zF^;9%iU~X_#HSjRH41MF$-41f25Z2ssJX4*#6kGF9*51nv^z{J~nIvj~S3mp+*E)lWNQ$R58GYZ!)f8sACMy4LU<4zUyYJ4S!@D zfq#|(68~gTut`gc77ROd;=#%>{TAsC$Aav%0!2aTUpz%%^c6f6K;okd9qC?ug{h;Y zf2^>P38^ZTtzd1Xc`e81bIMyJhal&ZNe-2*!0x1ilyMa!9Edq(6bpQVT4lOLLplLY zY8>#yiW$l$*oFlc(}S!w@>t%ovF~QcSd2}AAhjVKg7VRH_!&=cy9H)`U-cb+uWk%K zP50-IIBX}L6!@WI&s#Hl={-IP-MLoq>A&A`^6X#kdn&HO>3(X{eNRup^N{;jt2^!&&^>hMjn4s|-s0>1AjOD1k4V;R2}hJ& zJ2=ZhrTpr!Sq@_p#1cMIQ&EWFz_57y@)auOj3XskPb8-wjd#ef_=DbfmQ&K?n)?G7 zyMoBWFfK{|2GDEtc<0I=&0f0anp>A%LE(!5-!}U*kK-Z2%bV4C0;m0E$D71`{qNN? zqNfQSz+W-T=hj~zWZC}5SBmh2^;?#}X>X{L?NZ|Y<^d?UcKz%{DCLtX%I-Cr)@r>E zLYsgj%smb(dmr)TTJp|eE!g{?2~|66ppjON{(=Z-G*CZ+xMTF4#ReO3R%M3wuO*Uo z6aj6ijaaMgkAhd=0Rp0@-s;4*off?$nVGtw>Iy(-KC|0WDWJ{p~c`|}%;d#>oG zaJm#PZS6W6k@7x>I}{s|zw5Jlu4vx4K6#D4pp3X1eyi+6&_kz|EOoQnahcCQ~Bf_TQBU%u5S2{9b zX$+=EmL^Hp-RN`MA3eM#0rxtk_rfx#eE~|AigR`IMsWLjZ=h2Cn4|?DkgQ#&oCcVl z_;e>Lnsd3&IK z9wcqi5BBHQO=(#XZC{wld~pHn{oPg-8rkc?Ba#*Ceg^I@+8MWZZR=DjrMxM6%HLdO zo+8qlHY~_A*W@x4{eTN9(Be8LaW(%k-sbcw!Chu==uOZ#45x)$e0*)Qld*~ zpo^+|)fG4ZuPtE#oUyyPDLYy*NTGf$B~GIbL2HH?aq=o-M{;Zn`~^wS7C4Y?%uCKr^IS1^UXGO7F1M#-sQJ&`QQKa0Fy9?su`fAeayY<7N@VBL?J( z8wt&jw{Rrx?<8v2JUnpvd>Vc=@seb<{_q-dI-}xu*fu5sVG;*2&JqS!kF~4`itq%y zBP!@AhfYm_&;0H2pSRNjANc7p_Z^&4>*QBm-eNTSevL-W{(`9twY(CQu8K&pFAq70w(beR*S7=WnNO$fc{$mc^34#UJ`5`3(1R z&#Q(7+|<*EDe0@+0=WCvfg7C!dj*svO@^sk_Y4o0G$}S(%cC*XFByhp80kQh!O|wa zvlzYaKu3^Gd<%}u4Rakq^XUf-R@^r&rg*>fTwz0U9=dOth*w!lSY{+*6+uQ6PXtB=Mj{0T$;=^ zrqk!JrgAo2a(wy@x{k+N(r9BuC2D`&G|W^rowR^jXkHkb$oMSbBp{^P9w3j>A!vlq zzPNOpYND8sSi>Ir$@6%c@m<^QV0N2Z%Wh>B9>BAtZZHLP1*q*K>1souD83VSQwv+IfgKq_#6P#6P!fxpwXqr#BvQC-PXf#bl#!S85sefQ5tiIJ4KQD7 z@wu;NS32H}KdJ3a)UxFJ4ZF=CIAz_o-0?)Tb~{LtJ0G|G=xaeXP4{;3qQ*L~`<@(4_eii^r|oR#KK19wfN_ZX4${CF?f9 zZWGao%!DRwG$rVzcbH&FqnJ!;P}_#ARW*DFrf?{*E`W7ZCs zbC|jPhR9XSfMIVez*MX^Iq)SB>91PynVN&_*56-+{7xA7NhF_$3?z5BRZ9%GxN+{Q zYko!C75PNP&g6X8p8KX7^O{qZcJYjSqILk9*c1Pf!#lHH&3>#~Hg1hP$^Pw2^FGjT zeBenwdt>B+)c1eo%pDNypm^9B`eH|uvo>wuOFA`lLxYnkv;V-7OynKtVkgiVb3!LSS~f>+_#LCmDY`asO^o_ zvghB=(rpofQxjN2r6p$75(!C9oO9yO4o*BZb@ru&IXC`cKuMc29T|`*)JbpA8_IM4 zzzRfC2TdZf+K}-Htc9|~yV^diZHhZ)XgOPOl|@)@8)mc~gQMNr?LdU12-EK8>-juYPu+TO0xMMFZY1lCRVX*Gh@3-iAPdea3_NAm z4n+D{8)kJS!9lk~(}ppqCnAIUBJjo7X3^KE}&{V;8nY{btTg6gSEIXSOs@V3KthcF_?P_D) zH4%|ALBd*yq?R>RR1nPO*1Xy~anjN`FNrzddv&0fNapiy<7C0iiLZRv5>>2bW~4xx z%$MDD5mD`vSYjqCG%=;1-**5p+EIvF5#(38Nj|C(jz{gl2A;Pjj+zVYjBq6~TdJSo z7FM}35Q!ItlPhAoaEGCXwmxw1L<6QO%w%~oRh_ao`u8WDNUuAcdhn&CR_*VybC~h3 zZ&|1S@Bb`2=}|*CGT$_iV#ZRZ?k&_^A9yu+7G7NHdKKP@{Crmpyb}>|Q@rC|A}P#_ zF!Ci_99b{gJ6fF+m5X1EI`IT==FTZ2p&jU;8yyJ@E&#@($qHaN5ke z7wpqJQ;hNc8WCw>e_t%c_{!U20X>R7A$p8`2Tc>L=%ukjeHNY6ijNd{yapXf8bSa* zgT@N=U*WU7#u+zYz=3XOZGpP9J6!wZEDa%+)u5* zwB{!m_eH*xoH$JlPx_lb*tO;r;*M-j)b1n~&&~37&vlfGe?a4q+FO%zr`bEtppixD z>)C5CmroS6!|U7U{o(ue&Zqcni_e`?_kwf!{kv#nF?YOnD0Ac6xiL_oYKO5#*?s1v zhgSdM!B1}4|I8A-}oxx&A#-|C!XC*@anHTybqCC0aF-SNI$pflMg(3 z=!VbU_r&^pSFL#Hy*pof`I(otAZY3*A3*%szkK+`hwgpl+I{aOlKUQ7{Z4T2{Se?M z?!5QVUmtq!YwKTn=A{R2eD14PKCtP~jc-2u#L54%>e^TC7 zoKqiq;(esa{ZIer%ZI*+EFXONfj3sIeim82x$4?O_wBw5n*8(XwaD`RLmwG<_=&sj zT?KB0>iyD7_pE+y;N=JY^U$&nKK#T3_k!fTyYJdgdM`V4<``doz=Tvd-;L= zhnAfR?#sUcQ|-R%kq^D}$R$s2-v57o^UUhq_dR~s{+-Zd;HLd&y|m@}r$KV>Kd=7q z!#}=j&x_YSbJ|BXzx<^G_k8TO0r+y?vaj6v#Dn_}!Iw`za_=R7_s}PH4_x`c{(Z|n zeBa~W{ms5>AA0XsHs5>6_OG2jFz_+T5*B=9@4jV+-o0bCs08(fFpyMFWjU%W8m;oX~G#_6M@>=q*r zA1b%tVeCCFy%}Mabu{L&n#zx|oA&QJj4{e?tqL70+GAGMt)-}=UPTr^Vc19$+?H)g zPIscG89XVloplXFueCfYyOE@ua8Mfshs_vi5j_FYy~+CSdfZw|ir7M{*Kk==v|^(d zVO8&}jaGh+3nGz5Z3A&vNdCek$Fx9_NJc$rxQZKa;nZlf?omvroHX0Azr$TJlFr6O zH0rP{=BE@+r8G|9D6Hp^LYUGZ%b7@;m2yv`LEM0!ec0@8_W%~>zZROGLK!mO} zlqEv-x&~>|W=Ry@A)A0?vDJZ6qK)mqwhnpADV%O)meaPS;h3@@ZTm0^k1eOZ686Mn zyr%3P%!>e<^f16tr{W6)J07x3FZf3?tdg#{S;B%BH1+iv>4nOI?9)+9$eZPMThcrs z>qgSabyiPX_KuY4)Sm@o*&iEx0p|LyTZ6(vn&55GZ|0i~zOAETV_kORHiA2u zA(FGKo52lFdmi*=%2e(WK$Uo7L=d+)d12#CK2jr3;{%01H?R*Cuz(zNU@ou<29DSt)5#W z?2edcD0m~wUvA^Z07Gz{G=gLyfKwBu94wOL+;@vAY`d}n(d}}q+cj3iOx8)#)lMg8 zO$gzHCy}nbqRtdenGMeyrr}*Gb)clYi^t)ZCE{{?wu7&pUCCn%k({K9KuadWB5j*> z1lz4KgoAQV`IW=C%q+!}$IgT_BbYR?7{^2;jVO*;TfmzTi2Ib2GLuv!E@O5F!T|R+ zRLy5=F(*OH#B3CjLBS4`gDr>Nc&O*%mY&J6&62Q@YmkjR3#A@vSSt*ZFhTc&V+z4`ai%{O7z*PA@me>IMQ%|FqCyFS5?N zDHCSd25*wxwM;q!f#Y~p9(px2br6Sx4+T4zDIk_Ku;iR>I~erjUTMgg`l#6C8!T`M zdV>dhk?{IAc2N;H6TP)mIE7W<%_&G(f>k-fP#kS)2iXJ+_#*{JPfG2g2&{t_l?j9S z!b$24Ty;o@GNCqIyxf7x4CxEZ5mc!_Poo#MO>=r92_*RNns$+pf!C4^kMw#cL$$_H zB+lwuS*PMs3!7D%D^U=GNgUEqDItL*g=Jm^vqx9~=Aut-aodyld0gU4eDZ15L4DYP zxjtbkK_*oPG7m-+4J3f?Zs}WXsEpL1&C)7k^f@jiP)a6)!^qy02_?MJqNTC71QX-` ztR$$o+E{;%g3I%-4)q;S-5(}WsH&iurNUHV_BGV<)ayk%N$rgfqt-{ncn#N}F+e++ zM;#USa1JG(K)`#W*}lN}rsYxGR&?Uw^uL+sc&jRlhDNpE2n zl8M@Wo}E^+wHC$cMHA;29(t=zLMkXch3*^_uob2W85Z1#ZHw*MHThq{v=#}rO7TX>}Tur!vvHqGa*WMb7I{hs*sReD$ zV=dA}UD9RAR>b7R>@3rq!lH%N4~?u_onk$3F)r6={C48r8sE;0#%ct}wYn}x^@SM# z3H}kkFc9QOg zQ`E@@X>M=TrW#~e7&2to3Rv`iRwUd%W#M(-A*JS|e%0^N;#^0s4n}rS;o}D`!jD;k zO>}8-dY~80SOX`o=P`s}fKA5&922Yo!FHKpSKc6M zJ3lpriKs>;Q1hN+$xc;UIOmR=yI~{W{{Xd zPD6LOI)vfcGv_UYv~?zmQ%T&sdb!hM%S2hX-kDZ|J+IqyY_ds$y7`aV)XfJ>(s|N5LB$zt!Fgc|qWz{U zA?6#F3NIO8G?}(^5q-9Sc`&auV|a~_+m*1lbOmFlVMJt*y(R2jjmWol-q?_iao%aj zbiP9}18xvT-p+Hj0@Aq*EZBj_xMoAk^2w5IXr6-FH{HWxDU6?Th6Qe?)9B`C_8ZbN zBjBA_O-7zpjYzmvlERlKcqPVW8*YL1I~t%#J$%`oaON{<#9Emdb6o2xz}p?!6swFS z7y{y+&uH9%H!9Q4?T&1gl`_G4V3R70DM{}Y=oECjay~qd?=aJbIoTs_vPn1Dqyd8& zmc|t4bV87eHEhDrLkwGXYL0Nmj>F-TZSbi%vIW%{dg(vtz5`CjF(or3#Y^j|<4K$9 zwR`*;YF~v~QfHpA6q7iC1+7+KH`+wjMjMnAEU{9<(3N-60@4hJ0VsnBE z3>bh?q7C%@L5O!L(5a^9g(`nY2Px2mV?WAJC~5)$OA9IKKtX~iOXv+MiO2l{n&3zU zW0|s$M^PF(5gmeHS`13s76C}PDN!niO48rPMqnze61PQ#N2Yal1>?Xd$%qy|r9j%L zRF|e98?y>HDuEj?c=Jo)aY(BbR)aVqSes)}&Mo+x;K%~mgyYYMw_(YcFj}n(BxyUb z3Yu_^;#5&I06DQ$0)-?gIL9Vm{)!t3v-SDHiIy38S4r=Kq<7>|;{IRI+c4o|*rY&5 zJ5taOC9am;w6wa(5TqUPBjF54={4G-WRS%F#3ZTE=AW(P;{MlF?Qkqnb}^lViko}7 zB6(LSi0xwvp8ewW7tda*;H$?JmKM_cN+e}*TyHLh1U=w$4;!uabrBBfM)_mL-xPRBw^wn2Y0cLzCgM)Nm)}=r|(pOgSRya_%xswk+MZw`f69@W4<_ z9($k|>{vT(1e;tJ^1MDzly^puzDh|WChu)Q;nnFgwy4IVoIWLA4rlL?J^w#dy0_=c zs&=4>M!im#zWqGX*q?XeV_g;a)KDZ9isU2^0X`X@-x^8!bU4h7$gG(C#m{n@mva!X zRgttqi$D|1A*(f^;JX2wxuRS_WO;cxx6-6YQj(sup-AE)%kolU%9Nsl#p0Ba;L~Ij zr(Tih;$(=#hn0dM7`uF%l#ytd=5lWgN(sm}Rj8Cl^Cc_pjIDM4UXM=y9h$M9GbQ{TnpY^l+JMH4m zPM5w8S0qCDa#~nHZNZ~8Rk-jYohRemA$vP#-*sdoi()TFcLj@^!>t4zU+go`-KB10 z2paAP=65-V--o^_b`00iQa#e*LFHRTn90&=aZME0Mp+py%TdISZP##_jZ~sz%W3e@ zp`7D-pPw(hMvl|Y^LJs}8^bwJk}eWxYPBro$nijglBC5D-nXaxl=XNDTVSh>qbV3w z=WsacZDyhZO${GzR7e|5#bD{Sl5{6Xx)1}NNJI(tFb+j0L2-xSP?qf}ybn|4wv;AO zXrdys8m&q}5mHbLr-|mq&=`rp|Ug>ItX>9vWcmr zxgz0IoMGY7Em&4cDo(V_-WhU3C=%{4ZYYcQOZF~zT4u0!ioWDSU7Q}`OOno$sRC(o z8u~kc?Z7)WnX2BJ5{-R&u|6RL*@Wy}UuVHoZK)D`F2QkSZ>>p27|McXa6%A_eo1T5 zJNi7)(!MVTmvUIWOQ+8un~Y13<>C%quKKKUKEPGqVazd0)orc1lt2wLVuVw)2nOc= z!d<+&ZY&2&n<`AI7@Vbs(>^>82Wk=}%?C>(>Z^mNXMkn2q>0g>VCgIoIKE6lY$u&1 zAms|qRob_{z5 z((}-mgB#>!FtW5mg(vU$Bm`TU#L6R7FVi807a+h5B#6Y?=Ip|%$};JboCH{wNXB5; zKtW}bGQ$d=dz@sb(?+rhTmI}3jVw59tkYq~2so9Lq|ov>pCt664ZlCB)@j?KJ(SY8 zZ%)6I6n{}9*ui}Y2oO6qRSL;r8~h4Dmc@j1{13}%5v5}o7R5ufE<_S0D(ybXHB*}< zbaXiTkd7KIGh*nVV_)^%5F#pgp$%HKI4xyJLFE>056i+Yy$XdSXyL=O8utAV>-jH3 zk(hdzODm-m%1nbpfRgO^+Mm_}D?X)ahYQv0)wtbz)DdNKL0DRf+#@27pEQEYZ1ZMkNR4S;H%(E1DK>$bYLjBqp0ANau~Z%oUno* zDbr*u7QAZ^NjtJ!TPkIsx0;=O6!`XXO_biyW++_7?RzJXE-%h60Us#O5)Qjp#S@UdZQ@CfUWTQQ#)=y9WXpk@TVZXoZ<sohdcU;q{t08tw}Z-t|zBE z8*@>F>MfFVA&zU$nkeAZB_-GxLNZtrtss;eSwT&riWA+COf06G7b8pS!k2=@ku?1c zGHiP}tV70@ z$P%<`sTCjWJg zMd5T4>te}YyEWS`=a%PYybip%nTsx6l$a4K1SGKHVGTQiy~p99=ip&&qE86#mZKP1 zNb1k%j;S7CaBS0i`bcGPH6apz>NA4it~bXpUBk>YIQA0>$3LuaNvmZfY6CC@-=#f} z#*(Z53dUDc*hwV#h6l@lTdn#Es#z{2A&O2(D+VA(0uNV8Vhxk1?U5H&`7U2U1xi{T zn}TJUjLz*a5tgQDW|{f|1wWG3=^eK^hPPu4+!U6q9=8Sqm>i!vEicu1p#Dw5z`jS`I8_I{Qi0sJo2mVu-kK3o&GvW!GYqvHex zb#XNJh$KY?Davl2HkEdR3I#A9?OdULskTGE8Fj44gB z%JbmX3QkdOP?}`2WWl=Jl-OnS4eB1oKDNhzclt;ZQc%oiF&pq; z;(X?>RPDf3#UyEYv`rV<2=)GMi6pIWKk+m*9U3;6C4+XGQ`3ca?>RG(r^C?EFw@jv z7-%pg!xlC89Fwb?_zZ;8E5winEYP9ls-a^p#8ivcBuq)G8s@Z0S%O=w`kJV9NgrAI zu)2MQh7N~88r(`^5DDN)!s!cA-4Y%~dIS={^xg+0u9lLPBW0;1Mwd>LjHHE6V$nLM zg>ON%s!6n|Bq6?ZnspSVsoOXtsnfxFA;ICa`P1nOPb6-!e4cfraUi6T zAdNGMt2K#b3C21npser;;A$ypStP+aCtzIq2yMbX^|2!wStuh9v%t6hAym-1p#Xhm zs@2j$eGc*ev-dTCQB_y|_q}-uFEPT)5a__N_P%`BV7t2s)QXhq&5))8rFKNCsZyLl zq(IjyWmmgM>76(kW0%qtL85kR(gv(+QCEv}v8$t{mMVh(t(LB+s9U>I#L`9EZNcXM zJNM3;c{BMSe51{UypP{I@7(io=iYPQ*U9X*YdTG?V%t6bhcX1 z25m{KOpgxR9DjMGmn6FN7p2(|(Th4;Szjj7^h%YD-!z zf=t`M3_Q+OO}^laN`;^$cCqfH&4MCSL*xZ0cBx9?i7Mzg5KT=x4r_e0z!6`Vi?z=56@|bM~y7I`I7uWnaYFnEZDq9+XS*}xjg}&+k`t1 zO3UGtNcq;ue^{{wax3b43f$o3`)!8unJl|+uehb4Re^ub-hMX>!DQIObMZjboo|g+ zy+a30?ow(BJD3!015wk=Hh3FN9Fg0WCXM;LF*TltLr8MxV`4o4=<{moeY@SCB$$j+ zlHA}JOYv6Jn#n&ZSXb$T{pw5z6-Yv}{cK*n{;C|TO(3(U&gMa6DQn15lRCZRRu=3H z`_;*6xqR?WBf}Qs1_i3@rM#{ZvS*xDuhdz$$OWacck?_|O$xMZ2a{AvSE(gg8N&NA-y#81^YK?Yrkk!I=_)&r;_w(X%|0zS#JjIH zs7&SD_l={PS(v_Xhv&8fcoo|#!rM?&0=47#4rh=0qFgJTMf=-`_z!UGcr%-k{saAN zBOpHF!jJgO!#>edsn>}y>io@I-dscj z==|lO#QMwHrubf=)r8-od+ zgFjDsO^q{X_=F3}Qz_hNQq)FH%L%X`4dis2gj`(j4YulyPEgxgc}UVlytBU44I-Hd z5=b5G`7Wf>RaFvoI=@ONAJIu6m-ul+-!M~yWHnO@2qlVW`xOXiP!^oXxiWVrr!8Ki2k0+ zKom!E3?FLk>$Hq1`Z@${2UlcYWWqCZ!9jzpXFZdT8U`MAU}cgMYzPoY+;d9nIBlH8 zv5zmhsHh>ve8C)GU2w<;XTa=AGGyaQV)tbQwW*~SW?)AWV5lW+4V4pbfwMk*RK0`$ zcgD5!eu$6^tT**RA4IUG@#13HPXo>|;y5F}E6A|GO2?SRgBa%=?c4p`KPkP%rZN=ZvgVgVUAtujlsIkY(O7TCR!dWW|LU9r=%^~V$0jO`Q4 zwi*+sY@JwVZQWnDH9c}-AMlL6;oj8ezeP-Xeojn!2H?H@9jfx&XT2(K)RJgeGZ}1^ z1Ig_P*f_A32m4k25Y{Y6ac7<1uLV6x2C&JY-7rWdTPA)~xxr__ZT~^`{@91f-Y+T_ zEAMRIt6)X_(~J7@e8!jkv=hxl`LbU5GVA&C%lh->Axt`S_;^^rd`W$EcHf|RPwLrv z+eG%O?Gxs14cs#2XSdW1pRxbU8R>H;9u0i{pNUD&ABjoN0KB*NP?aD3c0rXI)=Z94 zlEYEwkNqk?pf#PseBHy(KY9xuj@m4<-D4Y)TUt7=C#6)IopI~ieBd2T`S;uDV z=QGmA#G`@h9b&Slftb_{zP9wpS6x^fW!)p*v6O(PfA|~hWBi@LA!25Ru zZ{JMtd3E`c3`-Z7Y-sm5TR>0wu6f^JuRCb^QZ=(EqnMkinT4&w{D6utj}-pcFNw)- z6cYrO{qo-4MpaHMta7_e@cGThK$5yb-bbM2`Tbq{$V{?XkH~Xv>7z8WI64a+3%Msw z`9EdBs;n=!y`fyZh2Wka6TF?gqv6Sl`u{1I6*_Hy->}y5LB*t#c-Je>Z&%dcQ1BFj zr+hizmm|{JVx9%_>^HP?+$=DCr0N|8n`L(g@^$9rh}CI3ckTY;vX?gg)7srTm%aGr z>W#a5uHSUUt{?18y?(<-c5S?2?JIv=_AhU)zH9HFuAMx5*T&b2gwxBbBz*6uxU-g9rR+4#bN>;E)+$D=Pk|FeHux&u}2UA*+UzuocB(<))> zqwheSrMotMa^v3pvvn5I>kpiV1i1gX_fN|{tuo;*Rq+0QAhM@>e)aIOU2A*^a<%e5 zeZ5bcXjA=m;~Rfkwi@d0J=z?x&-s|V^!kKle|^`~AN&m2Q=#Sh1DF13_UAVK%}Wa| zU%KlYXt{q1;J5egKj)FzFzls;PE&5!`}4gwe0A5x{rB(PbJ>eMNNRgSbklI8>Ye?w z8?y_Cq{_!8yA6TTE_R=di z{CU@1H>~~1p6g!x4J5he`b}Tl`6#^eg)hFe@e6Rn?6=Om2?AcX>9Sq7?tcECZkWC6 zp)agme_+{vJ+!9s^@o4IY4%q)zLWF(3om}_n~!ciuxQg6J0|u#|Fav;fW4{8!^;sn zM18c4E%TFlGLtE9kXd&7$ythY5(yrOejNEv-G&O$&XafrClYj=Y9wThil~z?N+@k7 zvyAFU5IOR`$v@j5>P98FVQdM0yxlTDt>6d~Bdu?`2Adu%US*YVd$i-&KjHHQ2^cfL zBq2JF_Bo=!D6gvKLL0K61FDkC=y{L>TD0j(H0z6awlTVJTg*IXJMPpZnm*+ zP~9H2g0sB)fLm)lYBv&WBPPA6ov0OMf|zzf%jVIelNC|k9Bg+$y+DLlT8Z(7Jw5oo zRg6v6`F^XX`{eGl3?3Of7QAB%StMANa2ZkzpP96Z>|erytSe?S@qm@6fxXLM6QeT{ zlui+2k=T}%6`kBgg5n~;wUJ=7-E!H5Q4wrRtOfN1*c;Si;ReVpVxy}QIBg5%rPDHlaQU{Gp=gpPwjBw}@XLXm|Ai^va2rQ_L zvP&vb9JkRZLlQC~nZ%p3OG2!|ih~F+@;)J*ZgKoZ!@dNM+a;0b$(#^OGB!W5aMY@j z*0}wNb0q|1G33f>#VbXTaK)08;5sS1qsknf^tQE?$%K*2!wNbnR zp6qI5sW<_tS!h^t2BW*>OmQH6<&K+yn9hcBGcR99T>xr zq&2Ffyv0nAB!=6DEaPRZ9Jd5Dyd_+bGCU@0iQ4H_7L4K~w>I3KtZ1iIuwoQt_%p{Q zjX?aia5PyauL8Acz;_}t2h>GfwWxw2ffnE}ly7J*c7EU{NWEl*G>|cICM+0=Z3#Q8 z+<;4oeOD##4uvabG7HqA6gd*%G$c~4Xr(m30VBmr1ag)aDFo`x;GQ9#Rvv{e9 zm=(-zHIPutvXV8Ssf@gH6ipM}f!w{kGX(c%6a7mY^J(2Xu%j%J(OvLB11@it;;~vG zu4RlH4Da&dNI64_J9KiOAu`99Q z>K$&tCI*V4Jaf$p*hxObPg?!A zuk^(Z==^B}p<(Cnv+R_QaMdf^G2DNi7*4L&J~+Tt~zH&4?Yw zfSO{5RVs=JnNf@=FV|KYPq>n|l~#1K7PqVkyq9Bqr!0_h$(J{w=V{^NEDUi;_x7kA zaJuV7r~Np1CnkoPn5r@sskB?`C2I?hSx!~HiUud^%Nin6Q6*AjhQ}mH>&g&~d>wJ5 z(@n)Kw+sSSAlR7+xZAUdQG;)@wNj)JUX?Y~@~V#`0EwFlS4)SP23#2Pv z;i6SlIxVpxHIP?e@Xat$(bxC{|BzW;hTNCAM9($)BA?AhJ^?|>c4PU|BC;7hp%@)izCmFnHaUl!^_W^VpeUfKt@>> zt3@-7VrX!`C;ox46k`h=7Akfzq?r}V;dSE@X9abLk2|K>dajJxr3`J^n_1YeI>ljG zRT2#?bmVs%j0MqhgtT3{FL7&C+jgaE&nZuuveQJBtko?=7lX-*5f?L&hPst<@&@r6 zY!h}2D`tekb*osiYa03~C-uS7td=u_tvW*QLwWo_K;7-OHQ<*5Ce?>+6V>w&6U?8o zReFYP@1shWO~1G6kmcscKb+qV60T;utP{%;V(26gkcaZZs=ogK-*BnfJL`g?@ z4CXi(Swq24E3b`B506S2#AFotGNKZYQ)*t~Ww|%U&P{LxDoSQ zX&@mn^5%xtxwkQNyTxEqYqHHOHNI^Q(&?giNW+&_l{7k<;NVn^0MihXg0nHSA|}@| zxFsY)#i$F<$Iq$gbfvM7qKsrG_Jz|F0~7r0YXq+itIiJg1#eJCs8eR~X2{7TnVkgg zZNVY}F;|W&waCEpz`O%fGpzLNO6ZqGVvNIr=``$DjNfYdW!S?Cq(Oy} zhFr+W3#ij};G*<=65yL8OW94}CZfZsN{K(lZu&99Q1Cu-B8gxHBpnDKm_WrS27L;Y zl?BW+CW{b4VA8|eSs+3D%V=iEoCq0$GZb2s;T$>$ZYY8l08T&=C!mfatU}x32v0t$ z({5@~y~9ytX3Zog-KtZtmi;)J%CvLqWR;oq)j5|=o^|8R_Z-}fG^uy(fBA(8ne3@E zC(qtf@;!Xz{LOW1|9kDWhwe~$T<2dpSuy$JMaZ>!QP;K~-rkO{di-YL75K0Pc)z~? z-r`n#|3jrci;U&hWFM@V^_nlf6`Ts_p0n=Z%ER$__h2hyNLQs zWbl3IhdDRwzPoMK-B;ebZaw+(4*H(UlV2pKwIQ+ZmxxKm#gkvnW;H&9({j8ArDAQ$?1=p0qvNJu?M9jx|4mX>@$TaN3-b-Rgn9Y zf2Df{)OJ7)p-1u~DFD*xP z7i;D5+OXdyki`4EtREhfskmoYRN0SV$Mlw@PdBX7_TCc4H*l|VBltRO66(O-q)uoW zsdF{7#7A2I%hW9we;=s;2)5&fPww*XcLv$COPs%o#B1#7F?Ss-2w$Gvy&}lO%=WSP zd_1UYR;)Iz?3Tfb&XzO48?RI^GMeYtyUV{3=iMt-ex|#4Wm#nO=y8$PT}r;Y`RlIH zee29o-7UdMGf0vJ+JfMnToD|#4xg@FaEUW+8oA-BDhutTY-8}l-8apg^?K9jx*2Yj z9jUMBPT&phb%0atA(g>*QPpRuX(qr#JFOoJ4#BF~!&Ha;D<;F@uMZ14-&1d4?K`UY z!*?=*P6UG7L-4UzL5PjZ+MGFcrYUjAnO;g%w1tb?tgMYSSY|^cDp@`5aEkf37>`4h z5@(toF9Z4GXjZ`)n^A4a1YRF3P1oYeJnKZDnqX_`i23m_V{Cc?3&Ihgs!~SbGQ%ZI z#J*{Y@F5LKk%HAG80(_q|4tniXQ13lf3bx2q2{0qbUgN@9nmJw$S;{Gs0J5HlQyWR zyml0tXAzC7Wk!4$6f*_Jsw+5PTZ{`Q7}*YAk}b9Hf%!%Uw|^=`CB^&nx~2)ckupjl zvAm+fGRhdHgq&3a{XS-XDh4*L#h%c0;4Ae>)Inz?1QSA0lyh5h#-vd>mEK^F&Y;sA ze15uyJdYjAVWx!aV1qv@YF4Q?hn6SK0*O1-%tA19&aIf5qaVr3`g&Nf$WXTs6MR-I zD$CHL3E^^1yfG{%&pM++T+G_G{OYr)5vZwZQEE2M9 z5jQT@>2y_!VSXCf8%$zV4Gh_6zRtoJ_CIW!2%H>YBV1`7F9X3)I2xHxZg9yaNj5BHUhD*eAtoBl64wny z>*L*>P9rffpk*W~BA&eyN82<9Hmq(C8RZY##qU|(&g_>|?{H+POihdIbxp?plE2o!l)tY!ql-W(5m1f zjB6^7tDVh1+ZVO2(qqCwqIoMxJXqwxyl=R-B;c*>ji0#_ZG@>C8W2C`v+NRB1S7VUp%P zZNmC+TD}{g!lB(T#9O!TjH%cII#V7@ceJHC69;mHprTzWb}evPiGgj7T1``gDpa&D zID4%`5imBh!(t()!fL1%F*8zKB!vND!7Rye|Fe;W(bgFKHr-lgCIwoxWgD1Ln4m$S zZlI?6W!$N-iyebY+{>%x35Wn3A2Q-t(baP4GN&6=7P;+^Q%Zt;#qe!olT$C?FP2Kh zkWtWo;ACwF1!|VGAWKlOS-YThHMEpix6pDWY*k_k>}qS#1{H@sy@JP@)lNovFtSY1 z2-9V>Hys$%VWJ&%C{*A;n?O}~j*PQ_wW`5o0uMDxqgD`!L3b-1sZY1mbVC>p%r5|2 z7AP2w!3~yCB8tjcJET&m84McL_#(cLP@|IQn1wkd1mJT^GU6$8XmMgK(EQITcK8gg z84otS>%cine~b&ynar$P&c=o3HA|k`w&uK9fDi6owD#cM#hqJ6O}uOSn#nufTr=Ub zuUvZGti6lZ&iV={S1*3;i8V_;^W?3#XQ7$Q+Pi=4wvCI6w%xf9OqR^reQDjn*C!gY z{`-1L!CJKKmQBx++mx5ZbvReUY9%L>P7}d#yW>@5k>Byn%U!xe?IdrS5W?$MlOm59xSG(FTvZ>pR;U!L zQ;^;@6j@YX1}2;3_%5sEmZ-HnI4dG>>Asanob>y$@(G%Z}#9OFo|b! z#KHhxf-#+~mJYQdhn$imtL0(lV$+FceY|y*#JfLxclSpf&leC{!rn=@#s`n3yAZ^C zmFsR!1*6F%G<-s7i7FdZmBp~&^5D40j{zg(Lhjtw<;ZLd7>tm?S0yZDk$S$FGT|1l zG?Ee!3>z_wvT1Q{$@-e)nxF%FBPZ|5vS|&lpz=IP5>-r0I4%A+x>b!Izxmc%oXsnO zmrW<987{LIahb#=pyk16_e~?mMi_23rFrT6-QdkQD4pc3sFGm!g9DjLdq=zY(1snN z4i4H>u4?u>2mO7AL&r3d83<1m9ZeuCM5HuHZYy^Ym~z7LbPdI=@Bi9MVmUhvkFBvJ(eql# z;2q3WK#k2x@Qnhu7)#Ufp>m=v@bKFzb~u(DbS*}YygmxgJ7mY;oNk zawFPk89j0{9&7+S4nrrMkz5`_6l2z>hJyhH7FP@jZTze7|}pRZrq^2 z_+A-mBz5rpdBB^WfW2?RJ)$N&!U5Q(kOF6@%)^xI7-tZync!iM@``T++h#Nc+ahjF zDDs+{?2eCz(?;q{xI}&Bm}&KVonkUGk-Q}sDuWxQ8Sa?KB5na*l^SUvF0mEw$7)HM zjDR`-Y0_@6UqMdZOhV!mzCYhICbR{TG{2bTYljP2C zK9D`bJFis@!N=WHPV>*GcX*4dUP;oD#@4)XM2`%8!x$B^)PmF4pg&<=Ngcj{afh)m z2Lp+#=EAbVJjlW&<76YQQF0ywMH*v{`~?fx(*x7!I##2FAx7Ae&J5C>_fRSO5EI~? z77UC8GTw^QG43edDzUG0UIwvjVyAJzBFx6)!W;lmr=gBxVAIBlIT+g}b_cEH@u%h) zbs!TB=O{h_B`2U4oYfKvdejk|&p`_}AiiQ@+SI0sxoTTtX|>S8xfx47lEY-omUFP< zF%gWFRMSDS;Kx}|;z5t7640ua%#gU8$Z@Y!*f_(M788scoMi-@i48EcIYY#O-~#H1 zHoC|N2DoU+xIj3E_>px&Y`B=hh6n*wF?<0+aEZ#nm+rE(K>}(%M2+2?fV9nNF$BX@ zRFrp)y-gl@zlt4H6~|za&hTi*+M+)TzE-mdr2yX4Boyt0F0EsQ4xtte$fZq7I%ahV z?tWQlP&lY5aVcB>w1KF0f=JphOUwq^H((sq?l^9fpzU!28MRDF8@g00<4LvCsFwQb zzGqW{gu>r73vC*0S4P+VVPkkesWC*$iq>cc!>o?*GDm}@TxI*8w~kf2jcv^^CQu1s%g)hJX&z%Ny0il}RqKM6o31dlLNY zcBCQEEgh#z)NZSGqNs)zC)@%DC#c@xZCnLD+Wef8-ljVRdv;8i^@>U~`7!9Dva1?z@wHxYb3Rr$GWTG~IX-FD05w{Sb-D@eh5@$MVE{Ii{ZUNhpA#rJK0M_qB(a_^cv?j2R7PVahWHnHz;kGG$C2VIEwc+;izx3>e@^PCU9 zNWpKe!5av@sSnRo7ihVWO*XH}!(&oN{V%W}Hv2?bhq;>JX#K}^iaje8%lwDQik1&`xU5ixPq5}_eV4KuG#j^gbXzp{QR$GEg+jLTD$Gv7Jql!j>&IbK=(s- zOnzba;+|P|!@W7Vmmaknl-yaH_<0v7?^DOuARtXv%fB4BbK$?K-ht+;$&{MN3^Ki| zkGex=5*yz!-5sBNC|=c_8VM#Vo5j3f9r$+4TJVoDwV(>u1Yy>;d1I#&csr(GKyXV>#5Bef&JyQ;ky3Ei54lfg7!4-tKB7{c{S1=eihk>@ z@hW+%mn=@r$UCOxlvmUGOe()Zo6OPFh48$fLt6HRLj;#lX5b?oVz!A<0uz zZpqVR9o5u|VhOwqlkxJF$)El+!=K z1DJkHP_b}?a3&Dff_5rnX&geK0J@A3Syb(316$e?&?8qqoZIxa(%#0Q)==gc77{vJ zhOth0E%pqJWLBBOJhRSQQ5M0ojPQKep53oZtgK0vxwe%2$Lp|ZIye-j!}yGpfv_JI z#8jdayXH!6&S2bDTxn?=@B=+XhMN$EAlT-dGrNK|>CyrduE5eX_z$syZ`2>?sb&}Z z|6s4gGxs%^bg%>tdiIheNfduW0(+;`Tv^mY30sjMR}F~K5TlH;i|~p> z*Zj@jrq2HiCvxUk+=Yl^K(W5Hjb6 z1|usso*l3=0?yFt&^?RR@18NK88Gf{s4xr3cUPyJziv%k7HU4zQwO&(uHwm+BgeF+ zoN?f>9;>Ndo>DIf}u1e!N6EttpOYDMl+_3Z&|t z{;Jy{;v^uGXN1P2ik)%IpMcZccqsC5p8QTj{M51cU%DjDYnx>bAsKgqH^8C-`Y}uUzPlxa?!xZ3k!hcw>o}j*3*3xIuz*sBpEEBn62@ z!g}KpHZ?Jcmnm9wZ_iu%&hVg z4SZV;aTjB}k}NDtiDyofD1t(=it02SG&!!EA}GO$>SLd#Y$KC92qYZ@AaO8b_UVgV z4#xO86%_K^UtOmb!o3$_j&J?Xu)f|7OP?b**K-hTvl~|lMb@AGvw@>o+!XU~(WuU|8;f_y{ zP>u>J&sAZY0#G$s(gR`N-o+Gjy9lbHG^%A-c}`?7KsM$d^pAL!=8dTc7|~IYIV>dHD(EO?9UQHMjjs(y+SO5`I*2}Gl%_>Z(#oEe zIeTbzLM`y}ua8OZ0B@J9!nY0A&2fx>4VSci`uBI2&TGJD0>L zI|7KqM)bU0a76Ep*w-fv@T+Nde!9PdM~si#{EeR9vGX^BwXrok@ix+Oo2#_6*nB5B z*D*Tb1~II@be`L*mJtswHFo_n@Z@F=vFA9YZ#%d(Jfd9$9;@p45q&cLPOEf^Gq&gP zH(0p5al~89xbLx1&v)bf&jaVDlY)J0pLqE9pI%%a`1R8Bp5Nz=Bk#blsPeXbaM}m| z+`z_J{9LlP+&A)II$Y8-;tz)Xfyd5&zI!~JcCC{{gLv3PgZRTidwc!Ip4xZ%&ih|H zFMZCN>8E>G&pu%$>=6e+%{!8i9#syNlVO3f>BppZAkhu>YB8&{0xVS0_Wpw`W(qOc zkR$f>yjpC|8P?MoDsOv#`INvDQ>L`-8&R>ZCtZxF;ovve_B%)HKWIF<^{lP?irkYr<>jy5u9Q? z`opq6ZI;;oj-`4ByyFS#D|Sz`3;E4I)e9<|XR z{&0{p_7!_ySx?>eap{Radh8PP4$K)YW9S_~-I2g_1yv4}lVO2d?^eBodGvMLE$r12 zOW1+7>XP=#rL6r-At$voj7b-zORTx}h;((Jy>i(U^YJO=KiD@cv@e=2VXxYEewzLB zokf3lFmU}Zhb`H6+VEK2+hXLo(rn{BZTMBUH?mbzOmVKsUN14PwXf-6XFgbT{y!MI z4i@h-#ck$)zgl7n`_3MA%bUYaf7UpsW77Y%h%ytB01mL~K$=_3fh2XN=xAY(M8O5x zN-qjmIAVvt``-czV5;=jJFvbx4V+V#rvJyf)R~{|`CrzvWfJuc)d5CYeu6>E20Tsn zI)(FaLwUQlpe(H2_>U>Dcg0IW;7HmoeO%zOLP;9bxAa8WWD^$ryR?1UYd`*qVU@pw zFHsu@*^S)9`z`nU?lF^M2Uh$#yN|WZTUv3@6qA%;=h}hJYD^YN<&8Z|f=P)9dz*7l zdkUWablDr!Anv&qZfPln8|IX5c+e_o`@63%#Z$B2{J(vtJz96>8|m_UDR!90_;A_I zrktf*OxGfY%89ms{za7PcQlW-atG}(NncGzJl_^BX%Am_HzoxLQr$7>w->f2=Z<_j z9S*dA;`*iL&L2(u_P$}u_FaLn^VRYff6KORAMt^9cK#1Xys+=I?blAeKK*{oEZWq} z;xYRZkBypzAeF-9mTSwu@+})3=OAe>5qS zteRp$lFZB^O))nl8LNWSr6eFjD4wtHM2Md&m__t6vtaDLzGfD5_P*Tt`CE0*F#JE( zWo);eSxiF6wly43GmB3c(9#{V3Z}wr3vMWH7sYqmO;`1*yyy=SWooXePCiwnR51J-Ec9aUnhF0Fa(?k3!L_h ziXBvzU4ORPT;kJZhXe0i_Dkf=y;|kX-RR4kJ4hzi?Rp53>{Qe~CSTgMQNhnHzj$pOXYRcOjrBcb&>S40W!{gR@#{>Ye9pg#|M_jc7gT+KmNj?=U9h^N72A<&4i26n! zlUo#Q11|FO9!)SK-op|xR9T^_Y*uhgSBWbg8A+Nc4KQ-<<|N4os9>Lf1Hv;8gBnR} zL4C7d`967<&FmA-$UQ^L4FOk@1x=Vn8@5kLE>qOXCK-G8qVDn;Hp?5{sm+=y(|op& zslHiA-8ooO`FR&IIiyXp&8JT-kEnhB1Jf@L`Y{jiUB z25$FhshF%%Rr-}@{4B+rve_XKSiA&Uwp%qsjkICNR;%Pjl3F|f(YgRIa@&$R^N5Mc zHK<%%f~<7(d7Lzx%*(ex zGetAW=t#cw7tKoMpt9WNiP+NS(>3wJ3Ozjw@xQ$NfBD{Vi5)N|^wZ0$kOD&-h6)us z7^^7Pr5?0&kWf=f17c>_PgY4@IyHo70C_VBc4?e+R=ASePpI)GH0OsHwBl_sySQA9 zd~?QN_^d&KT%zuv@un5S&}m@`Dcq1|f+~xh)*2c?R;>VhS~v>ot5oc;x<&wwDkgc6 z2B@c2(9n!TzDg33dwCTx={=Uk^I$+QU7w}K2=1dk z4NNj)@P&X4dkzU$Wj_NZKj>0S)X+?Mijy^W!~myAZnF-Vhbf6|j`!Jso6aTPAZ>S!(frXm5oD262QGs)WIJqP!;iL&>JQ~vFHj#=+OZ3KB);YS^IS_P`KD)+W0 zuT<_;y#uws)oz>q1xsGi6atJ)*Qzn7pQ>~PFV*2P^5jw*K{8m(6#!?#<>u+_Dx?)? zttqOqi8_t7IsjHxmZ!RKqb;mjtM#}NumjXiF+ZG!M%jS}-~RfeKAa}6e@;!vvg`dO z-Qgs+`jv$p)njT?A)ZIJY9(M)O$x$@u9B{r%>3Y7lAF3lOzX44nyMas!P6rKQVC4cqi={zrI%ymdrx69KQ&H*t*;$ND)Savhu$A6d$I47R ztpkP@Rm|&@L;{ik7C_#gsTG@ArrdMZxoUCHS2D7z6`n)ttB^|mf*Fz86+SRmu?D5@ zSVk0u^j$+nT2LfK04Zwp$+&;3rT}z~RirU2@dyZt63Wsa2hd zMZ>Ug)v-pS4%@y`S4)<*>HwDoq`(opqCrLAPfy>fw$k>E>IDo&-bv2I!e2-{6i}4q z^w3y#S!kZ@fg{}Tdo$xf#VKck3}Y=^FZc+nJ&M!BQJi~BPW|*m)jJ$IJAwsV_x4QHSZa*0}j~y&11#2ejYf)j93kHy6 zl@f5YPm*|!+VR0Ey=!Y$9prgaRqCv-Sr}3r4U9LT3#&X)7VITAlwYUS!MAmDHi3Xo zc*+}jFui=;xANLM`Ao9Znn^FG84kl6D4h()rhsOQTXM*E?j-Cwo z@IrAPwKkPM@;=QnrP4n>F@Sdi2ZqdENk!9IM2WJ#fcYhc;Vm)f)rV-e_ zumSBsF)V$^xhiGGg3|AXK9q-2 zp7H|QL)6F9Y3${{SFr;OeO!xXY(HE=bLTX{TQ%y(r(U zfL5Mt;y13+##5db>gK1Exq}{k4)JYqwJm*!+7xOj)$%A8$ArmI$}n`gXMsE3QoX}x zaB&z(Z0Td%Yv~UuZ|NJ|#{s_R(7cxnAPK&2KR5wP2VkPL#7En6S~f#@%0H`>$8CYb zRDRQOFjYTpz^CI^Lv|&gGF47)$|an28s+09b>7TrnQs%1NyhUR6&iMS9}R*M2l(I% zi1+GjmD;d*a$oGDUg1e{D-se=WAm!~Ql7o<_S^tQId%Hr?U%&o%ON=1kdMxSo&UE~ z^$v8AA(>P+5VE;e0UalJG<~MEB(KU#RC-scl0J@_l?3VE!ng`DC`n`rpO?*1w`7@s z0bu0oJ5K5hRzS?N{Q~B+JOX%3Bwn9W2Tw?4c;lW0!IG5s<;@+yf+}sD-}AW3(Z8u| z@(!naTaM1zH=#f3Erm=#ne&cc`B{KT?)iStAAwE!lVkvOaL6x7KLQ>{c+7F+-JiV& zU*%c9I-WBN9sftXK!q++(-mpov;dzSNh1vwn*i7!>*h|$+v1kQ8xoQz{nT7@^hd=4 zBzeV8pLgIrvZH4gg0wLuE&DCC>~wVuSA0;iM$2v}7*CmH)_!-v>fD2wWSJdoWbQv; z%jeuI>>VaR7n0UY>nin2ARaRaM-5`AoB|g3N{Q+nsM|desZ8ysJY zoY+b>93Ku;7^TO>8<-9gxhiwiM2yF)0f3rknW%RFB^#^Y8Ch6U)6z6JmilE)+RE{U z7`?SLBq#vK@W3&)h#C;8MCnbPgC$qnl9q?2&hkDIYCM{Z&wW=i!5Nt@LG|I=+>jIk zVhtEY39TgIIk_FcC>a6i8RuTqJ}uR&tyyZ=L{X!LB+iRum?-8P09A=%+VrV6pxon4 z)Jj0SPJykQVF{*Vlzf+|WU5$8{P7HLY`qIkV92RLw&v zfu>PdB(-S2+Y1Tu_MHHBO@T$mAhea4WvF(l_y5wC_{HMqMWt3PwVkEj?rWKMi04xf zv%KPWnxG@PDofIKwkRr@-7VbkGsztDLj}&$4Wf|8(EbUwz>ZSYJLv4GJ8&8KSSEwb zrAhUtGQ9vWF0J>j64_Y+4_~usRyK7kuDL2EXMq~<00Lf=m&EpqxU@bH_3_uzmm~H> zOS0mS%F~@6PrwTfNlkYPJZgC8u+`agB3jka2zWx8{ku@W&V%1oy+a4hnp0}pbL_K_ z+Qe7QKF8=Jw!zSrOwzS={wgss^=F)$(6=OGvrO`+gZ;s3dOS}}N};7usO5ZrmHni| zL!P%3E=6RSC{tzA*5$*fu5Y;qfORUr0(Ed9ps>p16MZnb3ep6}tWwnKc7yh%wzpQ^ z2m9>}{;{sUJzw89O!)xo$(In$r=`(9wK7$qO}0P^@_ez6$yHq)HeLEbYUDiLRArw` zhTsBU4x%CZ>^&H2=bej>=ggwdK#0@iSe2-ET+M(pa|JM=_{2tImAN4on_G zBIQ(h7Jd&nO~-1`^gYoAo%{dl_{0vrAw^%q%I^ia-Yxb}&ZORyjC+{PvWGor(CaLd z$e>T@O&IG9om`|ZOXgj=*5dKwFJ*ot<#Tp^)iQtA+XJk_8^`Bj=`{h5YG{4ZE%1+@ zK0dvJUoozBBrSEY+HY%8gaa(}&x4ro-c*`*Z#)kXd3*1r9WpBZyx*2Cpe(0J9es*BV zt{*+MX7A6b%CpyBzID^GUFTf=z)yBA0h71)?!WH9SvxnbfBubsTe|CHxa_${KKbC&2Z+grH+F7)cXo1S@v;{m`OU+7L4DTjji1`N z^&Y_2OxO$GJ@Vu^SATZiA0hYEB=`0W3m*91fh8~g=w}=M{s4Hdcu>LHHe3x!mc4l2 zQ&?T{$1~q~@KZZ~bq6td;Ehi%+x5tkYu1Ar7F>V%8+R<*wE>d+*X-SJ@!rd?+kfv1 z8`t0P_uzfbH7k`(_N>{v>pYn1?Ddy@|KX+EKs^_{;raD9fXO#DzOnNleEA@h-+$iI zFznNdq0UPiwvvE5Q03M8mpzBZc!;Li%di7B+QgGW{tC#^(f zB>sh&Wclby;oDN+wHcBWE~4cJr?yqB%G!@me{zSKgE?O7Quk%Y#Z&uEV zpvs%CD(?jR>?Jo<{&KVvG!n5dH*N`bCu+)FXpyuek6hsd9jGJbS3y9=V>2sOS&3RO zS!vzO=L=F6yl0Gs+>A%g2tp73QpB> z0k51e3`|$bE)0+D_CqN5ojbE{mLzR2Bq0 z4%QbD)C6_5+)>osnBofomwl6exe;=A#f>>)Y*7;GO&?hvWIuyVA`av>;H^ZG-OMBB zl?Tn6$*^W|qQ>COV^c|XV`XG?u-*D+9*Kwt!5h?L%T~1*PUMW0%nH;34i-TYZU=`! z?(a-r=|D12vlimb+3m&MqriKnY7k2sZIhV9?cnfabt|+&gXki;NlPdICXI>CEu)Fa z{g6Z{&!>~q5_}7q4_04Ra3?A`MANj1x_65`CE-fLKr3pqiqMd`vld#CdU?OJlPtHv zAdvho{q+}}$2Evdt+t3DKdNg`uH~eK{V;x6Ik=Kw)@ER3a+Pbf1xLL!sR~AV*G%G5 zUa3+=w-FOi*8u)n6x10eU6DD=tV~w52^&mmSY>V4SgRHcV3Vx*6I>G6b>RSt-+={ra)cM64Oa`iE4We;C7`B zU|SIEvTDjvnu8gOgaH>?utf9;LlVUVRpyeaT1sx>&Dk7+DGe>9yd}9+m4F#*yNWW6 zS0eK`D=7^nIV=cX?0a3cf{~VO5YS8-+_EnL?6?w4v|(F1QeE6C3A1EEy3O-K#T==T zk*e3ADoaXPiZOTxRZ5o<cly?B7Szd{@CC248N8!jOjB2B-D@rJY=LbYmO#UpjVRhg0;Exq?n5D-&{HlsA)XK6r)(%rJQR0t2OlZ-wR+-0p1vb@jTFfwlfH}7C06y9yv&}e~o)roZ z6MS|E#c^rLH?zt%#vly#pt)OwLD+F`I z{VqZDC)zB0jmnu*p{wPl1P8#V4}3WZCpz01D<{mhu$w88)~M;IiyQMEGq)RiMD zu@va46pW=R5FQ0qP-|Mym!2F;NM#lR8X1G6WLeu1ByY@sEx^cbD}jkDQ5_PjShT}} zt$<+|!!+$McUTyQ?>9_YX$nxYKoPO22nAdwYz{R|A(-Qo(2FmQJ=a9bZigXSm_U^d zm@rvU0Va~kgrWu$@FwbNY6}vl1IGfG0r{L-2#EjK5*6ZhMef^>#Xf%2HlJ`PDt%d}P{?0HV`!mUO~L>@0s2uSkzP`9<&wSQfQd4n5? zMViWuIN&Qkd#{y@m0c7KPDn1eEfz8BTWbs&s#)3c`|baHCU{}8K9ZgmM%sC!hS8w4 z3YqU&Fq-6L3~;*2sIf1C@~d2^Krlmq0z;Ao>yo`J*vqgG5D`6BR#hDB7q`D}{p*k*<*VG1kB5g|bx*qa0lkWC;7#^}ePC0o??@-$IV z2D!@u=WewucijIp2OTrk@p2?w{`lF6nsLMGAGemzXPYMlow5(ImuEnPYZKg_iMOMq z8)~Wu*2_h0u^G(STx9TZ;V~;LInjC5nNYuZYCC2KQDeSg*we?f;9J1stSBV8|6Abg z6bm$`Cb9{4szY#b6*`%wx)x!Kx5M=$;J7)EJ1HF2AlT+B4Wsm;I?GCq$GL#HieDL{ z#>R*`BG}ABHqBm!9k3yvWNL(T95z8;89*~f!%^+znNvqg9Xnj}D)kO;>6ryu9L28G zwh{PXg}?_ZKU|4@3`HCYg}IC|L&bm=9EvUwzjnbYDbQqXr4_}@LTDHQwTphz7%@2D zVwegrOyx*}V6xc27A!Pu1Agcj%~9o~B#AH`U7vJoqmUbJ;3eT}lQvcP4=}7-o3N#m z>NItgZAHVw*M@m_ytctEbyme&D%mA0Rnpc4Nh*1T`@IWkZr0Vv+4PQLr?#uD>De$J zU0>T!_B93DP3=jCVP?@Rc(ofcO21Z~>}p}5rZKH0(g@9~5azAM_nv7hUX|)Hd8n!G zIY@#T5EIF{tN}BN6bu`hx(@<&gz`zX6XdFTCGuTCyX>MRXB?X9w892fDJ~2;2Xc<7{TEryTMAlCt>WJh4F=DEM zN5Fz+9P^oIps};vu$PZ?U|3d6ym1u()L?3eq6Q|5O#73ZRoH9_cn_1YI%5XaQN+qf zlG1ctCyPP={KqV;0ZYhP@i=#AE159`@kW8Yv4q^@2970QC{Gq_2aHpC8y3fO;oio( ze=VVcIglDez)WSrfyj>6F>!i_>K%Tw@KSs)@b0_u1;BgPt;J^qH{HG(pAZ}bd{UaR2MKG<&)4XY}(mPCzjGY-O30~ppXiQ?_AQuFp{c_{C^FVBKR z{R9MD;&;QMwHIXIg_my1dOqK<7vGa<5U>fDv~FADQ{FEi!JB5?bN9{tG@seKFH+ul ze$(w6wyjz6n}ratZ!It0b0lqW0K*>2RDPS}x2oeRN!LAOs=Myn55sPPO|*-TYn4Y} z*iL?->K!8VU7l7KpX&)$sPEg%RNuGh4XeBm85my)3Rb8L6@5|93`KnK+8l3@gO{U9 zFvzQNnkUKF42;i4U4^M9J~{|$d~+&OA$ADpMoAq_j)CwHz&zfJ1%VSFW0 zS+G7bA0K&KOX`e7l@s#pT|@SElq7aWZX^SPmR+q+1S<@DsL3WKF;|<)r7uOoux7~T z9a(6TxX&gKkUlUq6W$?MSx_0)r;fG>Nn%2hnV=p`P((|jsI6ZUldK!$Px|nVk{e9? zHp!QSzB`p`RlR&!AonRi&H3h*l^0tw_*b8dnqwnz+!ThEKOs|{LRvZ>efjWa7WqMp z^mZkMgA@y+=;&B2vw^ZYkhkF89!2~*b`lhf=8BJx22GheNFkZ=(X+PvbDpcnN+|2P|!K zsn7KI&@Rv2AMs%2AiYCN59Zj`Y-j@|SEb(1EDJQvJN6g1Q?2Z`@G-&0(q!c*@t{84_E;q$N?q-bL9e9hQX+T(uo#!4E~N@W7b5@JQ6yQdL?- z>hfx)N-!bsfMKS}iyI}bIc9yStAsBhB)d?-q>cq@CAXGD^G0N+nUEW_fK;WW+yE0g z(Xf)+;5iEh^Gu}#JX$xLGE8Jcjm1pYS_LHya+>!eIYfhik#a81nd&&e?BVIhWM<*0 z*hoM^XsVXf4R}R(8){9zrGkt?`{^M#}*GHQ$GfMWEENn{xaO0g;y7)Hr zQG$s@hK)X+lQFlY&p+l%t1X|UUqF#Nl!HBqymgMo+mfC#3TX6TgL_*^wV}*~hZj8* z>q@@9-I1 zHl4Kx7jSnkUXQ=E+cw~D&E)5f1^ny^#Tyxsu3SG`@xEa#pyyVsIZs#l`SmjvEPjou zd}__)9U1E80!ffPOHtnq0T(P@d%=c9J^^nplpELRL9O7~yRTong4DT{s?2KH3??f! z#MeFncw0`utnz>rlS^QeE7xmkm8>fRkIlhkscC$8O|WwME?ymb#y!!CgZ zQKiRZ(b_jPbu-$@#ekc4!v$G4j3w{Dz3V@>`y$nRa)zCJ#qR6bljPVn&12HOkA%sN$xBt%x&2;}u_Iw}9Br~&@$Q`Wu<9LXg4Zi8axOtL zW{Ca_(wZ6*(-fX;qbtB+{KegV;4)m2Elaw{q2y7I4&kbGDQ2LXlhumJ)>P2O3qBo@ zvjJaKYbERJlQ)+8crUWI#K*5n>M9e(m-wnI>L&P!ptBrT#kW9`Es$i(l~AWI0qc{= zs(M^)_wjaV(yOUQ6FerPPKmOK#{{$H@W{}XtK59pQ{M0??>80MWnjbRNs?D3@m6`V zZQQiUS7&CSz1wqcfW2KyDUU~p05^L~NRshx@}{7Jt2eVEQ^CL9Wm)Qco8U4{w#s#+ zJgEC}@q`fWCi#@ls-xfnxpkHBylYu7?7E<{ITaj7yyt@n-OA|GX@GGkzYQMRu!rY{ z6HD!W(4t?%4}zg-;b0U$kW)<^cn1Q0<>n)pSx5?pbS$Z2e-$HT!ubAR6@_M~F{j7V zlwg6k3-CaVnw8LVQ3OjM9&Z&*17?g%T7nvEzyy!#aO@k3I+3k%BnSa(CWe9os>( zWyEAWV+j_p=^6nFo@9f?0oN+t(qp3P28;sUY7Zy$AveeAfbrj>#wi)zbT;F-(ZrS0 zOuU)_0Hp9ar~h2iv?Gri`$`hEevd7<(g*ew?6aORPdOF&?^W+`T)QqCk1D9ne{6a3 z2_(E~Qh6P-RThseZ>5Y#O}JZtaAkfBLq!aaDvU;VjvL{C8{_fN{43)aK@1u}R9dB` zN(vG@Cb(x&PRXO}rZYk9hU}OD>bPuj$|dq_*-aSYVt~OQi9?{4#YAm}ngO%8s*+%p zn@I_nfHdVK3GUW|nwT&!=*qySX_%O(QI(!~IGQ02Hi0CPsstm_vZ)-r9i|6SZbC_7zB?sc{Ifwh5ScRcaWA^3{14!f}fX+f7;qol`J&P;PL>)~VjX z>&ei2_0xMFHjL+Rz2U`>lF2mjrU#)jV+-dBmc`t{KoX%#?#$$p<7zgjg&}G1$9ugQ zCU}Yg!wrryvxJoaR>21CV|YmyE4e{xgT|0tT_u$gV_AzK!3OvC=g=TZJNQp8YEuCd z6fS5f@n@Sv!0pj2hSvo-5C!Mkq#u}w`=CBXFey*0ObaS<(vtMIfJicsi5*fNg_LJ4 zut|W;SJe8!h8PjxDAT55jf%RR&a*n1jDRoM1h?M71y{^~2eaxJ2C5`du1q08=-EWo z$z$~NwIr5cA|S~OSdh7#Nx+c+BNq!CZ%oKW#=mT&C7!M}l-x0>Lp4)ifg)+4wzN7_ zD~hDWdk7{~Op2Qfn+YsAqatZ>>S)PY)*r#lf^;~nLJG^?X9$y8NVdrA7MTsNOlv#k z(Cik^Y)Py$ZI}?%QfWgKnOS31m)lfZvS9yI-{t?GysrVQqPp_GGj9?nF~H;z@e$ef zPChKu);@?A2^8cNCXWmCXASkWTUdX(ebI+W6&bfE)=gj>bO~E#b z)UHzA#Hk_$_we9^e&JXIy|{xH5z3gQ2-%0~?8{?Zr6q_=&NMkqHTn>7i%-kCIep8A z^ej)=m0e(mo~iF}RXZHS#PIArJ7{9~AvIt8Q#CRCUddmj>F;bIm|9QE{)awE-gt0d zpvk=cHR(f!kPW@{Rx2F8?qkkvY1y=TvM;Sk-JV~6eD?im*ntB~ZjwBDpiAF|H049DucOzZt6r6AT7c1?nS zhi2c8oLP$r^Ocj{^krieLM6EkKKaGU4F|Wa$gpxTjK^QvO6_k5Q62<$py0xkt5V$B zgW4w*eT3Zao6}o1CFz&JJO2_z)0dsr``u?+hVg?JQim*_Jq}s~R=#hVK<5EUZ2L14 zRPC^eMu^R7umMys6#_6k6AV-|tPNlK)@Z%7}>&{`YRN$bZax_ z(Fuk+%gXWKHoRO#R!KrODY;zxAZ9zv(tIGym^5#p5?+-mzxpf&OI@jTuAvOl?|ACfRIhHxwe<9lx)mt`2NP4h}J~q z<&&9?H8Neb9CwH>PaqGrkvUJ2k^#4xh|1)q8IV*Nyj~@l<~}h+lQhXrQkSZ~4!OMZ zE*O8;on+-Dn0I*pG|9+@-i~#C^7?xkS@h{CQtycRw>lzYXh1}43`6lMaQ#DMY}VFm zP>?m?AV$sv!`H<4M?oLeDPAf;5OlyBx-7C3-?Gi^M+2+DBpP%`_b%D+_Kp#;^Uda)t1 zT5Li4ZiB2U=($*!&@G5sg_s{wWIKVWZ)&)LhDFzCEyRhp7@AGhmPs^-n8{bNbBX&j z)upJPkCrvnCZMMg9!*ObK{HfOLTIwwOm#psw?RotUv|CU*s3H+cEAnUahEzb($|}M zF*dkCQkp2TRxn5s^3x4@T9X0Df$UsuyiMllBt7L5t%*Hf&E}IPnp~{RAx*H1p*5MC zGN+d&SZWykE9f2jGI6_iWN1R>)Xzu8!JIAHO4(fO_Z^UA+DbSTWRNIHD2OP^%O-HA z{cR9A&>J6;DsHU$5cyla2a(OngBh}Q&ik^{N%k%fN;b*QE+-!pGIeXc@70h`T83=j zVa3w-)OQ%Lq8nUgXt0o~KBH59jL7kD|^B+A!VN zTasO;b};eh-~t{=Cv;;FxPKDYznP7oBAGsSx;f;kCH8N#Bt-~y74vB)?nf)Cr7Nl0 zIGuo?trm%(yJOThq5#jNbtMuBaZ=kd3d{maP&~;j$q@8iIGXB`NR$zDi6i+rB^T#? zg6KnmH|Q=MqQMFMQU|e&dL>Y>T`NZ9G&f!S4Fv&P_4_)MNtt;&gq(2Ek@r+P=px+P z9+^e(c|a^trBxRRo-W2FBGQ4YQ^{ny2vH%$r4DeU&}aBD^+y$46TE|Us z^U=5N#@3ueF~lEIwL@lS?-*)!HB>Nb`vj|tjhwt?WR%T3z-K0nih;@7`sd!CCQp8e zglwuLAvua%G)UQnX(5Bqfthyof}FxC6F)yN{GMi%{(H}_9|AWfb;mV~@Bm4qB5^7da1QufZY zkm#{BIX=DLE%%h;oNCK|oJJN$^WgDmQhF4hY#l?6J^L;4_b-={zfbGKU3!$fJU&hO zaKELjJUgA_*%Oahd`QR$zjg@9;81&(j$5Bl#rDjR#ispQ!f`ax$DQQ6x#5CbRXYq8 zW%nrHq&s~~-Xq_AwEOu0;dCR=QU4$CI!-i|NukW6-d-0O(k1Squ^`Ucj?pyh9-3K59 z5VtSxUOZ>}IbT3p=lYPKlZL+t+}6F9-mvS@tJdt^cmuc}z54l!H_Z9%x-UMrd*Kbw ztzW$6?E^Rb_Kz#pZ#}T+o+aDY?>(}2@sejBg(gsN@5=Sv2f)4SM=Htx{?Xz!J2%dH z_OYKozI)Hvhvw`$r~8Ekr%l?v{@VMx|9sQdYj&-F;LxHCbGALw{qt83L2m#O1K4)} zFxYedYen~=gTyuve6e=y;x*mRU-|60yViel@y&-m0+CO?x)?W+@$+~74;0+<<%chW zPg1UWUbzZ*sPN$W?&o%2I%&r_-&jKcxqI(izg9U`ao@1(@z?(2l|6I515n%ULvJp- z`FS|@WjJ>IH`eU!S@P_@l`Ho?y=cSiT`Rg?e0A};JK^tF4o&{;zLo3u9$2yQvR#jM zzxdQQfP}mFzN?XU`&KUM{?A1}p1tc$WW&ZeyB=GxX7{0Me*54`ASf^T@f>LK;_gMz z`>OJ-hm?XDngCH&7q$mZJp>ZAaCnqH45Io zfUKP57SN``a8(0eQ1f}yY8a<|QWgSPC8=E1P-ZZsEUgfXcX+|wyiSzdRn*`bW(|=6 zb`0*ahVR8HW6P==0AKgTaPu87URzmTUn5MTqa%mq6*qNSIg$KPPNb-VUs5ANWh5k4 z>@{1rp7mqzxoNX#H+*r8ADZ8HwAwGLvgPX&)%(9j%4;Dp@`{6+z z_HN}sBPC4?UJp%*AcVn#4X#Ccdj&c1*vN1j$bf#SvJ3=}VJ?r2w9_6;J9a<-XyT53 zU{H417Uh$8y~49@A98x@Qnly-T{{rk5}}j<$tebC9@kJ%JQe}syjQY9)$xTqMYy>+ zaY8Kuo)tb&t{p{567HLeZ}mVXI4D3LnIKoz%>W!!lRI`6c60!l71u`YO$hcB8{N!u z+?Hurr34%8jtIOe2m!hk*3^M0ixZL!Tvq`9%?0ig0CcMgn~Ivu(DceLkr zl;xX+UXj&qS4BP@MiPlwmDB8o-0v$*7yzA22IyD@XgSZ2h8-{R7-96vS_SB~rItx% zD81n-a1Y=?6Npnl4}{R8JGQ_x$at{XVmeZGOO@b$4)b35p!en zolnE@aMgSR;Cvi##;m9rU^f$Mi)Ea#Emfy@W=;YgY{o7=W2>AfG|8`wsl0SsstP+< zjv>x-YLO{+e9I+HlbZv7JFP`lf=z{j%RyFIEJ>42oyD`9rtx+Hb(6>89Mh3SIq+Zv z8>6}w%3#!U8>l3BsWO;2@kKKw8yRzSw5+n@qSEgWBrOC@92zOcTOgY?x@=n1JYTx`N!7&RxhAqCs94iL z3mpfpW^;n^@6ThzJsM=&LXGD4G}=yfZez>G+tt*3esUEF>0D?K1SVr>cFtpFuIiA4 zog^OLmZ_&$tbrHIiZZ*P!ro+0D@?jb@?v%yYv^!hwV75EfPGPaG~yG6*yd;wNyf_T zF!M@3E@Gww6kQ&(oT8#8G?xxEHK0ieAa0dUR%cjA9$a0X>8ddMmhae7BDeMD*!-yg z+m#)tDv=FL;S{%$f>n-{?oTl!3D*F{t}3#ewJ(4ssyD!VpR@?JoSEh9*HJT1UT?!E zPSZRHnd$%_*JY=#g5GD`lR(F+xgO7FDEg?`=tf>bEz!KxNy41QQWmw(D{6%oC>!#d z3?-y9flS+6=s8{mn~NlGbMwe}uauRyZ2qrSW{xk&kC=8NkJR9UmNnzR{X1B(nT$p6 zV7&bdG_in?9GN;ES)8z|YD_{06}EX6nolYaNYZFU?@M)sVrJhmm{3KtHKS;5&IEwY z^mng<9PQ8FaP0d>HmRG1QdMKAllM`RUakr>(LK`gmosVy$`WK4+~%UQhabu*l#xM^ z!zpeQLkK~amX)E$UPN~Ttr0&3aU!F6TZK+2W3CW&OfVpDBx6Ek6z=p3MLA@Da^$XfuTEXoAEG)6adq$s$mAI7&PKA9&C6xK}IbH za~Pbl8siiOO>m9utu6A-AXhD)|o}Du!*y{UAw9|4h3@^z%09Uxu{E; z3{|B!U(NVfSjA)qjH6yP$Y?H*X<{zOO+>~U*F;7V@1hJs2!sxp4J7nkm+uoRTa8aq zDGymI$&sStxf4FJpso_fpYEj66&@>f#8Vn&OybF;2NvT14ssz&Qe=qJU35>9aoP@% zy+jB44kKv*gO_%d!uJ<~BoNqcl2zxaZ6K2lxTQHB^bp-FNj3tmb*hX_#ibx2%#1oX zm(Nt=NNJHQht6^=b~2!B9Tvq!5&+W@4;&(j0LxoOWOYL!B>_E6odn&jQ`532P9>~Q zvX3Oe=U%GMv*Q_iLTRF@;NT=3=5SI~z!(gTK*mEy!3jBxJ7L%!V)+I*l}dsXgfeX) zfSUve{G$u4I!>42kA*_$)XP<79>t7hFdiu(!79Wh4WJ!^jN2i!9a!2XT*5>IP`!+i zT6RcjV$d}>+8~<`2NszjaOsq~sEP$M@f8i@>SbXGGIY>Cbecr`2$emgA`^m++3#x; zrJtbqHC?CP4^MBB=uH>`*GeaqXG1`lfmCqcR<*->!-&m-GX4I5P`wKRWY$&xvTfzL zf4p)QcJ;Xb2eV(Tc<|njEnK&6!?G3IZrQN!s*5MxwC8@>;e20nfZ)4Ap^y>;>xHjY z4A-EltM1sha?+m(9r&vMy!!=>4&2@~Nh8W$c>&4pfDj+=_nsBlon2XZRTkXnDcHK> zkAJ*)Qo$2hCyk%2oeL3I=ex*w5vG2Z`KrPZM^-`Q2P!@BdUj zd4pvC;ug~T;|kmMej^X(38Cyh3@g8zZDq#+0^ePSB(GF?dF%Jbkb-`u z-A0-$nly9I{ohA6JUpMsBm`uFUA~IQwK{k1*|1{U<5Turxr35ypZ!ic(}=s5zf(TR z&V~;b`HXRO3)~~8`>q1adC`<)R))C7Mv}c z+=SP>?0oMwkba5Jz!#L)+41qqJvVMO=NEd`$T6`4;kx=nX)Ly&V>M>Zy}Phzc2amE zz+j7GiE>z34l5I#w}p$EZ>fr^P1_T0Y)hq^{1z0v&Bx8Hf&9w*>tnlJ?_2E#e4j#1QYN=12wND1}cl`pAP1)eUFQa1@IAda)+*thr z*J^S*Iz7srWvgP-CO9DH)m3s!UNNuZuJCv-_nsO;s}%~6_*Qb2Y+g3Lm4z>verfH= z#)9(t`UJAL)cw(;jS%8QX4Z}>wqJH*GZvJC+wHssvUAPI^x6sZL3-V95~ZErat-Wn zU*z43&#C_#`^8kk%1U?j8rNOj{P3q6Zh>Pja3c;Seo;R|13&3}0 zF8CyY?{*@|Rxe!8bbB$@Tu&Y|<8^K)UDtxYo14uGfrA{GenHfsEPnOnHOjGfk(J1T z|HdD32YpX^uilJYb+*?SuA4rD&2fgkn;q*1>WChEFS6Y?KrSE)!QcLnw+;SK3nv8c3HTQgweh9fjX} z$0@iZekkOal77^IP6h~E8#_R3Hrb#{B++jmw?S*i6sBPHtR3$S6uM(QX3daXV&fa? z$pd#ysf`&6T$tQ8%yBtMT7kfw1{a{(Wt`SA46>1v_746iQ;dlmzcf9=$KXt~Q)DA9 znT1gdw4zlV8jQ4I1qhmAG$e5ihf^pLbj-)s_XZoAL&8~!(-1F!U{)&`=Y=MX*hWr8 zgkjp|G6Sz}7$PvX3FLGT>C+G0naRo}yv8ns3x{ra;50R|5Db+Z@&(u56z-kIjG5@5AF19P&i z^tsbyRDcBRtA(V={rekpq)NeXiLx>Vb6A3mXV{(PloIUUy`|cV;Z>uezcXB`HEDTX zt?|8Zab(6bab|xF3i42A6Z5=f;nR3-O-tN%k9NQp>Xb)*gvvZ>O@EEz% ze>VJuHMvWoK;(zVR1Z}J;X%heN7W7|7`9UynB_xDqo8`*sEr0PD{4u@$iZn&Q5Y>1 zlC+~BJ3N-xG+fMZzf@Gj+8V~!oFC$5<#ari?y!@n&4CcS=}<#^s#l`CNg(Z_-SUdU zM$EdSwi*I|1~SWQD!?4#y=ed0Fy|RzwX@2320QosoRh=^W&y#sNRpKtXtkn%Rp#W_ zJno~uI&tinUzu>mMk+~fVHF{PDsY=-{^u(l=&j80K2pdH1LhcH4w58tgjFjmNp=P~ zFg}B-OpoteYD-#co zVtF~u$y!!@7J3e_vYJUi-nvqbH%2w)qiHT4KLZ|ADp!Ue+pu7!RfO%lO>!*3yW(9{ z1z&7bBNwRm5i>TmDl4J4#Ec_Zsj{I1-0hZKnNwhKW?cvXU&_lQvnr=1G2NL{P;El* za3PkOYpSaq`@G8b5^;9U?c#^Xv@y<0O_A#uCHZ;u)JPHAupB2uSxkM1%Bj@9fn!NQ zBuTPyO!@o6LH=#hWws0Bg)xM!WcPQS=rxN*^eibqDNydR7#)J3dc3%h)U84=IUSd& zZ6BQrC0;P6(+1YY~jMDa{;9C59NRn`IKxr} zQpG9CZW(@<#EA;ZQ2)H2ACg3A@qXg6NaP-0X2?@9d32vGSQ2-);mM}wn&n=2A&yw| zF&NLe6%>(QWEJ2FZzt3J2A*3(nu3N?5=*2tF|gz5v=J$&ZpBKGz_F?d11PLWNN9)K za1J-rAcBIxA9o~vEEmqf5(KV^g90wv8qx7U1P)?)gQgJ&q8Pou2D)T`+LHM!7iU4&x4jJVAWKRR9IR{@$R6DBHxqnY^WdnV8}5s!+TkKhn<^076sRg@m{Sm} zwDWr=OzN}mm3uA8#v+1F@`5$9-(I+S+p`N_d2;1fNt26qpLZ@u-AGmewi1XfCHVtP zQ}6!jurzssBJalZIyh%{FZyBE*;;RnvfEehQIqDphLwoj3%@mccLK1qi`P84asz}A zEG@X_DJuhPTV>^}Vluu?;m^)ngSmf|(hK)r{O8%PF8m~-*8Kv;!*FHJ(~4V}^NV$aC9EBLm9|ntBlp(3 z8%p}Q6Y+hbjMv=PW7~Tq`CS0-o;v9T%AMW+yLQ_h3x5XXF533p8)xsipm_Fzv zGk$Mm>b1IN!ZKX-h!>tEpQY38*F#~>4*tVCzoH3Y2u`3qLRz)^Bf3SM*dbpVpqYGgf^aX=cukC`R}c09xK!SlA44{S7V{c@_?`l zD}f0;)XYJCqWEn3&l5ee=+jSO)v@K0UhvUi+A=HVc zz*#j2k~s0#&R8A&A}I+9{m~#s2aC8VNIK9ROz&bz79As)%!)(HV{jo_5{s5iXMU1c zkwE{P$ao_PE{K4|u*aT_Nsn5_i_B-7M(NnXgn|ZmB;ELNZ6PfArco&x*sD2s*^~*2 zVr*;6Z1gjQ8jrc@rL9Fqmzd9*g z;Vm6y2ME6CvZ#h77j1BskOr@H9v0e(JPL@ird<~=jl^6-e20&N? zLK-{>Y5pF1Fw;yx_1fB1ajIJl?|V@2N&Fq7?~uTn1-P>`8C=U}Dp%QL&RlYpGKWBW@bDX>9Qtj{tS5=s#qpI(zQb*wGDCu~D$hvu%z)3dVaVSu-H?w@KJ65u4 z#}Q|tjcu@FmI$Si1T(mkXlW!9m`YO-Eoy!&iYPU+b(T`rVl7yYz-b)%V_k%E@ScQo zn@vFb%fH^vF$MS1CdO)2yX9LMhg2rfwql_Ii8x#6Jz*s2wy+vW;_)F72zD;LmBDdF z4IP5^NsLbWl6~1K5<&v*Y%*fA9BY6PdK*MWn~NR+=gb_7xkKn>N((r}P8sHE@rH8Y z=6~crtTI}*n3y``CHc)&P_RI-sb%T~5(&AcDHyxZStX0H>cb&A$=W1?5ReNRZG4n! zim__e7?N?p5McaRhK@y?K?_Ng2_`flhazxwkWxapLHy)x7IJYoNpV}~)_@RjC(#j; zRy&hVZayW?DLgs%6Gk-Ta2wN|UI;fSZuG$f&N*_4SrTVj6S_p;>L}@`6#S5oIl51r zOoEl1Nt_}`It(Ez7ya*Fc4VP*n2grJ3QqiALBDM%el5(v+T{;9KIO&}=rK`k8We|~ zDq?jZDbt0CE=_?$5G=hjDvdsnzskm|&Y5^vQQyWaes76*MS&T<q+jmS#gYRCTP)L9A-5U>XyYu4&9Xlpl_SfdDoOB_` zFDi0{#w=Ztf~CFbZKcU88BnHa**?my0BU2I8-(~hy@C54uo`S@+_4kl>3i`P1|-&+Pb|5 zOBuwifPH(mzq63mEDmm7p@d-Z;Gvs#FTQWvQdqiU@ta*cHq74B^9b~QZT71d9E49+ zD+Nzc+&a^g2b+l8wQ|GGY;)ETdEd%FK{97;;MgzuVWqP61XeYsrmWuPC5u7FCfXA?jO8km8%BhSm^FMc1UnPJ7(t1D$`OP z9AXM8$F^UpcYj$$!=7gPJCV3!YDkrU>~WAi4mhi)(eyWP-XR*!^`W%)s>ZZ>RM=Gx`Ulm(mc)2vP4cqEF3y_~mj0afU zIEl%N)y?6k*WBpDO4P`9RqXl&7R<4L$=lR?7vRW7jZsM^+$54*?Kz{p+c3VKOA8;+ zWC14l!QH5gAA=-+GQ$P=`ckhk?GrIS{9|z6jX!XgLJJ5%a?nHx>FYr(e8Bke;O1B< z@Db+*N7f#JJu~&g~$!(#}<@2vDXc2`SqopcinZq z*XmsI1!!V!a=#w{k!`XPa|19ZT~2O;+#5otDHNH($F3PwS=u=bKDjqH5<9&J-*A+#%DWyp zlW;q82j{8`O>~k2WdkXgsrL}zuHs%RId&P0KYD?9Kdp53U3=n37U;O9LP1tnG3c;2 zs17BD04`PxFx1C{b|V{xo616#%}#R6Uwxc?(zBVwenBjUlQbIOq7%)QqSv1!LWs>& z{ZRCkoe8bG8||90ES_&shggD}y3hFq)V-EwJNj@cX;b3O8<25Vq(72X4R{UyVi`~fBf*EYg(Q9)Az3RsA7{*X>m(dc(sK<=;vDk_tX=5{4Jn7<8n|mXBIzH! zKE`M|2cd??t`K9`GTUl7%XG*zT8hEA4lM8|S!l#@N01Xl{qP3Pgh`87QjNBTteqXF zs@ma%)~!}h`QMOTEe7QIS>)#1!Xv#WM^2nEioo9I)yMJ@ooEo3H;=m2Z-&q&jO$Oq z`b1(?Y;K~d=|@+wMkn0aT01(sCPwRrX6VTYx-FaMu4;MNZEaS|4QR-tAY;Z|VZ@?y z6Ob9m=vKT8ZmPFk`~__p`#aSP;Z1;`eLs3Lq_OK0O1AEokR~waC~cXMPSPnP?z-#U zRY}!?yzHG+JKD>2BGuJ#EM*kpTS^0;vz8X*78gDfXaa7??^Fspr=r=9h|9yP?Caxq z$MD$*tn6$K-`zo*w+*$ z?~0UT1D}*xgLACXTP2BPgWL&(WSes=f4?u>xpC%M=I9m=I-fpt^>%*sKPSHL(6=fS zAPF?w2v$1|lj#giQ?h^=mCVZ7Gy!s^GN(p!hSCD_d?etQA%}zvBJdawOvt=}qZbFr zS!%aKk6*ct`FYlMvT-XGEuwOo6UL^nP#hZK4=pe>#U3s^FaJVQvTCcH+Hp@{?ZR-l z=tQ@RoZ$yybG$5#1TFRnmh_1Zttp6{k+gmzNFY~Ibu8ZC5XA1VGIYk^HtI!y%_V=y zBsl+rUa>a!H!!}XnsaYUbd(g~7IX!jrQ@QqlVb-T4iQKcHW4i@SZy=G zsW=Vg@R^#wM(vRH031TkmMRk{5;~BJBpb!HhHJ$#5uH6=JdT#^+sVlwWp`U-rzWUQ2$eN4)bId-L(o zpALuKd|||r{UffkmiGK=g$R#mueylEMm5-7h8yvCjnz<3LO?ELr9JP6&`ra7t{eW& z{?8ssel}U$(=wxn#ZJX;v_|Pfue`=udcg5SxG-6jgCsX0NnYobMy;hu)|w1o)K1*n z8d7BMCgQGWhl0~*-ES8d5B+)di2WEPqj-mNddv}Q>S1P2W-hLowW8ixA;`L8K%I@a zvW&Qn8OX}X>>;FwXMHlPG;{Wgo}SpLhJETgyvO2~y|MIFNA5{J^c!~CJE0{%3BC5K z5mTbWc11sTQ}Udf|2wCvDrfuji#Ne|{%6ZQ?T2T+&GL>U-#o-#+ZYRNI^&&**!MZ- z#(!*0qJe47%dYFu_`1h&` z7MsjS_C)fa)Q;_`tzh$dHo;X;&~>a83!Mg`WV6`t27AO!!~VQ~#FS^IEKYvzwdA26 zv-1vxUYi_3l9P}mTQS#}f=r{ljBTe(V;`D%U~`q@A*USUCUXyd}t`! zdE=`mx^|#ElQlZ$9QD*JP1g>?S=5@6oES<*LhPk#7H1(jV!yo1IpcxknU9FApJH!p z2z|OMbj~v)s`ih-?ADacj7?rWxjNhBpjg;&6t(z6wXL9YQ01=W4!V9F>(O)kdft7+HyJ zY?n`d$VLe+z3nzzu-ZkRV9~Sh~Ox~BQ=y@xLMPMcLhVl7E zL-GDIdXiHPi~n25wr+$gr@k{W6lKF7yo8KLHncuDwb4^C7=BMFu4lc4Gsx0gp$o(8ZP4_CKl}7KVtSX6<2-=$)ALF^vFpn$r4x@?V-F>dQj zC-1~*SxJwM3cdOc!`Oa%O7g72_OcMe)~{{Mto-PHasCTao{&?19)01z*c*TM#B~!x zXFoCGi_QqV)H7uhV`rhe&)74kvbKUyrDF;<%$iF=SbJF^yA!noySCt$yx^q=D%Oh% z>GZVl9`+12DJTjFyHP}kv1lGko|ThIG9+qDK{Ue>XB8@LU_5}k0OVm7y-IWzOP1xZ zXH1Tr4o1AyID%>iJOgKiTCE)F3OQgU^M+aVc{BiL?BD4yU@%Vp37*YCgl7t1B@1c? zvNFW@1vx}6+Ab!W;*z!W`c`QFhTpKB)1N@?(0T2MOOgez|KxMmBrCT5qjnXIK|&(m z6zuRB|1GDy5dFXN+14KPhL#>aa?*Yl`r+R*M#hJ*M)Wi}cEm~%HAPKed`lTT2;-}j ztFV})j90STXh0JZb&ZwIFqVX?$_jg|LiWoeGDvcmp*^TFEd;%<9rj#u#Q9wnUlE@> z>ADS{U_CRRcye!O>;J1PR{oxA8v<1dWibi_W`#!lpNLxQ6fp>QeNb7az}}oP^`^H%2VWm?b9BUY1$tzGE-gkD=v)^VZt?2!8rz#oBa4fIk%jS3!{3Y# zdt>i8e`4n(^HU>>A{trnXu;C`4tmi!I?3@Ep77b7Aj>3X>C8ek4mr1hdeO5jkiCT% zD}*c5IOJTHy7_N;5AC=0$RgBg=CG?UvM6BaaAT^|tH(IR!P(Jk2llBqss}Z;j*UPM zU5_kmHL?hY_KOP+61nJe)cc<^6W#o!JD+*{B6iw?Q{Q(MU99ied_ z1~aA6f`*%v2UVtRH|$gXsbK&95ufXt@=xM(+n;&-Q|!EJroNU8J^MwsSowRd^EpvG zTydW_9$BnL7WRj`b};Uvk;Oe1veU(o9$B2$p=yW0qU=7ucjJ=ZK6>p20)u_*=|`{L zc*CKGcC5ep+aSO2qiZJ-TJ2*`pVNKlhC^rU0D9^lHeR!H-L(_C_srS(*dCB)9Xb;L zxzFGGIB-R;eR%JlOP+mf5AbJiIP{4Z*L6RC&BmLyue=j>X4^}~eB3L$G3Lw3(2YcKuEMy1IsfR6pi(?3NlxYunZ zE4Nob!2{>+d{k-D^Kqc+f_%x2_17-zK6De3ybs)OpSkOi?&n_p?2;GPy$0FmKKtNO zfbV|o5QJvI=^iE_eaXZI1s~k?D0%Qo$llPsaXu7$^piiBb?Cu=0EF<~jbHusx@+%w z1$sXY*KLH}yB=M8Y1a>6JY@s?{nY=iJuv^!ga5U@``deeOk`vO{QZl^;qLHr%|c^xFReNbuGlJhi^{&7I&{sAd-t`D_hw}1iSP2EUu3vlUAG8PGx%8&(k39t# z;8}-0L9+L5oCHlCzWUITr+z}@8}@vR3dG*W=aAkD$b)-SHavLQ`tD2j0pAzguN=4u zvLD&H_=W?Y0t_&OEZK!@=stAgv&vNicyLIQVaK7b{do?`;G26N0TlA3YhcyRGgtg8 zppiEW2V(M$?$5$FcmY}bF04HJ5Z$x`cfSWAeLU!+>^5M|Yfvb=%d7?EfHY4GEVK_g(osHmVjFu@C~bO|AvNVT8v&C_P`!L+ELLnS?J&Td z(`Z4cLQlm4B`Mfp5aewiGAB06Yr@Y*0>@4$Bgbw5IY-8ozdQI0@pZEdD7%W=TI$x1 zbSN9XDC$bAhD0H^!yf}Td{P)@O=FQewbrJNLSDJ7+B-$^8OWX7j(B}cgfDMckOv{K zy@Az%I~Rz()mRPQl(6_yNHVwS$H)dV7p|#}#jIP9J4k7HwY2L-EejVJe1`8>7x2Za za~j6RY75PrrE#5?FMkZVg>1N$e`8in7>>1LVuIDw;W8j3dmfO)J`#37u8+kicL1*o z4CI`pfG>7(E%Z`iB7~gJAuBsAi67u%Z9=Ic4|4cuKo1V!*uOrRa{jEWoG)qMEn(~X z7L3QX1XM2CM6uOSV%YUztHm<#?2MgIw$v{tL&!>l!Qb)+&AN7w1R;d2I{<(a16+}7 z(6whNWT6Z)mT5r-2ort?$Op(mglWV$zHamwGZfh(vZ=`Q!{{c4&m0wZq&0zSAhj_G zq$~)r=F!dq;FcVN9VL4+$TG1iZs!*^5!s9?@?7+9J-i3Vnvt^Pd4e?QST#Rl3a`8c zlMIw(36g|tg1j}kXF!Or!d#GTY|yS`lY*y6>@NXL@D2fwTGlSdE+TMXVA+nJO7pvhhu)Q5TOi7D~oT0H}p*fHMYBG!61m-xB-RCIga8C20lE z4&`O63I*5YSmpHq@!TSqIr=WjVw1QHSUG^+t$`5A`54UW`&`!aPac4K&}98^Cx5ML z2X>60XDR&Gf@oI3bg<%pePCHb>P`n`n=ULo?aaW5bdb4t!Z;3sqf!t?3*Mf#!VqA9 zj8Az5CjKNQ#vPWZ#``mLmkICUUYz0SC4%~ll4G@l(xvx>v55>EY}`%!*e z3o?a=)I;F1=~2cnof#;goE7EaEn&P0L^5O>Cz7mYW(@BINa$z@mM3cvWV2&8(DK4u2EQ^-!g!dG2YC`4 z0>xAklPRPx(#AL8r2G%T!Rf6DqYO7j;6chX3M2vtWdo0lwPUn)fp@M9Q&5D7c)SyZ z=_Vu2Py|fW)+~QGgwVPNZggZjr+6tyXOR7@K`F6~C=e|*)^iYwa#*EZpO^t5O;oZ%VuYJA{2@|;j|XCa;M}s6DD+3 zev^aD=0Wz5cS$q7BxG*?n}sGx;s!b}>g|HfFvoM-*mMZ3G;&ZOK@0K$dIP0oJnN+DytarH zw6X%h(9J@DkHJTY9MzVgaVVG?#TIUvj*Tkh;b|HpR%`(`X@UpW@~Cfy9lOV!j9Q*~ z^N5TjJ4qJIA;$vB6WqlnYb_{n3!bcjW6zKb+0a!QDrF<-K3~B4*q`9`So~% zv+B_gz=PkMXNSYyc*(vfoW^+#Ji&?<8ZNusSPgU7<{wRn zO4oU5x?rs&yXxS0`)Q(3djQ6I(-&M}2sSR!c%cCUi z^DxK&yzWag%)HhLyu4bHm&`@a?sskBnohue!^-x0I2HxMk{tzAaFs`+3BR43>imeB zPXSHg?@C2ZIo3Wl0CXlM@3FbxGr07oK*6bnK7ua_8``>933U><>c%!2(Az{6Pkjdz zE|6;-iFkCdVP$4H2_SFZ2eR%v{GGrST;Q6+WUOD%MDpkO=sgcCWbdgx|}GN#p3 z-H%`}Q|TFYWkfnn39kgWJNMf13VVL!bYt}=8MvJ{;Mja*8ie3=7EXmrtZEdi$C+cP zup!g%Be+HWrop3Cg5lwhoR$R0C>g#72P~R&cRwZeFF_vOJBz384-|x}R2Bz7%EEJp zF%)z)egYF~ijz5`+mt!5l2Q5oE9rfVhda|%DtA8e`N54WRL_x~UwVlBz=IRUa#E)j zt6}Iq83K(Bk*yH*3#n73KL3+i0F4g(?jonIrc6sNLbC)Rwq-(yrG-E`o(YJ6Y#c?1 zg_Al-kU3-Lml-T-2$BP`#6B`$Q<@Mrkxv03M9>&`dTMdbj2Or=B?J_Z5vc-k`$>{H zS_r_@>6B!{h#EYN>ax!v(?t}C0TZhcKASRH1nKxh`bpnKUFlQ+8Lm?yFrKdQS3p8K zTQKx7l1XBKk4?+SpHAlpoXp1i)Ky{VU4XAuq4&w8cbilrIQ8*sK@B@gzJ!5O4HR?W zD&8r;JskD8s3a+d+$;^8K^nH4i9(1Ssn$NJMl7-zF+|pz^w^XQl;b%jGjAm_3JyHT zNj3sGR^AV2Mw&}LMc&JZqxQ8|p`Qw$RV&{!CqU?(VORKs6A%olp zCN%|1tA$*gB}8-INP24_DQ?IvR^|k7y1&%BU3@_ zR_c>oO*S-lE|CESn*bT#V>h`*Z*xS#^_1)&NuN96%ACI3X`d*R-5Ik;6F)@vc~;7BDT38>z5k{}n7f}^uxmpf8PYM<2Mj^$0-Cpvcki0EfpmcLV)WEKdK zG9Ji;845xZCR8cEvC0NbaS-)ZmUuTxA5oIM2AG(`3fAI?v1_kr8=}Q>di4 zGu1rCEr`x6C&%Up}%(n#Dk^frV+WRg8f$<9ntS=lSe!1&_89IDE-IhKaJ zMUoksG(m`LPI33^s=yp2n_7=Rb}#Nx{rcPJ!wqxLEGu%olHCCX6PcN&J(y5>f1VU1 z?p!$5qJ|usFTf{4XE8apjwOESrnWRN>4z0H9&fuyvnSUe-i3^Ei< zB2AJVAuAfwOjJP!1bSrCS2(pK4t`LDSD#zbr|Ir9q;dZ?Ey0h?`so`Z z=`P%hvJK^wN{~mHrEXMY|1Lq|!R-gl;CJ5riy#iag3kMri8?^A%F&d|{v%0`tRS+k z2EHIZi9ZNX#^DqpQ!h=9DzeWac;FCZ2luGETZw!0U8D-_j3c#)bRP+n>eoLA`sH-U z2wB4%NfG2&u3RNEga?`mt-0bN6+Xm)96?#6JUpWYZ-fb=sLLYN!qw3A&)=VB2PKFIuxtktaR7Zzbk*XHB{+ z3u|=)=DJe~5{~O_>lV@O?9bk&$ir^sp#`b;5+f{=M@ z_T4q#`~&UIuCQ%=1!o~i4b`j3${Yy!_)S^nWCvH3+AnbAaMVF&9*z0@pXGHQ`}2@A!)Q)!V(7em+#xQ&Rx^> zUEi@<_T=4*)>4v?`wWuQ5W^bVb|1+96J*-O#mKO=m#Iu!tRRM)SKL?!SIypi!P+^y zDbp7D$io{*$VKGu`xnEp3)cWkfLuj-!<4l%#|5sM^ef+-ELWu*izGi}D7%Xke6gR! z2X8|b?*VuKGHhnAoL7z=62|)|yXTmycA&Aa7XZ=G#PBryB{aHPCb>$HH8;jj7@FXZ zKx`wic?yDcxd-yS0U6Wl2}SmZOx#cU+yR+q3kk@9Y^*8tO_FBCXJ(R1ln~(GMe5UJ z=_iTdcS^{N1gs2Xr^(8A*KN!+C(wj!x|6)E$zW9 zA(Z6kSXFIr4|X|Uzw=y^EBo%zI8L6!FxeZr7 zfN!C4qu_>+*WH4GM0uxI?qWg*ngsq%*s&{0bG#sTh>V?heFd#d4}z?7KHISYcLHQ= zb{lq918N{vwQMwS3i7vLTU;;d0=~d$dJ3%(_a9NU1J%ZZ={p>~f|iLw0)uU7KD`jH z*+%SXEE1<$ejdni_m*Z>*bH)P+5}bk%Xw&62n%LHVG}i4(@G*1anCJm_7WX3m#SQF zPAiR6roT?$Uxdgfu8}E*h0-YPFu^YusD_-N22gYgTbr>Y z4@6lz-m8a%R&Sa^awGNgluu-H#8;4nbkHA?JVR@ug&;XflB^`zh=Pz*lH^z`)q-fU z;>I0{OhVFRI6;#EVhb{s`y)v*hdgK&QV%IMjb0~kBUT(_fbUK#Mv}xGp*A?uMQ!k0 zxA{rzZPOf4|_b(2kt|aunPXv`fAvT^saaDG`z&E=4C9`t94){btx!n$eH7m zo~&vIu4*kw$Bf#WaU%4o)CV9-;-)DrgRw@{mg&aGU>m8FmcY&S3l?fzUFb>re*%D?*Mhu=W z3=Zxnr7h!_iN%MG3?F%dsJw-9iB$?|Vl~FXyV4EtMp-+c`Wl2KBwnlD(gHF# zC`CxaQT1ggcj%PJ5Q0A=B^wuMayrSOMTP^k-YEqQxEehKB9lFF&IAj|A2J#C!YH<5 zVI`Tx@kg#e7&=z6Yu-7okp*dg96>qjsQlm%M`6&OvW~)`qGZ6J%UN)RUld&xxc{5_ z(UMb_ItjhdRhbQLdKlAzd@1!MkpoX^O6nMpWs0Is0&)h`5n19m zszL}5Wfu^Ds3v1^qPLLS(%c%vR>6&~dC@0hPPdMPDCpQ;vUm7NV%pwE!tJ9sX2zj+ zO31>8XoVwC5agp;xma=U%a)C~|Dz+bs)dihO3Xtaog3O@I#xjprxZlgQ5Eb*wssYi zObOXXLVit4FiMl#etHg)EQW#`0@;UYt!3^11)3;--yg_U%R9=PACSLw?r0Bw+_!Rr z&Us1_f)q~izS16X-m+W0vcrRugj2bN6=2C{oOc4(4|4`RV)_MVmb7v6ut zYbYzPD95hv=Sdp^=Cn`Up=yU!H0)_sgF8T-VSqGW4U+nj0laoJoVSz^j8{jeg&2Ws z8k8y^I>6TTNpcbmzE;_3O(ac6_?o210E^33@SDvv+7FLbBl0Z0k*tDI%g`jHpw=YA zN-YGh^`(*w6udVKsNSRagkF>u7K<- zl-{(Av09PUlE6L6CktpMVKkBj_cG-{yu7Goo7z=hMie=gEF|YT;5H*wm&3UU_nufB zieY{rr8i)26Gj`NJm0aT;BV$@A&Q(XCo}pdBhywt&GN49-lRm!#`-DVdha`qk=1~Jr$ELN&>VRu~xJpV=Z#*5FrC6Xh{cc_@6~PO1xshnQDwY8k^f^KU*DmhS{~EWK&wr)d}yI^&C#i*86dVT>3X{b z^|tM|30p98-Hc^{W^;6^ounp?+A(V8vdO77q1f*`sOGb8m8;3V5NZ%fllu9X(GQwW zk{uwkV$DLgH_cfcCkTPwEP)S5)r`AJvL1TZ_t!e9g^#|P^y{j@HCm*1Guron8_A{> zEP**G*)6`S(jBJ`tqEDlp*L0u=A)A^H?vjMO9Ed8Iqr_J=fU5Zjji?|lJxxCp~lvp zKOrLElP&4I)NQbKRjeN}Ty?o3cLe^fpHFKo9lAj1EY?D_Id)oz%rHKKoXOoM$&^oe zcgnzdLUSiDC&-;+Xks~|(RYZt5nGN64Br(YVVU&Th}`x zqv;Q#3@l)%meMB(EILa%*Xr9WALpD1_$~rfK5et8XPi|lXHX0Rt+5OkVgttX7m?P+ z_aHIpQ{nSVBrAzz>ODoU>mn|+-aZTc802rVj^hFW`>#MzVwLz4`d3M|1bQ**yH1N$ zGV>W7g=0GSmdZ2**$g6AgcMmTnr2F!Rj1DIX$qagWw_mvP>`BZY$u1Kz~6^HP!7OS z&TJWHCRZ7UBdy=4D8%vs{rLWR=d^FUtJ)zj>zK;RYz`_C+%Nnprmr9o+(BEamIW6q zMNa!P6^CNiZ{V3!nR%g}qHIE6A*y!BW*Xp14nR+n2bKLi&7bL)EHVia^ngL%AVT!6 zPp}~$1^r<+VpZTwnzSm3K-pk%I#Z7-aT7@gjvuZsDME|VQ77m~)q|g4I@w;bd9Ujs zeR<5>HET$JtgZ(E-WPP9N6pcY4PX53@zoAaPuCL_Z07cgf-a+Ca#uyv>N*hZN{*~J zDtW?jaUY*-;vP9@O^#nStQ@JW?D=Jum4^p3zE5rg;3-KURQ(2P${;)#=so2P^0(Hc zhq7V&JCqHXQ=-rEZ%7Eph zU(bCYh{KTY7Mt>`+mnPUdl|dx$o*#=SyBG>6%X~iH071$geJUC3{gv>(c`NfCiZmQ zUBUjaeZu8kp*tr(b!T+=%mb&-On#=~Z-CtMc9xZI4`_U!ygq8}Fnr2!cyP)Q^0(Hc zhq7UN4`o9pIU{#8`S3SVdT)D(xX)TbLNxhc|9PoopTI26R4_yDUd89!0ZUaMmwrG9 zc+VZ0qIP(aUK{ISrvVo_Iq#jTmj3CE+k4)a@?7;4R2l?vJ|u(lXH`24zWdnI)lk8# z?GvmnHgfWokx@4D0H2vOD*gt@zZ#sCAMG!rhqD3u4s6PCco6+Ia35|Uf1gL$u>BL1 z4QE|Po)OtU$i4?p*C7C>q;&W26Kc9XuXa!u= z4o?jejD3nd&3@Svz4OSWpa0Xc>0drP<+&Ku4ljUZhy^_c+V{J`_8l~Tz8YB+P`8s& zCpBuTkwr-Lxj*FOXQvLz$|-%PSCRF`8T-?t&a9%4|2T~-2I;|yx5(f7my#yuk-xWd zHCFgKk+=3%a7rDK4=Zk_xSvULA9;d=9PE>6r9|G@ha4J|@dFhIt+)2z1xohe<0w8O zlGmvZ(nimci)(@X89D!*bzjjF!)B= zzu_#u(P%lFKAcHP<+pISU|$NDb- z0{5Do`&KSp`~0GN=IpH4`{M4!bGEO)aZUFlpWeD}<@&YXKK0=_K&yRz_u?C$t@uV$ z_uET$?p^Wb)lV<_BZPkP#rrS4ao75*)?9%k{&eU1?x(+Y=o$d#zPNYsxj@;y_o0Qe zcJ5ud^6KYr`173G-|T*I_l=)^5!^rfl_`9CX1ZGC>pp@Ll>d->;E zE`^W<_ibIgf=Ru3%{zydJPY$ax$)*h=fcW+px|@s7MK4FaJu_o<;E}nc-F3Sw!YYL z8Dw9(ru(WnzumWT9W?pjoEO)3y}0`uv!93YS0B1*=R+%-x(|K%$8$cq4nA4Xh zR&NEi?Y&t3@pE@oEG*l7=>L=VE&x&#*WP$lPw&vXS)q3r)?qKv z>V1&Kykd(@Rdv_z zs`Fo1d-tWBK_8&Ok0R; zm>UqG#fY*FXBHOWH37+5Y1`!xnRG+7D|f|uIzbNdTDNjeWa2gb7t5So(zh$dcdrS0 zwW4;`WGqtPi1VfV8c+X`vu&XgWd>^9kZmKcXXV0CCZKu^1X$R9N%ULj<@qgRe3M^qo z{;o8yAK=2OnxMREfL#a=rbQdTV#E0g(QB3fkl0Q@@2v^>eX|$-ZXt8XN;tO9F9ygq zK0=z1m2gfv>at-EvSOd$JeY{Bi2EQ9jtDvS$hxu(8xJc~JkXS02oHuzIz0~LVKV+$ z)w^n;-#Tax$TX}vx>O;h#T0ez%*M3e594UelgI#OvepI zLJ>d&Nf+ypiV~-V-Xkaha#o}@lu0SPW&rR}=G4a{tUOZ(1)^c#p(WjUb4)@O3Wk6? zRwoySf%E1>ASCSd)tL2!ECj^aS%6O&hi@x^DckP^9Jz2D0EYnJ1oSJDyD_)9BAddo z1iY)ZQUg3CTLRFFITGY?8K>=Hd#-IJ31}APC{f=W$yR!OZaiZ1{yrv4%PKP|-fKyG zqW@FQ<^mcgm}+BNTk(bgzF&mLw5wRLs|ZBcGrJjnTBYe&J|1dG9YG%*ter;sOc_r@L?x0 zm!WJp(xdA&kgvqgUd31Hvls&U&LfQK4^vBemx0i1g4r>8M{w?i0*KXx@=+(I7b4#aa-ov>40PESK(LU9KLH! zO6=pc9F`_t9QZ#9dsxbp31#8U5d*J`(2F#Z7y@yw7;7pGvW_^M&a9?1-ZZIZW=cUQ z)&=Lhn?ZI^0pVBZ5#etCBW{gmOW$@YJjd|ewSlT_uol7UtuoNGZW2HA4wQi#AO92` zQpzz_Pc$y*oKy@cNE=e-NJ;uce07b>3dvT5y~3~Qzet`xq;IqjO9G#67Z$QHO~F|$ zeJYQSyzzoIJX4x$A}e|6qahQznM%O;(ePH1zW?I_@8FQe7Oi8*^_T73h~b-W2r)Yp z-b2HO*bMJNf>}ytrlBsRn#7*}Hk~UGEUQLgiu#k#`-eQ7gUA>wqXrTbkZ`rX+>Rl! zzzGhOA)pUutQ!Z0K`yi4SmFj9iaj|Z5VVw!ok}~{zY%+ig(BR=J^eq zmCvY&ez~-SK7NzyB{3Z;L0|Yc*{DZYQS8u)7VaHDnbISUTAi>*kGK%wAxf*7J%EaZ zLiY^is3ffE4@6{nH9%Au>k4)Evj-YVo1%tUk}k_xCn4bAqP@vdtb>;}F~d?*)GoOw z?9E`&*@+0=`K!U20d~+!*}0Z@B-?=J`G9Xl){*^w;k z=yR*v49jc4L(2$fGF%WIB3s9;Sz)8K%c;-7O3#@I_M{!(6Q`XAovbD zw$sRiszx<8WaF&wL9+w0D7N4}cMTI=GjIoW2(Et+>7VTo`hHDYiSRH+q#FyI2VbRWoi61bM9W@dxnMeW?esxOKMIM~g%>d|lXsU-|V9!JiD;Vv3+WL@w^08`r(CCkOHkX?of0ZddXhJ~mnW?iRr z0}wLPDT`Bh*kCZO4u7Tsd#g~U zp$u896}P$Sm8Z5QbI) zMpcZKKu;}6)+gAkN-x#qSo5l~rrBp*90`r<`Di<2qYELqY#pyyHWf)mSjZFgiPExg zwojsljUQk(xNRepO)_pZkIQh_A;WVKb8nClO-?ePYzxNgjq$o#&O+54n}d;cD0%j3K9liwM#&ktI6} zCRH#;3+WbCj_!9bk*kP1ZV!%lkTd}q+&BwYK^Bgg&yDyCH3-2Tm#9Z}CW6Keof{6H z$g1n?47V-;cV-fvvGFz%QXjt|$4m~KVuk@2CfUW~$jVzk+nr^}Tdc)lLuMR>2YAKP zDOnq7ef(su`p_WZ!x#r;DZ?2EVQ76zvVDNzN&a&GoHf+XJ}v0uAc&QOo`*}5PA=S z4okx%9ZDa3TIj=J4xNK0alIYhz#VZq&>bvVKe9xd1B%2$hk+YyTRCgmtQ8#0p`#FY z$ap9RJ92_RZgUdc+7*xB<@5?VM*pfpfk*p7@p1{Tz!xmKvLc>iTge6Jn%!$MQ*M0` zS(b2QCCyPF=XJ$+Btn`Bl4XT)(s~3PH6$F9FBwak`q(HZ%1DZi?CSH3ttq$@LLi9h z4_e5B5;b$jbjq7jtm9F;M5xe6^Ko8sRLwU`iq2~OH)Q*3os=hiLH8`)6b$xlVU|9W z=f01yZCCiR`v4$1f}V=?KR`acfcokKwr*Oxea9JxYLbUC{W-u%pCIViJtJ_stJh8^ zZY^Zeh=OP3ky-cLdMnmM`R>O4&)u~enryqf@wvT{Tx$TFuzrFu;Kg(-ZVOYj^_hY&LUb9p%3s*IL>L~d>TD_Y36dg9_+MVjm(B^1%8{&()uI#r$8~_U-u(U;e_zfzJ&* z1)n?ta{GDF`QN52m;Gep4<>{Art2RjOkOSIwmHvE$?IKY<+q7@;}I0ZBKF{3H1KmW zejW7wb>oCRKfLXU7eDt6aNn|h)7REMzy6HpX8E#7!6G5wN*>)OhdWlg>hFf!Kc#nD z|OhkZXI0u3N_=JLx5S6Q8Ao{8)?okYP-Hd%h%WOrNh8bEd2Wzr?{ezf8fper zQmB=oC<&Q0FEpWiH*wef8)WydTVsAV8=A0nOK6&uHEt{g+4#$bq8qb7n`;H!*|JmI zNYv?H>(r>#UnNZ@xW6i7*;nwOR?x?vr4>az&!JUkeZ5-^kO+CW*x%QFg7nUNaBUwg zAp;MBM3A>J7_Yfg@JYCqj3@GvhBk85PaRV5T$-vaR6^HUCyy$omF>(1bko~JH7P7B#0K7??|>$uP-H8N2X~Jq7hDDTd7tFTuoT9>ujB#k@3(%F2yYc` zsSeURN;Njp_9A>TiNRH-HV4KZdsh1Xw)t^yf6n4f0~sFgMckw$7keGr0 z2&y=Cb-1XA!$7|xfdDGOKt;IQ3tr7lkt}92bgvt+ufPxiVrf6rLdcAhCi>mj&Mdc; zVyss}n7}1SN&E@?;jEe8a~Yy%&Qwg36_X^Xo{1PMWh%{BC7Y+NlTl_z7&;s1d*ILH zcDdA6NLD66@J-U^p`L+@eF`Jxi&7N1!U%~C;__al4;j|mJv8A&+Bb>J#hxI6aFWh- zrqPKDDhyCbS7244vw&^|97*9ml9C|f0*s^>%B5XXNd1F7W}igfvz5?SV|s^(9r!0% zy-7kL1RXXu8&?1&Pj4TXR01_3Cdg!zfpG;fm4#)6z{OU1nzK)`$vEdHSyI@eUtCyj zVR{A1Po*wGxj}}T5vE$tK_3MZC+uleVSwWsW-@A5HOelaW6Q17Z86s1m|8&_ zD7$#xe2KAkC}`I>gQ%U4Wvfnx5Fg4Ek%1T&M_42myk9LEB7C+c+Eohjlh$}^PZD&vDTo|7`c>alc3+!hW77kyVz!_U-_ zU1bt^j&vMVVp(RkBJ5j9+_+(f{XI@X6Uf#=Z0Exs%o&8<+^7WEEq8a-N*fF0&xEU1 zYslMs-2|K9?n>cyc2;Ffu?4Z3mJK28sdS7vmykIgV1P}dTB8HEB^@ampow7J9)zsP zmrhHAG_@uIS)Obx3`KIJiAYHlTJ}2TQ8XYzp$2yj`=phuk-UL>8G+KVC)mbV$R<={ zhcl6)>Vs8lph?yEb`s)>B#%03RZglPia{dEs4o9V>8|>O?M%w{9bDO{Ea8(Z`CAKt zWAi>4an-Pu$g;?Te@n8i5N}&Sy9P1lBUHo~%i@lgAynfva|qSAb-xS!FKd)Pd zcMtL@m@Ejv^jO8HtV#rcE9wwAfhS5VCb)Ud%o4Pm8K9?jCka9ow`{D}?BFFOqL%fC zqe8N9B!?$j42zOv&%q5b58$K~7_~n+A(aY)jJI=2Ov!xAQWIIG0R}r0bDiT$fIEw6 z)lkUX`ba`11)DKLU`Dm11a~_L!L0kzIc2<~uEpZ6GYa*PnJ3%Op(4>_)!VUHca-r_ zSv>1DhU^l_>_n5OW;0@v1xrd0{NTyT4K{P?IWas9XU1?fFByYp0->i0a6_qsfM$E> z*>D)SHcWiQ8?LcHp2+w|M`85e3`g+Hu^(wd zpmWX)=-@y>G%CK3M-ma+a$Cym9B^EPF&?tRocqG zLo!oj&dD$bFWe@vPp(p^$SCJy*c?_?{$BSixPbL|0w89RsMGjU3eyT)dkA%?6ir1n zYk*&fO`uyLsaJqAf(V)pbSAw^0V>}2p?FmhK`=8-HHMOYIVk53bMv@~=?1BbFKw`;MrSS_n4Wp1sXD|EIxsRcm7 zeb$*s+m_+yVxV%1f<|=yup}i&ob$p7>IfZ{stc#{?@ehQmFFZwGf>VD`Z_F5h+lXD za3CGnGw4+#{MX5j=YPouLD`KPSkc;DhO8iiJ0&c@A!A7*np8TL!XYHo0zXgNNRtnW zb)wCv1(Rgz!cxKFaaJo!IkP+kW-1Oy_V*N1wC5)(<`6OX9!_Eos-(8okl~2!GWM+Q zrOB3B@&dwbsmp+_B<=nolgY?RT|RL#&??0t_ME32gfwBuB1qfEiAGlVd)iyr%)Ve(P zxj&x21S9U6d`5AWv<5xlxI%y?e|zrX3a-qXvrOx~MRQl3-F!bd&#Rh01an?mHn4r| z{(#rEryJf}g^LH&8=sE=^!6z@QZ=An^z;~x;<6qF+r+@0Xn;Yl9q+NB_*}E5I9v*k~ zz*B3_cx?4rlKm4hXTj!k2euzrKJfaw$y)ZeeJk~v|971WtOB3>C!aij_oolFa?Wj3 zPd;}LdH2%D`jkB@9{u<^%;-g%T=<14y#pw8oa1=LlCj$^mCZVk{= zF+q{4@nt&=*{q$w04~U5tJ+hOti4#X+;e*Svv#+49{R!YP!+VWFa+-PI4SglE6`un zxVbVVEX+iBJt+a%75F1$)~Of)CfQxobIyirZ#72p3uKZlXzbtideFIxwg?WpN;*Mvmu?M$wL_ZO?AI~LW zrRjLgslyuacVLbP6A182s)V_xICwqD*+#Bf-CFL_Xo7ZZ&0wul0|hk-LpW~LBD$=3sIw@=*eIR#t}bas68(9870t{M~I3T(+?{JqxhOaR!km9 zpNe>1fRrcWw-%AHPJ*_6RE!vz_8D&?!E>;$@JB?5qQ!5Cj9vUlgYg7Jn64sOBuomA zvjDh3!L-Rq=sL%+GiWLUuV{A&h`~$NjGe5-Dap{!ruU4I2%mwrbsa2KW|L!~nq_%l z=1FXj+`Og=hLlk$q1%9tfCO(fdKs`%!XFSR7?WV)s!Thm6Y?1omO%E(xLzv=ZkLo9 z5viH9op3cyK!7e=bS)q^$`)EPcs0XYgC;tIH1f*g)o?o{k^iH8$^zW~u!#)U2~I+x z9^>ghPuH!(yJ@gD-#p2}6cBBdDsVe1kdW!^>r3aZF6(W)ZnJscM z-KpV6g_UoKEnw!-Gk8mgrvV1rS!kdUJM^cxsbwRiK$iI?7r41T!}p!zRF9b(3IOh?W(S5%Ndat z2hI7P(VQn2S+@5l#T7)HB!!adL;o%hvvU1pX=nX6Jm)FEWwh#g&+-wL} z5SbQj2i7VK&*RUMPppCm5toqh!ODV=30uJ}Q@b)=(#Zvb6`577kuNnH2+Dx6`+4q} zNe56o8cx^Rg5U|{*)YYAVj5JCvaF6+3khYnqN~ek=xP=fk7O}!d1h;*eK~33D3lO5 zE0l&tw%gp)H%ZW{uH>Y_yg9R)L-)dic=ZGd;;&$(4u$%VCC_K6^=@mXHyE^I!7aj= z_{d}{t=VT`=-zQ;TWS%wDK05ksr5#d#X|hDL@^v=DQ?9#1UA(vW4dIFSWT z(jUllP|yT#O_yjgM#VUh=>%ruFa|Dg6=&(rHc|*fka}=b2OdugyWTV`>j4n$rB)Kx zaKY&riBBFGTe${m853kRjb27r%LmF8#*P4DD(14Qv7*w=7Lgq>kp}7ikeY3hg0BO|?mCW2Xl_SsfQ>WIk( z4Ea995Jm*-Kmu1N>=&%md_r#(ZM6^*i6rz^(f03@>{k%K4x+@6U_26;qmE@O+4&9c zdC!6jFBB?vc+tMfp8>7NIewuN>5ybep(!?PQN__Q@oxi7^(&9w^(6?s57{`Bw6D4~ zMG-;YcZh5y=0hYtdc;}M0>7AsC{mH6f(iMV6whP6LeaLC`EqUC2uMmQ`k_w>^szp8 zryEgp;hRe%JtVGxKcr1NNK(6*RE#sBPZ3qw_y~x)P^KcW!VnBIiF5+yNwn3>NeXP4 ziQ{fK30$0-Doo(e21er~667*Pf|2NYN<>2{9Aa0|v7acr5lajk<3|Nru%-2&tBS|3dI{Y!Q3m`rv4jOJk@sUt|Z1!Pt|?)t`L8Z0kB^}e(p5Uf{Y zh`I=6cR!8N-co?P-M+H%apK-pgonDS05^I@0V8&pdsy}@1x;3C0s$kybN1}`5lE0d zr2sVh*jekVv?gC({*?kZIP+FkUGUoF-@9t}vVqk<-v37kDHy+C2^4(k>I2*FTs{lzpA*-?d=lkZ(gu(Utkf6G(r8@~WSPwd7g7`vDM39?^2TtR4p8IB)fVr4|O zuOLRP$%DhNw3|NTk6e%VPymbFmuPIBz@3&4$RAq3L`S-sykRvBL)H+-2#nfFXyD(%S+U z!)W^k8gVZOQ3e3?LKA$l@Fu4Cpcgs~qt{jL;>m3!yJd;+R;K{dMp>c*wz%S-7-hLj z3+Z1stjUCyB|ehax>TQ9Rdv4ZQZ0spKyJe~3o47Lj4gm8y9HhP#i2GURky*qgoM;! zzGA$$9-PE|kyGwAfV8BpfAz0Q2Rq_zvp*ZU_tHz98(UJRJes|z4#VmZuLC0#K{d_g z^*5rQ?XAP$#p=*_lRl`p5PvCggG`-LQkinLtP2627N1@~cDr^}->~u2&7>?-4UyRw zHF#NvhNv~%p1Y99&^tNes$0g|v!4mU6!KsLvUI$SW5KP>iEPy%cMaYanA5R_Pm0N?cz5%(EVkdyHsPs#ooj$I`q32jat^cHFzG(n-MEv*}1 z<TONbL>mxd*8Fj$CQe`#%A3Jo>WPK0;jLjpu40!brKB*_?>dyb-hm0b+npmqnN9@ zN=Rby6GtaDO~I-{*ze^p6bS3_Ze^5MnrOq0L%fTw2L)<=|4vhdB)I~bkS@~5F_vTW zf}u&LrQVicuf!I3rJB1zUQdFN&>QjCNpWTen4*3S^qPt@oT+hI4HAS@0^tn98w%Tz z7=t8;i5enF_Fb23=QC2A?ZudC%)>Ru4c-kJt+MtiC=u4q6B&X*uOQv z$~aVOvLMUAFahXrKkSvX?I~kvX?n*aD;m#H_-9KDX+-b^eXj|jCb$%nyh56?1^W;M zvSljl(qB3CKO}wT4za>ekOO~|M@@4yoFX_5DN&aBBn-(s!T6WZS+Rp4_R%%Wtl!5l zz=1-PIXWIrcH!dOFzN4qr{EXuLvj<0)3p2vRH1BN&1Z_ux;}p1s)TN zLpB8<^aR0^ukZ}s3fb)-cP>XOoOB+`Mj{0EJ{#QbveP!S;jvG$M^TngZZTq$7Xy}C zTHjN9(WD-40mzkj*q#sp=LSi$8>zm*fPI4ZO>k}rMJ_H`w4r@jp9-b|gLv$BVuFGm zT5K6Tnz==?b#TKXvwnAkXBmY;G{eCk$5Y$O?t+qe<0*E~F%L*dM+NQi7|oBgE!NWw z8`@%|2_DUrc@;5H>c9t92;Z`%BnGW@XZZwlXD$}U<`e{HySe=;O>l#g@QHY zD$Eg4?0~0}9g!~vHf(*m2C{1h*BGT3L!Y{Jap;4jiM6cH3qlj18e^i#MwS@aB`cxe z!qi${2$3W6c7cqkqikvt%!!cRL`HTSEaJvrkgWWt$oUg(8*>&LWU|y-m8vnb_CIA& zLhd|xa2J(jins?!ZwMkGUxJl7OA@5@&dWZCT;K+0G56n`TtIq0LdpB)3@fOE1US|% zoi!1q)8yQX*nx~!s6Ke%qs{l=`)kffF%0Xii@}ciE7rgB_RDYl^mEmZ1@fXep2T?E z&}rXjxNV65!&uzv^UN)`#>_BLEI=vXX4!<#mfOw{)? zLz)W8LW-JbU^Tc3R_mJu@jT7^I0R2}7yPA=NKZ|}0D&>tPjSH;CWW)48~rG34o=8a z>px6I&YTR!ufmV?e{g7aAgf+btRQJ>vj!npHRD(l7jLKIl8z4c5nO>JX%h&QD@Xz_ zJelMS+*n^FO;2|Uy9BpNF$F<+!2%OLl9&x)LXqF`*GUif0IosS{_KOn=sXFBOiEPvZVXQu;)G z-V1dt4>3LLP@k2)%Rp>H95pyla36JJCiZ=D%^(osmZaUpIZWN9S~ z30b%{tfC$8SBM0X^c=HnGj`ivjhD;bXW{M$H5GLGKdqyaKaJv zBvnJ`F2IrQ*K!l3VpZ}6Cglyrg?i3{v_U%fQlF-`s%Zc3b+=$#zLE_e7TKeHTXcL3 z?S6b2wGMxN4>iKmsxHX+4OYu_A{io(eeO`Y4UiOzijrs3%VCc20 zckZh>t!L;;yJMlvw_#1jvnT&3@TVV5KRY_+rhP;0w)(@^*K+Yd_t}4W)tdg=)j2ll zW@P!m)G0RGHfv~zjoKV2yNHcBa6}k9lse8xmChh4RXD#Xx(_dS^l42^#N^<&s5qhiB*9XsH)-qLQnoF&g>GRhSm z4Y?pZ5MYr~W{+0MW_(D@6$Tl97i3WrvLUn%W*`?S&DmpK6-`Vw>MV!0Fipn8bqq@e@|tWqMNS`j@YNyq z*=NSQtY!?oI(_FpC^(c08Vhxnxf9Qw7WnzJDWAAw%+!5D(Bu!JhH{ZW_lZNViomPW zA7N??T8G@!9Jpi)&zbyR*^p88t7#2P?E}23NhPHl&CA(&>cpRF-}*plO6p zP-vZG{0Cm8a)|OV`#8Hlm$xqeBQfxK1C{*rKa7IEFaPiIUE2cNubi?fI_8Fb+dq<< z_Md1SEXY2j6)0+DsEq#+615KOzk*MHkr~ha)5`y0j|Qg?4FNV!@9>X6*VN{%3LYr23Rd)L^h?) zFxc-(%?pWK(JgQp-ll|*a!saJ0P$o3nQG3|4vE@&|JLdItU#`|G$%&Aik3iu95vX` zL%tB!DMpL#(bCuClmqf(Lz^EQVoNV8{}Jx=SEu|q3Qc|wO{z%<>#SSwBco;7gwyXh z?(uz7o+Sl8%pm*Y$G>U^UOgSLHca^u`=CUOE{5(#>(D(>P>T^vLf69+&fs}ClwpRI zk(JF-?K9*w?eARKJ;Yr%T6H&T526@`)amG3{1>~yC>h!pn83rb%54 zxsO41EmMt#+|a>bJZ@hY4-Z0!BrrPGJD zvKP|#A*7fb{L?WSJ6O6%&hb~B9WI`Cze7EXPKxKT*mG5X&2*DZu{TOaeT98Q-j1Gy zNj;0@wtAI%7J&lyi3gksCZcHXLW-i%;Xu_v&w{!qn!K2L7GtPq@nN(MmM0MDUcKdh z9T)pO3uGDb#A!X~S@=SxbW+ScT6boq4Nkv27x)-|@m_ZI>*XsO0uR5o^!ljyAMfeq z_D1w9a`78FXZ&Sa;1^e(cw&^jzHbbA7Oz(P%Qo~{-2Jb)(_j1KBW%(Q``E=D<0mHg zw`L99gr0>pk$OZ&Z5_~spq>SrCx?3$)DJnRXMvB=HumKGjyb^P`JM$R=vkou6!F+n z8yybnS#-{ro3luZtmf7W#c3+Dv`34c>k2_QvIuCCbw8 z4wji>-2wC!P-rZNo<#!MQP&4O3jolM^a!ZXbk71Ej^&~0uU0(yINSEZs9T39$IqMlXny9>s3!~ zsaSvAD_^{K{*Ea?eO+8gi| zQFb*z?%w~m7jU}woDHzjt9HKr+l>WR4QahUp~)K`{K?)|5{;u_Meff91SQ z^LF07cfmDe{3Qc_{QVV=UbgCqT)i}xKm$c0a-aMCwsJ)8yp?L|`F`u52!{wOdH{;Y zC4?SM$DXO7c-{h8)PuVu%W+XO9EOm`69A7YNqwtzyvKlrwa|`+2Kpi`a#on}jHpj? z6=uDWgxzZV(rM%FEZcO_z`|mTc_d_B)mp@4ZN~V)R7vVu22HX~TRbv3J}c%D=v5|3&|WGlFsNDJ2BNGG<+PTv$J@}SmbHBnvvZJ@b~?+L27@hl zPy^&L2U&W|OCifvX%!q>Qr9_54zhJ1TSm9%FhOuod4B^-7)W_Z6hi>$RzTSWZZ3qt z94qCvaxV#pthnKodws59w^n5Yz;_oylK=;s3H&fivbo$#wa45bTp@bv4Le(|7PZCg zfN}drm9)ynQ=L=psv*T)&yxltJ%Z85%#h_(Fle}YRyqo$>LW--;A+m?Fl!x3qSOe4n zPH`)YXBQd<);-N=1;dqqQrn7({T8f@zJ(ib*ver|$gv~Fzg@Cl?W;HWtpje$cb&>h z(B;(sF)F}N%K%-g-*y1hrBw<_FS7)4kvMHK6CnWBYSxELFhja1U60d+6e-8mI*AY}HsdyycgHnY3e_i@X?#`=p3*oS3q~jX z5l?*{UuKg&7ERzs1=A}slJJu*syvWjP(PO6&9;F&?`IeY)b@6J>Dr< zb=13viSBrX$2v{{cCCSzl3Bv4uGqd5m9$#Jw-XYsbzNU4M%W2tG3u6`*1^(F>_XhJ zT@Kom>Ty|K;#e~x1Z5g&O#qnE*?`BX4OzWb{Cr@*M-e;3>0v9ai9DNBqBB08py5jw zb{o83qrTc%#157`=LZZB_w#Jh#Fd07i#t*U!vOXTR86!E?m3-FV8mt|R?>?m6+&j? zF~qW>MYaPVx5lKLi(K^qz`J%A1D`%%huZq`j-6EEbb@<=cI@P+iPttQ18#@$Ae$82 zFzs(?odiulMr4630T*akCLGJ^c}F`|c&M=e*y`XGGpsZmT`r=7b@a08_thcI98)U{M z2+9EL%Ymag(e6qD?>BCBg|k#4K*n3rZZ-2JWt_;C3eW7Rv6{oJ6@#hLlpBw3OyU9A z9L-V`(~Rnq#arGjGhih>H^bY2#*C;Wlz_$bS_f;6$X)F3 zw^n11kmXT@a9uk7O`@Nwq<4?6AWB{UN3+#N*%4y0C$xfHx-9Vw@zDHPzeDr|At+fY z9W2^X%IZPKA;vpQp2^qzg z=g#&4c6O|xxFy?K5sIt!$T?^+w{SO}sA48$qmp;kVgBOWZs6t)P?cvHE)m<}9!#ec zc@Ge{@q`h{1c3TlHcr(jrxRp^oo+l#aT*kqkuII(_#P<8;)xLHoy2{GES>h|IVN^2 z+})7IG|(|eGho<36mLts+hDy=&@#q(lW_C3Chq3leE^mXR)Gm`QjSxMrQD!xa*szO zZa>HdH?mOutQAqS`ex??-S81w7K@^PPCn`yan%Rl!ETS>bQjtl&UXS-Ro?BOGT%oE`<3VdwWcYZ7c8r7o6e0%&WsDi)dQ#wy z)7NZHSrB0iX$Hp*uzCi)OL|QfS%@cB;(N_)i=YOY~QU9pe^y7(b7r? zuYozRGQ$V@KJ(xP7B)Ww$0h-fEgdxrZMWyNV+H?+f;lV+ux)5UsM_6V4I&g(qot3J zLt}(0 zNfT1&jr4{GwUuO^jouc1u$VU$+O23pd?6vM-BXH+3^Jm?VV{f`|8^BDa_oB}L|eHu z_+IraC_2?aA}TUdg*b}ifE%bAelnvvY!-NF7fcSF)F-0!jiaCFa*Dc4fjV!dO?;3+ zpAUenK%jF>=xvni2v+Y??bAtLZGeq#Excrbggpq6<jjjVP zV@s93;b^xg*`^XWG-KF+6x(4VSS0ri&P5Q z`#!-pm~@~m>a}=1qHzJonF026f{6t#&M0L{vKrZ-Hv$>uP(kKj_lFcLixpskL~D_E zV2{%)*?7SWH0rhCN`*br6Zi}0Q%nV!cY`OMHvzpCkkL28jRLaJUar-hnGfk}=d!^Z zTIFWS0w2>*68Ka2gJ;n>Dx@3*JCykm(;Jf25ifZ|qPF;`9P+4V-u#5GDLIe~(!bh) zI2J@if}cOIB@R3S@&MM_KD-8&$W-e7r3Ig&flv6a_=J4SFCOS+{HVh^8vw%9A!MgU z@V#L7vT7(;chh}USO5j&FEq}74(9Ck@qS+z(WH)`;J8Tjvvbs9qRP zE1g_?u_j*w<9(o681I|2X;{eZSaYQy`*ISpd+zRK1OrSSylZ=gTs3SZ{QW)RUcLUt z=Pte)zndlF@4NBl+3O8(-#=l`hrd04&;IXD>|0*<8E`+fek!=9Pw-v!0_nYd z({I+ku)gu-ylkw{;wwm+tc(_C`CV}5F_3-oZh-F=aqH4K$v5ZR5g~q|K|u#g$Q({S z45zp_OJBi)tBQ;#z5mMOo3y|4t~#pTN5airw)z=z>`#6}Mf|PycgEmVX0t3dQh7{_bt^*M@TO>86))= zj1P@}>NNCcL*wv8F_Ej>KZ>pAV>ObM&Ns8GLO<%suCd+Y|9Jx8{kjcKe~HtV1LB;w zy79(DYiMdaX|nsnKgiVdnd{cf1+Lj6?pUMTO&#af$LhSh@Vz&(#3#5B_usMl%d%qJ zTz`S`bMp$4WBc&MGG_I_J?p%6(3=yYtd|k6GBi$ggzT2q@7wMoXhLLI3E3H1(Ntl62Yt**RyKur`-^~fo~TuzQ#)<%Z?ktjkS4ZO#V8wknXZc(1eO1 zoB<}U)mpj1U2+jAI0!O0=UkUH%398$rEgLor?Q!pz>@k+4!W?a7L6acN z@fDmk!aXO0b%k6TAH45&+N3kdON)RqI>Mf3lu>HE zHg!zB{tCrXddX32(Un&CCRifgqCQ30NHB$1^Ty142 zQ6f-)=!2@|uy;_ROo~&lALb!4vyi1^Qr2S~8b~fv2_vYSI9?cP*t5+L7{G*mn@WfrUPy+Z zJr@_&LkT3D!mn{{Od?BU7z+q904sGeKw=;&z2%aw(0wd2kxo!j$XeU$yM@uk^m6qP z5}i6$l6+%D#G$8AlTd)Rfh{_rwvcJ;!1~&xNtI5?K1p8C6+xdlrZ%H$bn76QgJu!+ z6%Q?DprK1(Ib=LR-VT{dhUYv01HrsHfMr2+mJO1FTmk>(p<^|9(Xb|&)s>Z`UrxEMeDXv%wuBf8UN`fKOPUm5Kr3N{uwRQ(MAJwZ=Z#Mt~sWwhINp&AT$p^hOc# zuewKJf9CnlFvIKe7K~>Wc7Bx;q1#|cd8C!hq#hRxC?j0ssD9&57;b6dkk zL&eNkMMZhtabacl5~6Ik5yqoeu^41Rh`{5Efa>wAhY-g&Gd3fP8Gnpev!+T21z8xJ zU}>4o67>@b`rMSIPsZb*7G&a<*v=$!8Vch5M95|lkVP;crNTi8!`39(3S{g<2*&%s zjeG#7%VOiCWa=YYb_HH4sWni$7~Yn8WT~jOck$e{ggop8s>xNBXaCB@yO)U=GY65S z#3z<`4M<^u>Re}xtgKbJrb<&rVI`J+v;X}OBi5Ok(Tx=}NI@7sRWOdy!TvchX^`G# zw<>|&)@&%)A_Znjn8t`TDzueW1zBlmD+@wKjE}`Q#)mVw-h_e*p~)lQj-P`~-h-rf z!Bqthf^h7RjS&THvgU|-!+G57I!tfHnqtS{nhL5_u<{YS{9%^z9D+Yo%`#XCPY>7F zqsV@L$n4C2eXn{J9uu&El2vL;)bHyN?dm=Tiy?+}I7ZW%+0m+54D3hiuv?9I6=VY& z1j;(}G)tT4*TY{3GBu6PDoGoG4d`!}P!>Wn*#0kRV`5k5G8!-WP$+F8hp9nkMHP$J zV&%vNut@x|a;eAfwE)RQox~V@NhgACL*R|9>U0Z>Ls&K9n9P00TPN5$RDD8QT7wq2u|Sx)g5CQe8}fS^AIshiA#)qge`5&NEgHnO>ai_F=Ip`< zuqK~QvVpQY`N}2B2ijf&c`g3dYXsjpdC1#2SjhfA4_tl#$~}hV=@$T#_lYkr@0-7Q zdBgMLQnzehf6}KgCt^J~7c17UxZTH}9Tsv_4`PA>R_aCDi&1tjr{&Bw1na}l1T!<_ zlY@o$xVa!dK?*)U<@N3<^sAT$-?X4BGfsE<6(VQG~qz^^;G2e%JC*Dy9N>zkSid=GQa@%1`bXO~ zUc_%iU$t!Z0Y~*X!t=_y2UmxHdu3095N3Ve@wz5q3?0a<-!URvAHaw{$xiW}9&|N> zRZ&`5o?z54?oUJD-XO@?$=B1wM|&P+7gsTCcK&)QXIb~%nW8s-oz?E{l1%wE)B&E5rWXrn?=kGSKZ4Q}cpLfKUw zr)6=Y5Hznv+L2f+YPsC$?3z>uoz>vo-Bqs98g+Nol(}C(5qz{JoDC(+2SQb`834## zQfE#2!iS-E4IqHgMXn+bR>;&q#9h<}vH%(Afs>IX{tA$IP;d)j$N{Dor32%^?RX~0 zx>jK&UXa^~oFboqY=aw|1#Tka?;X~`e3pMbA(jiB0n!Wwsf3QGH*gG#&FR1RTQR4K_RrIY)N8}z}*o5nf6(k`~ZfH!+}7>kRNRxL3I+6uhpr&UBLnF@@Z_@npIlodkM3=TffQR+YyP4I10Rksd)bNKn8caCi98SMuiHF1^%p! z0HF_p@X$&+*&x2XK)c-%#1+L&E+B7pbB;L?kds)3y??}<-!v0>m(>n{+zdwavz24B zKeH#aZHJKkG;~i7tv(2-=%QuVn;FcR1e{pM%WZZ_vSY_$wfza!mI$*_TK6qosXhfw zV3K}RP!!_}Ir5Y5Y3>CL?qw^$jRognyyo7FP>UY6c;q+d&6!D>q_igACD~B`)i`!l zs@{b0-b%bpjZo<4!4o>+4_a-X9=2Us_yqp2nnNx^EG^r_6Ut0fcpYnOd1jEG<#a}x zcJ;yENfiuLWP_Cv+05;B=-mmq-N_V@m3d=iYx4=Te0;>cFf|C-9%N^;`msMA?<0`x zENKE)WszlG@2S4=DOd?}P+$dfsL&uJ7#d5i8X?2VY6z(UIxzVpZ#+14mf9!BD7lM# zLa_s|hz`=5lTW-=hmy6cFp3;;&&Grh9NV_5qO<#=ns7%bfOkOJ+#oO(-lY2IEc5mI zZ|N%gAw8q{XQ3M}MO(j7r{_=pp+HZJHzK1or73!F3nmS42Uuq{=O4jyI|yRAsP9!Y zhJ~RmgSF$l#jZ_~>|1f2!gXdyx1LtO5$qbFLjF_DWO1~$^l-xn4!H4^UzoV@{w^i| zQh`0Z!@ia0=#h$(dg17q4xV6^t%zdqdc4j+=9p$Jw^#O^ymYov%BDCLmRr6683-jv zhUsB0U&QGN9qnWi?4kif?du{WQnoh00KFT!wN(Ih^j1%hT$P*v4t+Hw_{3#Z18u$ z0hU3*ny^?O6tUBUQ(KZ$1E(DvVz#A+!Qyk=Ki{cJ{*g1+EeZ6f1!kIj}PY^T+ za6k=gp+2Rfb0-TPRK)jY++Y#J_ts>CPZ0Av;f9g&5WNK?(c3b4a*~cYQ%Yif2D^ww zTNuWbg#l-o@Em7jIfsf9Gp?CjE+$XJi?|K$mPZ}P_FnFX)qAE%v znXFo}UYH?pP|Hnh^{$arI2krsj2uc8uN!ALtMyIAJ|zP~>HeOiAMlENdE!XoU*11B z(h0=_hf(K1i=l1~E>Zrujwb!9#jqwt{fDxoKwn66A$$DybC2S;BZiy>6DHk1BnGDx zD?=lJdr2`NH5J=8PbSnlVnT+P4V8s+P`!}MyjJ>HK>(B?W@J9hMJm|1=b=_i^A$Ge zBxzo-&%^BvuNST{bZ0;`L5`sc)XbAhO6Ocxq*iZIIcH?yc5#`U%tPsfDsG)Sq$B46w)G1ettZGlM;E;{AX9Zk%HThrPe#! zIRBCzd2{w_O`cibID|p|D}CV8UQ8m~wf*17$}0}6*0N7882|8VD@e$nx8H-W1deZP zd+G9TE_n9TzU@C=k$L#$BPuw8o14#)FnP5H{jumBm?`i(`>M$Y{zS!4aMkiZ{o*wr9r)q3M4nuJTcJW=q6vt}c?IWBx*W34 z&13R59@w(JYK|`Af|Z5pa|MKK!Uq+@(8#)U$jb8$(tDUZtVzLx@2qMT%JSfuf_IT6 z41XJ%yr8*JeRfREYf?9&2_S~oHO{@{vp=4H8{9rK`)}u}-Y@LZt-}WDIA(SK zW*EE-q_;?(1$bAyy9e$Xvl3r8WV^?s2U>tSyB?>!)gT`q8sGaBbikgO9BFmNe%*~Z zD!a@X+z2whDQMF|oUhTqFll0j#`k;$0}K}iX(S)uyPS|&3H}Y;*m?39-2uxhScCET z8}!E-&xEG7EvdsN0hobEP3r&k%m0RM(8)yB$%`%G}c`7!6~52YpzWG}7j?+s1OVe+8uR6FsD82`E@O1gqE zbdf^;t*xr`hh(E_CbL7FSJ}O|tRI{^}($FMH~E?}4$FI}xsm zfxH(Uj5%g5biP`NUhRdLh1d+AAiLFzPU!|Y{=o%!Yx9HaUhcxORMrRvWBd)cjY2AU@5nGhBg~r*f{grBx5mEE7@)EKW z$P>aO_9jD$flHA$7xv0kmMzpANH9YNufUK2hCj6Ya^hBCM&i=X(my(EYp`JN@rP0q zEP#t3Pcqp-C%M4JPh>DDjr9Lf_bq@?R9F9ZW@p1>S3Vbe}lRac#0mf-#=4%~}x4iB&F>GI3&7I_|Yi{-ppNIEG^6 z@CQrM_=ti_=H*NkhavLsJ-vZZxk&~StP z4h-gjmvnUq(!oqe21US2U4e7=6h1`1+T_6vxK>1lF^7hDjEtEdKBD^;_g?uqv>iGN z{~ys{_N)`?9d$s%LN!o-F{3pJ%di8SQ;RxhVc!9$`a|n4&Kp5xtNu4EYCv6y+DTv_ ze*MKom<)H8=?SG_(R2tJDhufK7YF8YAmQe!A!i{wT)FKm7>a5rk7m@t-L!Ne)n~w$ zPZbWV`G<;04+ydDbrv)!4GRLNI;jw=IR)kR?8Ga20^d(|FSk*jZI#fmH>DCvDit0m z2z>VxY^|hNcmkE79JcS&1i1Bx5>~oGj&@QkWKV}|(nOL=Sd_5qL7EQP#GL?lg(ZNp zyO^3;$Dz)Nl*4$7glMQI>leh9!Lftv_~2#FUWm$ePQnG>g^-J}D1(IL6E|8L>VRab zdV&t}da{yaPfye@f|cVeJAQGo!RM4On-D)0;JZrDDLS=b0q~E}(y$X1%lyO*bDnl< z5dBJMlW1BrbN1lHsl+d2jqNN#l!!K7l0(_G>Q|6s!HFoT6@wIVQYN$^s9sW#xXDV8 zw&1TPZf=v4+A7K9lX~Koi3Soqak#py)8AhPcV|2B00qfaIV-#L?rJ;Wi7s>QhOaNo zS-G`$X)5e#46^qKubjt7MZBQ z-O3i5Is2K4lGOyC(f9|{Bm(pN0ToM^6(Py%sJbhX-xortU#O^Au=xnXE;_PE#`rzP z=xGElil-G5x7^?fpeUw?5t0m_DMACRjILD-AL=Wr@HN50z2AtVH*?D1<-ZuB)$@Fe zvPCdTYN8562S2{i^^_zD5KKmU z*}xetcP*+SrePw5qn&jsMWEMP7-4JzE6218d=3Q#92(^s6Z2U}sC0ud*vYY^yq-qj z6*ybf1Q-xOC1PWm$uyUbvGKBs%TSaQ6ifaZEKLF=sq3J1oCT_5owez1o8V3JEQyKZ=yO6OdVn@l>TqhKbcFK|9ydg;I{0`d_O{`KBxC>;)E z1J~dUr}K_nSWd5mso`^?Vy3Dw+)^7w#=^%_x%^_XQd2&lA!m`dq(7ZnA&GcLWKcQ% zbo#OL7bNLl6DRU9MrV|Zd1)>$%T7X$h#w^hP7`Cv5OES`DmOvoNH3`kWIzerY6V1Z z6w{|1bmm(|yQ?A~NvpVuIgHL%LQW+}4d{0F;A)uT>!$909!^n7CGjF299*fm4!O|HC0uk8>6dq2L^@Bt zg1=ox976Y;N8}y2Ptb|R0vcBsW-t+wCj6@LB*V%mamb88Tt`H>>hoJGnPv7yOFv_> zHL%q>_Fb{Z5ZM>J_eDL~vHKoj*}Y}c+CWYMU@8+B23V)8U7+aC@3jW;vS~T8Ck^u0 zeHO@NH4f^hMH7WK~AV0@;XiGPCV-LV~tHK+x$otefG9+;et zPR`nAtke5Haa2dzJLZwm?U_;8)}P(4dXMJc>(C4K7G$-8HWYZs0D)mlo!ng=Xrivo z0L~VHV7r)7^1b&;GIR-aV34zttSo;x``)qtZk+pmd~SB#p68Qe@SNoqcV{^V`Sw2p#o+Lvtudf!ReOm-*BW^L@9eg?>Z+Xq5Bm;Yaz-dbBy_!z~p*3mZOXbDv%8YVZ6g#D+#uXDG#?X{O=iDGVrqgyf)+B zTUz8=Szs>62 zEF_o(SzyZ+wBZFoNl-2u8&ZM&hcUpKq^tRqMLeD{ZJrEWqMDVZ!!JNq&$~JM&RzeC zpVd2k)|hppMkU)&9h+QoiP%xBJWt^xU_5(%3($#!KZb%Le3UXBc*EiB9P$aUb^B*U zIOh}MP7@i%7yTbR=>O|q8L)Nth4>!c=`kNZlFgE1f1H`I@4TD77r8mRuk4O- z1sQ{Vn9IJM4<0eUd)zsT?#;(ftJ^!Q6-{7eY@{EH4E&uoD_@ITT?h!+2iHZ-M)hHI zl_Q0(N%Yo}Sf-TuTl=#{|I2(T=aavs%><(DN#0DU$CLT9*;wh}F_p7E+GPx6R$p4k zS}W1K_5c$%V)UU+k-7)4x&bY>lMp5P1=zQNshk?JeKbz838VJpCm&ev>A1FFui<-S z?r>!NKZZB`n7vcb*uO*l4Mo{0`y>YL4_X1DTX~jmccx%Z$B;kqQG3$ipK9KRGk3*} zf~~$bCj=qc}G@ot(dXub7?!k1MFs2HDzV~IkT;PZPqbUomqzS!|KBO zqJ^s>^Q`=HCYOu}TZNm%Hfp2}%S4BbvHL5!|0Q!OHz$umd!9N4nW$$E|1)+w|DAbm zb6L#qT*gLqynx1aA$k@o^CwZ0)-wF__n@!P-J9q_xL*dL3sKl6dlu`^voPD3+2#js zEo6J{LzH88y~3`pP&S7P)?PjQW$~?Rjm?jZcBSl_M>O&o&`H@ruRe| z%|Jj?9RI1qp){|!>%B4my7S8S<5#|PHhLB)H`HWj&%&RaMXo|`3q6Z*NxkTI=t97p zB{L0&56sp_J?L2^^Ut}-JXeF>4R1)j;cp`AM{O@Vx4GbXzjLX`-|^Q~j2E-2bJnZB z{h`vK_5S51lquhQzV#SC8$OJ>C;q*xqt%~$V#p|CN!6BnWzPcrK6r49X2$$U**ELz zSyU<|BQ>NBR$?E}v!HGT`J`vak@xp3zySMY&*EV2o=+bU*KX-Bj!FmR=qElnMCs63 zzmB0-VSiAqmo!u!x9ttAZB;j9t2wiuuQ*}vJuB{dv1H7@ay^R=dG3KuA^V^Ia?c*i z$kO3IN7=omJ0z&savDHZ3%7jdhIyNg@(kV89>1XXdynmU<+@=&9hI_O*v@NzbHTLX zI|VRHB^jWqkKNY)idU~$GX?OXKfmOLdDB++ZUT<0hIlo$q92ep90zc3ryahKK705wTmuz>69xn+fKVGry$IEZ&&-Q zH30g3E_3e~MAuOR`^EyX*B!ZW#0D)i=~_YQF#g zxn&z}`0lUH-Q0f{gOD6X>?`w9tFLH}6MXTmS1vhm-saKp$xr8Px_rUOSF}F@1%JI0 z3f{PR?e1Fug$yCEYWNy}2=ChbZ$KjhzIfN3(R%^6`&|3A|GWTh&+dGD?&ej%&E0d# zYq&3QbCGD-o$WxB&Hnl|B+9IGaH1!gG4?UmiE`($R`t94)V4eSw`uSyK{FVA4c{8E>TD|Mf+poLe_0`jWfqeZ-a1~PidOtLQW5Jz!(|!!t<+<%&e|7b) zb3VeH1ETy`b3RAz9Lnx1Z)FkC;U#3yBq5sC;>7+Bp-fq<=oS;JZU$B+O%MCQK(Ej| z5Q3Qs$m#?q-OyKaG?pGuh_Vv$wpvSCmdc5&SU{9Dz&$>~hkiY2;^X6@l+YA&X27Ut z5W=HTC)uQ^cEH<+g-bQSp9S{^OhT|W<@7GLtLw=QVA~c|Caw9!xa$O!<0OdfUr3Xy zaF>MmAsdLY&;;Bu-WS}6GN9FIUP<;#bNXscrk6c~ovN{sF1TY~PsS&3&MF~9*nP=W zY3wNsV4TCkq;i{NTju(q8ky4>CAUi?8E$uK1&~<{sR`MUOgGU_r-G&M3Cjc)O9jLl{8lWej~y{H#u zwhaSyaEA$&)=8R46HyYmTORZh89wPj2GbWvE|#Fd2Sn*+A5r7WOWqw}Q1D)@8b2oG zZ(BqI7bc6Pf}&D^`YyM88;ULDL9O0F{`Qgahs{1@^#M_SQQY!v5O?L~EChk+V51KJ z_t;VVC=y&plQ={lPiC{q@lc;k6P{H?1BCc}fT?4a1~QiO+0_KmhTXc?j}K}l1G11L zmrpmUm2{l2!6GT8&eX@Eny)=;^eY6>D57}%wx?2 z?i1kO>~TebP_tE=U&9+yP!Q%2au!hEJjx#u!bWe~NdpOYe4!&?B{!g;smC`&!zm0) z+tH#ra+T_OFa|H=JeblNO&}tjGD%AiFdE3N@k+f4bS1S0Zjad0;VKCO?703`1Hhx> zc6AKgVYmv=UM2X+1N0PjXE+z=fpuDWUM$7(!o6WU%mG;qF1G5doAQ>4ek;;U4OIuR z4l*)xdcQQ8GsQ@eIpadr>OyP04FEk#9jMR(f7eHiQ-Fn41AhmcCSmHt-Drju1gGGx zU^UZ2sip{22C#2k0#+CR>#B+KY9p^HQmW<+zz52M0Z)vtx6N`G9}|g4DK_(Qf{hm; zQHD+i*lx{V7;y62K98+sEmA?|i&dyrK^XXIGRs{My=8u>&b!*$8FKhDa-82`~^`2zB*mb+~S_8*4> z`&XDQ5GoGnV<~hDdoV)AxWF}j-N5lVcQJ@v*E((Rs0bs@OwI(zwx%#<(xd}|;OK^{ z3=~>gC3HmS)453F7vANKj;^b?XOzmmYoiHKxTb(djL_F{qVdK!uxx4Zk0nC%U?V36 zxi&??3&ZqEEH@&7!7#{J+Y?vV*~B8rAydjmx?*6-;xz_+GR+)0g5beZU<0ekG}0T} zsnUaz?4C$XT%O!1JwhR;s79AjftyMPi`Ss zTJ=!sU?mG|P8(V|?s5FN?k*;4gdH+z88_#M7g2H3)bdr0Sw^V_PQ(Fr+AJ6=CFCVM zrXv}`bd$)XQbEJ@f*>MAiA$-sOD1A2bf$FY^(Xo@_j9THPgyszOO?hSOvX)V3*5^1 zdeYBeO(4c{thHz=O96dZC0MyUKlDM7WXbJDc4)RQo%;9OYA94Z^k&j1uKr^y5QLB3Fo}6ObLyfs-32 z++0fl2^ZkIqzUTx#brN2T^3Bu5Mhv0tk#Tumzoq6wgPDQpOmmNpzNxo;1mKcjn^bl zoYy5#kD>_?bV_tIR}dvC#A1S_M7t!2(qM4?#UrS)wK;%&DaxM$_a4Bf*keYmaU#p& z`jA*EAyCRMaabC4S;)hd%w85R*Yj&Fmi9-_cGzOC{bVEI{mL49;V(|N>c<@fliHB; zpoTgk$^sZnC2m77Xu^@|i-(c%VGZQW8z7=iP;)|Bs|#iARE$cBTm_#%L2!$Vm&Ji+ zz99^N-0GOh?zViDcqLs;LW@s;zoWtr?s8L8bx~$y^b%vKj8eAM>Msrjlr)-fdR`+L zuSZX6fWMbr3|B224Delm2FoZPNok_w@+S>duS?WN5Vtc}G4)0PMfu1+w=7L~E&sT`EY%iQ9CI-shFeO0%B!MUo%G27q)x?zDUE>| zVV);58<24hM}-!c!{J@R0FG}a?)76QSSeA0v5JsCnhgnTsj$7OpTd=PY$E+Kj} z=2&RPf~=*&6F6$ATFcLCkci|#)zGxs1X4Oc%~%P(D;+D70YVsXp(#TIni;)mF=_lk z=7bMT?r!DB>5aHPl@Pb>aB7{~c95k5nFgI9P&PYZicEq-lF^Xd&n?VBQwZgGHoPTU zy76+rz2bF(tUBaB8!sPT>;ZfNn)#G1V+x9aCM_C@2;j8F@N#MvkE5rNVp^hn9J0Ja zWYuUfnX(Mv+SpIszBFVM0mvITu1e}6rj?IFZgrXf?~2wczUH7tYZJqZuB6bH;L%W^ zC>2Kqg*IOtZ`BkA1y|a6=;Odxq)fjQD$@Z3zFXIX7gps0Z!NY%K%mAol~XK^j?$Y} zIsYab=%7IqdI8X}q8wIvWU9*+x~3o(9M)Bh=oqo;Np6$|z$#2U{U~M&@l(v2sZ}yP z<|Lve^_=CPdI{c{6bvFtlxhL!J%CMTbb{U%4=!e`X<2owj-?d)A5`pGp)I=4SUQt6 z)pPhePnj7--$I)WA#rpHID~{Wm=lVI&>NFow#5wz9`;vL>NG7IXXFLh3~>AK&Vjpn znPb!y;)PI|cL2y74>14~x79H(y&!7v(ZoW|2=AAdmXC+4v?g{oVAxZMZ*m2N| z42(Ks35*X`PZ6v5Bg&0Q#zvM1}@8N0Zir%tkAyz%4k=R7K#$$=AS}q}9Aw1rF=1#@JQoEP^Uny%<%bmE2)p{Ya8q= zgUB+KG(jhQGq`4_Lx`c2`oSmK!bCyf{yx;-GDb;q42h}qWt^Gftg+PF3Z${x#W77J zPT!1R1m_DOtQ5&$Ivkq#(eXgcqzL(r9R*b>NX;Z=|D``X zU97p|XV|3Vt?ARS4aQ9mbZm98R?qy+9ZSw!GVrZSCy$=G4cMPIUiFI=$M1O$khe=3 z7L0uBGVB(zWAMt359a(nhqby2n`RtN?;+SAf5%`T;Sx7#A|Y7cxc+5CIrt`Q!T!*S zra7xNthvK`=55!%b^W#2J0Ezz&&+t>xaD*1*|_FMOE&f&*lrVA?e>*Zx6OIzjpf&^ z+6V2a#sk3n1#WKYE^^h(3m|(XG`ZoS6=m2} zUMlF5=16Y%UmiK+Qf#cUW94rNH}_x5=ltK(YkvM3jNjpsS5AFq z&O`S(!hS>$Eb5n|r_X_gfcYZ~Gl9haeg5 ztA!?Kc0aKHtUrJuHZ=G{==qQGRLMD^@JW4nfkKt6=;ZR}%@lT(|MV zJ8s*!bhPxzWt8$R8neqi@(ro?y(_1#m&V`od^ewbY33Zp-|2M&W$A$3N`X6O(buys z@TrRw`bo(#)v&K9tFfmKZpI8&W9_1#gY8bMs*9%D+fDnGOU&k`gxPxcqRGyDY?U&_ zr`YS3>#t-YElbiREdXq#Jv{Yu05Y}(7_o`Vw4anH*ypuh-F#z&{X)@*OD-xQI9&k+ zmoE$e@-{GV{Y2cl1Sq7?xh{cEs&jfz#HR3x95^XVlH^5GA>?S=Dj8t&k`_^BrWXWG zc2c!9k?Mg!d>uQpCUHV)iIwm!Tm($szJ`5H*k^C`)SP!IV1N^e<`rTRHikJ@FB*BS z=aLfLzC>C6l4)s9U=r z&ljwO0}xwmf@1KAOYtQ%vuR(HI!kiniICl2H8w=7Dm$(?Nh_X7sV6rSghKYqMFa7f zwjCO4wX939%;LbL22bD-JAfT?0lv$3Jf2yo`fDr3*6Vi|ee~iQ5Eacd@r8U6;DGV~C z0wb!b@!9<)n1qj)h`N#lNJY-lMXouQSmz>pE_OT$k|BsaY7HKMkObzD5})M-{O?$4 zoN+9b4)1=}=7}HyT1J$jf1&UonpkFSIT~U*H?h-2oTdAsVP;aZt1rtp@!^tJDb+Oe zcGa|p+SI16m?V6iTWFSLFfyP_Rwd?6g{O9;h;_uMGlp1!nFLj0HUNk*+3!_eh!fU;x zwj8LG(z*$uXH2N*pf?lDlNKtbpvQQa*nD&tq@u0QY@EjgE>%0kA;&OHTu?(;t@Wve zn0mR_>!61Ok<>uu5QZPtI9{)h%)GbU1Sk3${-|LLfkkRLquAiJdN2*ep9^!GA2IP% zt)Jwwa&QEERo8P@ZH4=oV*04dhLN~(FhWSiR6L>>kqUM%=0T+=a9;+BUj`D!pi+-; zV8g!0%_HFw2IQt#kW4}oq*IV#pb@SFg%0`BQ*^@(NJt`+KirUKSh=$!@<-iujBGn_ zhO)1YQQ3D8OzI~h^YMu$&MG_txjmTIx1SZoP9zg~{rK6K@v%&&E-%&$2-ZU2k@M@k z2CAW36sy8<+$gb=F>qYD&J&3UvruW3KD)tzuLEca#27Z3SGXb-)Sw_n0*D*v7YVDU z-s_1Rhs&*|257QCa_5C~m%FgAVz84|Dy5aR*t!ECw)*KLBq8b|Og!y@@ihbVn6C5p zE*qy>6)itu44bC`G+5QwpIyrMY=sX}nw&b7V=I<|wFCCd^{?57t#oQj~<_Rh$-hFr)?wZE)KOPeey6 zqtI}$H(9VafCh*`K0#?^C7n9Osi(B8g{vm;{2y$!qFP0n@LF0H zxoT5tU_TW*_DqP2nPg7R-)PvtC)MOC8=Jy_d;;!Emc7hJCRP~4@gTXC zpJ3}Q(`s4**?Gn!rUIlnreJS8B(Y`vp-zKOfIQ65zN9=j+jVS;YoeFhk0bm1CsBUU z+{VDK$w zTsPKaP7>V3CUIk2d@{%WG5hrh8LHui{M=G=7~SCmf%1Wb>%CW31;598Rdmq zq)fvgDYzq;q6gkD3!WZH1{{yq%hgKr&l8I)8%4}+5n4nE=^&VySc9ynIhIO-JWjohN*af0gQy5eP;nj|tKtX0vO45x$3 z&=xZm2l6o2@&ve5QPC%_4=X(CYq+TxttJ{(%ITSa9=Wxb7FNAMR9;dO9*jg3CXkk* zG+8>R8bh}bGB#3wb|lL3Jbk>Vv9*)>DmGAGV`{M(IbCIyH)(Y&P^euHWc7|QX$v%r zjD^-ouNP9aVAw=~jbz|D$jm-l@dLer{0%(~PTUa)QBH@I1gFb15scZ0CPulc(Cc7S zSy~xyq$F0)#yvN)86XQx4}JDLn9DwgONRaV#MR`Z6YY@Pd0ny<#niCc1WO>1d%^&K zW`ad}IT6Z!)NBLh8wM&l8Sg{8i+P(d2z)Up_XE=r(|4V zm8Ez!1dP+@ZJ|dwi!xSP=2f*MD^f~*3Rgf=%8|d|NpLd76v&MNSCqY&g8ybl6AZzm z16WwCkY~6@vWW-JYPNz6qf7yJ`gk$eNLTy^Bm+mpib;=>t62~Wr_g?%8KDa^?Z1U< zw`=3^TE!cw4-gh$XO%jBNF|e_48$*jplsm=_m@gAC|FiZM4H|}PbcOwsW2;_Os3HJ z6rC&k?O;M626P_IE{8i+9T%Qu6#4#8s3p-UNU@-vuYw*f#4IG)tzeUSTw^^FA`T+v zOYXghH6EAe)3Dtq-oq#pg~-7G!tEhfMpA4L{lRcBCo_nfK@Ug87gOb zW2u5-3fGbhKOs6rF`1lKB62Am+>juPk%9(*Im}L?!Z}WnUB0?OLk5JikxQhpCS&+d z0sdryPGp)2lROHFWQQZ@O5lH)C4UXv0p*AdwS#2oFc~A`2g18ztoxH=e*zVH!sCuX=Fq z_8H5cJ$VmB`7%bvu^0Nt4|dI2^+Eglz;Tt^$G?Q_(z_H~*@^l(2IL%6Z%=sF>pQs# z%JfW$5j*m9a6`eNn?S;VdGm%L*xf1tt168 zZ_!f-tXzV>+eS{tw)z+Bm^@Gl**@c*g)rysTR~m|aNKiF8}!VzFlRUbTS!$d@)OCF6fUvInh$f^~ELhSYiK z?OUb4T~y;4%Ue#qwoEFxbl_B)K9a`Yusr2DcE1NZv4kNA=A@81_n!m3LB0f}ZroDH zf!ufknvj)80?_P^PAj|VEnP*%OW=TiEIF<2`P)Xkw~)v$4@5?D^(H8QuCyd`z>Sny zB7K6i965FO(?h3jo4p&i4)DpLqLeaAX)2uZf})mbi$9dmSPJ z=Z(lTjLc~aU6M^FuP7{cL5->qV)^_QAYPO*VB3ZveeemM&^+R$r(Yuhx!)-ppQCq6rJK1Hj}BNR(Yf8PX|A{N)ss1KJ>W zDwqm1F0QR6l-*Yd!PgF3j)2~nuMp$Z0a(d3z>cSL+%#-o=sX5xaxjkTpiYwV|h;5)O- z{|ZnE8$4cTaR9ivwG56O0RjH;>wpeW1}g)x=7kt%9E<&LzYj22?Bee#2pC`kjvYD` zrs(Toq2IL<6BOj{0hni@c_Jvd5?j~a(wPjzO=PVk@ieR?@_MAs%&yc)P@?68km0WJ zu533-$nQy(QGWSk!&w1MC=XglOXw_Jg>`p%i)5mWPm~R?ujtGWFdiOM)fyhRFi8fe zUejccRfJ^_Oo>*Spi@Z%by)mARGFpRIADa!p}H0zw*flSGws927dspvk#~ zrGsdPW*AoNF&}5Kk;Ou`yo{xihrSg+L!XAaEoZTIdMDa#pG`kBRnkk|g@xG|>jfJOWF{XnB?io;3cHFb;^+cqA7fjsmn}nEt_6xpd+NlbMiA&vPPld)~eORXQpHu5pve zwZl+hGs5ZJ4DLJypX(M5t5vf;4gd6<)SzdNr0~!_*>*Uv+(wazQogGk=`79QBO)#d}&_O8sS#U?b3WXt39r72_>S6LBh| zX6Gly&;3n2Nr z26aTFG7+j&N(TvZMo}~B;c-O=7r8w(kqWx9;S*@0j{~G`qCBK4Xf#=_-g%@TDwnQ$ zQju+kJgTvaM(H4j5{hu9GiRJpQGXP6!ygwOgxT~^B{s2lFgvp_AnHP>*bV%`FC7HF#_lu>qz>9i!QrFWxUvaoQ#%rgcKuure(gfB*v2AWwTE+1Px0h1FU z9sVH4<{D)+Ph*({Fpz1Q#7UTNQ(+3`{NPN?LAl_&ASVE8bAV4+v`{p}J8b! z!&O_|w4}BJ*2W+iWd0VjET@-2t{P>JlL$aL0D1@Q6KnPzX>k-a=U>cQ-rKk$QP#s1c zF*vB2!Zgh)re|2BmYrcM7>8SrN|iAae>qK16OnAX)=WAcq#y^G6B$guA_jNB%r2$E z+p5PfrB+P#7`QJ~VM<}O#8{ogQ`Us~77Wu(_n2v|R8&^5P<6G5IO`QWZ=2Zbf$2^g zYW`ZPnv*s?*j+&qol~$ISpymI=^BSVrgXDl2$I{x9rKVvbgl_g)dVvHcx_dbqTS@v zMKOz%BXUK1M7>+l@-h`@O<}nKm>|n$XoE4dvxMQt&YG-T@%d+&j79W&=W`~C(-;s| z^b02y^5|e@+DsRf0#?TIZBOCX7#pCIV?{u7L=--8^@anmmK%-*J}S~ntA!Up;j9=@ zf{q8!&><@kaEB?vq*F~OPctl>rvP#s+&Sa(I8)IIk!0L4=@dc`y0~#}5&>lR`%q-u z`vS?LyMk~u1rtq9kU2A9B^P84XRMDYHP0{vS3w)poTtc219=;)@ID1o9t9m;?k?G& zd|6~x^?@uMNUP5j{Ky6<1jtN`XsZTSSQ5DiPM|ju2HQF>6?8O&R?j$y-cmVdBrKel zQcGWH#G|-iMk(CJSQLL#&79{-!?>bA`N>3VbS~&1gUJ2jNADub-hw70BP|%l&N*Wa zXJfI^rG?Lqkyq)4l!ZG2=U_4^_FJfjQw$ZL3=SkkQ1QTFsS+VRK?gVJ3LfjcIK=M( z_e^3?>A>lL;40^y?>}1dGz^0jho(F59~}W{yl{<#5RNxqO|tn|_;sqv@q{KIo4hAX z91sNk1vtxBd8o0}gpf)ubYXa-9FKb$St}sh*v*%7Q^UryH3JCNH8mh1ZZ|2qwFQ zj=Y90j@VG~FIhUgC&!Cl?i!BW4+%=cu^&YS(k>x|u6lj-$ZQURbuOXo{=0qE#-GW- zTkpoZMorxjz`VtGX{dMAlfNXuR>Bn>(~*Vj!FxbH8z`jHmyZW|;cfVMp#M-BGM&4N zWYa*rOTK@-T3p7?{6R>K&##UH!?>G z*@%&AJkc5De)7ogcakrGkR5yOk>)_}r`L9I<5Py^3x78@=aVinJ_gCj27IxUJ$mX* zb9PVtVA%3!37Hj;x6iL08Qb<$7;w73peQ%wTm|kyP!MD2P;eqexq9R3(@u7UoYslp z3$Wv|g?&f9_x66h=XQb}6Jm0XOs?A2clp9^O_U1mT~QA)kLgdDl#*JUywYc9Q> zQXc8}@T6^fkZ7IxTc#yJQBT@_qfEl0;n+832Px-^pCTx8^XSF5`Hf7QBfS&uw3)@=Us>=LJ7;Hlbz zK#l#~0K>NmzA9eUT$Cuo82W&^DYaAig~oYW!n)J`JG59==7>P=M;8Y4ntue&Wm^G9 zb_sK86B5TY1zbG>7z65SJ?Wj-sdrx@55NS%vhjo;2l4`#gLwsz?^GierW-8HvC5hk z1pY@`7^t`Zk2>mrf7|+vmPcs>r0;+hbOp!18Mp&u(aZF~K$DGp!&xfw^Drgw%*9xB zl;+>YwY7O_CH%`)U7fnbx9d(2!ynS zs`2fM7flT%tVVlwnWJ6;2N<_EwDcV`o(EWDcG-{Ygm8*xUDUF)I=^o5#Mp%6sz-Pt zlN%#*6mJS63CF#tYYWsz>`J6ggiB5G5{cH-;sMS=40Vv+Fb5;4^2lx8HiVL6@2sr@fvyY>$x3#Ps+`TM3K|(-+Y~sePx~{Z5gky6) z$!P-aPoHBu&G}41))T(+_n~)OX`l>&@hM~q*Hwh{9LFABL`D*0POe*&S}Kn8RBysm zolj;6*Z42loNfx{G%>z?;pfq_puW36t0^p~BVk0)R1%&(w40MY0;35Y&O8oURDD?z zM;*I|R4?}x%889|nU+>fO->om*WKkzH_F*f=4ydu$Ct7GS4{?`k zX<|ad99u5q7gGKx}kgqX@VP(0y}X#sPFK)Sg>k$O4w zxMt?G#GOvXU8dYo;S4<)lWSNjwph|iO(v+-t{(-Dm~t0x^(Pr-YP{J{R1DBa8Xi=zx4oZO(M2odP!fL-0g6VlazRx) z&!idqFOJwVc&ld!@myC--T(vnU6cJZER&>ErF=#~fp^?r`9rtzai$3O@<=_#+dqf4 zgMA^kC5ZrQwGxQ&R}F3{1xDC zY7oUqb%O5}5wPz8b=iXBLX{U|(j#G&+mVu%h9<22Uvw%aC_?;VVAoT*9Z%FPKve|D zTes$mVy@C$=HD7#{A5Bg{M7?mG>n>lSDkQ&b?-8tWu+EToiK0!M)VuH;B+AXdb<^3 zkD6~Qjr|X(bFh@)A4LOf#azY}=1`QWVv4ec0CKB3A?<0{zG#4~Y_ACnsu_z`%xvfz zPiVD)e6%!Rd@))Y*0OpGl&A2$V?$t|_E78?8#u)wfW6lsx>O>OC#&Y;LN;F-s!tTw zkFO|n7MXEUP+M3Oy6%jCj&Dns=@(h4+Ua;AG>~Q?s)CE6`ChcANIA%_4ctx`$ONZr zUreq#0Z~eGNE7=S{B_oRmf{*;NRE{X>iCoSW3 zW~E^d`*k5zrMwFDlxE_KNh)6p<$yekTFgPc1bRreEB8&sYY3oH#*~p%}-wdy2^? z2GLz1-gWj>IuWo88+0KU3R5gH6{cf-iL^LpUn8}!avmUW)Dk~S%i|Gz_`n^N{LC8a zEQ8#jpt)aaVuT-=(v&G*1z)J9$$eZmR`6v)Af~JJNj6J!YLyAJUrohSkBgv9tlv%^ z)*1~qK8&vfC^Pz0g_)&3mlKbg_(OoJ;cH!Bv|T|2oCF~tRs`gKlYvZU8vU4b(6AGd zDX{v9;VIVG$e9Yd-pClo-A4E2&*fH{`D|v5HeW{HAFyC?xDmVt9;DZaGp|Zbc<2xD z2QO$rISGk}2`cC`F`5e|#D8Qks9_Fy_z%}0&SJc%Za}{QCsEF#8XIC1D)_bqb}&Z6r^Y=aAwjcEOP1z{=x|)NEs&1nVA2Jw)Xjf=*0=$3ed67OKhf* zfXIT^F)bOGoUN9quLP^q*2BdT+m-4x0fM17fG6j}laI5_+32+d>}&coFOYBnzI)>f-um%b2}s<3Zl7$pPxg_~ zdow4(9JnfLZ(IrRU2BkLPJ0*FW6rqD@$GE)`!L7z+N>Wvn<;1ypFBO|9}~Oz9knp4 z_wVrB*kMl73fkL7zn?j=J+pQ*Tg%2~*Fo>HsV(q#lC$|4gKda<0C$$uD^Y4A4Kys< zOmd(^oeP9N7u{-qGT{9H;+K8h?F6U${<{yi<*j|GdTmCUCxO6N&L=MAD|ZCe83s&R zC%5^$?5ynLeKxT%`*t3i(b!Otp9x(1b`(DGbmVUWeBgU9?u6`?2^nS2Yk=F!+daD1 zJ3qV`AfoqNjXbFEBiQyCpL)mo?nV`|oiDKm*QV90)ra2fed{d$^B=I2Ic(2n^}>hM zwJ)k~?<|-W>;3pctlv1~`Yc}6th)O}&+}RTwj{uJHJ+#3_yNlhwyi40W?Kqs+6pGL zLc*BLh(botu^trD9uZaqMXYK-l+DVThnV8X_&^UzCd4STACjqM;0qD_G<3}k(X4Z4v!@k#|GSvmj)7^Q;? zrFn2NWLf6AWdx`D0V``ozQdq$|C@7B~b3o~^Ckx|mzWeJ(dg<`kG}(4Q>VKASY}<`j zG2i>Go;GFDFrP2odtZy$pM7&}_?@g)c+8V;KcYTyZNd4iz1RGXh4YoFw(J8ed&ZMg zI();wMdj>#YN7AAx-ebpd8l=qIltk11*6S^$_-=QM(J=80~r{xj8I==;6_jsUdpo6 zh(H>3AoIzy{Rhx+BZ7$yz|y_}SlW|3X?DiZPjzhZ?0u^D!(rc=ohMwQu;9CeZ$d~b zx)x03)7l~fA0$nbw);P1YX&{}z)9-E_xJjzskN>5Gk(;QkIXrit(}%c>2SHnx4hN& z2=Heq&Meak>GzNUug54CQPz*r;Syef(jm(lPy2ukVg;Mqd@(j*k9mGZx%O?s(Uc+A zb{LUlEPTd&te@v8HrF`nLEWeFf`-$|^VyJhv5G1C)>FR{jqF(epSk}}8;0!VL)ssO z2fYQYs%TVK^QkJI_$>hBD&yL+)7i#pPyHe2`NQ4Fn|&C9%-*LXLv{`0mS+orM8ZeN=@ zY1*@;R66{oF^tmTpKw*b?3THi3P7a-s(0rR%^enzMVF`K`KWuIHv$dPX?ta&^>wN9~Sx03zd{Y>s_cgYEz`pZ)+eSXf5_dQ#YdD5d%r!(MU?fUXEB)aabw|3!9Zg*B+fH@{=_^J4U7W9=T+&U|YXbPCWn1In($;ct`h1N<`-NX?+B56EeXVOV-DDqW zrzfnJr9%$;6Ih@w@aac_lOBxbxo%snV2-%Inq51Mux)=vXsWK>SG=%m$HhNB1>|-K1DuuQ1-ot|DAVD`{$f|Q zWAna0uFmc_`@_+j@7c9^`(JN#0b8$m?O%7Te(ry-{pY+_-{1A>${VhHZEa!txyR>q z992790^|Z%HnVNOzRj!u_Q=jT^UnYIt;Z7>?3IW)yK@f|$o_hJC+h2s?ZD(coCjas z_HW!hYxNb6=P+VV-*MLFM|Wiby87H>r1!knM!!lPlt{QA>^u!9yS?vz4vy94ua)HN z>Rrigc~?HWfD-MR%{%{i>#jLBKHmT3Rl8r`ejX5dZz$Ud#{wYtf%a3@kO$A*e#4Z% ztUhnm_E&*ZeB1H69*1m@1*OjLOP6=#P>l(E7v!}&=KweNd-uSx&p^oD8_xM~#O~p5 z-gOB9n~Up?5BcyJ6erj3?Rc7a_ICvH!-;H0cw%c9VGL%Xs==vg_}AdU78w89qwL zK~Wwk`!JK_b1b4{O6Uolqw8Dgyt!|T;htBRzY^UQxVoJ@>N>Z@O zRq#8cNz+LHq76v0t2e%svIEUYGUwI<%puuLmd6}l4F&UutnZ7-I;9igRKb_V4n=8W zi^kwhW)-#zMoeCig8;F$X2P7jCRl0XY13lSfR>W3V#Ee=2tPQ%w@Vu4MGxmeW-u@T z&9;*}Ras}+)v6RiPbaM=pw&V_1y_vVbZyRWb&{q+$gI$0CV+i0QfRKn7j2bE%L{ZG ziqfp=YZzJ+aX&T)j@2hjIo{FoMb)_=LrC17sNG~?_F+*ej4!LI#up^XlK~oB3k6_2 z`9!hc?*JS88 zYr;tmo=ZY*DxYcFw|;ls2fY>hjujcrTYUMVfa@v%D<{*6GT~^XPMtZSuij<+I@g0T z5B6mNxN3s*i6ndXXU%lYe)0iH{NE>I(gwz9d^vW_Bn72UlErZB9pu5G5>EG)F0u)a z)qBAfC_`q86ZozMZbb`d`k>xmD-Xb*lw*-d#P$W7bC@XIxA=D3Egb|w@nDY*3$R{5 z?6DMy(4*n{W63ecV&*Z^0T~9+E8zLCB@m+bK8b;hrv-!T@xh_^98+gizRAFd1zr`) z>jSKyIIA95mk`*{IOJ3aWOKt2z^j4~puQ3|6EyKEHhzLB#-0p8!9+bND4IyYvlm0b z@@Nd?3esCbHen-`x-PxHLEN!Nr8$9=CYJY}ZWz%z>==*DPZoo01Gy>3&4N}r=W*8D z!~x-CEbS+n^k^&xM3)zKny_>B8?hGFSXM)*MU@GP62>bMQ5JZ=FqR-#%XyUwy$|C- zW@3LmhdE`Eo2*78b0F4<2?~6l2b68k~4Zjp-d30c1 z&}mvWWdtyg6AZFrElpM_^BHxJ9g4E*U|s@NVn2(xV%@7Mj+U}!o@)dGbygBMP{2SI z7qTg#Iv7xMaS(|XCx9nJsgwa_H>E8Fsv$CjW0pg9zf==;sEi^dMH#^^vpS1X$4FTb zavh8xtVc)e&x1`77~d@|VXREzPHxxVojKNM(g zlsbSAmf2o|2g@b)oyioy8zULaAxQb4ScxU0So~_CCmd&t@`*~uAKsksexDpJSA7O^ zV8u4)$pz-#)fm%EqGK~y1xp}U65v?z7zk;i zGE~R(BcIocDB#e0A&roUEGgnlQtV})RkSN{6Q~1O3 zY9)g^0_(9o#O-%zQ3QS5je~|itZ6pzqYGm1T`UBsG}_3qdK&GVMu3QW5Gz6uYfvb_ zktoV&ZMteQQJSwXx#UFNGtyWQ;o|}k=3`9=R+{S=`Q)Y?hHlT zTRzu7N1F^+Scc9a6Mx)|kuEy}J#*OLrtx8Ke-1Yk=?hJes(oQO=@=xfbsP^0ig7t( zW$d|z8Xj0UxK(9m#Xj}-Tk5!+*ZXqdZfQ%p5(5YaHwJc!+v$M&u{I1@>jO?Lz z5Xh_vL=jNyEEMNpL#^s_xuIZnsD+H5PBkA=+(;<`bs6+-CUdaiKFsk!Boh|_Dl(uy zB+Y4b1_MzxYBUEOg@hQyUB#tr0M8!AgW%3N7FOC%28Gqjs*i?q<^*Ctp(s@dksfRY ze6c<@tg;l~i&4KrLDLsE(&WMMP_QK}xZX>v#a0dFFo!86dL_yX$4f7Ah~B&UKtJ+# z=pU%Dg>^?stGTg;kE9K z{%T_|Wue-yHkKv^A)owpMWW8a$11Qg(J;;ksLqT#x7yLdN9QEVE*W=wv$o_Hz9(Bz z4PEvlm=jDZ$+CKiQdH=FWIlj`7)S=HRmmA71o+C;h43I`=RDXw4-$eenm)wcxVX!M zhvPnskWWd@mkLt;mbr@Oe;bu6GamphF{>rSWYy$kuh zPl-PoBQm%>*oPTO956NvQ%rrLvEd6g2PzyUYv#zV-VgpSl@9N^I>R*58BbbJ9zSFn zEIg1LpoU}*viWtu=d$!{9!LIr6GHrI$Z3L`ss}ECY<{WXL7^eyXm+L&9F@+%I`l&T z&7G$>2|!!}AUiGJ1ZvWvAA**ybuWCar1AmpI&NSc5M}G9LlX_HQM?i0ndxrmRqm`Zx;iz5px5FYRb!RC%m~TG5R?UDb@9MLv0q9omC%ooX~g zv-+H4&z_)!QVH2KWY7e9Mmc_9{7Ddk5)(_P#f3T-s`3ZxCUiL9*h(`rJ?^kA)&!r) zLZS(U2Hv_8lmywVrIm6Nr07`ym}5LVCZH1eL|F#M7K->_q5Fy)i<2wrASCu zQ8FAwK50%+GPoXuIj&D|@(Hr$7sD+qxGIVc2C6D`7BWN`rDXu+DQXc^E=V+4i%?qP zHIRA>B9{|6)kNHryu;AJ0G2bz)IA|9pX&LheKF=7+Og8@=jGZCpP_YvmQQEn$3$f% zSJQ@b{RnD7F_tlLn9sP0R-vj35M+xABa*Ul8z<;k)Yq8B19U8wAD|VABgao36B$NL zS;RpznSRd0VTQ(Q24uf%@0#VfX{M!YYCCtfM#G2$E2tpEBgvJ1($d6VFUH%TNlt5d z(}dc%Cuky(*f`Ey2GG$aq;tVUA)UGEs6yV6S7`p4#MTu^1O+aSA9dKT2e|2Ce^4vs zc}y#jv6^Uz8t@5P1cC$$a7Q%kO{1Ai6)fCxAHp4qQZUX%ai^tUfIsscqk_s2X zK3e=tR~!dDzmR$ID~t@K0|+__B4%u*I1u1=jfpsT$Er`lME~jdY(<2rYqo%G0U3fg zQ-9P8E)>N`9hGI~%wnp7mF5Us!N)9g0x?hrYl1iiLTr?>z}J#VT1!->2pl;6x`Nqt zc8HUYym<)z&xs^RjOzJXh^gZ&eA?J*o zdOx9%&U$D?9X3Gu)g3QE$iB-!-m&t2Y-GQY$OIjG=3!+Y6s1Ic9dZ!46Uy{ulHCRG z>Y~27+)|UP05^KWa-hDh!p`rmkbke7x_cNPZ->1Dl-;{FzC8M^XI&xKT;-t^E@~DWxTt6+(!6KSu18o#ylh@&J+Hst2!gty~K3{@{j?m2)0S zHBAH{0T7cZ(Wc~tT>E=U%cqwu>bG(6$hVR5n|9ov!$3Z~tM0p_AB;b2T6X#SFc`7_ z9r9Z%S7JYx<`v7aHxFQ!e}3Eb_f0=%p!5+AZlHvOM zD1Yx>dGCbn)hpcm-6Q3tkdMkfUz|_U-;2xA!KM#(>n!?A76_;Ka`r!f?W_j3I?z^5 z;I>tm?w4*#*VbwpHZgrB8Wl>Qcoa9T2Skt$?MCn=MO}L?#9B z-Hqy0`$=_>y}eA&w3W25gtDO_z#0~)BlD|^LTq}I{r{e>Lhu!wSJ2sojLLqv&gT4z8kXxoKitF5fu2`@wR7cpY^W7}O5O{mpY z+_ly%{o{bqMT@|;TD!YiKwDR;*jRM65}4oT+&7bie^M2dy^uHWop;{3=bU@r{r~P~ z!NO$IYJ4LMRJda*2u&{6U;VOij~dSd^H`sOlva5ixMA>=c7!K2M>Xc z%|{8cZO0<$$}ftz1=`=Ird4o6wEn-s)AO2Wawr53#x9~gZXDSVak>cGHr@2B3-rp! zrNOdW7j$0{k!P_}=XaXxgMZtcHo_NElnc&l0MhPls~v$PfUACG!FW5cup7pYPM-=S z-1YI0J8ex(>{n$0_&YWoAEP%{INeRFW;Zw0Pn-hdu{mmtkvW~6riatCe*dPfVX@<;9A>6%H>I$z?&U^_O!BCNzm?;JnszWW_mDNUPfkvh-PPCY z(jnIZ{3tD$N)ePSZ6^$7H8Hz`FBfve=psJLl+vaaYAU{^2JJ~7^$RFaQ&zV;MN~;5 zgk>3*B7u)zn*`$>A#@!4Do%`Ow=wH1K_5wa&src*P;?lqyU=Yv397)~VL|AYU&?E! zfl)|eP$09MTrG-rm4#FZx?mmP3mDYsuf*VyFiInd3#E91Abz4a`Y;LWYNAc`#gH`WIMr++To7rTMqOhz(*)f@EW;8nO+F(q-){St*v4 zv{~Om)nxesp7LNk#ujf4Hr1BSeB%PpwUF!q_^p%Wq_<~aI}JHkekz2 zh9XU+))u=q)-unDFlSzKvlV9Gb}GnKHc)gbB6u$<(8hVGdk%Scb?u_G2R}zi|du$Px_7jbgYD9?H9)D(&57+oKB@ZvI^`_6w|)5Br?IQ2x<&5 zm5SKP(5ZIE&?bzIIY1!=fjvXqfCRPegpKl40<-i!BQvYOjGC@ zHL#~dGb{J-XLs2I*a~h`M7+SnX+N&0Br*sdxsu>r`{71yCi&DHw*Z`E98zT@f+C+6 zRN_G`#PW=v1tDP)qADxT#e}>XzC&j087v~$CWd7^t!Ab9D`~d0$C-~Ah|*ROPxkB* zfeIjA3ngYnP!VwCThRy|HAQ=F@I|`MG85+YQ0=vM0 zr!FEbC5N=aYrn9AHFl-_?)41rn6-(qdr1gB_6gDEHSvsr-TPpU1>@1GaA8h$fgQ(0 zt34%x%FinBZ)pYvcQZPp#_j1Ln%k5F3tqr?~Fj<~5gpInGS=SV{ zUtNIBm?W}^h2?+fBM2r^+-PHlK)i-&+M^Ac(x@EHm1OR%xQ}g(u!~HgA*tz3seqyv z+$r$m5?6399Z(ny1WDQKeS^(9^7majF(eKYgg!SFmI4L6{gW7rO2&FJh#Lyhcbs<3 zeJlYJQ1c7smu<`o$Bi_{M?W?A%W16Wnwjo8`ias(2Sb|`fKW8G%FrMO6%b>UCb!TB zYjH|$Es!8t1)OucK*w#jSx}ntD+*uug&wi6bqxYu*e%R#p;KHdEtKL%nQaK_uuu|k z%ncc`-ChNZLza;BnDme-Rx2IWZp7QbGbqqXsa&AQge~)zs1iW&=}WB^_7lW~SqYj@ zE>3kqqK_eQ&K;;BaPogG2qo9RE;gp8LAC-Ko)PU)VF}TQjiNI*@iX zM(oPdCZ6^rVZ_dP4@2ue#D?(wxS}%wG>a&g?pZPvd#)1-X%)tFp~<%z|AH}kaMpf* z$@jKD^7r3^a?fED7~GQ&Rj};2e!UkD=)GENGGI=^$q>#agvWGZ!UN>eqbdhX*k4Hn)|sYNw)S$f1-i&@I=e&ja#1pcRyCa z@78|%ZOmI>7ypUZ=XTnEdNt{NJ}{7>$@B2ZO&exoZ~K`)^ER?y2?h6DbY|o3CAaG& z&Ux^Y(fhCU;2!Poj-OyB7JP+8eH=pjLTBR;sI$M495sqYFBBtVn+m$z^F-I}!MNEJ zjL&$ybYyJdc`P;>D7!UPKR7Ko3-Sqtv;m{ymfQreIN|i90q?pZh!1^&lOo9q7JF0l zC|&{B*cx{^TCJPyNwK8R;G{iC?9hH%PC@kh`l02L&Ct6wJvIlvOZarW8Rih68*XVV zHgtHymbTJo=B%7P4Y&G*bh>_^*`={RX!J89Ej}i&U{8gM)hcdicpOi#=~L>9lGjxf zHjKH~RJ_AovkID^qkf2x<9aYkQ3~yEQ~MiBUO?JBND~J_hTHC%dLmD}I3fadk*ao8 z)vZcxdr10TJLano*DVo&DP>XsA)Vq?T}t-Qh=A7ow1{%IYafSVwMYa#Fn1P!*b)ypS@ ztA{8t88koQWgq@GtxaRwFrAU-&S_HZrt9stCO`ywR$^Skak~U5IE%O&rsa&EKl&r& z!MqSS^}}c6V++BA3gwlYY@4kQUI{1wE4B-`xeKd8fiYEG$gSE{uoB!BCT(0-boQi! zHOhcfr&v~91Z3fut|;K8%Hy7fWIjap;r{+u%sF0^NFijO`HN0O&%!~gn^UtA!}S7< zB^?Saqv?Ju^x(|oc6p^~grb@r@VW;|OhKy(6s6|~kmc2_>|E0@AVXQK!=A0?moH}} z=!T#Ls<_0Q0XAG}gkw|l#o~u6x+Y~7o>clddZh7!}u8tH2e-d@xF40NCbB`6sdP0Nfzo7)!qV ztc#*+8fw0#;OVhxG&t<&YF}5jfD}pRYp3Kuaeg@DEkClz?dJx{y%y46Y4z6$Xgg@| zU967an9I(g(U+DynQmZpLyW&GDl939?dJF-U^HNDHSGM6ZHM6l3c|_(*&f+5CpOP< zJ8%mHG%XOY$V&LcBJSOe>xVhFgEXYU+QhKVdy|t<(&;hBmbm4tCe{%u!~N ztw}N2cXb9GjEyl+QZlEB zZKg{bV^P2>?dJA^q%Ws8&m}QsWN>%FN=jmFJl23%8Ia)<*WKi}D%aA8qWO7<>_Pc^ zaFm|{*}m~oT{;L|ZYeqr6zu&q!(T%#Z$V-B5UMaGO;%fu#%78a0;i?bOxV)AC#1Nd z5B4con302Q6GgA35=^ovsu;_zqc%qLYW6Iul(qtS3j15hakGWjFq1i)su@L9K8VnMhN zivs>o%Upr>qJBIlM4u}21yTE(IRMfW}LZ- zDIeQnMe9w*Ma-N~L&Lkw$S@T(O;KKq78bWK)`dZJiW-*)WCp<(o6Kwyn%s$BX7~%u zi`nC1B#X6ITc%=W&r?%v=C^&d6CFIPILVP%^d5<#uHy_rw@wm5fGm`RV5o-=XLXcH z2ySuVnrtZ6hG=d`(M=7>>{JS;21cG)FveJdjFYgkDTNj7IoY&08)OQK4mlxoO5_9v zP3iP7GAN%C*>7-$FHGSRJE*8}D@|o0>2eCfXl4koKtUJz$|Iv8G1`VXDaF`5bnlRS zLWEDtoMSnbo&Kv6(X+r97zbtVk%N|dh?JaA0q>FHY-*31iZLcb#N2^WPB5(k zz3`Z5ROs00AaE26JqzeJXl}u~DfN$yk45O^7c#)`#g<2doY9qv+mN5zRUWSQ_`M_8 zJXXz5UNrFU(16!K<|%n*@Kky4ImX5h7$vVb2wC)qfG<5~u6LAvAu-BNT; zB%OVvK=Eo?!Kfw=_A9ZSRN-cC_m{WzkSPtY5;7~sTyp@T?@z|~d7is@+uDt9dE8^a zxaXY>eS2=`+V(F?9(kj5;@kKnz#F{HbAPRS8o`YsIvUd@Ox``opa1S(5bdJ`?|OdY zYulm8z71d4GY%NBOFCwPTTeGUKe2BhN*eyo>plDXdD#%Mx}S{C0U#UW^G7p>Ps3sL?7e_wbt=c7Mb3^0Sy!DSKPbLOg#a zCMQTifY?HJPsnUI7SjQ@H(<5^3XC*0S?aHoo>ncyM0Mcn=yG@{zIHCK~_Gd$pd;f=s|w%@1rz3q;% zZ^K=lmHm3tlowgK6z257RkL5)*S!sn-S)^}<2}9q3FJe3@(G$ldVASkC-LE$blh{A zZab`_E>)WTJ`^0;mx7HkF1{@n72Z2jE^tG^5j|>}U3ZZ&L5`^^tBVx;D1_m4tbPO@ z8z951vcd>oSBU8RN&e)MfDBtn-Au!-OP&>o?W-``BhC|RgX6kZmc;?l)?g(IfL0rE zhb5hk6@+Go9yw6qpmR>|q^CDX*OZMwuX)`9^!fVDsRvniO4nI{ylqNoD+&H>`Zc(f ztbz~-NIG497*8;%UkbM2>w~hQ`BgpJlGPz#nzj4yjK^MCHSvn;riSYh=b6yEC%x(} z-OZeYu^PZ|)c(O}t( zJxVRoqS2j986iAV|EHB+Mb5;X#dKNU5WJvTizNef&wsToEjU zl0)<4Si~_`CLOhsjcBJ?pJTYnb8KEVS&6@6avwv;$3q^8k8hA;R{_zuB2bvDa91X) zx`31iINb^GH;t>UgS$-l#9Xtv5Q92w`e%*s3`R)|?z&T9%-yrKYY$r+4| zp;l?g6dC+9DI6$_mcqh^45e6OVk;l|)3-PU^{ia^++mp2h>g}v)U_iO18CUlW+}DY zFovN(#t^a84LCITG?`HY_=u44ntBR7N8;wx&X(W;!J7+_769o@BxwZCHdVVisl= zY#I(XBDfinIN>EgAcpFhkYYPPG<_yC!R}EkfH@9)Sb_CYhW>~G1LaZ~?DCR0*vWGR z_bWJ$u_Sh^0B{+ok4w%~9E^*Mchw3V*pi zHC-+bAtsEqQK-?!j8RSPX(*-?V^a&+1n5r$lL-N`L+3UfjvAotNfxgigJ+b<5h7ZV~$w2Wp_nR!P9A)goJ3HKxeP*aJqYYnH@VV zI`YOOU-t~}bkp;z!8yW82dypFu(~>7jSMH}g~&=jq1BcW@^B0_Q{GBaP^E_#HC*$z z;RN7|ZzO+U${}4^_TBYJnQMc5dh(HVv6r0{fa)!E0lwRW&q7DR=Scw%3ToWoOHl~P z*VxItV~IqQ6V_Mp@mzwpSP94`FEZWIXaK%42KnJwD3@@UpB1`psa-S*NkL>xzceK~ z&E>(rLkbOU4QK>kM48Vgu@B-{WQY%t3?GJ@tR#QiBMDAd-b9~Q^6G}gScZdJZoM{# za#davf6`$qfX0l^d}E`=+E+*oF>C^P*t{ngIL!E5hJf*h_~g?g+u<*Kgi%R8P{yH3 zP>fZ_nOW&7jM>;@vDr#6dZtrtP6v+rJ*!c$`ULnfh=zSYc*3hs=z@Qq`7KsOI~_Vg zUd(#g5Ddr%hq6ag81^$*G|o{&C3G!>BDV(xwhjt47f$dqPyjdSdvcIsxJkpM48^t= zsPLwTh=yAtFAi4{bf08RhKaA|Vkp%y%vK%E;Oho}Sy)saeEO-$M+C z9QaAmQ3r~{YZXBv8d*&SAa$|x7Axn>!S{76f)*atr0^WYPXL>XwqOl4mYI~3oLB}_ zFI2`9GZV}dnp4xbqUj8%t>_5V_3>mpNWVgx5r3E@SB>4+luVpX_4|%S!PAbZlF zf2XiNGo{7*1*LCOqyt?7mdZZ?su$4mmX)_?VFD73A{(71m?)WnA$?A zJ@&`vJqWvWP=?gM`2(&vN}|ybhGk`f!`Z4q)6Tn^VsQ{fzZ2(EFaEJSClx(Nk_!36#pi(qhVVH69bszH))xdIsv zdJcnpKxaxkOo>6a^bHiBCV9mR2Kk?&AfADs`cG^6&u}8ZYBUX|{9iiPjx;7}m3gF+ zyi^GPGvxUGAaiGee(3@27fzoVR=juOE7q;#o1~&zZ?z&K!81eeWXq zja^pXKW#X$1Md`@{H!k8fcqr#7*F)(O#aO=F^=pkGlnwXt6r=)8jocmBv?$av|a%C zE-UVxd{gFv13R*P#`f{P37jo`fgjB91Mx{0$j@G!dF~l@#(uW!TwnHpxYFFvYpz}t zgkycc!U7VmV+FVX78c0Ywht0X8!^9r);cvlQr%2 zQ-0A~eA7c`9gye1Cmj+Xn!jaMEf)>0h$HVCc~?nf@>)+z4F|=_vZObmTN!;D-pdpN zPB())fY*!zW%s57vEQ;YviF@DXLAmA%*g=!6UbRZvVSaC%1#AYCqvHaBY%)O{XRMJ z?x#ede6r!~|9vd`o5x=KFEVGtf(!4fKJ7q7_N~tm9^kGS8U9m(#2d2x!XdrD67D*q z#_ZeI+n0TO-}X(9WUm8!@qvFVB79{(gb?ChHvTEua-3+5KW{v&?Qon2j($6^OFhRn zyvvMdfd^ak(|Ga0%=w>{liyGqJ#5=&jbHpi75D1W0mzsWSO&Pc9T)Y9kPq;#K-pzX zgTa2CJ@|s}+@JY=^@CG3^a6-<^4s!EaH9#!b`|5(U!)8TpuJzH&c9;EV4~6i_|H09 zQpy}s(!Md>i<^O*}SVA&eO_qwb$ zeJ?*$ynIpdtq-00kMf+GMD}6!?gPG;w)(PJbtTLhhP~n8SYHPL5*s2B@To>L(ua{_ z?qm%HnJRPb^?H zgs;qo^p*M*w%czgJBoh}BONC>599uXE^qIB5FpKyxBOc+YiA2Od>L_Cw)rZqdW#M( z9elUH&F`)mc1iK5OV0b|q)B%?l70E$ee<#h*j2s5dMV|#t56J{l;Ll0)TM)N$FLB ztxq;I$@mw{xrOFMi?V042Z|s6+jD(8ZaC$hO!2@O2&4SD*Bd#8S?#0 zhsxt+CloP(9Ln`j36sr1W^37;*P`01WUuew*Bh@?_#WsOa#3ILW;On_-e>;I0+&4w z^w^PKDMo7nn&b-s9c#XH@T?pp_mI=!%q(WUpAFD1SVretb43hJsdQkI%&lz9q(y(O zVZI^&`|=4{-=tjy=8V&_a{N_09;jiB4`ilF-|ge!!Q$Sb7%uP}TLQqoE@Ewg$whB<6jwB!1ApViX5t-YypH`a ze6q;%H`jKWdWHt~z2Mmn%d=j&cmYaGj2h|Efr#+aoIcj;qtbx|7Df`wGQdFx`QHz& z@wfX>I-CJJj}wlUoX2_myxvi!!zuXVsXh?sY#Pk*%yC(K;GF`>N{T=i-u0lZZQ-JQt|Pq`4Oag z6+z#hsB}1fA{{d#EoSns*zGL4QTkpmHa=%wBnmF*37wYt>?J!M`y4yHNZwszys=k& zK^5+>beo&{3IJ@qs3+HUy{gfjYrB{1xb$=EPqkCtx!(7}fm8n6%XbEbF3o%{6D-M4 z&!RMCP4apcp5{kr_1(*cT+$a9mKncq$ANP8U@<>qD<3&}!@*r54s#$5!D|3}=&@AC9<^uTDTsEE zQN&IeC>@F(*bX63qrVjm{8?5!X?&Jlkd>SJ*!FDz_Vx9RDP|qT8)l5lW+tEVU(bD= zHSVAC#8zL^RIqBn5a%^k}!MY?Bk(ZTx*W^?o` zj#)bNCvm?|yf{ySBbAUF(5=Y+X7wdcXYaqV_=W}lkf|=tR%ClB&~v8Jp+uJs7j6H> zkY3|+U;O>#8m4;|zedj@`{H%kJ!kb@IOP1=dlsQj`J2-+C`jWuyFOwieZsx7b+IlT zJ|fEQAk0!=t+sz9hvWM056UjwdCzrKe*mcJg)1JtZU5JAnh9{yoqzcw;9dV={rm@R ze(}M(fj2wmz0d3%zxUr?z3HplAJv+8AfL;Aawed9_rHGrg*!bsU4nP@uvQ-?Tv0&v zUPUOoI}iSHFgcG|x;MuS6j%>sS7VlbW9Px`kG{Tt>VDkazH`t1FTY4a_Fw49zTv%_ z?|$@R$i`h@^6tO+yBFR*{tpCs3nA}*`TS)&*Uo$B_W;Np_UpAd)YriXr_bQAXFM3- z!DLVNvi%oA$glSjH19t&_1%<1*}Y-U&Cfsj6)#HC1Sq>ZA12vg`$~$Ca3A4>_xr-> zsTIkeeq-$P?T;Jq5V6cyV`Nz-fpY-C7heL%M-fP_X*Z>&pYZ~(Q84qQ5#(Mw_ z-u~#a?!EgaJw@jH^oIAofz*M5OLvaHsdDd4H)sF(@ptxm+&~^a|K{I4Oo_JCOSBu_ z`|8~fKa=hI>CHR8x9qcf|NG|W-dO$US8n=;{a?K5;l026%aTS2>E8R(Z)_d5{LuqB z#}0<2{lRx0-uv3(_b%M|i1y&`9?kwMr3Mmh?`wlFc|T$N**{U*opCQY_QJcz?Y(a5 z{x5#}(Y;T;`in0j8JrFKZ~pI_cMjjXA9`m0!|E7$*@8)ODS^o9C`>ucQY^3GG zuMar~dG1HA?_2tx%XXF$_oV-RbnmZT-T#^A$9X9ae;*}R4P^UcVmBjo@a%~bl0(^@ zxi*W?OX#U7kvah&pVftg%nF>e8o-T?HF=GcQ|;Q6r5>VdKQk$2x~{$gKB-MrI+(1e z1$h+A34*(t#ftDOaeGk?XyTDe4<{GoxRs8w2(rm|kr&cln{r~MmL_XWDmwupY^F)? zAmDw#%>YZ=EP#Z|;MggZb@&z~u&E{%%`6Y497oK62i++h*xk4-bXPJa<<~-~PHZa` zTyLu-49r}+NZp^5!&6s@j3|MKVs$!98!O$IK z<*JY}IW!>!yC8dtnQC)HYw%Q%t4R~Hvt~Mz_2wN=5I%7+lMPLPk|`)xHQ(YyOoqLu z+f`+(%x*I~6Ae<`DI5%$Q=jvJeRTbwHA0v>9qB_F^i%PG;|v2ucoHd`wkg=t6R>IWDc0aR_!RLPo0)E>B}1fOI1%#{1bA696afr+K4o36Ab1ApU~!na<1ypvNa5FH8eS@2kQ)w@jW)~VzRIWaC^A6B6V}y z$R}7i;OUJbVZD}3+=77rn1`~P0(XKpd;&4kZcSPvoY{Dx?l0U)Zn<>5XgkNB!ul?2W=7g6{GH*D8X6)~Xfo(B}^ zNUZ2CmGB8`8nV5rs-8X@6ZuZHWPWfn0GJ^e(#aNJPG1I()y06E#o8j&$d#UrwTfK0{kl{wCZr-MG~aXQU8a3D>N4EEQ=i8F|pV7HkPHk3k0Wo&HoFEM{% z+7K$hsi2`?2F4~0yLyz#@gS|M@)=U$X?h6X(d)Dlxc1Cx(Bw5DfnTYxG>w@Vaxnaz zY7wI_a$+_OQMgB%cz%@3Bw-}fvb1b#C@KW|s^Di&D*r#d0-b{05ob3?A#?x<^7G@Kd(vdNm0DbyeYPCAAv7VbLhWMQ-|%|MA80y{CMHFq14fe;Jwd;)Am z?Ns2bi`u}pMU-vzC2q1PsZn-Odqu+@jw?a+0x%OR;$75})zJ}MsPA^8eW(5Xyg-Maza6v*A5{?Xsb1ZMi=#aaBloZW;@*_S5?n^j@=Fq9C#u0gTTN#ro=Y>{=-n42VX!f2FH9yE|>aIAsU z$+ZKUoIt%DY=A7b1r0zleoEY=92<@6455v$>d!tOgE@bNY_IKbqI}~qG)Mog)9l4ivN~ao8*llR15kRgHPFO}e z0CCabC_y8&v%lF{h%bebrof&oXcs#%Pm^{13QD{nuCoAS$vU;(%F|op=3WcgcqmT5 zzK+bB6GJPS%wez#3Od#3*&l8tCvG&CU8iMQ4cPF87WwXgPdco60tq+pEEQim%JeZ@ zHSQQ5G|>jH>9N}!Y?!GvNus@J@&WGYa8)y87s6`5=~`&08z?d7+S7R2Uo8P!i>CO5 zQ|bX4?Dw5{&AHQp&B96+GQ5frKKe@gHtzE3X^efl8U2jHqEnlbk>r^Pu1eZ?YWKo9Z z@ea#46=WdFl8{d7Z7~4(GV*t)thA<&O+!cn@YF|uJd4c*o-+DVah5jI9o2wFW+WT! zJnwZvGEfxEknj*CackbOZI$G2q*j2)J$bVG0kdXKh?ebsP$8la`M^?63u*E;71F2| zP>!EkVx)wzvLxy3q;~mg(j)NLXWi)Zkfo#4XL8i9?jxB)q#E zR|p2WK^`H0)Vx+$!KCSm9O)ShBTn`eqQAM)Ayc zF+UbXSKFeJL2p@uZz$<4X8|9iFs!vAJ31&?XwK5G(1kFbmiXYp@UgZU#>x$Hq*Vmr z8n>7UG>DKwII0Aq9EO$KI6p_HbVyr~0HMiHQ7qC%hX^+uP2K_7L!dN* zK?l79)fRoG4-*DX^JgM|0+k0&b7zSBV+xYwmS}fXBOAaaL@+$9B@;-`Y7lrB7EwOQ zh5nN~fqpANovnKv$oBAq^gKW(Ct2{-Qt9yL6A5K{3$`8E@I8$YO8}x{ue)~6#=ztM z@{^^nEqU`_ z`-#S%^9)d~DL9l)Y3cjCg4``Oacd^;WMB+aGm20ufz6P4j3ps9-9{2sE z;L43<+MES*JmaCsfU7)Bt|JiJoa`L1-Gv~^FHX^xbXY`-xmRpOO9Q6>$g{9E0$LmESuQ)>I0pW z3=evs!FLSuiFQ>Lwgu6N_TC@=IOki9ukQJN<5zC_Q@VR`*Gd5UKD~CrA8(v<{*2dd zdEl)*g!VcQ$Srw=xY)AiHgwZ^D^K z`H`Nh;O`}W@S-fc|Ju_gHhNYPIj4!|ssZkgn|x~CC)ah}w-l-KAkdhf+_=iiK3DzX zg$F1Z7DGYoDYWt1-`ze03L<~MMC2O=>0S26Zy_1r6U|MI{dPY4oatq@fkewk`N>)7 znF9sAC_Dc11>JVQm%jiQbMS%c`+(TuyV7HW?`}zI^wjodCuy!2%c@q)nOOI=QhxfP zs#x7(=SQoZ&YqQRp)T6&BUl=qkw7!o5r}fJT4|r!l@1ox)dQj}g2}$oqQ{(9uqEAY z`~W+Z%IMe$P!0+vkD*{IhREHt53&a-h@DPy=5!Axla=^`mHpg%Jk(ckw*s~f)I<9|s}4eNFJxM^*-lVx>Wb53d_y$w*hqaah_iJt5e8)H6OGCFCE?RsB&Cw>F+M zvAg`(E(MNVFj&a&P$dbua$-Znn-z5p5!RCuNpnqbc6ZtwS$8?W#1>S=8Wxt^vwA*0 z1&7{7Z~`Mh;)NX8djHaeWMJ!8&0dcW(n4M`sU6~_sM^?DH*2MmzLqH*jQ{4pa7DNy>b;h zcjcIB6o6f()Vb2iWj6Q)dUwLgfkYeZst=oEq1<1a?c;hwWQix- zRP{U)$b`&~)VVx3f>l?d}sCjxC_C+L6Dp(Q;KR9C6&$4{&TQU}KZsa2?Ev zj!s&cMWfwa50A_+IcUE_K8*FKeJVY6-*GVpX55Sc?#h3y!W$3hi%vd15HA`+{iP zc0fIlv~JXYLi7L1B62T+RMZ5<=YZ9bHY=^5HM%3Z`=+Wz7&lZR1IHRE!-Z&N&c?Vq zv*KKUPZ&4`6ho*fp(BE*8(rAZ#8`yapc?`|Ot8&(0XM}$2JR9w0}>0=ODwU-S#b|q z(h`5v@uolwg0$liaG1=Hm~r7Ii&NKff)p@?`k?v88B=s+;F(V~$Xx8k5UmgPhN?jV-AYMh6vTw&3p`OYi9ta+-Y=8-@}xSfzeSf0 zlA*+DW`w`-QLsOSlZKExRv3X1^>#@tq~TrTBMUlRH&ffLf+0bBmSYa5;XH>Kj?>d@ z3Ph>VY8QZPB`c;CjvLeU&&Kus+gF{wkho_k3&o;V5HHkG6ig410N*V=hTf;LT$Fhs z&5~j7k{q|$FWWO7nxL|UCfKzGQDO&mWKVGKC}OJ!I(EU-4&;Zb&>j3}njFuAXbK_c zdr@-Hzc+svgoi7#tC^)y1*-0tzPGCv0ef z?P6i2jI$VaBLTU>EnteOeT*1Dh>Rh5ql~9q6m;0%es&40v>HoBT$`k1c-d_ZvpcY{ zI*@R0jLne&Y}z7Og;NRz)suNwQR+B#j3vxwv*kIM!h)p}u8jviaw?NNL zSnSLW!yKfg&ancZL-2{*#3Rh%NFCEntJy#d3D@0tcpm)t&B>>ZbDVeHz9X$mhmQ{O zDNx*NpxL;TXW|nE)-r*a?Qv1f!g0yUgOMqyF&tD9s4zk(Ep2-T@*d&Oa?YuC23g|~ zPGFlQdpUyoam-YZ&4SsBa8oO&z>P{ra~F`1JUHnTG)#!hg_ccZg$izfyPu3_JTl4F znq+c9Wqn-XCHvT0ni5|wbwbn9b}cYxcl)s%vO!ib}Z&FrLIFEQj=0xPkY3B?A? zsWEFzdxwO8sS#zdlBqO&33ITbArw!*rzBfOzGBK6=C@bdEp9qKqflCfk(#)wOATWN zhu(r~58BqO2-jA|hLU3&SZ2bGT4*(ER?xJ3?s*G>d{pU3&Rsh`eQkRCHP{+FE(vH21$&)Ds1G=IJ9UITVigCtrlJFERag&5JOZD}ceg7I+pi0KQge#$i(QK#ZDv?{r+D(kUyr!sDv0uKn9C?MO> zG^5~2)2AMJfaKkxlo$wu**&W4zp3R8X4lMx-hF`|lV+qCLn)y*Xs2|=gcRN~T;pYw zbp3&Ywq@{B+ROohj?%jXT7qO|SjuvNH_Wt$3yCJX$$f?`E!3x`A*-rPSYS4*Ai83B zR7B`eiQK7ut6kJ)<620Gf|za+n+;&}c%|wtuw}$qSH&H}h{S!Y{1yW-#4vYjaS>9Q z`_O|#y84S`3MjvR8RlgTam=~2pgc0m3sUH9XzTEx(2s!|75k!I?{JoNzR^PM$z2lLIL)OChj)kMkzjD9EY#tOWdV8<}+x zy4jV2bhnX4dVWLCR3It)&14pqffCC}8J=v^ZHLP+K6-+{NiV_{*p~t$c3<~)4L3UR zvZq%U-Tc}V$REJAeR}P;p2Jq@h!WeGgS;E#+#cNMMcdY%g<;-_jel93gJ7LYJ9-1& zHIF}gBvR<*wCnbfWQ@Ij|LEjnaOcP~Ns~NO@1-QWdnE1Xt~O3$$bF$@sfGVQ9TmV9s9YT`csvhAKZOJ95WZQS}EMLAuA*ye@&5c3xK z)PZbDG^CD)oBL$*zV2e~uX|+T5S=ARz>N?ZMd#Z|a`; z^BW4VTm39dANBA24u4}y{Xc;7vhBA+@8>D|4BCT!<6Cc#IlrM~$dMO!uhjkqc@A+O zH6wq*lzR5#=oWg=f?vO70ZZC9B+4blFy}s^RIfK+LeiGjf`k z3EE+Gkb~p_yWP=MJub|F-g!W`ye5N$fYh&Go*dPV)u6#jM`^n&o31=W2+f|1B`fj4 z#wy#|-OOTBL;;%H?wWI1&zd#(j0pg_iv{84c83_YN?&E0fB`1>VlxRG*QC>=F!q4K za&(cy*!F(pf@GC7W1i#wNH|^4#Js({CN?EFY2lQLqAd$WPwSY9p4maB+z`I)(h7#L zi?HV^t%*j$MLGsz$^L(GgCmJf zFGC=Swnfo{*JcFBanLQ_uigV7<${n+*MN0?^2 zhnd3E8pF`x@F)fJK5^7!?;bz~;B8L#I&?Ngr;38q$CLALpiP$!M^teir7-HDda@Pk z2A-q>IvJjhp>;LI0lv%~gX)AsnkzuNk<%~-pL8Zo|EBnTJMZXOUw@}ATZdtA_*jL1 z($jm_VNHs`HTJO}Z?U-HgH%Hu^<}z#uS~>~D{I%QW0V z>fjFwo`v-ew(Hi9sgsx6S0_2rvLe*F+o^Pe5f^j&H_pTu{oGvYB+0@OLKlMGe-}$) zkNe$87hj7*$Z1ckuMfvA3AQarc9D=CcQ;j9gMBi=qA1a7Je2IEJZ>GIj5gp0;D&`q za-+oy$6`Xrb&=WN?^Zv|sSPr~Dou#Z^SSQV2{i#I(#Wlzs{p|3xE;I4^eY&x0Xzbq zWX-EERWdcu2)1dZ%aKuPe}B4U``8HvVvIA^zk0 zgd#yubTBZe$;D9|YpTP@RCkl?>Cj}Pk{GIs8-jHhlBuAwF-mt!sEMJC3l=QkuM`Yl zi@;Stea-wHR)UuSFGy+yO`49!!2}U{D~txRCg!WQ*(t1&SI2-Y#5V;xxC05QsH2uv zyhBlNE3~u{a7GiUA}mv3Uv7}6W-tgTXh0OzqrEQnpR8?cIgor)&K48|1O!ScU%hov;EjjDn{-4272^9lYRrXOgZ=+YKf#eIQ_o zWKCV%X9lpkiAr(Mtduqo5(WoMHoyfnQ3+?XRo22E24+SdalW=mY3oZ*b`>(YUSV~-qQJrWXh01x$0?n^QJJ<)?3-TAe~*D)OXItFiP z+5XyzZ*4sdvL9cR8>J=OT#z>+%5Qntwl`q#784U7WAOGo8vfpoC$KzyCJmMow>MsV zXp~y^@%8>5qV522gezH(@3J z&*nK=$eqN!5juP0+}g4ILOcb*jS=^iTJ}b5<@YJdH@jEfwq(P$JHUPSR!wY)=yh~9&e`Zea3;WdgVw$bmb{7Wu z*WRzmKnJD|Z!pD!L4KNRnfUgTYs*Hy_M7t&^q}MfpW!O-h}o^`y$TfIK{wG-=R@ z_DzbiW83o{kn+pVmyz*}-zOp7#K+TX%LePc@+Z(n>y7U$^2UEK1wS_Kj*UBY>97vH zEjO)uaUT+>YkG3@It!!X=}3hM(6z36N*d)gWf+I9`fjY(>GFShK007m;Lo0L4F(yK zRp>QSl%w4T0gU$Ks&MQT1Knfs-PoH|E_&qa0i*V0dUyli-@u*p6im>%|HBmQ)I;lo z$QY#ncd~i?k>=%iYdB|VguR~NNShk|trgu4d03XX+eEErGo6$Ex{!rw^Zu)8MM0J2?|s3?lS zCw}~ql_caF13vj^3g-NM0)^PaUOFK?3u;_?fuk*omKS;uoNpS=WrTVaV{uQ~%bRSp zCea50Hy4VUSByP`UcE4tISdUn`buDtWY$aUPNPDY!%S5q?MVh2%aVnB63y#4Q|O1v zTy*pV`U$$_>NW0&;04`u!|CC4G-w4q;L(e;u2MfD^ij8JYpA(MAzSp zhl-j)HPD?}^*+t=;SSOJOh}`k2khx2Lx=tlNZ=HU1q(PLGloQ1h!xpCz#=9U!wrMo zYKEbM;V>?+1PT3o6Q&s8!c7%d87#A*gus7Eqyn}`#j~Fxr8dlFJ${g7{$>Z1Jc4js6QXrY=QFRZcluaWhcRS^p!MV%1OBd^F~vq=`wU z0Lvg&4E1cy*@vr~v+2wW!7d2qtpX;6B=D6rbr#K8JZ^N7b<|_Yg@^JdpYpGy_&GD; zgb)brbT-C3kn~IBC9G*B66S8~YRoGMX<)^5xs5D>p&ojSSv9N!p1@~AUXqYF3f0~Z zgL_Z9@UVM=+74a;eTTauxo`^#(dLz@#TDPZcub|+6d5S|!JQZKz6zp|fa!p_ zd2Xx%NIIzjO$LNe;|5!%qy@(PQ9bX5@sY}&l}QfN;Sv2FIY?(i=ddAnFN$TEE+AeW7+jhgKoPt=B zpv@VMirk0xltwlFk65zWO+Ud~jna_0-k21739pJXrmi9B!-t+pd>;Y@$%BB?9Y{1) zK0Mq41trJ~Z8f>b=QdB>z21+N7xd9?ZT(1OhIMkTkNn+Vt6pQ!XnsB|VPQVe23$3m zJMY+>-Y1mX2p7T}D_P|NAQy8jBU_P{?3%G*d}Q*1wYxf34DoU3{n314|Kgh`r0qcEro1~6F@JKHDp_^ZgNOk5u{c#qPkq;?k` zM{P|Zf5}|bHH>vqwD>~UuE$V)hVG*xQg+5}DPoaAY)`$sP%s{$3JF2sUxtOJ=nDzs zxS64IyG2n{hAhsm|nsD>OW!HRWPzF0zKpgoZqg=MJ%0f$FZITkoh~jB! z$KXNR59NYfV4hRplCvg!N63u3)DAogO3o{66uJ!Be~NL2H-b;`1rjNH5(b*FK&BdOfu#f4Yh2IdpWYp|`+EM$>*KHL zEk3v_`_)X-HM2&(6us+J+$S_SILdO_vfn)RN}S&>*_SEE*R^WPmXF@jkF- zi~js0wrtl~zw0Qx+kE3jbwpF+A;&+A?&N(0l%OdTH zfsoh7#%=E@{=4377i326TRxk~@7&4Xp5S{rQn4*l_=}G0lB0q4uJ_0RNP@)onU^Pw|3hGchb7%vOn zV_*I)d*@HS`iJ;q|HjTR8FZ9v{neg=cwPH_^3KxW_WBR*+CC|B;g?`eQI;}<2fjyMkpx4m6OFDuop46q`9FVwP40bT`^yKi zRu=y5yDr;z^Y*tzaj!jjbJMcSQ`yIV`eMeH9rpS`R@`;Q+r8`zIeu-10}_mYLxG08 zY-eUewl{m7mka~kXS_|Q$|q$Q{>u|xI=sT!GoLf3yu}~gYF>iUp*Op9vmp!4cqyje zrySNKrqhA=mccj_vY>5ZO>p1j9ZcQbyY1}EqL{JA3q4zY?1M-Z^zHhdSVg#OMC6)%;{=*--jq2AQh^7 zu9u|4_^n>CRGbYY=k!S;=7K@m70=dszibwNxA*O{GvmIz`9aQJxwAMm!S{w-I%UzY zYahxk+sr0Ep3PdmY;m^FcTmb2uI}ROB|qymeJx>M#vUf7cx3qdKn9?$zAP%ZGv0!~ z*|P1u{{Kn*^a43Ki!zXX>6PMreRx%w03Km4-bq&JDM(u7ee&mwfAUM_wt%e3T(S>Y zzVECR@nU3oprdLbNCqk$1nNzY=}FoJ9}vHGai2ix00k$cSjNvPhxFXeZg`_;-}NYv zE|}gsWLe_Hb25|mp>#ktp<)TH@`7ZOvl%)alxW_aPwqJBsqg&!KiR%fU)}z4_I_lx zGqQaZ*}e<2ZvsM?E!k`=i*Da~*H2$;D;|3dN{6#Iosn%|pX>O_frKv`1`==a>u}Yw zeeCxAy?=VufH}TF+>_rdhMbc!EFG>(2jj#kcYw2t6GO7^vT+&TnBJicy<6Ydgx2xt zFPT`*gRFC|7nK1t)=}k_!33j^VlkUB87;AI@A`i)WX^dx^Xuo>i`iil*m9H(XY^ij zoYDajk6k(p%4Y*c4$5d&(*RkY8B+CAsy6>%D`RVmfrS0cxu4s|3h!pi-pGu|sJriH zr}cCF^(V;kc=Hg(%bGrFh@yFYZ@`CgaB$G2gKj(cf&y)ae}6r5%H!+;&ir_F-ZlEz z+H9t9r~JwPM9j}W{{5_p8tYQLs+iCD|Ec>H0IRBU|F!qoGwc~hX3yb3!(_JhFk=Tw z8^DT-ChHtVJP=opBkJTOIR})+9)@Z5&|sb6%rJHlM?#>k_pNo-UTZ(r&!p*(pRHi^cA%%B zqMKLpMsHd4w_RBR=*Ojp0N)Wsg0W`@ zQ2O0`)IkT%PdCN-cJ^Ea+h>2~9QL0tTFZWi)}iXPeSupNA9ykIp(``v?q%67PF7Z8 zq0?L6I#g{RbNY5Rm0zCS{V>WPJ88?oG1-GNHvgTC-p8`r%w;qG`C{t6r(|GC`QP4P zr?nrqEYAKW-}~V1K(--EB)Z0b9bEpnJSV&J{?De!9j(2UwBP^t>gvDyuD)H?y6De2 zZ>0MUdztvmXUta)8uwjQy+f8QYrOZ`%%)3f-u0|m{SoKvt6hVcpX${iOhkUw*5l$b@O~OueBzdu z-EZz|x&|YQZ3kbZvBIeAX#C#a-S@6P|C239EM2}^jV$7$CWCKy*{|-}oc%|3N;|ou zweM5fFK^bP%{S4XVP^HS8&vC1z-_A;WebQ;J;qH%G&^EqMW?%8(Yx;TxK<@G$`-Kf zK5;c=`RfOXv-Cs6S*mzkf1PJ$y>{1@MWA&nTV99IcyYBfL zIiI~JSC)I8P)3ya9MayO&Er;NuuC7iC(!hh184lt_g1X?_iL{D>gcEMzLTXn=k zO}@L1sAIwCtHcKplfC;1$(X(Ex1DzNt|=tGmUwduis9{HW!yJhcd1@0U(+(QI*7|u_7E{lX=CNXSk zYE8=k(I)N%qlJTRwIH&C>BgO<=c2H6*H|BgOq$R zz^W9-v#+nCH)Ifz@>}c9aDLoXU(>CFRLnw(00*>Q`23H9RVNKTA0pQ|Jh4eEIz>i$ zF;9lcHn?`&2D??EZG5K&?Tl@3zLq>2-X{Z$2l6Jn>i0JnDeif35Q7Rn-R^bkV zdyJ*wd^kFfV$#||xC6BatV7}oFb_jJ{J?sX=`2A=jF=FiR#zjja=}YGW*w*FebKlL zFoM}iOm>qC+h)9sN~ficoUf$_cJ$tkES-nk1g~oeQkM2bija6cSTt``wxcF#x6L6W z?rjvRm9F3wb5sOD?FA$KoFA&P5qVTmp$!>WReg#hc-R%WUoijzj5KN6sL#y(cPfU? zMtoXjvLEIFR)EouLPc4Y7I8--8TkN=pmgGC)NkV1q6i)lKp63==1~|KEU=&bU)?&e zkxc^TB$+g6!EA5k4P+r!5^uMO^t6T`?HXDjaaxEpWB42qTS^RaDx3vvL0|f+q;H_~ zVB0i>B$2YEKBN^KyT(F+B`DlzX*>F{KW!64i^Mk9pWWv0(LuGN7MymqL&}EURm(tr zyYx{0$lzOkhD}O^ykvq4MsGzIr>gueS84!FBE6m0Zf7*+SD~?`#Fk;ku`+VU7Qi`H7rI^2-;S23{0PGVKVx?4goKpb{gRZ`1URJ4U?k(67k_ z1uHd+eblyUs&ee3wVYgdvDKXf)&7B4lCC#)0?lHlYk*}}bHKOY%7^=F)X;S#aV>j5 z^&RRKg7p==jwIgnX~{upudZbvYz0LliEBD9m3~iykQmxF+HHtuDI?&`1i(hvuIwgp zRdnYDi<12PfryVLE0k(!?+8Lx}t)+R29C>>)1 z1r}wQG@9(iB#zX!#1Yt&i4zqxppw_lv{y2DSzGjReEc!-I2du(oD3s#h)x%Q1(9+` zV+Dx`30OGEwmk>#lwNEh7ARuy`;vB_!tEK+^~5*gMUaY^st<`l#_0#PP^_KTSr%v? zO56^kY>cf~q`|)m+Y<1r+8c4LgO^_EK#V%5+$psU7G2iP;H*=r05Y!_9E9o!`wl}m ze<*hjo#hLQj!El){ybPnQ8M6&CC7Dto_ha&JgD0lUP!f7H3Q&Q7P)dn{ew8H95*cd zQVjYfw1JwNrSPU9~5bmHq>Dvya zdx6_;)gWH$QmBaAmfIIO+L&qm)8vB9P*pnRNy#T&)P%OAw{|fZ0S^JnlDTQ-wXfmD0#v;xhgH1Eomt~=A|s#J2``gW z462AL)fmTX>{zaKz^_gs=6=Mh229 zH4tec;#Ny0utaGKEOei}3bwgu!hXO&FIsmD4Tf5qOPLHdH9*}b)<-fzuhBsy8endb zk%DfqWmZWgQH$*vtY*@t&Vsh^)-YFVwNPxedRM8aSM{;4aK>SrX2?Mbkef}@nz77K zcmk%xl6C+V5Jte}8+Zk2pto-De@iWFJIM>Km!N36o|#H|fHy5WAQ}q=3rw&=jo1n~O;^ zi1pRaQrW)aBocpLi68jH=S#9AaosTq)r*AWG2mXHvK-2t#?Nou@y7ahty0cI`@6S; z3Sji6jZ>975G#Nb@5}Nrd1&3FJnp>nm}%$5iynLL{OAAsM=+oGzVfDD?w|h4=Pm@2AN^?F?PU8x#JlpQRgX|99c_`Z#f%fs!t`OUJ-}Q|N3o*Gq9Xw%n%Q#kX zh1=Q+fWTc)HGSJ13LsT`ROAaTa$;Aown-7*H+GTR?FH875(b(P{{gC!AHJ9B=UNyO zr)nX!4*wY8o(c7x4w1MzE`6;suX9CW!K8scDdQo16kS$HTc%o4x0*U)j)7Z2mcZR| z@DVv)mmhL334de2HB1hca=GzHf0Z6jB>NvaT1g#sNZXoK57oCN{0)CCgOC=1!D9szmDRBJk?$+PYsDOrpBz8mI2Xx+wFCkuTm7_ug`*MP*9#TNAnX5d;TSUL@Nz~dT=Gdqzq@&HR?GFiJ* z2Y_|8Fk=5R*()5w9o-=k+t!+=a;v2_wiAr93B;Q#=T~$#K^xPsLmTs!knL5#J&H)8u50f!VAGDKXn=YS39ZN`5q1Ag4%!jItv|U$Mh(g}nnmle@I?s`phzT>?h7Pb$ z9%d1jIzK>J!pnh*xS#mBdF4E|Z9+n6_eK8H8MGW0toc*C3j8$_&a6#&?&Q53pvJ~N z#%f|^{CvZhknRwSp~H7LHFgBu$(7EboOd#>sMg`ASxBi_EHIKPL(6ClL?DVwe}D0r zbPG|5F`XGA2NqJvK&n~}8>_~mmXK{oA`xsFWEiiU|o3nCFdfE7XF_l=D_3!t-7h8EajbMX;8P7zouE-$+@grpYAR!UW}QkO?^7oKo?&oY1^We#z{QM4Xd_VRc#( zNWiiyz?dvRryFs&ZJcRYlC&L5LM$mJcxA#kvz(Q&^oe-bXhUoVA!a&DrHySL3Az}n zLcj>!03{@af$d)mN*m%@?s;kB7wfw-P@&=Au@wV}bYe^B0h;pi_>-)#(Hxq~!?;Zg zYz}b=Q_1J54>?Z})M6|NAZN}O(3ConnZ9`avBh0neTH2%by>2H&Q+}gu#RLh3XBQb zCPC?3DbVdCR+xmpqs3TG z)MZeak%!&7@^;1OY}UIa*0?TM}7DS+@mkT>T*Abifj{)TuaAU+S%UO7@F( zW#OJGViqHjrTi{D_-1aaN%<@A#<(e&)hG~^uc}e6!|L4%G#i{rgrW!$&+q92Hv7~( zgsO6OoP}hAr(K|x*MIwLouS{PJ|Fjti8>bdi$Rc!+x9o%4@{v)BHnr=M$X%K_P|*_ zJa#xpt{n#MwRv}LCwkXId$|Q{vKLNog{B`9+~hx^m<%JZn^nA#r7DI+xhw}Lh8>TV z#-xk@u0jOQE(CbqP)1Y{Q%o?|`ej7byQEZ`#F%d#;?HmVCTxSIx72xEI;TNA>0^5o zgKw#kfooNrFKz`RGa-5CCbFFq&);)}t`J_KZM(1z&n$*#+l%0cXkX!Hd8=OxzfeDt zM!!N3Pw^w)@NiS1fw~y(_}Z#0b4Y%swAW3azc+7$3TNT8siYm zM2fnJ=AXaL+pNAMxvHr|9u8o79H zQsTJp4zld)3^w(1$K_Tzyxin~H#hoDrCmmaHW{pK(Ech2Tm3@wY)^4IHq|2DGn{3a87^PDY6Kb9v=Pb@697Y;FXELdCUI@s_flo4ZIh8>DsGA;!^$0nq^2p|-VNu$Zh#23p6On{ zMu$p0lY^J4bdmsw`!Ttg1S`P>2qQ+Cv~yxS5K=Ljn5z&fCdbsBJitOE{jz+q@mP#3 z&=V}`9&zjmEy?%4nn(+s)Qp2QP1dJ-$8%LjHH@Ac&Qd2qC!Fsg=M1D&XAT+JQ1DLH zdE}Qezge(F`jog@`iuOYq>ObwyjaOrJ@34xf9_$gMZYu9rT4QO#2Gpzu3zDz&9C5* z4LQ##ZkBr3K)5-J1t2gu4a4w0mvSYHuCKr!d^R)C#-Dn-3IdVF$xK+(Yle;*6c_>r zn9|1zhatwC>pB(&W=E`Hm7KJZFkwfW^)QZ!eUv8222CdPRas$!D=q1dLL~d4Ht3&> z<0-$0A%mCQ{-HO0-2~JCN|C@_0|{7;U|cYx2X96P*-jkBL5e#Kj6-ZY2~-l^x@ctu z;Huq2GQSv#j=x=Yww$P12Wm?^)|f(u(-9SSbk+^uHuW7QtCtUEQH#b0K!U!*1e!5C zy)xkCz-yOPC)ZmN)fQBFM4!TaxqPg?6JIE6Axc9f62*b;obQ;&1Sp*QTAsPlbU#^gh ztDQYdb#Anm2?KPuC0U|~6Rs>TwEYZ>AcCar)X1J-?VNIY-yuol0iDG6t852r6L21N zgf9KSjnWx6xszTEQMeO0)SI@FNfmDxfS5$JT}oStVaO8`qioW5zO%DNiP4cnaG&wT zs*gF#L=k@*MQlKvv^s+TS!jfixGKwICiV!4X9bs#^HkZ=$uM5UNm13DQ_fLnytd7K zM)oA#E>&olfL}RJUX}u+*EecoXQD@%)MW|WZG&o)fdP!XiDHL+RJ9IVwN{drXw{K) zi_X=yq~-9%r0!{RYHd088I#x$0~tY1rphgsWenN|^p&>kqIqEr&L~M*_(tfN1t&qV zm;{^_N?h)1lYrAAr;YP-S(2D8!8vV7+D#>57yBhfASg0I8aX3FYU4ENYo|C};yv4z zqysJ3Ew-Iv(23$*17_G&G`SjYN%X*ofL?vjh+)cXx<#k}8nV+ixR1nTm-x8Tj>DT3 zKnLGiA_GziSQ4h~7B#CfaADi+X51hg!fFSjEEKC?IOgKR0elc;bTQVBH^*s?W%MeP zC2|L)X3|NdjrXuQ6%qC^LNFb6f&ninakV5>e8_>6&>zV9Vn`sS(h;hN6Uf4rkdhdw z*vOkCM&)Sclsh^O@Ke(Y`AE=5lPQUVhXBa&I1GPCWW?+3`9_!^G14K7kP4^8vDap? z?Z;+hp;JFlsl8QL}SGHg|-y#~sROSN6H5GDJE z-i1k1keaqlTLnoMWr5&GH}PBUe`;Zt6ta?J3J45lVM!sPKBtVmVshUw0# z{uHdOxId39??yac-tp$dMA7N~YiAtHJ~n-Rc7H$TzY8(Z zyW&PaMX>Xx65Af@>$)$2?|16?#1Z@a{>>Nf#lr(=pPfU3oAG%g-^`O8FBrOXe)$vJ zx>rWFqE>xL8&QGv#JS2w&qNA}xV3<2mP%(wHdn-jNDdvU5z@W_5meO3w>~lo5!`ad zKc9bWY2Nmt-W_jHVC#na+qs{Na*w040|Z^G{3qz39a>R}C0&gN2vwg38*wXJV+0 zO5>lSV7RO&r_|q_aWYQ*aze%Nhi4XW+Xg1ADFAfSoB+nlyk0B`b&|P`EpDk7CXwQe zXl7UszMYWNQLe-jq9&ywiS`1YI!L@}2{GMyV9-RCHG)DwqlzYWDwYEORBBQ<;;dhU z@<_Cz2axo*ClTH{ze>@jy8V%ty)|1YLP?Kp==m9w1R|;9Jq7VZ6*l5l215Mlp)t1o zL?`J)-WtsKj?Mm!Gz)8&r}6e$?Ro9k^c@P@zwOi{7WN%-4RkT7YEFzslvCd!-Cjr6 z$$^{2aqOep`1(06U07hBXlbE2m^R&r?{}#vZslPlIKGpM(_?>up_h#HxVRKH=dFX= zKCH`-atCN+!KK1RZy@$kpft<8J4R=D@;Qe9w&Nb3j|6>(c7UYIekbVcJuz$pcQ3g^ zG4XL*cqCAsOv+glsuR^k3lApN&zbC|$0Y+HHfFrUeL^6iCo@1Yd4&Qv2F%0gPApc> z_Sbi*ehC^6Djh;{l5!`ONTwfxZDiTe8OUXc-Uf^q8c9K4jVc3yggXG3bB7+0 zV%Rr&fQ0EH_InzGRET3CrXDFd4ExqxtLI)6~x51{pO3EFK#;aeTN=uF#BCQyjD`s znp#)MEQytu42g|${9!#nmjegrt~Mn>3u=_}N#r*z>2C|&;`_UVX`qD501_YQ5Xp)& z8cgeua?0Cx3){2{%Ls$C_<$$d4o3tXDR9RNXU^4135j((ZBsCMXv2T;9NI|!Jw5!K zk%x_&k2pEO5M60A%b<&Yko{6rvGwg7-w<3P@N9vgNS3fNctKcDuf*idlGfbZ&Vp7f zAlbQ8SOIRyDGaJs2G*$uqdwR)K`?8wT!Im#SZ-f!ShO#-%sZqC91@LNAM%RWQ}kqS z$qLM#6c}l-HFq$T3barsB2&=+77Cn`Mz*OFKjB!Q#3WC|#yaVAAbLR@$-r}g&P z_|{L3P3z#-4^`MEMFGK}_)+rLv@IF#vFijS|1^pks;`o3ZCQL89T{$DhpVS@t6*O< zQHJIvYOi>~{ap>r-y89@AB7UbrpOC8ZDL_dR9T4=uuTz?Sn^!u!%p6o@Z&%tziEO( zBNx&zSQi&0)~`LWTq8raVZ{HwMfhhDuUP{QAxGaX?dc>m9DlcTElHryqDcBS1&QCb zNdl@LHDI@8JAi8^G9GAhh7#2Df!wYZyA(+QEvnKKS8Vv_D2=z5G{@2T`R zir2#u=R$W3v~zHZZ4Z*=AmTxc3?*KV+EbjR((W%-S(0Y4_Th<@?fyne#p>RLci}G3 zE@{SxhoqlvCCD$xK2o7=+LyFAlvp`WYLXWHwY9XL?U58$q>6s}o@v%!oS=5E)JtY6 zaX%AU+)rCuA4KeDeeBl%c&BO|xXMA&QnhKGSDXa8B#um+!08-+#YUPE5ODk*N-Uva zPflRT@i&mR3Y?@i-2+%;8?V_6BpyUNFP@UXHdj?qV(Z9^6d@@vvK!kReE5=_cTjlj*c zUAZJD5&w@iOD8iCmHw+ zr`Nopj`ij=JR{+pz(Z28FDLPgd?6?BkbHyHnY%&z%toh|NjmtRoQ7}YuAIa((v}l= zM!uF4ct}pl349~UOC|M;w6fM>cW%m^;~8mWf#R4{U>c@Vz-qgXJ$KeWN!yI&&he1k zm=kzL8gc>;iM`KcZ?J2Ped6?pDr9q7PQ^2FeNNyRnZS-KMq>AiNj~(+Nwg8;pG@M03p<@v z*F@E^FQduX4H{Gy=!@e3n9XUSl5eCmCdPB6M!x8u;#d0(czE<15v>?kY_!7VL6twTWZ`pxUu)2_t+(SsNEQLLF+r50dx-*b*OqHGero^H zZ(P1>%L}i3{I!d*dJOcB*)0^*JJk{_{@Pb9{bR*e_I@r7oPasfwOm!cp3;Z zKXca$zg_x^Gj_c6>E|!K@1k37-TU+xKY0+g8wtti0C+SLTi^f0D|=tP`91eOQnN_D z_ydSjTOQsIfPnk-A1?gTfvKO|_?iD&`qX!Bx&QS8AK&_=C$?O&>-K9vetOx)z5jK! zX5DNe+%Nob&oyWK;hy7qVf*35E8ykgtrzUt^678xc>V;)bc9wrHex}W_G7evxMG88BlWX`>TJ(QuV)+dnt9tdHsrFL-2!n(LyXS8 zkt^*rc_gh0NmEX{4Msw#$;$TE5;<`!*yZC6UB^?d9Zcuk>E&~j5$)x-VB3avXk)n0 zOG#N060GvtT4fmjn}B7%Y_M;j&lV0~5v zpWRXmcie*rY(t7q>^VCKBdJNTmdaqmjY}vd;}pO;%nWs1!(}Jd22c2(rkIG;m!}dn z4Dsw_J#K6@W`??b$whHdw_)3D0ru~!jWj+qoLh&77%rcKk3XzbQw5v5+L9roCl;JX zWSoSgJoN=sh}A4*8TB!<+eu$HF(%}c@>By@PRCe1mH_~c6T;Lgn7Rr$BWCRwtIsm- z0#zrB@|X?Q(-e2127t@MgT*_DhcD2M#TbmZJRG#rX~|;2V34vvt%DI9KeGB=!05?U z{qAaxU@jQ00LoY}ovK%2c-d1#l{#RSz%tpCANFPby;Ma2nDTfPa8&Nn{i7S z2`VIWLYP#*4HLjV5{s{QsJfl+JPNLGV!v_AjJAbNn&}D=Rq+->#Jhn4%esv&gi4gdoeC3-G_1iU zBRG4YVi>MCPq5PjFQ-<)9UuvS?IxZMt!(UyGQ7QDAc7{UCT^9Wo-jEvMp;s!VS~!D z0xK&`JesOP#K6az7atu!I{Pqwu7}jtzvBB7x^-a3GU;zHtGLdCMm+kKR+ARw$Ax-d zy+q~N)x8^T^V|aX6XeOnPhP3uxb<*CurmdPOmHy6F2sc+sG~72@!{-~owKg_{9F zs9>3Nmc%ci?HmZoblOX9JLZ98}=R4{RuGsm7_8j5&n*KbY&EvBu~BuFs$ z;ZpUH-j`F(F6cYpf=R7BHFRaFF~ap9t;}%5pc_tWZPn_)2-@v3mk0&PC>hzHZTIDD zCkL}FpxuX-cy_cV%@mR~B}no{U^@Vzy|GM{B7 z#bnLNg)s@nWn<+wh?REE`FyA*YHbUbjsYTQF^HQy?S;-tPn)foP@v7y6LbU#$pa2` zqzcL6)_^9kOZ6QlfVr*QDVreqcw#Yc?}ZWF__x)AZqH~0KOF-dA8113j!dqHJ8YHP z;Z~k?F1)D_fePj=XYC#tt|gix|JTKE8@!g78`E z1IC8Q*ClYFPftYCE$9_&@{;-vKw>tPPn29lf0s5>yk&c5Qn$fNc07s02(0DarUGl&4>Yv|5wXl{FWxhqmHE;sDtT)S zUFL&vXrua|%V2=yQq@6B*pe@uTpb*+bo$vg$f)IcX>9O{0c{3fNs`fHEI>BU(k0e3>b*MUwZa5dJ$8mJ7 zJ#mYP_H}Y?xnqiSFS0a4e zCl$l2I>{_o3dPYW;SK;W_qOu!9Sjwu+$m=bRKy8YXhgnCrA5_;;teKo(x%YlaQnpo zu?cN84v7lX!3b3!*U|$H-^&8+L5vJ2=E!mbek5UpigFeh`k>{RydGH?om5sqM^$^u z5~V{%q!-vD%yLjK2Lw3c+A6-U9Y69Ti-N8Y^}o!}47zGCD_{^DEzH>6KziK?>cJU8LOhxT@dk94Wu^(@KtMuV zRgJS)hAAui)aZplY|VrePpYVM?Il6$YFcnw&`DnM@scurN?IzgDmYsU3zzz=4C$#v z=gq^PpgmMG+#H}ScD!0De5yMwC}i}6nfkD`SZ7#M6P(B038cLCatneg%V>sFd?Y}) zYSG~}=z*Iv+7ubMcf1N=?MfKSK#Z=xfcfLgk+W0tzPaG`tlx=HnKU7sq8zorj7fTS zlCe{c515QCNsWU26q`o=IBm?T!pLqLG^#1b9*i)s5)P}X=Mr(PGJ`C@F(nOIxtA8` zIj}Ts5S9!r6C8@j))9~}qiHg-w3fq)8cj$NQlb%#lBhi;@Jqs%pp8E!A@5 z78x>i7Zo~k0eYj71n-N`vVY@pgPC!fVks%)jAw8 z)!qQifp^URMG0Tu0(|9v`|VE-hX~Q9d;BiGegED`9r*seAJ?VI^6Y`dq`gX0NuFQC zx;ZqV;Q7<%9ftOW_&~pq(Q|0q!_eNJ_j25!Y=7_0sT&`@4_Pj6`s=fwKW+Z=sosz6 zxrQh(?|fwX*T+6`KPWKI-#Y)3KkB6jdQ6RF`1t=jMEAJo_S;)8yYf3)y!9rCOG|#$ z)~$CxvUbv6|BCsjze{ACS12Q^wywVEk+swR8ar!x>+KM~^jVeV)6>71et5<7=N7(0 z<9-!noV`nwJD0=u9N+o#zIW1{sw_KRq%2>Ve&Tbf+fR6Y{~en)F1qvK*MNK7w7*_{ z!SwmRy3V6Y^iGP$9B^{HxNK%bZoG)t9U%Z{CzNYJ!<$1yJG>@^3 z5C6+tdxQJUX-(aSF)y_f`|Ow#+A?^mhe;ed+{W}nE#v6BzP9s%_bMYmlBQy438l+1K{R=J zDIqBV$ePe!h_T3Si%q2O5m9KE)cOJ{G^16#G$CDP7=5c&&r4gTF}{V>R$O*jtW}hy zj|VfC3GvEc-5kfUXU`CtAWxXk}q9KAQ@tQhK2w7gqXEI^p8BEaZi84{nK0BL5 zm>E_2P{4PK!LEFL&?c>*lLA!9)G#T`Xvo9r6+!{hpLT|MGASV|hcKxfWa8L40x8#~if9(W4WWYI^+8G=cpCOAzA6+fi6 z^2?_DCVA|QGpG){9n)#=Q>}wwF0LT#Xn8cbn_dAUtBOefm~ea3X$wtxk+?WZT+6_{ zh?$AEjSLdFQ%3F(XC&Hf*ltU@ewLUBSgIA_Ecr>(;Yl|oYJ zd@|zXP)ws z5Qu+vTI^i2qB1!_7;as-YsfGz|0|2uWed=i*%~PL2$~ z5&P}vm^df@RJ9J8^e+-Y17?&_qjqHMe$6yZE?|tIFT}3`6JU!CgOE0L~9Bx={zly%Db z1eJW)1nz|A{EhIEo8_VLjKv&s-i#P9-M<(LL*-EHDBhr{jBq9+XIj#%u|nYaw7LhV zC(zbqS!e`E1{K$wo4`S(&1yJ02<4}uwJUStOpa!eX7s=+$}Em}Q?(TkUbS8k&a<#T`H+JIZ?q5Bbmi|a`02U zUS_F+fIxTX^>k$3@ph~ore+%PB(#!3?a&Xz>Jqpwz~c)I#$_BWie=J3pt6T5BYR(n zrGm^7rX?IShjfBdfzr@{#I9lF%0O_A!$=0l!b>I-42GGM5#(i%0VH~?pb8JKSP4rS zZP){*q(Ra!@GSZiVkgg5W%$AFJd@pIj~`; z8xLI^7M+c4CBXU!5tF&MJWbGSH|OKl=KSYzYje+)cj9m3BXmo4-SkHfU%cnSGv@D^ zdUAo03zdk?kvJUejU$}K%eYWeYy=>!)(0*fGUF&B^TgO|+ z|7v7*>H4sE(#6#AM5jmUNTp^3^$lCjqvl ze3mzAZ8d|n7GvDkIVPvwc1ThnOi!3I0=osaJtJz?V`74Ymy?m5C&nm|wDFk4qg9gS zgj;FTlL%j2Ok)Kx0rZXFjDfNoM2tHu(4HOfZL7y5vu7!7JGRYDOJ$ot`m{$sSuY0?7pO(!pbr@!;r;$C6DIu{}JU z#_$_rYb8Vvte!Ueia_NTUTW-18;xnaXpLtUJ2C656S-6)2`MC+0&`Yy8nVL-ny@`F zux8cjczU^WQOsOToKmj+u_b8_{WK&DzwxMynHlPt29!wny)?Bq&_bVDd_)%S9@U-((3G=birnTA zZiM~w3VWW?z}`6jNNz3tE$X_{GmEI%!0blacHEGnk7pI4*G3*pp_Rv z9VbYz!FA5K1A%|M3IhpCPGt_q6BMIN(g|zuM;&QPFq^YF#y-#yq{8J5Efu1t8%9h{ zMQ7syD4>+NB8<1NP;L-2QxukJD-@6qoeeQp8_jsht64qwz<pF}cA~CO7fQGNmS^ zg)s*0ph8eKhfb1IFtaz7T3tVyQDQoD*hxo>#tV!+AhFO@vKyXcERNe)0?>Y_XHd5s zi1C<2=S*h|khqqSLvQ=^Wu{t(A=?o&c877DjG$@kcU5iZrWUg$1w;M?F`s3QtdK-> z#U=trT;9f%Xlrpl+y3>vL|chxkv5nZbOT+h+U znNB}5+?c?fV%r~7UgEKQq1g6q8*Z9`l5rNkkLVmIRAWLfLOeG}*P3Y;xf46m&-AW9 zE1%w6JM9x@%a1<#47x&BxE<8f@C!{{gI!RIBxM@TcaAg2wwQ${5$M7t{CJN6A@;c1 zxwCK)PjZj$5!xrJ2)34+&h{h-x0BO;pFVSfwV6%s`rg-9idiN^f!b!gj3KXZGb@5M zR{JgKVdvo>1RmFo3>o0Hk8bmHfc&_}V32zByv&4}Vat16R$M zq@`{w{f3t$k`{*}c8atc=++IR#h z+U)8S=U_Gg0qEuvW0iXr7UNaQJ7|9U__E_WY^GTPO#Gtu^M`^ zYT#gnt8UXu79reJyf#gg6yR6@M53HSOoQsJk~c-0@z0L*$RdoY zN!SZh1*d{4NF}@e5iOP|Bk2d(KXjDqK{D)T)siIAl|<0yTb1Ns8BG=zAN;?gb#2MiS%Nz#H_w3PVsgFxH4B(Wt~20wVEq+QoX>5x~o%LQ$#Bw$>% zaoiMmrhuO|8WyG!nX!2qr12kjAu{4sti`IE?$Ue(Pa*((kM{ zU2xjTs&%0It|LU_m!1Ad0rw*89;xlm--;W<9?xJMjMNPiAnscGS%Rf8Mc0m7dt~euBFF z4KH6+^qO}+v@W~_XUzZWWB1O#%)Os(+rRjeq-e2M<;J zz}_3*~VY7Z9Hx`w0PCJ6A`Md6xh~1 zzb!B_>Cb1c`}+L9{=5zzZl1sQyp1oC^RJvnfqjx5WgOb}hyXkWMtc5nmuel>(?F(E zjms2q&rwkE;7frt7?EfgxOfZ=NWVTiiJRG1Oh~sEb2F(daWfvwLNoyLvh1=ol9l)( zLp>>2j4v}3H`6mC1aJs1rxYS7jtK_RivhAfq1GY9c-wwuyO-sroR@TOV8hyeVlXv= zV#)`o$fF3w`q?ygzhY|okznhFRpv#rMX+h^OB0%6#$9FWl5W{br|Ng=~X1%x%#7WWsuGJIBsAV6T9t1nbjHLJ>#Z*)Y_CXVkfQcibX*1 z6kXB>+mcFt)%T~(IVo7DBKVA(HYZQ$+Z^0Uk6>nhJZM)v(|B`b8?s9xF3$MO4Njd7 z?1Uc??oCur4n=o{2Or?@x(v@07P%W9ATL+jFj5zDiHuX(E~#vXCFbkxWTQo;Bh@W~ z^|8fxsU$g78F|PB$l&-cdQ5VXiT6-Qo0Ic8OFXF>xcU%N+4jS(RU_a|KW4c80G;(; z8R?OQ>UGI}ogjSu>-B8?{%t>%5`j}@s85@rPaQFXV{xn#^%{qh^evGB&3M6f;ijPO zB|4t{62t=}1Me5oyhFMtU8E2&48fe^yAca~)6mVrXJVY*>wIhH6occ}kh| zoP~@AgsZ8F!<&r7D!A(9V##pNB}_XMPrn!h-fV?71orVN-Z)D@*&UUU0(umE$Ed=` z-Hb&|BZC2i({6S#mT+WyCKI~qO!^3ZJrKj{m~MP6Au5chIuQ%5HYfnNc~O{*GBuW= zBRJKx84jCK!zLi=EEh*0!@=AvSfqUDWROaa)+Hw^nIOs0C76?V6Vo7rCU=g@U`=m; zV=(t8f!aWPqeyYQGU_FqVM%X$h!q)p+ont27|Ff^weUoS7_88jeMQMwGY9Qzdh*2K zwcGciS?uVsUHmKT!|eL=)^Scwf>`BZaGC9b0a(#!#frdgwF_HR;t+YkrQmaTC&s!AkUR>Xkc$2>t zuSVlde!PH?bg!6WS?Ti6y*I>KPVB?Cj^ai77&EmV_y5x?v~A$7BU(@a!c3ge0VBE? z@FvPav-S&#cQ$2MPp zm^fATUuL~4CIah4B9ZP)u=EY+HLg5qTH+Gm{s>*2Hl$Z2lZs~jB-H__&A^@3gpuw{ zwOO4PtWBrCj;kuNB`+5m9i3ihvK*KfBV0-MUV7Q1C?-lw?hGWhFKv`$WSoh>nyti3 zJ{u2#2GJg_*r7)|>tjp8)+Ur^JEjamQN$KdV3S=$B3hn8r)(Ua`Z&|t5Gt>`Qe2jU z;%-w#JfJ(n1vsc}7#J*6hqUduw~S=pff{W3q|Q&4(Lk-gAi18##6L3lTH+9=1)bz4 zferdYvLqHN<(g?m?d>l>t|g(%J-#s z*TdiJx90TRXB-PZfGey;&n)N_0san&hYBoamf1D+pJSD72$y)zhmbmj z{5Ye5EYG6yz-!fbE7;l&x9u8cOM^E{h*M3tImoRp#*OXNkumukfxI#tx+aT77+Z*M zIGQ5wk4Xo;k%UBkD`E3gxtQ9Z&;EAMpl2Wlv zyIp7ll0udbn|dt`67{P^9uk>&r6FNlX>+V=pkoO9P?-U77q%#=v&bBN^%lk#<(3u0 zYfsb)i54{F81s}h;3sH?y6Kr|z0R39ckvhnC#d9Q$GF~Iono?qoz#u<@WbORkV;)a z1g8rL{ibzN8^Yf^|C6oO&y5pc-^;~tIsD3>@aerpQp5K)1i`D>1%6{13m;=_a(t@S% zqxnv>jKxN$>{%}y_v0S(%R4`GdFDgQc4m8`W!bysK*0 zz8Trfj2Gp1A!fTxo@frp>X#^jteh@qUORxmqIFQQMQC|x>wtKpb!b{ZlW}gFmzk-G zp?V+Y>4cqWHC?sr@4Ks5;w;rpt^DDXj5z)31iOLBUj;sNkXIiJjNV=S+Fk!9GN*s> z3uUaf21sNA4LI4`#_p?{`9{x$naS0!r52Epqf68} zSo{8N?PKqlx&7Ul`7^Q)%*gx`xU=#9%^sY2@GM!q?}mM^Emy6>>8Gbo&szJo{)@K` z>;-BZvitIV(4&hXD)Hw7@g8$YftmNno6)K}Y8YA|9%3_a(7$}XZCeL0d=9U5K+OjE z;s8koUht$71@l{n?$dsZ9%)h6ODRpma#rQ$eP!pJe#-Ogy}#Rc-^(oft_Qw%SK#1p zt3PsA)w9>mcu>xGvgx}|p&{6bH&dHUL5+aL>Z!+@ZyjjIZykIo$yCCRZ#%UmOStN3 z;1>ne>V3ysOYkE-m1P>(OFOGschzSq|ISBUd2bC9?|S!6{PyL?GH;yj9G@Na+V099 zRJ|jcdB=mN)-Zg^O-QOt!C1rr3=9z**3(eNcAkY>C^IVe%j$gxsqZjv-#*y>-^@5J z-}|7bG)K>P-?U7HA)tz8$-IPOsE|;PhYgX81fvMI3{U5Xr23m)GWZ`HM+E*Cq zqt+pyT8E|?*=;i({71H@;e6w_*@Mduo)LNXzH?ssNlkY5wQMrA4)^u_E4L1M9JpoU z2=^V>hXV_WTZhkMMiOQ`8K7U2&h${_+xE*FWOb%wp|KPJFw_ zjV$cbe!?^C{iUG_qjfO%9)H8j?B#>+eK2#%L1*)y*gI#;{PF3=Isa3=j2-{VRW&>0 zsEfM~JkH*Q{`~D2Su`%d$fAnXJO0Q5QAc1`FpMm;m;FW-h<6`uw9Q>2@IZ6&mydhW zyn#j*c6y0}k;VC$X!U_uwP7FsWpn9n^Zh^mFmq=7>H)UE#2j{0`|Rr1s}Jlu{y**r z&5-8(!J3EF$l`Xp%4npKMb*j-Tb@DdKqHH@4Extl9ruH~%&X>3J;!5Ruz>|=wK1{)Nis$jwi;OkvafB+ z7LF`(+J~x!1;?p%n8D7Xkwt(;77za)jVvC$2qTN?*WZhgMK;^}?w2;d^h2}`=VWSV zWO3hF|MDXX_W7-bYioa-ZKQf>#qQC*#Tq$isAdd zA3U2vZubcZqri-uHJg$u3L%07lg#9 zC$BDTr3l_f5nQ+Tf#?3~@&)T4zVD33-`}}q?`uo8p19*ZFFd{dw3}}^aLvX&_g(eW zi)(+d_leK1zZm?p-`xF4uCJCT;NM z^4RKzXRXwv*414>>pEpSq>QvE+jA7lt`e7}NLz_Pu_}XB4Q2U`bc!2beQnNI298z+ zt!+KAMC|(=GC^5_$2G;a2SG~{36(9L6O6|Z zli4f*-dxvUX9rLElVwaw1iKxp!l;czzh?Eicn(9(vu}Y;msu5fJj&u$F9$nt&;sr- zvSbV;A7|sN>)e1bnzS1cIAqz2P$A2d+gJ~6Kd_+`-wwct5WLKbDPqk^y9Q@lY22Ab zmIwy_!XVni7gxbHrnEvJ749xomfW$n@t7ne^{7=r%eJnw>|i2Tj+mqgNijg+rm}=s zX?KA&ur0`)hEz{XM!#aE7NT2K?2gvPY2$Lvlb1R1AlgSSRsiMKuhOl9BJRP5z;2Eb zl=yrs?0b};K2zv17OyPHa;0k!gpLAnJO*T1JY0au02qGG zSwqI##L>)brL72_$oZMI_&(UJ0`u@Z7-^^|cksewFG8cZnKHH+PfrNAcDu8Zc#1WB zahO8Y1$V+1)O0csZbfT@0=B6vEkrO3Bvq=2r^GvZK{XY1I+M#mw-;QAP}vLPEy2#) zV-XPtZt!f)3T|yDr&KV_r1Da9Xxwlf zf!4Ik15`}_gb|OgO2-@f!>uQS*Q#)|sV~&&c14{j#5^2}E$l|s zZx)o7TQ*@5NZmpT$$&p8DxDB{IR}Lja0QH5oegznd%iXfWD){gMFvRfP=Va?wnX&c82BPB?rlR>X3FuZo8?Nfk4%5QofLa+wxgD;mevL&&DX%O{O zjVdO)xykA5fQ#!Nd!U}-xju+?kvm>UfWE=XI1YC-Hcb}Bf%id6CDYVvt>-V9 ze^?#lz6z~%4HC3zC>JekX(OD3gQcFq4&>x!vu6i4Sn;JiQfOO>hCx zY!Q_yt{FIbxY~WgwOy6tpH(e!es5%B%?F=K+=(oMxssj_Uecg~J>uW?hX5|>X! z*t*kXcT6UFO()$5+$}X}YmI?P;C<)gn_xSksA!1DaH=H+(wWYMb)RB#409n``KxjdWO0fCPf+J=?Sr zVW+9D3|xZe!AR-@c7|{pBk)Uu?E$hxjD|1PeTPxJpmi|GfbJb30`LeOAFM`7+*@dy zm~g`dwk_)BqvH-Si!x+sbWwD%N94OoyOQX1d%FN|9O{6t9abc^z4#&~TC=KGfXB6# zwDAKW?b?JL9oyL(fsxwsGU{AY5hQ^GN8M%~7N|(lz)z9(yToy{!bAh6$`UwCfqlG< zsF6hdm|(*l4FoWNjzMPgR6EoOGC&huOx&=md;fJ!K82%wc7i)L9ez z_O6E!43u=J&@8mU9RkQm{2t0uk=S-Ej7QP?zb<~<9Q?Qp!~Vp0X_a02|H*qFz$mI~ zfBequY@Eb}OqKvkO6yGiXh7R8pcR3_-57Dhqqs)INK@TKp$S%>6f3P*xCxUG`yxgf z{%HT0*aStZ_*#_~wXRAjS_E39*a`x#+DAl?7O8&-?Duo->~1#y_$P?J_q&jpJ7>2Rztt7ILB^Dl|EDk+MLXN@>ww{FaOx7`^KwXlp zp>XN4Tv$QKR@S-6Cp0kP=vFYR=sXWFkTDePD!VX66I8SKic8q05+7M87}sH@rNc@* z-SGp=>nK%4Xf=9P@Klne2Y=w~90#LLQ^33Y@n9w*IBQIiSF-c@gr91ABIx8@Y+G22 zBfeHd=TO5>2Ay5}MdO{ukckV03mgH-j8F(6CfF%1@aK0#7t%G`39>5tdAe4GYZFN$ z2s%)Y&ZM&wkcgC;xJD|b6xu-mM+(Kn4Oc&#r{3=rm)0>=_QIK?Rj2!CGo=EmlQ24) z&>kJ~5KbnPED{`)v*oM(7f8JuD0%!c@p2M+=Li=fjfRF0ux-%?=XjYp4Me}6e8O1R zL?2z{QBWLLQD@&3g%I@u7uo7b_kwL0k$E)4xZi~{s$~dK6js(q&I+2$aNS#lJoAuj z7S0E^%1l2y%g3r1&%OX}OTm#o>_4eTF=`pcTsy0gWKf!8B3E5P@w359jgpFNF`mZI z0d)MuJKjM7W`&s`*hCc+BMJpU2WXNSMCwIqTnd$f;twLRcu2{*1gYc9JEFbD`qL}k ztT;#vButuvmpLd+Q>G)xKq{*U{hX9j755N5892poTb`LpZ@W9%6FnJvASLge?#S%< zvhQ%xK%5C2*Cp6|;v|JscA$_hI&mRWuw6>8khf)0HunE`=KdNfd!Xcg3mbAgy%&3Q ztoezf_m!i*)tivt#!+91`%~hfKHW0nDIno4+4zT}yQ&R{vV?8>T}ra1n`7s+t|LvB zta+T!Q+Gdl7j}Mm@af0qe*mD_d%^uk1<1XbGo-sYmxEhk+di^lrL>ZS5Xvruv|%@# z#~*J6`FB6rf{k0=ojGt#`JN%x;I`Snd%JaR)xKwbw0h%eGG}P{p5Lw<{POI_A3W>8 zJJ5RzHnNEqh=(C$--_lrts6%zz6U#~ygT!HlHFYX_XA^V3l6ky{N(;6z$qZ^kM=w& z6@2Ki+0FY1OL$?Ef3MoG}3TbQ4dZ&^v_4+0P_>`>t{S8V>?P9k*0NaA}!>*rUGgyXg(&NU< zFV6|EHK|E+(kGqBa8*ACJ+&)2?!hEEuO;Ewh2FuHrHg&R$^!i;{Odd$4BE5t(ISj%|s#v!HOFIryHrTm;NfPW|enpaG;PjgB=YdCdNEA?Am(_k5USZx=3DdVr7AJtnHnQy=Vw% z7JJm@1%s8%wryHkqql!e?P7mPDL{EE-9a93 z0tGL`9~4Z@ow%!{Y|6B#{#6ks8ok-I3k;y_qDK_Q3$WNI$4&muas1t>Ad@);01H`3sj3I9 zbgo;bUB$3-O~YievKuDvDgRw2O4U((V(h+4)()q;i*oAZi&Rl&>c#QI(M@w1ipby| z&GJ5+d_r+Lt|Cc@_x9-8>>z&1mY93 z+*(H?Jyr$@;P%)YA9)Ju39BY2rXo6EE`rk;VvHaS2Z1BUNQht11qBsaD;PN_g6?0C zuZ7T8H(5rZ+oHt5z*PYDlBSp+QJb*c45DtVxd<9@$x)W%>-i8RGDbzo;7`U*NknLJ z3@itWN*XhABPIe_tcJ1~#Yo)=l$KIRM+7*jOK>b@Ryx4|uwv&Dn}y;cSHQ~8OSt(4 zSvzosO0EWjzT<%ewLT}@o=7)M)`u81%LxUs`wMnS{*rIvZ3Ym0Bb?<~_3q*d_P94& zb$N$Ra2HE%^`bZ?FM;o(-Ub3)iV*mue@K!$WZPWBrfkOp*vdOORtMy5VQ`qml}=;{ z1Dr^5q0X_f3Wn)`yp`q*ljhi(%pDVC?pVoygez*YbnJ3cV_a1__!Y0_3Etos|9eK8 zs!IhOA(q0ds`?rZAqL1fKKv|o^h-D!7O`!e-Hj&B3-XO1eDGV+JmH7|;)2XnH8%EsWW2Ysex70KcQpDgm?+iQ4mGfSTU*{Wr&MX6%7M5bM9 zG`(!6BOB%nv{kn<73LVs!9dO%R(qL%g7hKukA3=$m+5?0ML1w7jkbmsmGq|E>Bxre zC04>0YZ`LL7@Q@!wJv#i96}Psr|Yl5w5*mTOv7e2lQBmOO?X{UQK~h{%WSh|QO=Xf z4W+)IAsFRlGUvOLlRR|z7|?&z!Dy-21Tx2JMv|r-V9c)W!rgm0>27>)ddu8_>=XTQ z8|}__7N;7YQ*oo6>;#Y-fayKLq$(+76Kzh2gRxic6d_rd;sv+BDtl74sbw2s7A7J6 zK#t3<@`H>gjZjdi>VI%l@7JQ_~n}hs;{JE zS&+Y=C9#C15I>CHT+CboJ~8zw;N~(8*{TNa;yTQ(1<(ahzQ_WK(6akCa3J9pGr&;= zn7Ka7t-WGprY5&0b_cWgwp0Y~BPMM!sY|AY`A_y*Az2w;N^ zGM;*NMktDWa0QwarYLC&6??%{Zj@4=p{Fd4lB@8ViINWnh0M{oSDI4-vIrdiZ-<^g zjoj>ub*Fn|VZwxy3Qm8kOScTpKdE4v@-a@?QH=6DK+U+4sh|ohsvr%S816EGKjgVd zr=x`vP^2j?M>AMDq9{;ZjOvn(5|>RSQqVyL6&-E_y2e620@iQ^%)$4M7*T+e#sglu zq4%UcucBMM1Xa`MAt7()qSl3;oVf8PC%X@;U2x;YM%5+-rA~-Bbl7EbY=1 z7+?Z}#Wb?S&0Vtv=5$Atb+A_3`i(04=MAmI4IwRyQiaU^Wrq+cdvXe9sZ8?3kcT_T zeyscjD0mV6dKNNiN!*+P*!;YAu;WT;WkFm>S=-e^?`)gXTE6E`NjTjNz31gkSmNm_ zdr40DrQ~nNgOlPYyU7CKVB4-+GU9EJ&e{kV;9b|Bvkl%WUqAb)ja$f^v6G4WV-f-& zia>47nMzv`MHl2%uSaDLal0)(LSA zw@xt#*z$6TQZ@`p4rzq!#uZ-doGy27)z?qA>KljTMFrk~T<9$i7L|^|@K_ozQZ-S1 zAY+FN^4l`2Tba0b^D5mz)np>ZgM?+iy5H_O`LsP#y<3i`}&cS6FgkZnS< zqX|LB=EQMa2hir{M6R{Nk=nE`WyzIka2H1ukeltb2dux?Wg`Hy!e*}X`o51g7~HlX zA>JggFZw%<>|IH+lVqHHoIYuGgrsn<%NYqRI>>6WVSyG7d8Z=Aqjjo9%Qp#sAXh+u z(+u^iOUsfCVzI+5Y{j&H;T76nymwFN>u{4fT^g-~Y%L7g4ytjP^odMTc+jn=WiXxr zdDthD3{H>KkdQP(c31dDZ!ow^xuj$|WM39R6IW&b7#rCaQIbROXEuU68+{(!VrZJF zzk^=my$MawR{Hq5TyP5fHQOy~shu3CRp$%}jyC}K5;64fbhpi+36iu2_$pZGnBY6< z`h;Ds#uif0n?*v7-t3P=?%Vb*;&%ED)V_z~j>Rnq!QU~2K*3%;2rH>)Cl)|R5%Zyw z;D_-V$aS@bf^HnirX`r3gyV>4JBpcuLbEYwF5}zNd`(ahhqGxsCGL4*R?B%s1bfGEtXw@7Y4J8B5geT_X z*o4&uW;Epr1sB)~0kTXYp$CD3D%HW4h>&E`T&p3lWrP@?rles5eyN>F&^+jvm_~IG zT2zBUwx)Y-G_$I5jb;&$JqU;*wL8o~R9g8NlJPz@l7t%gkBA>C(2Q_Xx+*gbpJ`Gs z$qyhS^rRqYMCPV$XeN9jc?9E(711M~p*cuQCf-Amzxc{2A6ZD_dOC=nh}~#rf+7of z;^GvZP3BdYA2aWETxj=%%=jIS?4zj6Xo@%`lVZmkcxOiBLjzGdk)6!x%-G|VNN9k~ z9b_=^`^KVxX$5uYXye5Jhu-s%_e3@&QBJcbZgUwCINpUnp+P7w%Fx+6>Ck(K!SPcU z2DjlBLsJ99jE^}rR)E?8zj(&VVUWh9(@eSxx?n;;3hEt&gy3fnujldxBNx)DRNTW| zTAVYPp@xvCO3cIXaU6p%P8tvkLigNq=+4o(%jrU<&*F}9?w<6~ks{)Wi?|$&=Qyeg zB@4fA1O>`BFhNnW?l?j|3%XO-j_;wA2Tpgi^&7HwI4EaQx6*uZ3V>)&P^L7edy?Fh zCn6hj+{e;n5j4T9IDlqpin=p5X2mfBTt>jBa&~z(zO%Rkdaoh0+HbYZejoB{c3{33 z^Uw)%Kn9NMRY?feNtpg_JBm+^2W2-QTPnDF#Pdn~S;&^t-<|c|w`@)M9!j<|xl`_7 z5^)1^DZ5{oM-3L}}+co>04bOe|qK#Wev~OJYtMWa689f+!zYc$IN>0Q} zE59YP0ieFm&35(44-%SR;?VD0RatTry2PB z6c}5dm5}?6rFZEdtXm{N*OpHt3%{4QR+Of%#bi7`7pn!%P_RDL`0-tg@8^tF<37<( z%vIk5+-=;k3%!1VtqTS^p}xvhh@NHhxF#J*X4&f?;1>K<07H&eATVLR$a`1)()&k} zITcnGMzBC`Ly~C(G>c(3faqW)P+y%aUg4nZPMG1n533u?_34;Dx8#VtuG(7$?n@?A zN^~`~Hu7cL;T{8NooF_V_HTE?d3CuJ(F@X*nZHD$Sqr7cgQDoA%PhgQ5$W zPydIpT#FPe*LPWK;n=kR_N`v!^_9#3h8&fuS&CNAtd>R9Z(to~Y5>MhjF|T`XtAhr zNXr0ky0*}(2VeB&1cwwfU^$~@sb#@U$5E;c?N*1VQ#?UeGF??dIc;mAhS)61)=tTNky>+ZT7zRd>zQDx8Zg&$CnGHi&E_DVmPY$9C z=ss%nQc)t9h&bKT1m$GTkeV7e(=aUXJ0vspP9p9Sh`G?8Mlj{E`#~yc&|PKsML7yP zi?dJ-q?&~wX$o$nDxTuODDFFfcl6OQZ2_8>gkmEq4EM(ej(3i=vjM2 zkZmqtArshm3Z~_mSAM^Z9=5=%SOEj`^p56u5L-(be!BW44R{6!rgB{97+n-HBTdJ& zg6g?U5mT|*97~Vl7HtcK96&^?#!=x9Bl<5zQ}HbcVie+zb1r%~N>g2&?l^Nc&gb(z zaGn%NdKKGO!72^TDJ0K1-qSFh0*_)_E{>rd8I0jqhl{(UCg|E_!UMGC!5V4$hL+P9 zmyyrQq0jd}r+o)ZPamri#q{)YY7?lPtQ{~2ZKbSR%Oo1Tf?7U;r3^sF4wq!U3mCCs zWg*%!;mx^g-97mHv7;syAUlmMgxrq5xNIWV4)~(epOVYlGD%53E|lFMhX4{H&0(ng z2V-fwLr7;Ub())(++T2-{S-|s2r<4`L%jj&J3v8Nf3HRV;g{aKYHhDE;aoJ&kw{nB z6CEq-=(Uq|(`d#WxVd=$BW^t0CDt`twP|VaH^6r#8Q{D7rQY?63^Wntrfc3C)3 zvP;pRU~OOMZ5zuce9b#pM8eC1VRWz1gjOfa89?a0&?H})bM63w>XltM=#0)y%UZ2j zG4Ppeo$TCrmzTX`p&yv{``T#{>8iA^`NTJKMrKT}Tt0D@*|^Unz$b4|fpGc` zUqJir)8kf3vUKcm2Dj?FYpBO4w2Py zbo3)X`t6gvYs%-GoTN8Hk~5`p=?7ha+^Br%f$qS3e>%#VCUDhr5Jct*TMu5w!d1w- zoyefiS=e&NXI49W7M90LQ4dK8qLKeqPIW{&Q$sc@#&t(H&fMYjggj8>!~=dNoq|*1 z91`zz2YU8MmOmh@{?Sd9Gj56@h+$C^mI;dCuUjz9Zln}~zzPBu5+sE>%S^wK;^`EX z!6d0VS8$E@vNRfaK6j8^al`g$gh?_z0^|m-NiT)q*{;}wmSm?=%fsX5H_n$qgDf8?8s%ZuZsVf@6g5wmuSOL0~8PeRCo(S?5n7lD~FzxvmK$_vIsVTYHmH$MpuZTUiKujXc^{1Y|D$%GmMq4asCM{G=A}<~wr#$+Z*&C5p1ZiQv!ix!a9mNM z5^l#&74<+jcvqFE#~Bi}Ltmh)eU(b9ZwEb@*L%^){O3JVysQR{crs3sqzQpZ5}Yo8 zE?u!Po~?cl&}z%07jyqRq&M%mb^x~%I;&nqwFAk{-0vZ%-sngdU?|zn@n)F0Ln%k_s1t~s&HkE%NB?by=o_!8Aqgn^tehw?I+o88z?i17BNHPg4bemu5K z)(&Z~G8Xe)<#teZ?RmSZonUJT%~IL*mCAUuECFk*J}V*CtV z0cG>Rc7c-<930n_^5hiCuJEqMRaE05$2jLc=f_??pK6DEDg7wGubC*@^o&yt#HGk; zN)oBkcn#)2f~$R;=P#z`L(hm`@)1$?m?Qc1o@6I!omE#KCi$S@|G4?69WHb+^Dx_- z4FC#PAV&=teOO_-Hh%v<`?8Ifzx3K|t_wG@{ZZv9Prt)_@C)HN8`x9sm%bv{&tjST z)w4hNhB8eb!7p^z!R4DhB!p+EJOrp-48@7;lCs%K#e))@QC`?oJ^cYX7@zg^$d6Z2`zZKaXxc#va|^U00FM9NEY6o&K!D;d%Z@1NC%2 zF1nrwiIGJ&A*vi%fZTaxp~jOOhwd_Ce}p-ZaQ@-#eLwW~*}CE4!~9~dKP>fjZRtsN zg65#?Ix69q@i0Xp8z8o_E1v6mqzEI60R)h1wj1c5)JCh@e3~3H(hf_7O$fS{li$)fx9mXX_7KaNn@4D&mj<3#p zJMZxRC3kLa|M1cSn_fD=uGlp0toB}-U78wR^~vPt=3->gZ%lGz;ljw`oTj8LXFT$i zjk0z)WhlE2MCbb-y1{W0`%H9bbMmr0b}c{?tC@2$3H z?)={o^B>xK=+3(VzDwo+lb3*f!R;v6gE>IHbr5_dwk=S056%0_!nwct4rK3JxccxL z9gw%cT3vk@IIdq>@HIfN9+*P1-+QyOYze-5^VWSxalY&10;`Y7&p0s22 z{0HCLGtU9`y@)j18+-3SLdZG4`{TuX=Q~LrdXHde-(UULMkR`~K^;uipFfT{Gv$p8i4WJ0H*6_LYUtzyHacTPHlQ?ER14%bR!ovVKiB zFO~j23{2iBJ03dx*2{DMy<^&2PLsYF_NanZJGi&}|O9>zv20yKeQ~w_ct)Z#x0|!Y48>=N%f0 zY&fdFd&!0rvf~peAFRmiC#l($gnbCI%P@TfVAu^p6Ng=>(#diYD<^;z3fo!pG zKh4(CAsb{UL}|S+Okv@KPmCnF%0eREwAvKCaV_=6i9A>m_aLODk|CR}8Henu2ca20 zBfxdgE7?jMAwZH?Y(JtkE#GR52X-!&H?gSFzIM%KzRNyMDQDZBD-iD>xUFJ;4 zo_tp!9+UnyrI5R%2hA$00NnZ2nt<^t!Rt;4uFuh`@)b6*G&`!Ln;RUx0rmt8WJ`L` z)?5JJ&DOR1R5+F!%^*)OG?A809`t7af*TRj7N< zMLEHDRd5a!Wav!=A|ZsXVUCJStJ8EJnBt`hKI!1Dh$rcA_afwUk{y5RUw3K;2_b}! zUIE2J_&%0Gus^mYF?T*2azQ*vAUQbzqUi}u3Oh8B0AoR5n8p?KxQW}yfu7h<97sZL zh4jv@X~ro95|3FA17|B+RDvAJBFH8kpiCAs(@TN+3S6QhgE3*kc(7TDnE@f#hd@_R z`t1l~!6HE1UTW$yIMe89b~K*^gFy66c(B2ZBvZL1HdD3$?5{DLIsOhMxXC=|tzfx7 z2q0RJH65}vTLS1oGhp_qq+os_6prT(C$i26JnBY$nt!b=^mvkm;UMg2R`S_+V=ZxC z>Q4&*bzUq_EELJ7nR3k^ak@sTm*A9(KfM0S8N(zXcoE5oV0FNGVGOS) zc{$OlMI(+}a#B6P@K5Am9~QLnVe>|kDAK>5rpT8ez}Z(Y)5Bqm$mJmqy{AO4M&q3- z)l_xlK|>RzrJ`RUgBfKZb&z198Y-zpM8hN{o?^yXY0O;Ci6SKI?+p~CpcIChWCXI~ z=Z;8%Z~|wugBN-ygPDxQBTiyOhMy@AH`Z=ADSWFU1TYV9FNpnY!#H!|r!2v*TtGn) zQlf&j;9BNDT!y^td_dPhP#R{4=&34o<~ws&Pwq!H>usiKa;4 zyNtkhdqLT)kE6aOjJJmoCT|1jolz8e(nAUx!~Hmsm5oE3_GU1ysbL|Y+2D)VeaRsw zgsdg-UEsPx$WZCnS#@!r@DdJmP-b~vG+H#Fc#2qQ7Fz5Vg6Ia2Y@8s(GsEupoAQ#I`AmyrtC{;3(b#H#8Z0#}(xQatepKD=V0O2-cN!28rW zKw`6TqX)M&AJ&Q}>Lr>*P*nS4qbrEm%qBBs_T1 zWGZavMM9R!TB!KIV=$f-LBZ-Kkc~18GeKYYn&?C<@W=6!3sbq9xs@@&kN~+eB|t98 z_SzuhL}cB;pDhbfS}5E2!K8ugn=)B7T4g5{7_r3dlbTFJ<*Y?`7@gJ{OIZ4p{9v#V;89>j1Y>A?mvJ_D5< zws6No7`0f04vgA?tn}6Zc$SYhauvYo2K4b$YZV5_;VkJY=~#vm1vcrytXd>l7J_4I ztinionhGmaeP;g})N0Eo$RwAOW7C?UV8)<&a2KZeIIsCd1<2moum(6?fWbDJfYYVI zP#8rqt(^|X7G()mqZG1{%-8fV+Vi4&?J$ez8NOO*R)@Uw{eHR#>ls;gMEEZVEv;oD zQihb^x`)F$zqd9bEI}x{wJUw4%e~pH;leO09aM|l2~Gj3wp&?VG?L-R<^wsKDXdAK zsy+?9%XotlekSGU;ZTyPPlu$BIzrTkd<;UsL9D@nji;UCgDSCTY2H~7Y z5ryIVMVy66h6;gC_|RcT(2%kz!bFsp#pEj8aTUE@gh!(=oevPq_!GO8(ku=)5M2ly zR4)!2eEH0!3z(y_tR@cXe;CLQ6CGT|EPmO~G^P-FOy3$P@&aCMb`&%RlWI|M84>}g zovmt|gup4XIvo#94CcopOoR~us&NtH^!Xur-~a$SOJ+A&r9vd~AB0m@|Jq3dLbCSyHC$fb^0oTOx75+x<@l=vBm<~kVMry8I0IPg$=OY&W8 z^Z}$$?2d61JJS9LgwKlW@#;f*gi074=_t7{;@|WQ_oF3l6yipt#Hi2 zt{#p#k0jw;J+G`>Ng%eVV6Yj|9B48(P6m#vgPuCsK~H^zxL+Y5OQ84Fqsrd}S}h5A z4BN-Ax!+CPLkD#B;3LbIV3QKZ-_1bqjVJjlAow~+xYzDkIfTe9=N*ucw-B;#=0FH} zMEc|rB)MqKkG5~zn!s^A|GKuhUs=(5L(|4JzJv!S?itcNdGA$^uV^08I%&=QPsR0) z`{Z|0?=|=TOp+zaZktT<7js+pJ^#16*DVfl(gV02;l$g9N0Gh>z zz)(;fM3A?^T?9^=x3;E0x=KrMLv~jWI_BtI$YrHVeZfdX=MmFxweSIU!pg-UTS5BD zx~8?Dp?dL_DqHpG84fu`$Woc4L7Te9lWZo;K7lP6pdcXH!j;$s)yYdE?%07+wiMDd zT{?CJth^k5fTaxvh`XS1p|PY&441}Zn^vjG-FcQBg@Ox9GbT*ItpJh?6fEi!w(JE4 zpzHd10<*D^eSifg?lSEvYZzP90B-L{_Ge@2H=rO741)(1>_JbtlOqw6D+++JD+}8% zYa6n)w8dp5Q$`uVO0%r&1Pi1zsoFKN=5uKClkj=2qjW@*9Qsd>&0G$sh2n5?4K;mS9kh#;XRCR*t?V@0! zK%6qNaoH@Y9X>b>{T8R^bi#t8$Mu9raB)p`$~2WzdbgtYjcyunozTg1u}D)k>swr` za}_s>1df={K-X3^FYSX4B4ga{&ZdR3#tzT3QlW@tX zC>>QVvnddOCl8#JkR&V|gYjtNeV1GWxpURTptTw@n4 z>8GlLA;besiZy?_eHmjl)dTt~MuRVSBM+ABYL*Jx0>+nS3)Q>aCzFiC_14fBUg-FQ zPYlk&%$jA@)M&@+Dzzu$BQG$^{Cy46sP91LI94{ZEE8L$YYdBKUNl9%;H_Qpev`RV z(aEtGw|g^!m;-_Ppm(GrFVW<~96io$Qx+4JFt%cu>_+Yki$IfT6@!(-vlWI-_BKlo z1}Gcc*tMvBF}Mf#GTuO5T6vJYz;3OIRxK(83Mclq@2BZ{Ai_1vs;|js_5v@+PVqrE z2s_MDsnYBf!?T&!J2GgnAfS;0Dy+O$(`(bb*$Rx;LtGD{E z-Zh)~7Z64)^SWr!t)#yeMkv*YG;=GKi62uy)V3`t5?WtrIJ zU@`}VWa~pv=k+RE?dsTcH`8;VH^jk8UMD@s6)31f$YcN#JC0QYlz?=snomNa4S(Wz zCV(o+69BiS7iZHuApX}mOREb60-9FUN7Jhf?Lzc5BG~}QRSActKv&S%7l#?}Lqs}l zm!@ieil9MMP^IKUlN^O3NyeM9!R@VsY?k5GviliBvAY^3_QaK(4JA$RdH_crV8=Qh zbZdnHx2ZCun&J0pn$d*4tBsI)Wy9qP&k%}sOn)bePu$90J$QmeQ=;sWbDSsAD^rppw9mX0`Eab!5XMviqg-1ME!33#u)fo^oA-~mTc4>z++PN@wiuk zKV&h2rq$tfoG`mm&&OLib=W8hKP%87feHLMf#hNZBza|^;M09o!bEj&iUdm!XWoTY zB(Lk}m@gGixi2_Jg6C?QDoRZOLuh5JbD(<5F}O2bisICtPbVS01(OVL0(!!TaOVOI z7Ahx0+E2d%o!QV&HDMz<{qUM7QkVw+U@N4$G;kmxJe!NpnrgC^BF*~DSejWZFr zOjK2JVNRn>ZBt6AOo2dbJ3LK(ou1r zjCRN%B{j*3yQ(WD@9}%^U_B+dl{D#tzl7|A(i|cmaCX{HCK=D2tDJ4vQ^{E6Amd+_ zpuw$+mU*{qd|+s2O&rMEUNUXpf;-CFu92=PKa9=h4?-KbYM=DUsPdKY31pMMH~!4o zo!x<8eXM-0O|R>R(KFgME z6vlgju7t!z(g+xh2I@ul1G!|DCF~)2YZvzOuU+V!y8cBBdLw2Awg%ViVR`n# zet^7PoDqzAM`OoN2+7lgmDjkC^v1w(eChHMk{#&m6Gt}5x3y2_s%Cv+z-p|wI$242 zS4=NhYrFHFd=f9nJm~cWU?s>hN#qWu?BkAwk|5Ix2gp@vFn(b_Uxlicl|@Y7_Z7gq z-XLXTUZXQA93|;&z+aefRlu@aENpk`Bw67kDIHsHnJ5r&751R#5Ok}Ajup091G4h_ zMaI-%5%Xiw!=sxEgon6QBf1bPClY1%d>zv?wryE05CTmGA$PEJgx4&wX3;q0@51)_ zYpP4}DZ~8`VtMqN3+zVg{I$!xOk087@QW6Y#2S$&z0zuGvr7HSlUtyc9(jm z>Mwe!Fr;H|e(iXEfThyP^-j+BDEpN7#3IKUxBl>yk1T|GD!WJBy4Ib93%W8HYjQfQ z6c?Zfyi-J!rlBIla#U|Rr}tb)LtKYeBF)o}Kjw)=;7K~7~pszGsV9E^_a?zxU$hgNf zILc5s7&vK@+eFqWg-j7(V5)*E?9=)%?wgpyQ4|q{aVCqFFvCZKcxbIFJEe}CWsk&! ztX_{kIXA04{8}@^z#FM}>#I3CSV!_gbm%?6C#NMm45?9)Y$z9G!CP=V<9?Pw`}5+v z;;2!mLUCc@TTR9$V=PO7n0gLsgHp%LEG#d%*caJORa3eA$fRfPGesTvBUw8nTBp-j z8J&_EtwYE}G;!Ripa~3CtM$cORjhJ2El7>M%d)GDerRyZ2vHVfr7nD)(*YUKuU4SW zz7BY(7J=Ai*&@;`s8zk^OK6?az}?)o)6R1Hpx!G%)wEeTQ4DU(7hpH9twB%g%TLBUDuQiMdBr0mB*x*VF!O1O%WOqdhI zTOGc->6UFk8`5MExKs7U9`tEmU?8i0pvDa5mnm7nuwrij+3q(?;B^8%9#1F=^&f0) z*ZEQiGyyl6;+PYc{n?R)9ZuJru8@O)Y!S+C9$baK1FWo;3hKw|JIu;M*Dy<~M#XZu zcjQzvk6tFJJ)XWpO3t5lDVm^UNWG@=dfl_{re`B@h-au;ltRG|oa~Frx@$ zrkM7nmXL1@voK_1>DgpqNED>Aqc=@cGfY1r@F*P}@=3W$HgVB#7a{plrcglk(g6#U z6ij)n#*wT<=#(V60`?Qx1S`jX#0CB&QF3ciNEnTqB&(31QZI?P6{IJ~VGyCoSEE5U z@y9TCSSZQpwCE*7F~iV|*@}si)KCvl3yDiev~h%xEQVefIte5MstG~2Rm@c4dn4JJ z;*vTgD(EVbr>`|lCi02PrPURgx+b%@B7G1@m_{vCn=aa{aIYw(2Sq32iPD2lP7m26 zvCS%cg(Pv&2bV^JAEig255`<5->?!!lSa6Yn82~Cf}jb2hJp;+tFV#332w6qFR9~B zH*Qe6lTA>2AQSZzlG!(3J>??{sp2U;$e+?NCBoI+g2_~lmzbOarP5Lzf}jIPg(~Ml zYe`4XSm*gj#0&^@%#qpn(}*b^QsY?RI90*yCJ0KoF|uIjqe2Jzdb;=E{3e_uiWHaT zbH?z$Bcy6Bsl4M}4>6HKGns~!Nl6gULpb+rtRuitl5A3vA^KHg3DRVO;f`GO5TH!1^#*F3X7%F%dU)6DQopA61P<4UQLlURL6G#mQ*%sLz~%n@9S}+M#2z z{3!6Qn2L3vOnc*Aw=5?<5ijR-U+Kh+soqZ`TTZZUrI~AIzBnlx@U98+0jVIqDIf(W z?>T2w`QABLSGeJ+jnB<#-M4h;_b@SB{^-_G*L{4|sxfV|4{ii>?8arI58kruj_c09 ze(1N_05rRjtdx`dy;+%}2|flnmQPZ+p?i{h(gRR%YgeCaiF1Fe?FU_~#LRWvN~s_O zbs)16U@J}XZ~XeKgS8;T0ZHz7l5wAO0u7c6A35NbnbuB@-81`tlUckp=gvfum9rju z5&mBEz)<*P4awdnvte%Y#^26r1q$v58~aIed)s$cVTGe$!*f@qDp>yU2sxWivinNC zN0SgwCFLd7h@P8$(R-^$9o&T6nfu}JvXx{`1msIe$VD5MJyZT}-1rm^;#OBHL4ty( zhrCb99{a6BTSr|lji1x{%92^F|5!HapPiF@Y#kNN2S)a;iFDQVSeDwhJFoSX_SvoP zErVkZNS~mL^qTXO#mQoSdaUg1!KMLYW$myWBRRWP4rD$RlGvvgQg;+hRzzs}yR(9o zd8GH++QnJ+iXWHhGlnn68|yH~6tw{h-&tI;_MQQQga1I!I8yM_^}F$YJH~vA)N8eR zy!=<}^-PT9{S<2=cJ(ax>t{*XgM%|lF{)Ka$XfErLgQKtdNB^p2H9G^9wU8cgxFb= z9zEE}oD~?>Ps3a{gy@x4bP>j@X_^bCA;RQ^KPbtT+K5^6Vge6v@fZw^0gzi@uM5*8z;D5wqt8$WZ}8_W zXO#t-3coBg(whK@R{{l#B89Z_Q@<2Yy~~i~YVTCS>_avzhbAqxGxIh=6NU+fk{dyu zUa&kGaoc?>3+$yL9r8y7K~^GGgFee_X{^XwyQtw>d!gzJo!iwX$B_*w9y}>-$KUCd zrd>_*JTPZjsjuYQ1R7l6BM(-?gTf%aS!hN-yf0ZLla$$z@OO&dc*5>?tzE5p__^K; zZlT2PD$pQA%9gp4!hNR5#=HGb-^k)<{o)hqCUq9nssANs&moLP(u1kXN@GHF_wbIO z%RU?Zyz80<*hAAf^#D1?L9Iz0@{t5fp-X;7%|cHQnH-7Wr_Mix1&EmN1KAH} z>xFtg#BdLNOM!r48Tj#vWFcK~m2n+&@f24{dk$yD9cW75DY**BwC|OQ7pert+ccLV zOx4f&s11xB4Pe%R1Bj2kh{Yvc%wjv)U^9w4T;Nd|c>Mesoton5sG1hf6c5bH*S!K> z(QOVYykKYzTw3g5WaBXcr;W-icmkjOAj2?3WB4kFu_*pNHzC+^n)(iDsQb`Vpb1LU z+h}W&^|mgXX4z=T+HxH*+8yrSV6L0mvP2~sColg#)!Bqd@4cj^HUu!(em);$mxUuX zD(I%#euHWj4UibIzDORmP9Wzss=$b?{gNJ>VJ!DVvhLFZ+4euCMM#sHdR1S3jW?sn zG6_h&rk3RANCm||I_aIzBmur#0oe~Z3Z^vsaVv*)G|k7xVoHCciqc|EDu6< zO0n#(Bsv1swbax&K{j5A zi#SN*kE0{TvY!ujD8khLgjKZ-r706<|V1q6! z!)y6?9Iop*zvyswrrVLBQ=MJ_?W( z46cz8L-c$MBqn29A6kDh5IBBb^q``sT$Xdhofncs5u&Foq!`mprn9KBRugjR@+bwY zyAYF0<*`-^siN0MMMs*lL^3};77w7v#e>vRismsM^688#T0OH-z7~XMQUZ&!! z4^T|Tgghet83xA*7CDCWVgM7w(WVQ%As`7jU{IRW&7?S~-ed4PRNbWFnJbNV1oy~& z#)zA*`n=T+yaOewn^&k90reF}5p`|`vjK30M#?rrnutYh?j=|y794Bo1KKlxXDV;* zAN?>XJ!}outepMle;EDh;pqE^*rAwydAloicvU-r!7{&5A0y!a zq9aH~g=GK^%1O-Yj+MG8$I~YRTkaqc9ZX*5c8^Ei)f~tIj8(}s?aDT#;QE8B_NP2n zNqALihDnZ|-+m_Dw3)sbRA0wQ*m&_>K9^clb?<0%6F!(KPmpa)ei7T67jJo?+7BQ ziP;;>;(IZjJ-XA?uC9(%4OXhY z@WfXR@~=EAUY*VU8Ec~2A(q*$2&x^@oC4TUJ1AB7c~KbYj_GoalG?{i{H(iy?>c;4 zj@``BW9)w(MeX4F(W*F{Zv2U*zd)f?eJs=V3z zp}RRTrp{Gm`^+@?8)6iYM&@Ho}ui3!jLf}}1U&!hx zcL>3EtE*h)rH9$>bmsAo`xkQOg?X4zIzMxNG-KO#$_D*O7R}G<|I!}{U0;7m)(+%u zS6o3y&Cm9@fw8gUt~gw+@$~*P1=DVf#Wa8J=FE0`e|Eo@*7w^Kx+2q@A2njX%%5{K z&D7_Zwx3<}%Q5p`&MtUjX{8p+e6j6y^*2X*=Ci%$3@)3#@Hy-|B=BGz6;s@TpDHu= z(^8zx9dZdagF@}#SqmK3`q=9t>dkzFMi%1~z4-9i|JtwJHu0+;(a2)k+v zqZE`FSvWN~xFz^54GLr+@~ZuP**If?Q2T!3rM|;qGwR$-%1&@Q__Gd~)QGV{?Vo4w zS7>Ar@?1TyDN#G<16E>W0apQKx2nRhzfp>jg&RY*Dl7>18KtSS?_`C{9v{8luY0zX zTs={JT`|k|t3&S`@;^pB;B+?>jbOpPR{CTmZ_HWI0R>Y~^OYHyglXUbkS$mu(r1j_DTf&F>&q3Hktm5{dy99JTD zf&?X$-8XNM+;66EOY%HN2(UjBLORGPLT=yTkO6rMh}$V^$^miv)~-81p4-}XX#V!c z7yi2K%{gnXd*JD|w^oh@bnH#j|MSrN&E*T0#o=_{yKi6CZ`S?y*$)B_b^GHaWX_tY z(CcrNKbZH;hf;7{!=w5_{V0L&u0HhNZyze%a^&aF6ZkGc-oDkgXVmqp5B+-Yfw3JR zwj(xd1?uh&0&G11tkoUB{j^Ty}tsAH}JT7l+@xZgUDcFt{D#p*PrWJ|t0gS6&5()>p|VhoocQIRr@DpFO|#8p$04 zdET;rZvtX3xVJvEHwMiTFu+IddHS6Lx39_HvCzSB{rjGVy&oTWb>3^M-}z+zp?RAe zP2PO^KUeJi`1ZTs2lDVU-(GXwj;Fu#^R~HjVn4sB^;KxHZ`nid{AT)ZOLwgP_{EAl zF8q(!C;wDFK62MT&Z>Q9-<$`hZh!h)&%F1Oc|UpTrq;dl=H0h%+0&KJz~65!g9qo2 zeRFkX>)~hSZU4uzf86}>hl{5EyyYSLjp4Vy`OsNAcRhT|U)T2gFoA(g*|2r>5B|6E z<1cL+yYSb0=g#|P#yulGEz0ik0?l^Cw%xuu^TBQ3oVR`KCfD9iZhYpJxvTfSu-p5k ztqu(E7jM~e@Z&?b%^kmKb=y{Gx?}Y_A^e{pH)3Wg7!$R>BcQ_b34dZiMK8Z2J4XRY9!JDH>zq&q!5Q(s(ZE8 z0z#CvCHG3V6Yfx zglqzXRhlXY549THfY*hqpqchyCvy@WbPGuaf<&`F$b-4KBCE+9oRA2~4!Vk~ zav8&wnnKpWh9r?hTodBXl_xK0Y8>$q&&E%1=;W%mvbvI+pUHYvEP zHEC6Vr4;}qCRF26?Y|gg=hWDm5)S$x@^uuC;wmUm=+*9((8&3M(RHVs z*ZC-|CO2ILx$Xk3Dd;sr`2iBr31v54PIM7exp0aPhGbk8&1Nb4v{^|CSH;Ue zceoJfYW?kIm{SU9DZp=~ftzDFOc6}9=)jQkX?c18*iC>@Qz}X&Bp$GrRAyU1&T;_s z@N{jT27)@m7m3Y!I5-nHPcEw>gW1^)%?zLN1HCpF4i*9TsfvK;fXW5vzHE>qdZ>hO zwis}9nXNS_K=|tBLDq1iUKGMgcV~Y)*+7~=Z`H|$DILZWS)%MRE1g3&t`nJ7G7WI9 z$h1;$FO_7T4UjwH9-|Sj@P?{FAdWdxxShuok7_h3A`fI)PEe|xoG00JKpKu0pY=JL!EJn>jhIT8-GYwBF{w`?qBA$%Ux_~;=oxv4AL$x_m^;Z# z4*vfib#DV0MRl%^pP8L3lNiZliF8T1dL|zlsI?ogw}{l7T_P?>+ci>+meyTR3Ro5O zchRC(PU0j)FQqBvOKUZ018Q59+A6KKus~|5rogpY+ggiM+lqi}EIF zKt!JX68KVw0BJx(@ZSp>XAHtVY+WTc+|9b9J(^dN*IwXolaQo zAgWUyqX8G8IwTTzzapmR>ad8m$P-?O(k|@@`WhZItG%6Zp@_6mFC17S>5T+oN}_O9 zD9JEA6dGO=z%is%fNq2}zp#o0PBwo7$2SP*6m%^U0(`i}Qg4=BAnBS`dQkvxR+&-F z;KuA4GlYvwR;VWtQ(P)sD?ubO;Io85)^Qw?P**T^KGX!AkTJG46C#L8(#xGo>|kSa zla}_S1aote#bnm8&@0nNFbXqEmbFw7c$$n~3aUy%y=Sg=4R)3wCHRNu0J+s&SJG{? z(C|>xOjQ@Ed;Flf$ItsEqgu=>WK@4L;B=i{vI}L3EJI$F)JZ6?Ya&*ct4Y9MsE|z_ zqDqt+MAl5;yIys!y3B$f;VreUS2LxgZy`vlRx@r`RhY<2$ z$T8py#qIgTgVSZp#v%(nl;f(hp+$btjt)4_cM@LeCS7|ev9v=a7bw+>Yb9?Ze>Vf5bggbOXu>L1m4#7-Z6Y|+$E=A#-E4us$@prtlaW}9 zz9K#cAhvpUN1=$Km4#zT{i7C5rbQ#xk3UdB&bk|@Xfo-8ISMXX6wZW|Vd%|%jQ2#5 zlU|hc^tLq)Hpf60V+yz-gfvOtQmm;K#_4)Ddb{RmkXgrEon*r&F~uErJ0K*)U`_{q z6j`7gIo!xH9^Kjj?z9h1cFW+B=c(^-z^xsiB^66Dg`wy`XB@-uykx90PQ7SAvN|># zK~X2}pyFmG;B;+)V}%jhI74xx;~pt(O@Lcy#Eq^v30Vd1(pD03xf0SiV;abvL2w7K zY7si_nnIMF3r$vc;>M0>j@G2L#R9EHfj#?@ae8_Cd8lwBr^wTpR?|@KFn{3#e&FVI zCnPiVWzvrDU>vZt4-lL#v*gSN1YWI{3E3(9kd2&YAYc+A(<6&X1nk=x1>lY9Tr=ti zYk<6^fYC?-bS?IB}!hG9%0JgP@@kMs}b#*42qD z=LXP(CPwQ)KP%8y`I#R*1XQHZEa6nKOW?sSd%Cm&!47Q|!`kevc9TX+gEPZ!dl}ra zsD{-<(7V$(kX{^1cHVL4s&WkBqN;X4g+zL5D}D&Q2-_Mf%}~t)O(IqgX);jJ>@BfB z9w0i$K!&jr0HGF|ssxSAq+uHzmBx>~dnf30xHAV)wbSu2n3EBbqhOY7@^Ah6V;ota zTa+&m8T}&a{pd+-yk4;4cKb^x4Pc?(J!e${uRa0N9S0hA=^;0zGPQk~$UZ5tC2k1C zyITW8OS_D>G5^V{G z7$=NaaH;orLzGt&ju{GnQsM_1>$>_HlJbIdH|aRi+@4>ON$SuiaYEoPUy8Uyo_kKX zhnN#ZCaz@Y2Pu>Zk&uMisvmWZ#zaW%7AxlXgao@V1W8eoaVX2QWD|rvl7e-OE_em4N9^K`IPv+i(PgqIou8=X+IRgHBB2OxP20mUWP6vTTEx@Gz_f| z!SValk>pHT=p-k(q?MeYqludtJ#IMGwZXg{;+QW;8XB+?|F)12c#Yx%U4@~kpn)MI zeZB(L1|f_Mk=VG&%~+=cL%1Yn<5zkrd8E8QzP!|9Ct5HOfA~)c2KS~Zq;{#0*t+3M zVo%vhKU@>^qmsl{;16uXY0*BJW58>fpcBbUt~mEVt8#z2ckaahh;!U`C9|M4b5LL3 z7YgYhg)I3%c&Hn1d}Gbuu)M|-OPBY2Bm+*0#ZMky^W9&*z3qv+Pr(Wnug@YV(+3{_ z`H?lN$Nr+{iF?1f_=Rz=U%LoPY&`hjWxH;Gl{a*4ed11e@5=F`XWWD}(SgkR){T!o zUU19(J$F8_uID4?zCQP&1=Dxmp@2{C2hc1)Ra-U@j_bu(dE;m}u73gda?%9Itoga2 zAio26zq$T?A&dJUWvPVphC!k1ZoRuNIY$V=(kcaKKKva|?>9(qA}h37;=aGfmA!lJ z#B`QJ*APyzm*woV5FpBSj9vW($oJl!LGYdaF7&>ieDa6oBzyKphF$^uS(tN{ixFF% z*85AO$!lYWp3(VblD+6pE9+jTEH^*+-~re8M?h}by7HFS7YqZ~ap!}LJ8$fG+!?!i z>xSlaF9ili}m1KQrpVsk>p$5BH-C!c{BAz5e>bbQt;xQL((~;rj`b_W>73 zIb$W^{Zbf?SRgXo$nyPgcf5G5>N{YKP~dmj^hv0T%z8r5JgsAvT^q$>^Gd-LfoWZ> zfpMZ?c<{n7;fhu|()Kw|4J+#cyleDXeBnB_5*V?gfQM=uYnD#yTBQFj++Y=l69v)V z&8b`s++4@Mw5-b?2(~o@Mw-`GM}L>7?s|+T@CoiEEsFxN3uBi|pFF1;tH0aLE%^R; zS)i=5H866^vw`x-3C*N+;yqVElVBF!Zw@&^OM~P9pJsD6CMtVQ9)-nTOUts!QG5m6 zGD>sS1j<(9bLu>@SF0@57u*!I#Vw;$(8wpsc;MzX?!?+6DwbB)QxI|k{z#Ln>}4*Q zvb2c17~I8S-qq4+%Qb=j?4s|zRpimRPtQ!p z3b`?CcYUpp%Z@^_CE6T|GlgO(1v&pDSDhDhW@hPmdc9oj%IQYPKOrCpU25qq$9i%W4YrhTt7 ztjI8&k_DsOU6Zkfq67hQF*Lw94ZqKkODG{ze8Q<2q^$AsNf!H# zq|q9ir^LlM&f`Cl#yGYe7|THl$C#uDA1`CnDZxn3DJ0H@xvDH8wn;FVpnUh@xrrHM7H&Le_Gp6JZFzN*|VSLzc6n zw%Bf0wzP;w!&zFOp+Rg68dPu3bA2L}Pbvb1Q%J$LFx6UcJY2;paU&UML9+eL7}}c_ z!*Kk8vdVxya*CC9)lsu-MuPJibZ%fF?8PwQ1DxE zTN{^*iwZ_-^9UBd65J*_WZ>43rD;Z9wd1UIrt>w9C(8P8t~UgW@HTw%Etz1Qc9JxC zD$v3z%h#IqRU*367N^*@vz#CQqUlVYyHbyw61hN5vz;6`^a zr;2i78G$Tr=-uQxmh}+=4|1o}vUO{GVT?@;*6_YJNhZr$+gMIQP`+89Xm+MRbP`-N z*3QW;J_8DYCYd`eSHUBat&!hUeTO4Mf}&nKR_sr>E}!f;k?Y~%uqPW8Y8v>o9&Ij> zO|5_?yg;63Bq5|taa#hgwA~#7WV{=IcWS7zhNH}I!O3WE3d%|YR?mY2yuEXFS(%{)8)wJIScz~Tz_b=T4P-;h%Ct}%SweeoPlNGj z9Jy0A9@9ubjApKau1|u{#L2cYNV35Xgi~bMhdW~>RAXUClD*uRbab=a3A$YXh730d zN^if*U64UfRj9A&=qP|((i_}B2X@IaN62Q7Y3dShF&Ti<#dP8CgrPNu!^q7(xgK-8 z&^v&>ScAaKUpQ=8G7Qfdc0t)R#;&rq3;vW9zGw`hb3UXvXG4<%4rN)v!jn~&w5d{1 zy;jU%5kXdx-gtea9^7RHBHzRzTW}a(Fx98w&5{a(jY~$ccuhF$GyOnJ7KPfCfK{Jo zSPi22^gzZOr695x(6Pu(xyp@Bj`0-v4?qS8G8HI6lgTZ#><}yp7BVXw=G?E5Y_kO$ zH_Ot#<%Gy+=mW9YLb8p~(kd1%aIjp@#ARVWo{c@b1;sg!f_Og!8Iaz{)d#y#OThR^ z*yiCD$Cb>^`0a-{vVeUbFrg}-(v4+71b$Vo3gJP?gvaOpn_(G zzluqJm5F{i=Ni}qW6gptv4q8D8f;+Aq)8-)PKq&&%<&%IU`MB;OtK`rXz3zD$n41Uo^mr4CQ@3Zej1QW zq5fmzv#RN_kFrv+dz*G}FkLK#*GB1r;{eu2J$3N7p+oILb|Djzu{ao{p$oE#npVb< zh1rfHWrM+R!m`>Va#SR2byNx_XA%a@=9(2Z_%yZ?WJk7vEFhm(YdGzrdY(gMH(mL! zN-Aiail4fP);H1wFAX_c0j+t=}Jyqd~E_ZQUv*1RTWFyL6I=$)4OiM(~)f?IcAJO*pI{{^V8Ki~A&%(YuLjJ{&)JL5m|CLU+Z zdFvreuM@UyLC;DBr+e#zL&o=D^>lD|ZvEd|I+m;(5AfY`@(DOSj96T;avYh{xVews zl;w&X4=#CZCat$VknuRxU$)Dw5KdkM)Ed)#IyPCM`mJcNR4OjN`UAKT6Pa)tz zkaD@ldceLBy5$KI{7XZBM~3?gBLOgK13`e4fZxUy7hz{Lmyt4rD1f?vD@7 zN0u{JKMk;BKeC+qDLkAYZX&0Jgh-QVyDu$2^x%yTP5sr4t3UVl#ek(PR|>wVWUnT0 z->ob6S8sl2{PSPmaNhLYcus^YqwkLGB6A=>ac7m26HR^tOVdB~5xDBN;|Zq#u+D1v z_$BKWe16+=AiqEbSuHkyWEkMLq5Jf`7ncKN_ZJZKL!j(F^wc-UAK1Eb%=GV*@!5sV zTYz7Y2~WUXvHrgET;nh4%YFQO;-T#BTci38G}Lqk1Js34%OFt7fH>y|=cEC+fr6GL zZA%V!Cq{9=zQe?AHu);Mt92|8WwFY6M86!Gn2tReXtkAH81p&zBfE*#xbXEI zW>125Nt<`AKquJ=Opp2kBa?Gjk-4kdS<#I^?%ke%=S0A zYEd9KW$6B#W>xGd9pzM-tkhRWa>rx>2T8)K~z)9gmwHDQy zI%KGzz~2nC+Q4*kv))*ks4OyTea5oTFvl2Ub$>ljyv0?Jl+5GU6G_H+w6c4#dae*N zKBE62nv4Vs!`z%=Ybb+PZS@C2m>&SLfm+`_7~PmpK~s_K>aMZ|_SAFbmjkLd_NxRh z##+fn8B9tSpGmI2vF5)c@#ja-5S^(>+!6o9VdbdBMh-U_ejJUrNfYZAU;U6q7TQ4l zA@r`YlJxe|zgef!yKX0pt3yfMd|mbR+c1xej+iAeSK$cs$n;aV(cXr^Ml&k~_-R2B zok4-_YLI$;c#0%gVF%MX7*I~5Ow$E5}7Y;b@__~?hF4dUvk z|7IY%;*``&Ma_kj-BXl`f-KdJ%Fd(o`KiIN;&JH^hQ#qF(rOW5poABfq<1bPfozAz z0eJVRf6`gRkU%1a%rJKcT2`3TWDt^RR7m7mjL90PV!_{*W=dmrfv=s_aMFfL0S?H9 zK_;yktHoJcQqw`i9HmxeO1uiZ&d%gHysBW3tGyvEy{bx4*D$s0C6V4aLBIRURqb#bYaOc5EHwM+2C-Jj?(SdF z(R%~b^7(t4xIFdW%~?%_5<;@|AffCkZX4jFab#HmFxXNeW0}bWkZ{pDU0=20>w(&3 zt)*6DePmp8r-my^9V0QSWlgM1HbE zQjZ&Ws0mw=-ULevE2%B3SM_#hc3U<(-GU%X#ofnB4Q&FlJhJgh!I@cYLV1>BiJX(A zNc$x5jm3Gg+yb5Zk_o5SwjFeZx+kR-tRM@=;m_&DUn?(d`%cNFOnc=KQrFCqqJzE z-PN7YUVRELk$(4vk$6X1xx8W_*akQ6P5OfG^06PPm^C=&Ur6H~9R`y06KxM>hWQRO{x+8VXx} zZrklUkZg%Q;05S6Y^({kDeg}4H#zpGKFKe8fGjg)D|DKw9k{A|ByCZdA$fJ6+LyFF zX0qV8T4T)_A++Q$5?5PEIVssZLymjxBFK2KpvZCJMjIv1kZ4}C5WQ86(@`E`0@HgW ztb&?2s}Ovc=xQ&NenYYcn9(dsakh;a+^np+axwC2qrQaB{M;-s%?fbi4{H~g24~I~ zVM;vqHya>@Q}1C}lk}5`Nl#k~!0)1f5z-q`urP zSt_#RiUqAkUm--7_yae@n3&wBEQ>S(&Rh9;x;tV=kJQ^Kidk zvd&nC#6fsYKvXDyO{F`(KEdSY~Q*{9zw~)B~ay5C3 zAb~uE6;`32M`c>)_%6XRi}f&*9drrqWH1@cEJl4h@+_#}WwAok2|lArFnI<}HVey? z6)68KjFU{_Pu;B=9eFWOyT`r}xYSb8^T;sOi(DM}50v_y!W6%kWO^t2*L71i#Vb}I z!Ky0SedzCx)ncQgMe@Dshj)fez+&svyLW%mx7@LGIN!V#Jx7@LQqw z+-eVp1~_OiSUMG%8|P!3WjbNb3P%|>X%l6_u`E%*4CugV94OTF|H2IlM4`o@8(G(G z33@OSz9_AbVF`{idir>vU&X)`M41SnfHhGBXk1Hz`=vBdKr{zu`q*XkqSWuI<3%_n zqG|^p#_AhnCNzxRWDQ`+DE1^MJy*Bpg} ztFqii&v9O$$#N1>u$7+7tbiuV6lm}lLH^P%U=;W2eJi*x-F5w&)33qPlb>Jw*w&Tj zLGPQV@7^(f`Y50pzYC~d;vNQ+U1YiIx91+(b>nwE1@GUMN`d1jch>?}<_ zEHcbFmyEBU16OTW_{g7@!}tvg2C2!P5Hv*SvfbM%Ms9%z=iJx=Xk-t0Soxb|d&avV zrYB)9jBlKC<0I4ds>dWpELCpM`UyEka>tcpRqe0}BO<4j{*Dv$mKq_>qG5d&WS9#? zmxcDV*vk^|az_FIo9YT#+&U8qju|1B1V(;lR-ln&n+fi;+BQf;4o3ePScSoEJ5F`^ zg4;>P6=PN9BO|r^?wYFVR{y-p#o)$(m$IA=&by=Gg6`&d)m@H$S@+k6g`$6M zzTDhZKtAd6$2H6ijF6b6*aCCRL}i;f2v;p7*);DTjE3_%c5;Fz7bmJan#Ww$x~7lu zbL{SAfy)qz@ma2Nqocb3de8*2Nx|NrsVs@yrpR{QSgyepYgCBKGqN?iyBRY#_^bn` zR2a69>^Vv{p85cvuAx!P(#M?-c*7$28bRxBT{;3^W?UYfb@#|5A?1l$$4{2RRhYJ) zq7*D$RT#I|w8n92X_h(FkX@Z@ok!f4(UTcyGBTPdXxFaiPV>A^ZeJ3(OfIr6pL0RL znmnfk-?oIimxMK^wOxVOihRbqdc*KspB!IuyBV%D0EyS-w+f9#C^~=DW6@FCs+zFf zj53J#yhkkZds)tbCULFHE8nnGIhGW>@S0J^l6uy)ru>T5)}*so5CCz(XnD_ELuUO3b=Yia>?1Uk2Bfo`Y_j|K1xR@a~hq_fs+W~oI9+L z*t0O1Eif;hxmaBpE33k9k#3?pcIF-6j>V}@rda}$}*%6A<*$df-ntl0jXXw9SYn!C2lfpE7qVA1 zoIIm_h`5to9{x(S6Et}dSi6WtrHrm=!iV>(>#mQjV!U5x`XX#1lMm2~a(FM#$0{5I z0RNSBDg^WlBVRH)z$Q(6!CovFy8zy-H#J_V)5U$0R+UW&v-5G7#9ziA*{6^De&o>) z(0Axt(Y?>Ai+TdR^XO#y2k{?tYOxaM6KI* zm{BSH!$&we8zHW&2o?clcgs9`u|MIHXT|QS@}GK7v`Rlm#1r?_8@AIN9@VnQI>#9j z41Q%6zT-$}z_!(#=7iSz6rsLi4tg$XdOMy~L7zhv^z1=3TD?9gr-uA@1No%ZF4N;^ zwaW`z@Ce3h$lYc?VH*<0I{}ty-!n~xi?TZd3ifFyy+&(xA2Q5I3z_Qr8*MuPiS-b= zSrDSFLg&U%VHp0=7R8OPC#VB5Q$uuWonHnE8DK}ah#hkSK@Xg?l5y&cPa&s(vX|rW^pF{*H_yb2xIMzARLy{b+5ie3Z?ZM{tm8Q=i3a#+$z9~p<&wI`O}}0{ zg2`)oxfbm^S|R-56xtLHvZ>Rv2h5aX>YJgO7OYLs8E&!3%;3@wW=&AF9A&(!UgrT# z_{^9-42uXeqq%^ghr!tCm=V|U%!5hRjH8yiJ;|~of1jeyPFI#XpjXosx zQZv)Ar`lW#D`rde)PpVM&3Ks6bP-}%%kMNQm~0kpQ%%im;$V)1*itC5NedcQf?E@f-p_uP z%siJ>DH?}+HNVP|oM5&?$ACmRFTjEF4wpE@OHN8{5oN3%w-AvS+>S`7N}di?!jOc7 z;mMzCrKamOW(^!tY<>scF08*3bRz~9LF?mw1HSScV$j~dC~*VE8;na>gNgxW2{Y;G z0+XfQHzxV?r1#*^jL|8{qz!YLA;!=IeBu^lI+adY5(PeINs~XM-}W1*b~x}M<@Q0d zLAy7$M-0)-3p6&eyK;iTZnbXTZ)t6j(v$`Aw%SoU41U+L)UB2?FK|z>b?!4KUA$KN z>uY1%s|u6Gwv(hBv-#bgNJ-D<{?TM^4cCGD9OI?4lII@Yd|(56Z$rs%_W0h}GvssI zPd>OWHMjlt)N>S`9)WT@$!6vFaTva$JqoriA`ZyA)Aq5FeF!KJ7yH}&mF=ItPim>6 z)LM~!a^Z8V?v+E^nr_-AediVYX+icmcb{axCtQf%tg{AT0(-}Tz<){aRLs=`g&CTt zq{!Bgx3v9lxdgT_wqaY6rSyfWXhOD2U-;C9S1s*(k-OT2zsWp3%tn%9*}~+SD=lqb zq;TKo_HP8{Iu#x$TP&ZGNvqY(f||nitfv)7~ba%^8;bGezgFyM*6Hbg)o9 zU*#ZZ&WvI2bwqsa;eRw0%?h9Xbk~sU__NF!_V(@X^@w+qpZQIXudZk8w$RBhu1(Dd zj9WMHP)hfuO5Oq9Y1&Fp{SWcyb!MHjVe@NX`0vwbP;Q=!pU&AI&Yj>@|~-*(#ee&vLO{I|UON>@n9 z0p{EM9>)}WR`5MMv^?1y50bv`+b5>)a6FXjF9X8>G&m(JegP994G^$|(Tas5+k&Va zKxXW#^EBD6rILPQ-jEeHu|2O8ZU3Y8_)k6^XN5_=?Lujd+q4^tu(Q(+%eXEU?$hKs z+O`SFvC}u7{Ui449~E!k<2!p#-7jM&KNEtGG)$(224fNzqmh3Tdtflwx~LsKairQI zY1Cbj^zAcKA>6vX2{3SVe>*gx=_eB;`@8?od1?pnlI--ooxU`?b^xs|Nheg<0XI^* zc2H!is_(6);64h&r#GiMf?HFV6OGq1QMZq3hd=w-pD8*B+E5-xM_^o&T-5|_W5y70 z``S-iLSdL6*L>!_9a2oVYV%=c?N5&U`ySu?J)gR8ZE-4;`m-_CxBzgrs2vXeOKXQ@ zN{-mD`S4mer|AAemGAvz!kZUS?J$8)JRJ9>f`H0BiJ-{QQTjS=Y>Z-)wC$5t$l}!I zjTU?PKHq;nz_%{D>h9#%-|l?r+x2;Chu_QcbnS4cc;d6O7ruNEE9njXiLD*jX8{xn zEG)f`3IC>t=#AseM}lr;GNg-f%`91{&%+|`RlXE20kAH60OVE8uK>EW%KY_BY(rte zp(G22KE2&KX&+B*kk+tp3f!#fHrYN@ESsQe79(F^|kpGSu zzgb%VA?{t)#_=|Y|fH|gvFpl2qJ<{)1&D^|4w?$p2K;r@~NAE_jkaZ2su*`gr0 z?v=4;HBFcxi~nxyKb(CovyTFpeY1OX-#hL^xjJ+e9H@5SCmm{j^N@5eOie!bA3{qR zF7RWfcBn(`K#nbJ=f$jj5+{G(rL)bNKEw1St61`^9vYIJweas^6{>+kWA5ga9aV)X z;>N^H$-a_9Xr=N7N{RJUDkd09M0{#waY5~;FYiL%^_R1)HCGPXSZsaby5hH=^Nl++ zENPt7ZY*4wl*1;z2kyJ-=Qo){!yox0y358*wN}YgA5eWjeZ$|jD_7@iO05kC(G-`b;v$=lAxquM zzkaD{|28?~ydm2Ls2%c*EEqc}b#kvHcj%Lff#Qx8(!Eh{WbxCJQvc;~*TwGpYmB8D z+-9iX$fB@aV61>)75sgd!4|US8J3oelq4tK@Sxel&bZ<&e(ye3VL1y{e7hr3s74ms zG+6$~!5?E}aeHQD@nF#`8k^Z8yD_p@Gtc@jAn4w8J_a18+r{NwCHvVnVV!ia`S~8P zf8Pc3_h@(T8T-+-h2L8HON=ajWPLK#Q1T?Zcq2=_Cwk5NaQ8|kZvWYOQ4B|Yqds{i zE7|+0Mu++iw^8lDp1=Hm{+C7;s2wnmg&Ui(Gm^CJlS-`fnm)4g0)4`E_KzQoUOwmY z&&NjnVs+1@v5)?<4=2?QW38W^<`$olU%YqH=AYN?cr|-ual&c`SCw6E?J!uB-5qe{+V^xd~4RhhwpuC?~8k$od5gBuX*ClgV(;a{+W*e?DgPTe|Y?w z7x(<=wjGPEeH6#fufK5J-rbiR{KOxK`-ufFth?^fy&D$1z5bcUAA0hVgJ<3M_}&+L zp1k(GcdmQ%p(p1<2oPmw9UT47$KQN$&-dThLEJyR?fLc3{P>zT|9bFDfWdxi&yOD8 z@%VL*?s;*+|E_=Lo&VlFY5&8(wtex9@7@6JF$4zt_|yNOEYH06=Nn#p^`{G7Sb6mg zzdAVor47$~6HvWRo~1N-@`mTX3)w$f@V^gF0Y>TkgQNen{P2r=9{I-$k6-)fhJ&~L ze*M!M_U``AgP+&|y30H7?ro{X^Tq+fG~m%%`sTK0KIO zzYC7N_SAJ(Kf3pKH@yoFe(@(DfBW9Q{NdFnuif#FYae~{-G%S1-+liz8|EK8bH}=! z2lqU>a7T)S{Nvl}pZU+fr2et{te5VGPkwUS7vFhvDd2R!{rK)refi+w{iAmPgBL-2 zchPl^zSjsL&wT35|C|5h>G!SM`vqun#s2HYJOuFFM|Quxcf`&(( ze0ayY*IwN7(`$))&*9r%TL1L=y$5Ig9-6?hKfUcgK)z$&wZH$_(;FWA=lplidJmER z!4ub>2J$ukBxvMyd*Aug6Ain6=yK>#?1!V^p>{#@d&9A+4-WBVv_z@s)@9Guep#zsx z0Csst`DWkVgV#S%f-Ih0yzq@3?_9X%FSxU2tpucVKN#RY`fon~Ox|-R?O3;G(`#c< zd@g+ankR35{=7Gr=iwf-Iak2EfiQVJl-<|nyL|^h$u{vOLfCA^P8tl>Mcm9HTf`O> zjriGF%5jlX&?KXQQX=21$hOVQr3OCV2aafiW!%B7s3`AhT5S{evU!alb zopvdoy~6L*%jVhT7ePn|$bgO|*~5o8^-U~#DrDn@CE{-CEalPiQp0FsH!p!E8oN0v zbf?AExX?+Hs>_F4ry5N&=1huO#)Ap2MOPrprlzD-8Yt@IK@lygZ~BWxRyjtK9SsC5 z*2L%H6MN%!TkAMK;B?hD@u|g>Wyj4?wiZm9$Bm!T8PFtJAJq$*U?nbcq{g-c0s$k; zXN%}Ce@nvVk$@pFOEJ44s9Z%?Z{+Y<+Oc?F2uBzhnr!6zmoGQ*o(d<)i}fKPy>QtoPEaapa!@w&bBjE3>&_s0jv?fjCjZ2xa z%!jkqeAa;#pQ8;`h2Tcch97w~V}Ur0G2lxXOoP8yFgUgYR+iUDURWO;E?|7z3~*%0 zw^-lM*&S?hq)|7T>|x(|I#5w|WfPtOVJXEsNbd@e5qVM21)l(X_mY#__+-SA`Kn7h zilAVDd?1Q2kd^RY)re761r;B?Y1HfhG+_+f@JTH+X)p|d$Tz@vN!%ervkUa8z`=pl z3I-TL$VY=Iv1TR=+1$hPlaGwx%dlpg#K(T;1D@DmbE8YSZb&n_6m~RKXsivmx%Icx z^N0{x$%vdCc{j^8F{Zw$L)|1M8v+bu9&nntDaE5o2rlY>1CmFL_Tr`raJN@Mw!|^A zjBm-ujq@Lztg@7&O}BQCgt3DaCa_{hl)!Z~g}GxWz@cn1A@n#*aqCRM{OAP5lTFsL z$S%N`hKqDT?CFT1T?0q7kNx<&aHJH*HRO zw?GJ?7O~ZKFkVR5SJKT=b`t0nhbA$6I|SKAP*|<9oi4&LXRM~^6aZ?`RH=j4CNSVs zZv~3zj3anZ$##IGMM9R@MFMD=fDPlo+3IF(2_kd&L=^e~M5m0Gp1*}LhkVjbuyx?> zV(oBj%(YVUE8|(Dosd~!yq{MAWmj3rbO`OnLdZpt5M+;P6T#+Ki_I%Q2Dhz8f_~Sr zdYMzrt7@jeCl-X1c|j{GT@2%i8_Q9eBE%vT1~--}@>-iVHDbXFiD0}2nk3i{d=Y^V z7mx=9!1)w+LK+$gaXm;`MuW}maO`NMNqZtV$tqHs6c!OuUR7ZOV%e@mfwCJkY^Dia zFg{Sxv>{}1{}M}N=QxmKjv+0`!Zi4(LZI0JAh!Szgw~2Od1bNC15nUROhzfIX7S(* z0{)|%v+kX+(nOPU5IcM#3=eK$1f_N*dl_M9!GgTjm@;XjzJ|g%H=xeCz-|87LYd<= zILp4q(R4rvqS22#E6XFf>c~EEOVz@^xU~ZtWP)#S6S#90ag+y*NycpEk+FIQl@T;a z%pgWIO>~};rqQE2h(*=ir!W?O@kRByro4(~nAYHi#^B>s3Ey0yGJU zP$e!H?h$vL5w$c)93tpuZ4yM*Ekl6Mv~|n^s)R$17pv$baZ=`JKY54_8H?c0)t+9^ z5|o3+n$#)mP!hB$BR{}gsWKr7DTDq14@tZvtd3D>AaQp;&z8em>BJ=j;@LNQU{78#Ud>+twF4BR$0lr&U`^ez;=5?tdNe>-cE;2ePsQX>l)P1a&+`;#G4t76rX z;hm{_Ne@c67E-vbN$f}QXZSQsp4z0ciY3P$j!@R)U^JTXzK;xefdG$61yCC6F=KzW zhK*1}Y-D<;_gD1p9{)W-QH2JALmzI$jZz&tXko?$vqOfo5N@u@PO-+(8bJdbGWAV4 zIoeGUKbpqW6tc#Nj`zvPC_%ENx&$maNt4LZDsyij4pxo01^-13*YQ7C?R~+mD!K;L zS^bf4U64=ImQ=m~xC0lV z@@J@986a568OE~OlZ6?Gb$|S%_+-Jl03>fl+Cg@?oll-5CX1Ny@uq4v5%0AxtA#%U zF&oR%QSNan!hf160$q4Z>la5+6rUw z$EPM6tyq~|Y(p4_H!C^2b7@r(j%03PSCsLGa&AF)je_>OWsMWRVq9~f7 zWg*iSf|a;PAdgC5&P~KJYK~SM35^+J0NWPuk47b#P}6zw}4T`VRme^;ZS8EPyB2D}xBk-uH?Sdbl~tP{AU6b85o5RC`}*w7|? zpTYpZOem-WYq5#K;^>Gik&Q%K9R5cS$u?)imKhE|InoH(z|D>RvdpQ}3d|{iE@RnR z;*OD!&j5AT);?LRlkq_Cw1z>GJ4q9))xywyL5p2G6=?JtFkoZw3AhW2^s}L$Rur*@ zUDSoX1HynMTna`Q`n8YP>|5tG`xZA~Kui9E@&VoBnj(oR_x!EqGJ21_^LF=19L zO{3M80r+!McF}}mAp~Un^!gj{0{O_*`N;!tdt@qXX!do0bK<6sp&PRSy zgcb_zmp{%}8=4EPnVYkM0GqHIW5Eg(j#UZOL&ria$O5HT#?ew@qXmKFk#IQfXv0D? z7Y|0z0TG(1U$%r9adXB9;%=J+?$(5Pk=e@dy+p-`N$sts`s4~!x=9G zEUhH~mmNGENLI+Q=#&=7Mu)dGAnnNlvbz9_3{7Mu6a>C+A!J8DZmC>#5t(B{K?pHr zC!07f!ks$Y@6DD|hbFEe)I)b&1m?6}6^yScIt4{VjTOu`!nV~#bpm&p2o}3!bfmx? zBcZNi3G!ACIYgcqf)_{=$hPrL zrxtooLVeI?H#WyPTVtE?HXVStI;#P<)xq^yLBTrWSSdxn#IYS-gfLjLkc2RQqAd|@ zMjyTfI5W-k@5{lwv zIw@Jqs!t#1{;CdT5>}O>FHRqQn!Q%vfRVp_6Q;7*L^4O{nnuPC zad00SAaVYKi~+@3680=#8x_F_^{>?5)-NwOy?P0(J%*xFDC zS^ARV6uOW+h!jIu$apyK6u1H8BsN;Ml{f}jZ3~rO18U#fWP9BsIqFwAIh*r%?33?Q z;v()78sdjA)C+P6_AGK6w4WEE9~>)n)h;T0N?dKRaRn(Cpx=PYT~ zU9SWg9AtzTNo7ept}xm=zUj0JRo`Kd0J$!jXZcaNGuWRkSVZLYxgWs_7J1-CFFhtQ z0pwy0ko>r!PZ4>AhbT)~&dlMawbnh{ToUrMf_HVfzfasZJbZda_UL|k|Ae4S7kI`$ zfF(8{`{IE#89>1tG9j}k3!_v{MDYZx@R;&43D2X`_l8KZSMU3^j!@( zC02!aFGYTR>$;hbeNM?f_w~^ijKB5j2Rq#|n91^}_hmm#j$BSUzIBhP9isHjYKu)@ zp&m05t_yrxFn|zE$u{V#-sU8wX#d@l})a~$Jc#@fJ`geUJOAQ1v6O^ z8Q)AtqcdSVR#B1QhB+A__*&TwgVH3Lt%<8Qnd5P1YeHmGX`%rjH!UP9mdf~iAy(WJ z1~2mctnBX-rHQMcXZ&oHWvvp@ObV*+rd2DroZj zguSdakjauMZVj&L!~*$c#*jcc8E@usY@RUmy6S_M?{~k=Cl{vsj*f zV`58Vf_Ht*PIR;m3mJZk!eB);J8CAlhlHCI20H@dyH2sMu^w)i*6LW}qYc9^H(%k= z+30jEXWVJUbBw-v$8CAmqn`))@~MIH(y0CM+0ogRMvE4ARxEXh+l_p>#P-JW1Ny`Y zuY7;cEc*0Z++LC*X7}^lz7&yr_wsj|`p6r^VYVDeUE}Q3o1K~zCY>T#=XsbjiDTK@ z6~mJO>KbNi?{?G#_;QjbJ1dKHFENLW4$yDU&~%yEP494T$L&*kN9rei#$)&(Kk|cI zoE5hZBqsOb0ZNO<zHuMH9SL}y)R3I@AJz6W^c&h(;V=u zi=im@v1u5=kv2svPCs1Gwog(I{4|W?PzZGvD}Hb(W-{n1qk}j(ZTckm4MUir1vC!B zyvIavag`5*#QN|a*TYx-q-qB|l0kceIkdr`>_W#Qacfwy9&-w4L1JmZR>+o0w$#zs zHY#!yLKbJp;O-?4z?~*Dv`&oxY(*_Bv|LRZRhFF*%}&4^gD`nzGqRkHrFar{IKyoz z=-8>?2H7>ofP$rcFiUHlUoc5Nv8X}JHmBEBvxyw{gk;F$z)cNSURMz}hfgR=ypWYw z_Hc#F+Mrw&?)A4Kii0NbwxNTWbMcgXOsbqXy zi|Z zpkO^7=EnrnWH=0tKAH%Cs`eoZ#m!wZG{GwYuo82d5P~I!!L1N{6&NgKkx#Z3B{G>K z{j9K`@mX1VaJrVuomNmUFnS%^%bm>jcQ09oPjF>A%e1TXY;G6CR`q4FxVh+KJUJGk zdS$0WJS{9ea#I1#BD%86P>X?#=)ke{*uosBlJ<$lL3Tu%jCpIY_-u3$Kz5@80v;Vg zh!D)03`|~zm1L+?nZ4%p4H~ZLub}5|oa+rHSjd(kaiVt4$#Vay~MImmV+|S=iZ@QDABE-X*&Nh&yAHBDw6I6ql**Hur+QXK`#RAqEN2T=96$ zdTdE%E)#S!A#t_MC@9ono{h_$=fogM%1T!V)xe%-``aPZ$=*$`cifBBaUoR-;GxTj zEbvE3a;|U#}(0}KcXl19lo`N@nbPR=aZpZ7RY?%JekhtFf$G>x^2iBJ!M zH4l_2)-FF9!f81KWPz&Y5d!%~6G97==Li8NZ=(WLz4)Oo(ZcGO9LDUfXA>reL4(;giBW51acm8E_U_KlKmjK=K$Du-PqMj z7D4v%(Ia(hP;aue3%&nNp>e3H@o{e|I$1%Lqd zR+Yba^`DlPzrAERkcVF&<3YZm^GjHOzco`%vSJAb5X``GJW+aKJ%oJXKX#49O8d3< z6L|?a_J#4cW(qP)@*KPCf(4DQ-2&L<>(AJ_?5mG${mA%fOIC~zzVgEOLwUH5tyJ~7 z=RK6&g>R|afyRA~LO>e~^p?wwVHHNr_{-qW()72JCS$qN2u>vkR5gp-%iV{(<$ZBy zWM8E@PBqPQLldl2nh{dn?bIaNOUkXG{)@|_kxjv-tL$PkalX-2YcF4Mr{(w?R;9Vk zIFQ?H4G$064MNI;5SW4~39Z@TS~FxzSXnet4^9c^nA6?bptwCNmt~BHm6HM^FwZ># z>x&QQSmml1$xdsce8O$%cg-;~=D>s1uD{n{?R-VnT*p>;VQ9z*@xrjIbqsvsl1*g} z0Ns!!WM{IBXUtg!a*H2^ds*U&Oe{er1!EM;IQ*^j_Jm;CATf$2UL2>^c1m;$J~5+_ zrOH*st`K0zSq^6NqmeN|5$`0un|oaa?m&>eWwY6dP?w|G&I-%g*s3?06Hg6B_feKD zc5BYe7&tn(q7M+=WD-(|)%M3&##8XgrsiOHo?SF$ zXMy3G!;Ccz+sT}0g5$x8mM2RS$b{dKjBhUsSUt-c{xAhE0AF)H-olMv4;dPu`?g6cY-u=xv61S~N)yA8TVPv7YGrH=* zxV=KI_K!>sfk$!E7Z6C2HqEp-0@+d@H{mi#e9hEd#>_zybG_I@lC;6}F;ccBP857P z`hR}QtYT~}%_nOXlVzG|Y@)dWEaZnyo!}PyO+sRLR|hii;e;Y%H!WN3W@K|t+#xVg zA~FI^yF_+7#A!_wfrtYoorK67y(RJX%4T_FD_eODF-qDTnVUEU6rxT_+U6HxD6$DF zvBQb4H%m`%!42vTOFF0fIJT~^HXaJ5@Z)<(4+#}UdgRaql$?Ia}>T@ zB?{#xjUfd`HqHe1OaPx&fQ(1P^^K^?JJFEyGUs}`^*h9kmT8hdSRW@LcB_&229}No zj%yGg^?*T#Y}E#%QOs25!?3=+O%L0VohhLCc|2B}wwOD5x)Qc#>v+!-s| z6gRyFkt18ZNCF|VueXC!cG|yi0D?24w6v-<&=#Fk5$sXseAjT|KC-fVQ9ER-cPU80 z-Yf^uWDw-U`xeq+v<9)PKFQX{iR(=i2yPg#v^8)M%HT-y$<3Z)Y zNvV%yB~~%|BtdhYa%>l@gdoUv?=&+G^1M;Z3Di1W4M5ptmZ-HyvbddG9OkSHWW|ju zymFFN*z!ha5I#xkZEZf4YKH?{RX>upsOXX0dXB4oN!!7YRo$27wg)AoiP)8Fo-a$T zgg`EKkkGkQ+#?5&EwQLEi-SAPoFtPk6L$p~C+dO7c2Wxn~7^Ff7_)KJ`xJ8T&k-Vsz;&$yc6LCpu7sd*Vs<3r6DTX<&m7oyWn3ZSaup;hq&k#~u(oe7jjU9fKxG!O(HlbNmO*7s z)cy+EER>Y((rCkz>`sPfAmA>woEo|W+#=%>sah4o>^CAO@L%1rf(WP- z41A7^;wzXT$-SIWlHjCpO70QpkWPcu^}agB*rZPqeU9J%p~OeceXr7(A&I7w`bST) zFH1i)$+q4kaVO!=O_`m(dGT!1vsLU+7vehdj3mjlLx1#;FHXO1Dg`0EPr-17^eU&S z4jfhyQ-cELLX2n@9!rm)3Km2!rOT?og;NpM4vNZ&PJQ^v&DwxATjLcO?bqvsXfwyQoH+e zVz#e-QPmD_V}5jyNTF)pbr9SHs;VGwdu3mo3m|#~AI@-H`20S7(W#=*Nv}@9Z2s!J^_gBn-Fv)?j!j7vq$jY3;8_Q=%LjPF3W+rbBu>S zdP98UBX9ulXZO?NhHSEW>O&qRSXz2Ult%D%%Lzzt;~CWS-4q=NnR7FI!rV#zZ)Q+- zrytp|Bm{rih9l? zm7i$bwQ%+8Ki>~`LGR^f!6&18pNBwD+MHjWNAShdAGB9Lz2nBeZCyF~?H<>I_>SlU z6rZEIs^b@ysvTC*D6WnAX$xrdu8i60HQ~dtw#GEcLNQndWGaFXp+v^+-d*@ z>^qPNC)VXQuY@LX!0BSLT*14NCLqmH+^+1I;MNuRu9-#lXZhsI8FQrPsxBJyfg4(+ z73@xXaFUXJm(nCHqygMxvdpRMRv@;n5OA)dDFY>2C&am21=B)$$;h@}(Bk%8irmj9 zX$8qAc*LWy?uOx029qFf_HH_$@=s<`_blzi<+%T8Tkd4v)_F0Pi=z0&juw|QbMA>H8 zc9tYocdZy>I)HR$R}Kqam}ru-XWLz^39W0%(s5m|a>lGc?6O2P;S?`v?kD@6JN|3b z11si?>UwzTWpbHi+W)Wo^2y0N*{mGBY3{3aPG#AOr9XAP6POS^ga51` zTwUH)TP^*G>aO9r+((UNj_jjyTcO3rePqFz?Cs|a)V=EEIJP~Wdzry%vI*4rD)KsZ zbG;MPQxkN;;2tI4kIW^aTdPv~m$}`#T&Dg<8eH%)W6Az!KuOC21O=Vvv^g$G^p%1> zugs-MSO2sMxhIcwBO)R`H8T!S}hRR)`i!I)2Sb^Zix&ZEj9 zweN(~#A)1IyjtJ4bwZW6%Ir@@ZMLc{KN+VWgl1?*sLAqtEeIN|S-~KlZdk?uv`KT*sVv#qWWxJR3mHWFp3~ECv(!j7xoXR-G0IAi(|sO1pvdVBcwHg& zTwxYYxtg?k8P79$)iRztBwWPq}pKrHQz zM1E?pV8;VGHdhl^`abkdb2l?UI$NgrvY!gu&!9IPs}aO7xrzXZl?SQM)88lN%UWw| zoHZ$kVK$w;qnodVQS5K*gimUmuLF=6Lekw@lLUlo*bo$mA$lt^b&I-1`n*J*KAr7*%cA^}Tlz6(}mfOj0#z=BUq(J3ZqP z1^wXS{wd9cw;Zt0WL%T@RWwe#y4n`2ONmO)69oR4QV>6`25BKV$|*K%LNr%~{s8Z7 z5yqs?Wqzf%$JMXaMn3~shs?BQ#n!vYh|X(cFJHes^0CaJa&oaQ$U2cV|>VkvL&a$>=0JJ$t>KIfWfVz$ScS(A;5S zy&YCB@yL*7z-uDt$ z1%w_Y=$MjZTwN#gUF{LyzidI$Trar4o}N@jK~|^Jq#Oh3y55v#>7~$K)&&wJ1_BKj zA#etYqVrBW`ZD@aH>cXcMx=K>%-gCxyu> z!{k*`b4p>YAQ@O8j58dhpG0J?fdeQnJSCNak$ga838o8F6_CTLaP|bID<-|a+QDKy zo5!-L+r}36Xk#bzj13t*`$Ij+VPpTT$WM}j+ukDuYY&iuzx)j;_-&ypWTzQa=QOnmc@IaRBy z+vhcS{jxbegzt}f-?hVCW7(_Q#$4Is`{smSeKRz4>i*NGCeIn$^Y27{gcRJCA_eP~ zkb;MmfPu}p8MVXC_2mn@>t6i7)EqoGeC05&KH%O`{VeG&*|ELkk$vZFkaYqTiG|t$P~`gU zg(2(2)(*dM(Qfp98VyM3X&TFnZDWicHf+L{VIekkKcAY^#{OH8pCkphtyKypNx>Z( zNx@Pg|N798^bQ@toXz3BR_@EkeHts&ghu}JDuMp4Ti!Kqcnl%lHjW&N zjwQ_5r2Kt!A>+3b_a7DaLRG3lB;-@du?IEs_W_WHZ2o15rHk0f+C2ESzdt`Dits(h z&eX&I!9SZS^uMvOE-Cgs!d^P~o5Ohd(Rco|h&V7$)eh`zEZj>v>DcL$DztC?O#j(~ zC;yZCe*MMrgL+^W5+6=Y*PL{dO10_k^KFfGCrlEvyX(-{U4Ekt%Mu~xn$F) zO^ht2ANtphEST@PqCGzQGgZ8#s`ZU$H*`l*k3?QdU7dQqM*8^SSF10*VMFS-8EVb!|IhX%w-_>7z>Eu89FtRwU-~H}O zm87?T~%xBHO~-t^C*FTL~MEB6Arbln_)#h&`o4^{$v_sdUw>7~c_-o5Ly zvtL+u%j&&XFTCroE6@1&!JF>>!tWp3n|$ru+fo}~&TiniuDn0-;+LPe{0ph~HqAab z|HXB~Iv#rQte5_J-($bpfBpF4H`nj|L*kZOcRd$ zxoyXzUsy+=!EdZw_mwBzEbslwEqk`DyX6cZ;r@EhN`MI8wDgka*X@03%VqO-tov&9 zdk1fOZs_fRE{%;J3iMRcWXHNeITq%4KKb$97w5lp-HKs57hM07k$+mg>$iK)oqyls zUxe}VfB*k6_bz~ORM*}3o!QxCBxGx}Ud&oJ$sIk2427;Ad{vBVU#*21yDnXX0fh?K zwHtpjG+-bJDG+m49xZ~uViZMwG>;;IOhR}T0&Z{<79(5-jO`|&5Fpr2OKQg$oES>L zkNtk<&c5`rWn%izwKaR^%$eUk=iEE@Idjj7?ce(%Y;x7kwO_nr&xb4C^bEW6==S&b z!?EvGJJ;;}_TCS#y7DO`nOX52NOI)Ra*|{(N%EO{-u-9zDK5BR{qMKnYk0*O8?Igd za0Xhge&VHrUwQ4S%#%mHwfEW;uYGXq`ZqEwKJ}k#0rHi{FWULR{x_dJ|Ms05&gk0@ zm$&bH`%5axzxm!5-rRlb^{`;=hlf_Yaa{_^-~9AtuWWeh(A&4d8SJg=cOUx6ua2%= zyFc^6(^q|F!`pA}y%k<|k!c&3{_>r*@DBXJx32h9>fKFyZv7g3@J@U8;7>|kn6UP( zzg_-x<<7r&`?bBdUb+2=Yy00iIQu)}+n$8D8*%skOZI>6M9biCpS(X)rRw%xfEH{r zyl4(}d8<6(J_X;l8?QnZ|Hs?6&U|IV)lYtLIlZ8$%x;Ni5!OETaZ8fUsm+=2w`v+)9cr2#T?CR$zoJF6Tabi>tf z4u`FVjwFTh`l{5iZMJ|JE@pPkN@kt=4S2Rq-;_%72DZR5k`qhdv(#cWT5oGC{jJ8N zE!cvf(T=_SoM|B|P@x2@N!kt43Hp&_P3w(TFmz|t6)YYKB&W=0t(2ti`%brIl|da5 zy~9m%jXrBFqu|9A&_L7!53_D=7fgBD_1{o2MNgadKDHOI7Aqz2WG>~V9 zV;d-g$*P9%mf$HJh8A%?T|?Ormtq2bup$PJ$c>t1R@PX0l7H0|748TEc2M3`Y5M%2 z(P8-F$el<4;!AP*NRmiOvR7k&-(*NvgO=QCvEd>4f^a7lWOzva_{x*%Mi9^=Q(hXf z|0b_?kmN}Sdvw474t(;swx&D_88{ysxehmt%H8LI@S)gnqn1yyXUd=?;p-OMO?gR} z)Jk>hGRpw@RUKre!QqoTu3ZE6w2<+IQn6(LbEn`Fwx&frOsS)XyKFOUE(rIW!64%~H7D|MQyHAlmdi4spMjNwl4lP9PCG8Zn$tp5{Y z@NR`Aw;p>XeBE08A=!&0r%fV|E%U-|t!BenT&m1~B;kon-T-nGDPyVaGPtcv)xt*( z`JX+t!C4Hl-@X%`aLZ(ZK#-T=_^VeeA_42>fxDv$3wBLi&r_x`2qNxU=R`{^^n$4jso|%P4aglu_6&=(@|i~DP>STnYe__g6D->g zmt;GTJM2{CytbygOqc=YCj7n713AykCN>Nk1sNc^-eWUS*ThxsY_eP_BOnjsHa3$$ zh;7;1(nsB$fPD#RI0!>`U6NH!?8!!(koS7@tOa7uXbR6bjXjYKOPeD|JWhP zbf%Xwe9_ABQVnOx{w{`@8N6G9H%l-FBt(RPj9}$}ya+dNj(4*>0c$Ak1^jAh!6s!l zp;2Um_6C=l#I5u;FS3|vtb@k|(*)uOhL0|B6;D%B3Jyr2UgpUr2x0IqCx=w5xq~_b za4r}!E44=eTnXdYSG9Kl7~msTL2s5J9recnEfG_nIC?kXD47m!4k>VhP>_gl@JYN# zV`&^TY0wn}jz80)t6GV!OK#KUovoscDdl*!t_l6n1Vq`Tqqksm68Tfb1z>j&AF2Xd&3w$_3qa~3AbF z&rHZ<_|ShOzvtz(_sps zTkbh%KH)*uLi4FxI{XEoL97b9)hL8%1`nrCkXu?a&;YUzo^TnrP^+WGE{n#3W)dLm zY&b78`c93$O61A#x*3*ehRT55htlSQr+XbOb{7M7N6h3_3fP@!;w*Q)8I7@07p`N? zvqA&ag0tv9r>y}l=RJmc3Jtl*>*2een+qbqzK!-ML4m|+c>L>nB5FwXdvG&5@9zL;9 zptC8~&Zucd-yt={jAL^wCCOrHCvEh_nydlaycm6lPH@*ngAcJbR(7GKmv%1#y>lklgFg z;AINcWAhURZOj;rn`<1B*

zi@)hEkN6ucBd@GNgzq$(%y2*;&?qqC zO*_eWLfMU+HP~;)MWg|2@i)l4a~D^~`Ahzj78&u!YN{h9xIHXZZ2Z``gKz3<<=gAX zCw=F|n*_Lx#C&q3g)KyhOvzvq@^HPBW!S@6Pim=`gmSFLrugwu21Q{)h14c`I?m(t zL%FJ^N7k(GCP(}g#1=^i-H4YIvW7+O`$seVN8hlcY+VfG)2rh#jR6B$lI%qx=7kTW z_@Uu|?|K>y7x0z2WfXEkW3DD4$S15!D?5+ii_tzcB06kF9_(X841-TWr`BlVo0928 zWm<{B)(4E`WdH}VxYajqn~RVW;w2hcC95@Tj?IeloHhC=B1lE{Q8t7Nm1tZZBz zMRpTVi$E^V&uJo|i8s*%1f(HK!A*dgnyL|$Y@*1m0genyaDYH1Mk}N|lFeijWJd|| zR^$Ws$#z!^ypBLsYrS>W_`)Q}z-HQLV+LwGVc*#`z~uEOv`Bi>SWG;*vBr@}marS5 z0Y5Ojzzw0c1~6Fo1bCBx#KW~2Q|Q3R38O6!$N_;SEuf_c_bHK;t<_`3t5Mg1x+hFs zfLsQoyuUId7KmB^T|=3)2?~}lyMoL~aPN9+d~woV&Nhubsl|5TWAw_TH?cBso%LN{ zGuIJg8be#YbBw1jS?Yo&g1Zy@ti|#-oo1Xs^joa6XY4a16W5i6GmvBs#NLijmMDBT1Xr%pN3ZR$fJ zd37vPU=~IU6~DytALqe3kU3;yZOT9cgZB3&&{bBRCmIPNb0bHF7>-kh@7wKToFu%jH56gghqk{8ri+=NrK4d ztdQfx@}!`pz?qEW=(6-OXI+?pPAsOeb+`ouS=jWO4Ro$)xH4O^iyFA00}?L4!Q-(s zeD(cJ4LlN68;%!4FxCkOX66lqb=4r@<^+r5LKo7MaFMXGIy38aX3!_a2q9{{7!qgX zdmDhE<8kKBL(EWs!xl;bD`K?HI$K7EdqfFx-TcLZJJQ~C%qjJh{JrTnOyI@S+x zrWv@TKVl@yNCM2k3HLbBlj+$&bLhcvVLMCc`w}tsw7Nw|AkV+z}0DYB6 zXpqj5@FVFI36DYqD`QAQ35A65|CxIiz^JNgZ+!1FXNEIjASXk>A?4~mdH4mj?Ev;S`XriIYOq(lH1+nOcAs}&hGdV#~M7e;cwe=#e zDrK1bP4Cy|DRU5YAdh!dkTKLvP5W>mwyoOQirNmSM^Ojsas<^UiW8v!;pd-2MrDwb zjbiZxyUSeRAf^Vwh|NbypqAxsC!)lnS%*noX)ysdU|kZ~2%0FagL7;bzHbGYHA6uL zGHQFP66G`%xgd9Rw#FL`OIQ0x5jk2*WHo_s9a}plSkI$kSzD!qH79CnArTTHrBzLD zgC|=t$m%yf4QbO*m#uK1XP)e}G~#70$f)^@C^SKRqlJ_Jf;F^Euxahs!i@^(Y}Bm* zqU>hojvPS>O^PxnlCpfbtJsxsyNhZ*C>ZQ;R!hsAV0How7Ev;lj?4jSEOS~@tm-SM zni-q+HIT2(k7WMJ6WsD2! zp)#AYbWqM-*SuP{fl&?{_W zV^h^QSwAPD93Q?h3ui*hqHyFF8r#x8mH##e#`78geYQ~}VKWqj2YCXiB`6QJ7w5rE zAg@5njwikmZQN0HiBQ zGQ-|BNr_|TU<6W4Y6TdlImWp<2@K?}qtVPl%-GopKL+cfrKExIHXjosfN>1j)(MEq zBsg7mtV=ktB)d5V(9ns|E}td08trU?uL{||jkvc&4fbm^H4~3SRh53z+DygU;*-&E z%4MH4gv85XB_a6cGaGUhahDA?UJEHANAj-}o-QI6-n0)DVjwHlp77g$qtf9m%9b!3 z(ZfOrbQoQ`r0l_D%B{d!9YjVWmBJ+%UaULugtr!zbqLqa+;*mW)qGBI{lY zZ-MayON-)**z!JU2OC+b$%Kl0BNbkru@41F$)&acS2)ow@Q3+BLwmKMZ z&oHwyG%pf2Tw!sv4XPbII%RUJy2Zb<#3cyT_RP z@_1yeoxv9`5joaHqF~`Gq7+Em?C5UtZ{Spvr?FrP8Gu*Ul5CVqbL@DdN`E01q~4ZU z(;8(;&@=66P3Xfa*=v5*aTA%pvt-UM)h`n!MQ~=PMUY z{;0-#LjH-|q@euasDpbJ68`)Lg2~YSIYdZd9+N(aW2{qibkNacDI9II{!rt z9>U^vwvb8y;>L}90ND#XPN`4lx)CLFXPizv_{NU*U!T3(yxxJ*yW7g@+^y`Jy=IXxLYx8pSE|Jxqq55Cex9m8{OnqJe|9 zIyz&BaVEZN;gAtUr;SXhf>0T%#`|Hgg(h)Bh|K`(>ql>40xQ$sq?*h;ipW^BhFHA- zCR4x3AQJ^GIB0|M4w7lKxht_+C-f+sAi&q39fUINfQV1g-zM+~D04Di)v z&DWt&4xEBhy*9lb;w7aGzRN*<6=Fs+!}d^kPTH(8p>e>JF#homOT(4cLnz7c=_Curp*51<*#7Nk?ZdwDAOy} zTt(bRosyFcC{rM_Qj{kX_vusK>dPyL?aZ%ReHEfC2FUFw;Gq`5=`LDd4Kl&fViOTS z$L3|Kmy{t5Zyau2Zew!LqYIoc@%)#TA0H+CW+As2c0v!0NfUMB9}(1z`|t-W#wW9J~19>@FQN71Ydj$^uBZ2)p-Sh;Oi;)=Nz1FUX#4>1%K<* z$?2WLpWR4S_NR^qc8n<7H4-i~Id9!>u=C6M8@eZX*=NcHQg+GuN-( zy{Nlq<4spRdzCilEbYNd)?eSU_tyXQj6e6>!^l-P&)BnQ?dzVa5T*6P#SKTzxcSy~ zmwxY(Fa7J=YvP>VdhgNsTMMqTJ#)0H z^zQ6U>(b?G!=t;gYY67)Dy%fCm8A1{Zf3$es}-z#GHlD3lbDg><|cr_W^8lqT6~ZF zJ3O(wdw%UgSV^DvE~h9fV&oHOB2!LF3wPAdrj&PsEKj2-{e?tZ7XH^;v2jbH0Sdn9 zNapZm4?@Xh6|=fmVUrw^Js>T|75keYhFeIJ@aXI4YvqRJC3dP2 zCM2GYIj###UT}9k3G!)UXakAOX~%3C&#_ak z32qxg{v{E^G%uoTS>Tw>%O|>}#@K|08K`Nr7O~LFK@{-pUD~~+Q0%#Y)bon z-2}XXKzNMX7OvQuOp7xfgEgwnw%c5w8aITGoBqdcSjo&Uj_)*$pZk^DPyz_X zNL{R^7KsMO*05#EP1iLVr~htD6kGNr645HNsg^SGPInkK7C;hPX$N^QpA3XIhzU^q zAsMvZ4yDcq8xOw>NaBAAx83l|gL@XAL7T-@%#0x(RYL71rJI(6qNPrR*X+vQQp`{| z$M_HPTNdUoc;Qa6q`6(+i~@cZ1CAK)r6#F<-rMJ+T((<6GK@zX$`t11rZS@5*cJGQ z*J2%6MNK>e#bm7?zi}-<5eY%)<7R#I4*IrtD)A5n5>=-yDkJr@fo2o6`a^XF!;l1s z0)LFPc~9Hi#S||S<|B}RvDI6$jQtxmO3};~lKHuyMk;e)O_C+>+%fpGbI(#Yzk?QjW&dlPrVL^SK1evkTj7fu);JT9Zf@IxN#wk{kAWqznIFPRG^aBf&^%9+F z2V-7?g7?#*byv^_?Q_Tx_{I_Z`et4w0THIz#HzTG647&F$A7Z}PZ^Ykpn9_LgQxMa z1|6~t;-K9p44#_wu)?3jBm;U|9#NowNAYmFWmY2+;4Iq$(cz8`0*ofJu#J7tDvN5? z%i`$X8myVgjxh0*QEHe1-40o9A6aQidc1_o4vhRL#x4ho53peL3^TKe$*j~*;Q%%2 zDCq>c6!#XrRQ|*$>SX0z8p8rXM!K1u;i&?iM{$qc}tBrPkR?v#R)g5pTKq1*&+Kq6_g z`RVcTvf!~9=$*|NrtM>8DJ)sB(xM57vgUueXi_wk3$zU^fL&zMP73C<{+HzC0N?fd z!jtP}b<9V(4RUqC_^>vFpV%mK2oKdl37_{#2HSUVj~lK^=gBG%E*}-ZR%-xN zg^*3;!OH>?jf7!lfMm=1oMXGM(*B;sDp60ucq=^~LKtbHGPE6X10@5YqaY)4)#9>k znTlg6byy>7ovyjbRi=9b`2?HUJWkx4G*Mr~xYy6ggW<^hq_h&duLrqGTe*eE6G;;* zn@)3^tdu62aAjFad6}x8R!Di&zqS_QWe6?Xy=*I|YT15)xD{1z6eR)sBGG0k5|WhI zvEJD<2C_3JxNatb@@x5I5(4suIph;71tFuL;N-@Il-bIX(4-}w2MdnXvbEkiLy&CZ z_B7GlV~P}XB1tj_oqK}Q^#MbPxEIuDl-<#IuLEV}8rxRJA>{Iu6@6FNt;n6&f4R{*CohsXHIl}aF<}RIi7_YJ;fG-A|?p*9&?6{*DZyjcz z%7%qo6G<1c1zYBHUe*#%m}+?)1M+a=EEBuo`^Z%;^0%8g9HXS+5E2dC1FW<$%yD)7)%6hUT$>s&w@FhDX z7p2LCSzeCC4+J+FCj41tfg96(_+$fnTiZztAK9nGT9_g-=SP-@PYEeIIlrYz#9}JQ z%KV6StWlm6tlXZJR21bXtMwFrCSmYtZ9X3L=KykJ!pHcDOkpk%dD$X3Tu#WW3<5?B zHr{43=+_OLa;lfK2hH7N6B_|ju_nUBYkp4y2*!B}6qXBkV{YXn3fx#yN3zXW9aW%i zc^TVkl7a#XDr~a`pGanojxdFtDC%&2f^3F@z#d66&b>*>krDT&Ep&(1gm|#J;3gq%|lk}H?n_PthZy}A% z@^&1N3KoEdmBp?kvLVj_ zn~oAmOdgDAO>~q#7*A0a9GlbR!~$7(R%-4hk9&pY_Jq{KKQWkNr2XaPQ46kOY@+>U zj0GZPG$iS;!|gDZiX_u3{FPZ&RubU;W2D`~52q2=|7pZxmpF24){6N}73YNa>to+| zTq2i}@uuJ3Q-Pn6C=gXUnPZ=UKdXKivntwExxElqlBM85$xM^C_>sh!F`F4{CB2my zi^+hDjdH1#b+DB_zl-EDXTco8SBAD;G91DupC)+~J|X&ScC3e$ML}^~$bN$8KczEd zEegoP2toK=pP&d4wqh<_V39Gaj&qa~tQyY5|5gcsk!fYDJ=h^7W7P&XY8V@)@Fr#v z8TupOi?Fy+?_&YeFvp^y7c|n8)iK^p3ULJv1lx<53%ammP7k`kT%OWD`hZfTKS-Pk zK}W24Lr8MT;xaBtY|dK28qkH%#u&tcKzEpl^~;FFD0G%c4-_UiQkG|W3LifLc}`NB zna0E`^|TIJyv!+aX>%27{lb(W4q9XM6lj_NG9Uzza@`;CEEGhS%wVcjYd}wWK{%dO zAal#X!{C92=nzow5`rGF$PNkpCDADXFhk*;;0Sq&u^ITQ^t2*FO~Xhsfu|&7SCbIU z#q8@O&UE+0LTXZ=qVbaim3yq69yTNnxjDR#73mr(YS@$0J9(H?PX2299>h3G{k>AqR=rcOR)}Rnnv0RW9bumT|iNx=<2fA zV$($G#K~6(@j8Z_NcWO(NYId43YJfSBJhVrbqa@8aDAQu#xwpDzBotea4N<}KM|Da zC&B)_3PZ94!3zA@9C|ADbMIaTpjl|~M6o6Sn)M)9kEfAwa37B`-CHr8kwcW7^29bx zt{^i0x_3Oas0IQ~UAAhmC;P(glQs9=?nj=Q@&q=@pM5lKtiGtbj>v?b+Cott-+1$B zQxYTu8=r5xb5WPZS_OCC>BOyN=kZXN(Z=fiFt4&=0R19Hdf!*xaX4FX6%{U z)#_RK_8-9g%2QhJ88?$AlUxXZCKnSrFrqv_N_x1to=+Yn^4?o#)2{E(d&?>ShG&R$2{m$bYNA)c#9$iUROpFG%4h7fSRO?n?h{==#b&;%RV7jb)fgG_L`*x!E9 zZOH}wV8>pR8ZmkHf;2SI*tUdLJNxLTJOu$tpm&wO>hNL(fmZ--GG}1Q6IOu+4L*qM zaeJ;BsJEw}XAVVK*!kTLZcScr`tgmgEV>ih>UX#OcF~`7wwm&hUd&gB%-a=+OV;yEWfX933o<%i}{PrTsY;OY! zfcCcs_k**)zo=sy9J>r)$IBr5yn-e!cB}^?Ll{va6Q3|qLl}@x`W>6|cX9T4f)pG& z89r6AmytVuiXDtlI#Acg^|pWo+86pa)9{DUQG~=xx1Ylu_xh%}uy24KbHy2Ko+8pK3s5t8y zW?0iVS48R;bZWBcRH*yCgEPEj$eBagCntN%WQuYdbauxJ_popjvjt}+Hveu!{Q{sdV<-F- z40{5@u`7{i(ukaa?9({E#&pM5b&a{*HkUQbwv2`du$!&KkA&-fCAi{*upP=^o`p38 z<@alYCoJIC$y(orl{c}jW$l;`Szdx%{v%9S_`(X`RscZO1GKxQ6H$WEJ34oEB)pI zdUg5MmZFvpHODj))~1PV4gB1QKP`ljEfjNqAm#H0fY(H{xtqc}ky=Fl0ijP6 zjwG0ZNe4j(1tqa?iB5Bo2qTWz6iYF#shAG%esNTaPAN*Q030re@NvUHYYuwaPuC%B z2c{yR1UVBY5=R;lK!_oJQVKawaHuLBW=LhQTF&)o0LH8sR-cuj>p|{J*v+Qw5Hl3H z79A7Z3>smBwMNbA+Gg})O*<@M78Wk6BGt^Gz>3}EjqL_vg~25zyAqFT`_Y+{3_WEE zdLf*rp63rq7kJ=v4KLoVONXMO>mwI0DC|B_DUT8j#W@PmLTRa^obMI>IZei+)+-}? z`9@9l0NH!ePIbOc*kUIz{|?Mjf_I&ZdQ1zEz<{6bO!s;Yns=y{M$cll&VLUtbu z(3h%Q0X@oHTNz1wXJRWV7K&1}4={(|VLVMz1uM0Xv@_WP_Ylpj`FV4o$#qzKP}e|K z=7eyuWz;CVKS?WRCA9`L60TP#z)FuBFe{KvxVdfXM@14_pd^IE-=rwTi@FA3kuw57 z+9lb$iF-V_W3Go*OG50#+#1)NgPO|*iJCtGV$)=JAa za@;@J&0V1EV)K3O0$RzcyPDv1C%2~8xvuG428dy_z*J(!7$5|Uw-dWNLuLw3RFt)4 ze31lja}y~ zwu8_`l%gY*r}HwDh<>rezO8&wYlp^u7=IkK)a9Zl}QL^Z9z#V8a;2{EoH zG?^e(@G%f$aws9F*)Rx&zy%YMOXb^)!7Kf#pk+o8jX=yM^lnv%61A}5ZDlO*2=t~} zU$=ayH6@r?%UBCH6~{f)Z3qc#0-hIiTJSecArwLAqoSi`#sIK^`8GiWmX$bYGA(UK zfHnvUMiLoEPlzKtGLB>=$U)q|25=Ob=wN_1&z%XaoX7BWOT zzD9vhNX3Hj65P1%*BhsT2m{GP5OnzT$t=co>7Zl#><;h<9Hr!;WAu{NyWdXk&jq3L zWc~_x7TQxC9zoJe#7e4zb`#p<7#QYy{JkSVWByHy2H8(kU|q^2c7|bVjJO<+T*B$q z(F^UVbxm)y025MN9}hlIqI)Si@Q$Z3o=+*TNJ_%8vpZN!b72ZsCnm`GXNArOif&6$ zDN!EKDWXU4<6%97FGE@jQ%RTxMX~4!ZCw1H$p}XK@+%O?6+-`d zhw=C+zSgcPXd{`?@7P&IkLlC0g9wsA6H>+#f-_0y9)->jWUKd!Geu`m6sr*EkPDK2 z2RuTJ?~fj|qXw}LpjP+a4oIA%i&0L$6s;m}g1Z{|#AaA}vI07)fJ@Vd9RKPtZ9tPS zG4x5PP^rb-jdm~-_<%x?6ig3c7RUs1r9e{Xk7a1)h{^h(PCcCBemhghd=kBgh=ECR z1BDYr$a2LXkd?@s%1D3@2u$PWq52F)IGE9MEhF z4GeEz^>-Q={_cB=ZkWAi$(SHy6LP?A{I`S`+xgL-0jf&g@?HTRp>(Plln* zIau$3+@7l-`-#^PWlj@4AM#s#-T}Rrf&Az@Q#73J!I4?Z=S=5g=|Mm|HF zifBPTs%~9j_5?FwS~ex|jNKr=w-?-b_~Jnx1PpLK%1`2xf+n9>NXMF0x^!4g{hJK^ z0bMPFZqGd3x%+36*LboGEI6-;%sBhPmH@hfnK2p|jiQ{<4~f^KHCaw5q%;Vyx{+fX z8VUmbO#zuTIS&A(nj3=)AYTT(X=wd|@NxC?X+9)sF2f)Lg!Ej7(dBtYMdF0$)$Sl6-M1R!|84-X1B7OW@%ef9 z%Z-=hT@^UURWafI8k)H1cK;dNJhmD;E_JO;*Jw>vR#?E0vr>)+s+tqR;BRYQNyJJ4 z9e80b84QB0LzG4Yg8-{BzyNa=xtWr&>}T4XP-47Top=+np=2}ZO_~73cY$+teVV(0 z6vOIAotB95I14~@(7l7q0jD-+8hn!Tch`lOYYA(BT=be(<8uIv$H1v%yawNeY(%?T zYvQ_2e?FN;`Gl`#DV{O3?EKh3V|`4t)}y1Ip(L&-bJzPCfo(hPtn{eWwQ1h$jofG} z>*tV=9JE|s_VP&mJbcHIQ!wvuZ6!MEnw-`tpR*Ex#2y)=E8y;dPhcqnKHa$Knp>DF z7gU*R!|oC-3>IxkMq?@eH5k!yjRhRJ3g#?7GjSxL z2R5xHpERd^Yct{DJ(=)5a8)w6KwUFD#@uD;8goozuxI7OYZ5QA5R#zv5hO$ALZ33i z!JcLIY@qOcJrQHq$hZCOvI|G=yz8eyS5=gdl^Aq^Y;bE2>O`ZIhk~muA{CQ!R(>XA z`>*$YdOZty$R>}Xl_ruFC{dteu6d=ehdg*)2(T)X=~l4U5|iv$-B!oQKP?Wjj+ITg zQH@ayEEy77E{W!ndc=l|)m(=wv@xeCfiA>2<_722K!IaT#aIUk7HBY{e@$COp(!bF zSqNUwws5H#i>~ykprg$uDH>+Tl1fBrXCcmpVV&nVj3X$4bueg-7KJD}6M`a~)lr>Q zXwIe#X`+iDsgu!!h{{aEEsOCa2>4n$fz~bg!+;PMzf6IA3`s@Q-iPNB=L)XnwQw04 z)MOMWUbhC1Ax3R0JuSVPMi6ugzcy?v7R2LOx{AUO1Y2v9l{hu%zyicA@RxH-?$iBz z$BCkS95XD8XQ3B{B9AqotPxTv>80Wq+wjsP)gbv1eLAs>Ypa7$YRl|5<) z-NWQVq3?u|A37gFKEup{r*u8*z${fcJ>xD7;Kp1aT4A6D-|=VhFJv^c7`h|aOQ)Kq zp~#H0v0Rmp7-6uZAX_O~w#2wuqQD}se2vdkA&_TSz9cdnQ`ne;OWDqAOO91iJ%((h zO~#>_I)wIg8U%wuSzOQq|4#*@d*)};b_fh8|0Zv(cb=B%-CnUc2BqahjVP-N(UdMk zuL*cHAL^UPj0^eddW*L#OHWdPQDae=Qyq+oCA}KutBJC88*y)mjw4vwgqYB1UC2<` zrcv{aHABslsa;~#JJ(36%hKG;j0&z$rx74K8Xyo-Ph|QE5SomsAa1Gt&K^>~V=70weZE19MO<|M+lxVJPk)E2-^}A@E)JL&MTKo=?b& z;eXNOALQ#Ym;>%H{j!6)LIkIyx%E^AT3=Y80xLaoU`(VHvaKJWp3HMolsO^VoLrP2 zLdHiAIc`fQv9pZYm|m+9)js^aP%DV(9W=8r88iniyK@}E&CMWnSRg$5RcJE*>@zXf z;>@rx-9U*3D|@bA{u9rG1x-xMp6vV;^uEZj>m4-V(!REgtjcC8zUJN%4kqSJr1_!M zm{oEEKXBZ3RLMC{vfj}&sahH!pd(9(z#<%HY`1r;T5TcXe6L@eaA!V6!KfSht zDk=!|xh^(A6k(xq=emx-&D#Fjf(x+F7#)78vNJJoq)9kRsw^3%qEXD^BSDKPY^EW& z!uOVp4=Z7Fj$q%ISYKyI)ud?RfJ6vWp%&qcZNg=pU>vQB5acH|PbjGs95c{*M3Na` zM)jgxu;MgC51~4sfY?CPfBL#`kcG3{3HEl(lN1xulS$(6<*33PoOuC*Q_u}j=L0Qw zX2K*gRZ;>~EuEnaL{*C>V?F^&SaskW!32@PrUj9G9QR0uH;~DETC|UrUxTDLOW_`Z zCKEF~ONT;GxwE6V%$;R(zxqd!LJEIgfKQ5p8738@1yW*E;WT$5sj4MSL|zHS6hk0g z`a`P!^b?(V(xhi*0$%iE#)d_>iF(}dS=62gm9vscxK{ePth22sYft$l7C)m61DIpo zx{%Cl^)#dDpsc{M6f{L0onTzgY|+rL*9f$mSzK1LHD%0X0qm9)v!YE}^%Q*WgJ8+V zpo!~aQ6WH2DFXx6Sl~h_8|Ru+AB@6jpm6s2p42b-^h$?ATZS}3M(dw=qKtJ=kp0&| zCXi5=cgV4MKVEZ`xNsLQA`WI1OQ&X*xH~ZQX^F%d<7@*h zbV;k=!wPSis?$-F;KPIjtx__)HCmFi9V+xEXZ|h*wsUUK!5QyF?tAVr1< z61p^dQPRi9rX!ey1gJ%yEl9mOhh})0aPhQW_+$vFSS18Pc`VEUnHsLt>A)ogT+yGB zlCGFbEd8Mwr%+Mg2TV{{;f$WA$8tgDPoT^}K{1TP6HTBygGlJK5U~8m0H!SNQ!EJN zOG$d^Vlc;U&>bT|$3cPa6)7_4{*VOnxDKS4A#uosYW$+f$)uF%31}kmN=zz9x-#*j zjY0osKD1V>vvlD?>vgGUbT_elcm} z>qW|0rJRj30RqJq+Uz)+XXBGvWnkUW@1Fx`3bDpym)dI=+PpU&Y?pzil6kSO@7Pd! zT<^X~*{?2s;6Q8K*INJNi`Gee*dylnYkPlroV|9*0|z?dWk(u|QvCjVj@#B7+P9(a z-1e!xkS+V#roVu%5taf2e+Y2_oDehYXbI5pD3F8;p>cvq9dgb$Lz6I{79Ngot!HQ3N zXs+_OQM)LB@ze-+l?m&tArsYzEF87H9zNY1sa`Fb8;1L@t=4 zJcz0$ z^`*`C|6Y9$3YPk=-|zd&{x9!{-uBlG(WyI!E!q6veGO~+PT%{M@$YX<-9fJELt6fR zDbliUr|+FwfF%Fhx8CB9_x2sf;O`&y8P~GXna5e%vs1TabrM69Cl2gq_a7+ztAYoo z?%3cvqPLF__8!>Z$JX?*VVC;u|6$%X?`^w-q-P)KYmN9?zp(O$;v}{h+@-z00r%7g`Z^+I>yOyGpYMA58`FD7 z?27g&b84??09vu#E=6OI$;nMk7{z)44Lrn3VR$~PmiFpQoYhn!7cz0Kbg+8+)};Pw z_WrnL>DE!%cNrjO`yP6~&%UW|>;-t8_RC4Sbl7|3`R|vvLOVJwZ6V6$p$xh zFiR0@7~+CsiSki`&GHI9@9S;4_B~a)Ik4o-=%n!wegdDi0}XGpZd&((!Xvv_5LhMhdZEMpRxCOwqpZIV<^~nKXK#5?%O%) zom#kR#09(gv%P)WvNcOKo&KDCSc07n2*GUVaaQ_-_RtM_kN&Z|wgn{8XAN z9iAmLZf$%%V@U&VgD!UeA1WQ1{zsP%#z%4gKaD5aF}znmnok`{Bo-(IvjQUmhE!7w zxdx41eA|l>quSRhO4QQ#i5weiu$O{{Br3$qYDk(C`B;up?Gv7YIDV|4 z70gb3KgD$E;M;6Y{WB}QkxGZ-#0d{PzoV30@U4=*URZhDuHL`}`hDN8-}k-#vvwLY zOW)gY(UPoLXP_7;1^(uKw(0*fy5zV1#!8lC{qH<{`qM1X7M*eD$CpZHF@?}4X}8`m5B#fDqv+sEmi#eY!u#464A zEY59jo@09&7Wxn9Sumr}vjDkphp}JuJ<&xyiz`k)-oNYkirMcTwgjcalfQU>*0yJo z-F>GmKjXZM4#<)hZ$nS+>l@Is;ID7Gx96FWo;1=J;CcE}CGCjD4daIsRJeZLM7_`8HVjtF5Ke0>~IhyaZ5NAfso& z(O&~PF=D(g-?PBE?>}+)c;Plu@X7n%r!L#I@1b>4+V>Y$dJFX|ruO#rJ^$Jf?4n)6 z@3_ae@4)OGZ;!m-?d>t+{{7N{f^U{S^p-JW;iUH7+xBhv;gX$SJ=MteHB9RJ?uk3u zf&GX5;rZM8+P^z>r~iLeeB)_$?Y7x_v*=lz`rQ|UeeC{A+JAZxJMeCN-MuFgVQs@w?F&!-*xy5v7}B;Xl?HNF1x>v`QG*2|7_kw>RDg~FG`1D zx^x)#?qQ2QztX|e>L=55+u>l8-2=Y^Fy}LaVLNxd4rJE5FSz~IXD&K$-z#^0{}(^r z_oZF+qeGrcWLqweHU!p^U8Og+xY1H-+vd}XWjMMGy88^d;~Ok_?5-a-S^G= zU)y*7zS@02eSPU@puWCw`@?(Be{TKKyI+0g_797}rN0P?*@ktSW*Rkz=I$>Ia+9|2k_xB>q9%&WgSe%H0<|Mj&$E*^W| zqjzk7bMd|-`+oig$cuNZ(wh8o;4Ot-?ne@f%`z-d)G5x`tG&wJ@ewdi=VoeWG{K{zO8pZ_Rim6 z&Kz^Q9f{^gZ*vdoSJb&IPx3_x_sN6*dGDilP|APl+B*oUcRgjcKkYsKUq=4lQIG8#;MgIdV+qnZk0^Wg z*G~qJ@>8$=9jP%4u*>HIIu^@;c_X`l)9}_I?Ezc}|HqeBJe)P)X9e2O>_+wvN zeEQu-RK2n9JI@}z^sC?7_rs0fIsNX(p4{_;^PlMZcK7?2%zJyzs*75_dEwuW{X@(B z|M#^ui%VDeI_AHz_?K0euX(MncjNK9?%xBH-An(l_uJjS-M1f6UVi|%xglhT>`PP5 z-*wkFJ09D2>9!C>xo}DVQD$HI0u=n__1_x;Wq0rk_!P|hXTs?|2Wc?AILG38G4#ey z^thdU2zWJ; z-6p{+h+7DmXeV+d zJm#7TccC}9>k<{it{=}UQ+b?X2)&XJW$7+wiEu!f6oqY*NJOcK3~slsB?__u;}dc| zjISx{(6S+v$W3Bv-Td3lO?a#h+$(||v|q7xB?fMQ(d%+N26A;1v#{q8ZxX?TY&bEe zw|n{Jl`LA;P|d|wnJ6*2Q`Rvb51C5^ZD4gwXJul#43{fjR%zMQuB+r$pB+9DF(<&* z1joW=mp8RqV{oE6>g#Gx34navjBs_AbfXqDsg8l0EjOFe4olz*NSBF(6}H(XkY$)L zs8Smaxh_=T;6_@4Y?e6=ud~aEJih_lP58DEWW}qPY(VM+Rbpa$g$d&{8TVmjGo0Z8 z%|-`jP3`D|$xy)dBUhPn(lqk-!^=%&QpUxgR+FfA_8RcGjT=Sa?4!{*j;9H7CX zeH`>`m$n;2l+(i|y0QQ}1}fsFR6@Z@(gY~G(4>RN2aw^T9B@w#&qw03BXA2sDN|ZV9^te=W;rqd zL#2oQBO=%k1LR;qNFeFfctV)!Koo=(R135v5|YXxpg}K&dA=Z`Oj4A!al0!gn{b~b zE74IxC}gK0gvB)uQ2?@7r6(js7+#ewZ%t_=TvcglO}YUkWr$3g6eKXC%Gwg!1Z0y$ z?_PALfSYTKX<H? z3R=c2(p$T#z1E5+CysWVVA5(P1qGpn!JLq^4T&tN9cy6C2B76wdq-qW5>cMh3L#08 zjGqG}r&b35dWL`|(z*gLRZIk@(bq)I8iUB4krd!|Go2X9h*!7@U|ld?DuN_alA`32 zWx$OU!2#(9a+_t0cGK#p5@T!z_+Yc+l`vgB;tz)dfpmEQKUS2`d8 zih{C{Sjh2%1M(|#DGeEu0*4d_FZM-5M#GX529S15W?bRgq@3G~{m28vO^cJc+kp)o z4S{>e(qKU;Bmn!+iZXx&1g^2-N8%?1(8wkzfshfHGq{u8O2DQAED_+==dCzm-2h!&P6S1Z zGYm3;$jrp6g$YHJ224r1i=dh5q}XxD0f?9WQ!wd&Wt2`e1(W|=a}!e-ivx%olC{7q z1Y|d}R#Fp-Uj6E za-xAhr0|D02bBxJL_q6*-oaeG-PbOQ967}Y{N*;YKV0yVWr1{)0KDT}0X1p$yba-GCcRo_v&XJXa~?V=xHA-L3eIb{ z?OVRmian|9yUyW$7XSS%=FUZ;Au=k-zBpXQ;>jn^YeGx!%3I)XK5cacUa`wl*y`Io zx`|nF-UD)^E*WN9(iX^e1`3AeQ0lPNR8NxLl0~;b6UtSlZf0Tm7a2bsS&xrrRDEi) zuFJfvNsf&k1RdL+_je)3)}S?D*iSZr8yo0RJ7tbe23-QLaL`tp3*6FXKhn}Lw$aNh z-~%Pv@-DXUVofLr-cLMh*fI_9%R7<*McioVDq+n78I95uTDmO`t^=s;)%b`+I}N^v5XI&yQA-$$AkP801#MT$SYdbK zGy4XtP*o1R6Nj&@6!v*u;TR}xuS5%-|8$OBDaJUpGH5iqBOG6%WRK~@5{opJI&vs7rrnTbs;g9_ZHPDfjv0{~njWoi#5wFgmXXz*S5 zq-7EuOI;sRmkw4tPi&?F3CU~06=_%00?yf1Xu=+=^^REq%{?AM(0!pOIm$o@XG1~V zH?v!vU_2r_+$!K#k|u^IL1}C$4&$c@Kg!qEl5i$%vRY&nRMS>`G7>c%L6l|7(#_<- z4BBY5@mbTU5tkZ{rbrcbrUN(7fkV?GmFR?xV^>@;`mPD4sF2C4NE2ztjTO%DSR|0_ zs)1jn=n5);jzlXtG?A@%6FQCg)=9Q&0VF)0ti;P^L2ptJONWVkjJY6|$3} z%+}HgAwIk=$ZV$pPd&qH8_*$aL5I$g$zM(ad1m{wERar2Us!3l|IsQ#@K}`+$N-14 z7B&_G6Ku4DrftbikW=Us1i0$70+E33-2!#DXAtVQZD zbu_FnG%8Z5=%kpBdQAh z%R`%TwA_8Hwrck{xFmB%SF#yc9nGm@m5kvIk+JH(I*IdghdLlhmUv#1sbips93>^7 z3723-K&=)sSvi%3*f8JJUN2Kb#_DJ+3lWgb*h?fNs?Fh1R&KH56p;~w(X5j-xo_78CMOt%GoX$m@MFv#ss7t$6XAsc?uSjKRhiz0{2lA zrH3UvGpBdORoKY>?>cK@y0EsDAjU*4=x~OLR z?N_gwzG`vK%017noAjlw8|MCr{Jmr8L~Ng!{3R7}0mNG^h{$8@L=wbMjlg){V5>?UAm$u`-S+4jQK8n_}bXePuAR{ zG?|ca6Y1E&nt0?1n(XKJG}$g+fv>|84ftR;%|Y&TTcCR;d=>5GKI1eJLS$_`c2F-? z&=caM`+bt*PB|;If*!XAd8^@F2Y7Ji@*-DpL^m3ss*%LZF?J#*5?j-;t~(va1e~rJ z06Ayn^pw-4t@Mn~lS#n`$tM)0hIfVR2?^|tf+%@jL7=`y@NsnBoV*7;A)Ou>Pvorh zT;*|Vb0!Wj9$ThBHhtAzOm4*fJUI`J&Onobf+_96gSh)ODF|5&QxYV^KS*{zx8X&3 z8n$Uo1AmsH?8MjS;aQO1A0?%wQ!uBX332Di%k@ULC26PrjB`X+(|D)+vgE1m&%%-V z?;&L)xE6zxLAX2 z2hXW$Y+P8=)8y22RfUhm{ydm2MFV5u^_G>2d2d4mc)vjS(;4ENexDRPSd^#vuhvcg~%8CSEj!{vDQ7m8%3k)IX6cwgod^C$={XAw>I15

J?FC;AvVV@dRk%?_CYRZt&` zcqsR9+fEt@%sQD(E>W2u+Xpl;3`P)RE?5T5S;%nnP@pJk;Fh!RRlA*Y5L5~c@ zA~R6DBr$7`((=HF=i6W^$wLW<-fz ze4>_Qi=Gk8glroqyT$&_XiX>?Dk4S3w@{Q@Kt@XgRLz4lJTQEm+ z!}xyg2G8G=IxQRv)jny~{7Kq5#Y>$!>|s~VRYN4PkXh5Y^B@`TwTMXPK?@ z)9Dkr)2U8mCN|1BR{FxxgpDovPIM&aj@yJWsSM*TV3!-u!m75kia|E=pfsDoEgC3w zK*ow8(i?N?Tf$>(13sB$0bHk3miem!4vIRMgYid@<&1>mY+?>qEjmM#vu5o<>(hJz zKayFK(3AnZ>p5QyQx32kx+gwu~lK5-}^fHLhT6M(3tqvTw36O<_m=^-^q^^;AH zyC0m?9;i2D(9n{_6`5lrN)+3~Z7K+no|QASCU%}o&k%Q_UqQ{yxF&ntMLsbKQ9@q7 zCLiFzO5F1Y6me6X1qE|aDi7QCVB<|_B5Bl1`(zfP0rD_M>YPXqnlz~f@Lh=`=}p`? z?HA(d?WJW{3rX~Q5JIX4aC<@sz8KYD-X~i2Dd6k(2{~4i5#>N~PC-qs!_gdFl$M^5 zL1YNwO%!F`N|LR}oc??QDTJ?#Kvp{1%E9LJlLsm28P5Qu+yo&fNXDyMTtsZn4nv?y zGR2sXS*G|Gp@}lb;ApC-lJ4>z9Y_SwOW94rEw9$ovWH=|6=h3t=!hw~mQ8isIt> z3Gb-Ks^JxoJc7QLu^fiZR zBnpGhI4a!uXh9O2pDXO(Vg~3O!DO`bryV;4*@k3FQ6!$4xS|V+*waOmb)QD&qa>1d z?)7zu-3w$a-I2L#{CHCOulvt%Cm&~CvhoCKo*^F;{f{FSr8UV3QMl#Fsnbk(*#)u| z=&k)C1=UC3#tTeA>SOUqp70TVQ4&jtAcwPBh?4E(BW@XjRU7pQ%qPM}(5;S@hqXgo zX4hLW0rM&uO~f*TC49OB02i(W$^~Xcs7oL~U_qH+H)0QZ7#~lfCc^6XB!lDIV-oUo zI%0NCqM$)&WnB8PoYlfE`M9eZ(1o~e25!Wxd?FYqqli^`9;DOHE&S)zx^y@dgRBE! zJN1s<9~0s9iGzeJI~YK8rbc}|xt}~sW5gZ=2HUSm;{xE7-g!y)mX%YU!02^B!F3p5 zB_SXK3^tiZAa`j&BkGTL zzcXbQ$d{4_-+;gKLO$5vUWVw#Cj5C#-aZ*o?m6k}mqNg-S1tj{^WN@dKcDh8a@MRp zr*FIz7|4_%wqJG0od6AZ8#ya^^HY;&FZjW2&o0>8J@Oli-i8)$PhWKu01uE13v;jz zOFFIqq_fuhsjJU=X%WD8XSM(Xxn|p{&E=Qqr3*eFeL=Xm;AUpl!!-za(=SyEL zo&6s6&cEuy8@4|ni2E!rS80^p9ZwADlYI8kQNkyb2S1KaJ}S4DW3PMXbX_`7AIJ4J z5g!brhFb$s$~CZ{#=&} zcjYK-YaTumLhQ!0uzap0S6=1M%Ro+TK){$dV zxhN^oNC^2myc!mI8KQ;|{_^A^O^Ud&PEHFMl*E`K2?E8}b*sSLpoLhnVeB{4K*Bx8 zG0B4}hu}L6yTj%k3+E2Znx4Nk+18u7f*aF2R$>B0S!=EcM<2^9Pu9nmUmZyaWQdw> zbHc4=^A*Xij6olQJuct$mn6~*#uI#TvP+d!0O1c7rWi|CDdqoSW@IJvXN;$xmfX&;5#j1s81PhG@^0u$}T%OiA#R=Mzx_r?PAtH95nRV+&FYU=6_gWnHVzjG9XU z1CR~d^*^d2Ze@CUXMi<0AEQplmPO_a&Q%{|{6EU==tMiaa_FAL2R3FD-8D2zapU&T z=BMLWqp}4nzBq z$i8Nht%V2(k&NRi3z8wCI2L518=`RS3CVSL1QBv%NGouY#3F78Q6LEX4Iu050S6P4 z6_Q$tN(y$a%_b;jD(YxpXxh?Jg>FSFQVUH>96bdqaW+7#bL4>LwwM4|w2so(&keZ(Bl-nR1fD6#zR@EWqExs^3?S3b5K+>-0c3rl zG}%YTf^3{CZ-&VfCQH&i3PK-;JV>JQLAQhe2`ON!SK(F@|P=|Q)!NgONlx3tuE)<>SNuU1j70>F@ z;ZrH2EM0Er@lZdYls{BKrvhaWYZ?ZJ)18sk03adgSX31%QwH?Z%19jnpQf(_W+@@c z=7fxiG|mAzg{lI7x?-^-ttX-OLw#d`6Wl5gF6#+|<5r!DBqY9y)7)uy8kT>fLbRq$ z1a~KKx4Cn9oB4yXwg4(DpuX0D3_%b=Tho{6YX1YRoa}K!lQCuGs9EtnB<+bFk()dP zrx{$K?T~lX({njwcY1o0l@*b#`Fe7$gE@;bEa3o|Dnthm!)3&s(N@Aj=)FyI!&Ts( zMm_;PF}Mptpu6Tq%`{l=o@o{B3HTe$6>#HIiD11;wh*b zH{cHBh2+UFKFu>k4v@b!;7ersAJaO~3f!ndW0YuDzUcl4I5T-6P_PhXP7}x-Y>sa5 zX>uA*aM!&s1!$$R%(LL$GNz(#w=-E%5~tEVBXyf+GK5rEb8Ei=`B1O}uHxpf_W!cB zgsu6=Y||Yg!zYnZtyt`V4>ZT6;3_NqN7t3<%*YCkTxBHc#-QaTytWzyW%7DsnT1mY z1Yh3Mc4Do$JV$;A3FmmZ%G?cZ4=y?ZzkF0H4`KX2P9F8Z^;9~%C3HEY=xFHEgG%p@ zL#9FxLNH1@PzbI*OtxYuPbnxmc0Y)zI#CLt!>%*n>+P@bDc{#Z#u3F0;+!(*rf z@%abMBBDOAWG$)##+sgIY@D&2g%mXv%D}CQa>Y;`AW8_dba5}R>i{--RwYdpRK?71b5CMEgT|WQ)T*S|TiPO)()1RFM3ZCkJH8$}*vH+-@sz2XtB@ zJ-HIN#y2lG=7mVqf(OY)d{%;3gn+W7iO6$1noI{Yacq$=aEs?Yodvgo>=f>J3Zgw} zD^@8rw<+;q9i8RY>kECZ=s3_Eq9{5T90ExJ1i>UuI(Xgi#Ad{YCDbh5QQ||J_F*Kk z$yDn^2j3F2l29FES;5$HeA2_}wQPr$I$$6ZLRdmE#;aq}LiCi{wh4P_2 zj5^<>C>2Iu1@1u;6XTIuZ+sqrAO$0&9R+8GDh0_WvTfiLLE#U1je_Pjg+5nwEFzO8 z2#OB>KXcy#7)5pNe`aj3dL|DWwAzK(LIKH1h`6C#UBknuR5pk-XjLe! zdWFK-u!*r3F-9J-FBco|EnZ((P+1}6q9Slxm9~mN)n1T?QQ(h~!2W;VncZX)LGfN+ zC)t@Z-+c3(@B7Y~Gv_gLeqSY-HQf5Y=vk0f|BE0~ujzK+nCP752`-V?pTsVCxdC1b z8q+9rA_(O^oToyFkeI?il^`+1Ok>F4rOVa*r@XHCNCL9PQi4=Q5xG+=;wKp#xwxI3 zNGb2SPKjl7=aXDDsNORLhlMf8MDjXEy`;iPYGTjT9umoG>Wo{G<-y&W-PeX=y=n@mH6s{H7G@F(g9*5i_*(Y z=}|b#XVpSB(~^RT1;vpbKiS-?WuST?#0&KQ8Vj87cmxxrdKo$^iWTRC6ZzI`%br!W zgBoD%0h5Yx(G!suU^sTq)?5siCI)ZS0PBgk)d1^O8elyc?gdM7fkL|KINStI+C>H! zY*NA3K^~isUED?PA!Jd)970cZxcfXmk|sV5!yL%QX#UMBuA&iOXTs*7Lq47+NgP*b zqhM0^Lz9=4Plf_#wTG32o_Z^Wr`Ldc<)-H^brigN(8Pz8Id?jMtq=q_U6|AfrwiFY zeZ@F>w`?HpiyZ||=)EQa#v%?D%B=^TkO`x?95lPVCO`l_Y67Ls6kR)**OKNf$ z@@GCao2HJQo9W)PX6T_liy-^(8RPcNe7Ku}_af8Y7@|Bl?dMBj&IiJci9qOPMA}@1asC5AxTXzWY8R#+C<&8 z-_f{3TF3^eU$h>kOu;W?|IQ2zjMSF`1+QmCvpcSk?{)WjjU8;D}l1BdgRTDOs7)x zPIz!V$p?8o>Fp#5E2R>m_uB~B2@f_qKItK3MmO?u;!Y%)(EB(^CiHe(MFa7lRjL+( z8=s`Wv5-3z(?^t-3O5%pz<=pc2A4X!*$^>8S|Zc@(~vtArAxc{Tjh?EX~)f-&vNX^ zyDB9~EQoNTo&`7mPwgC;Xda=}&*(Iw{uO{)vE=C3~CIh8LnTlX?3}-xKY3y`M()@zSF>9eA zLyZ5>m~b`u%d)d&nWXsz3KBy`ENZhNL@=eGURecIrz0sT+b|*l6?CCy#*jN$7tr!6 zCFF&EtRCWtW}`QW7ok`klK|%3g6^%wF?WT_lo;$GOVHL$n{P7w;Gf5DJ52t}I*KZ~ zl!tBdF_coH5qc_-$2idNpILPQH#enPG*O-RsQmF*rW&m$86;{ykZfuV&{NgXL`$jv zlo zBt7=QnMzJE=WoQFhTpu(^w#=Z7WjWa;j7Lk*?<9Fns`(=vY|EY1;K2*($6M{%4UQID1?ZMM6IGV`BBMzhVjQJGXm9%qO3V41}YEXq}-GU*BsBzZ$G>MWLWI=LtQy?z!h{BEnhGNxT(3bdc zB1U9~0V+C{CEbMh@q3X-IgH=uD8khQx+5whr?tOivdQ4mPqd z|G+32i5!Ut7h^>@OUbC|HORt0R@GurT#7PU8)Zf1SzyD22W=2Yc1pm!g}`Afh4f8C zwI`j`Up|#KwXHry`~rj?<{s}#u88t@r;bD8T{Pqf<&X-=Jr0PRI6?DIG=Zo&*at-BoS3(X4hPS&yS6p@xPY|;-UB;NfaT@QrR;FP1b-@pdWq8JE&2?)ajM3xsb$;E8;4Zcb7Nvzy7llaZ6&8{p*3 zMb;V;?RpKz=s>7|0s+}v;yVkF@dX4gfKNi0__XrO2v+_UQnGSfDza>J2_I#&yMP%;sXxMy+`G#$vy*@qmA0tZ(tRgdG1XX%g>Ju;d^q z*xp6ne3bMa_!N;ly2zh4#}RVw39alnq4BAH16bBY#}=M>!QZ~~Oylgsp-X_)*`a^P zMjri{t4%8mvcjNIa$*lU=6vP;c6@TdYvhxopXHODnvD5!bH1cosd`;$@<+#iF+Ri!sh_j?&xe+d`BjL$V$rAY>&;I&&I}q{dFDiFyn0*E6y*81EOoo zLmy&|Z-8FN!JQx4(_>py!;+Mqt^qzaPB>qTA{3D=wmAv+kIKFY- z?7A74d53ztnXBY+7l1}Dh?PtN4xl3&5P1bG?MixIk5*FbG~waJ3bk%Ty<3C zWr_z=eexA+GNN0lI`SvVoj+1uZh9p1!1PqNo>V*m+RJdO&ZFAltzN$(bnNp+u#!!& zVR(lrPT6pDjOT;LGud#ugX6I_+`*Yd?$G;inb~%6@lV!YF!z)Ak$Wb^-z|Cnnz`}D z_=Al=)CTu!ZSlXc_;z*?xLtPuV3%qh2-$Kf)ei5(2~|0BPO^50?>ZZ@2aCNTbauxn zM^QT*$p`l@<- zV?T3mQ`s;#^H9(u{Hk_nTTQhC$jqbtI38og=N4c6((sC- z&WQWekuj2&?-_a|sO zn8ls$cd8DDpQ)aOhk6!FwYg2zvv8@N#kYX`FQv(bE=?||QeB93q~Ldn{HmpT7SDE( zTe`UYDdrqdRB8XufFA)x99tR zG+5TSLFa5a{nB8s?+Z7pDLH!Wnst5t49wqOTo8}-S@Rcv5LoY}+NtJ$%6QxVB)oV)VqKX(87vCZ*W%a*~){l9;DU;E7uUA1}ezPEQ@2_duUuWui*ZU5pm z`;PpgeKv$_{b2R2|487t9=j7jvjC5x;xtdhfnrbGE_CN1@4M_YQuq{pR0H*mn0mxog<1+m|`!Jhli5P9NH5+e#t> z)%f3+y$kN=PFwk2e9n_+y#36+KkS}6^zHxr_xG*`#PHrFhxfMMyy~*ePw%^G+LgcB zK6uu$W2@)^B|R z03^mm(^vI}BV#qg07!faaEeE~`XB#XvhSU2_^cQh$is$r1Dd@CWP;QE6KV3qls!{l zAMsMt^>2=AKMxAry?5TNZ|HZ>N-gW7Kem9&kcx1u#?Q>rH9?0?ON6vVA z+3qE~KY@@*@X1Y-^B=UoyDBZda^LIwiuZ3`x%tWR_BkC_Ik3waBpZ3TedU!)J{UIV ztsCwH#Bh(|bD-x<0`5uB>?z<^oVcCBp;u&9C;|*H15Gh1%LD(+EhqOkWfmOGFR;w=s zIp!@0=V>A4HJYfwc&9Mfwo<26V-EsR0_irPdrcn5jH{1!kAi`zjCRI-V?(i16Q3i8&` zq%soTfkfa~_)M7-=CkQI%;`AcZ*G}5iiC6{yu2?nbB$(^77aAnmZ5PV;SMUWxeKz5 zsF7~hbUGG7qAqB1A&j@lvDUl-boVTjNGR9@Zb}(Yy(qCzusnRWTZi5aOcOPPau_~H zWE7ut7Vzo~kyEh4)JHfkAQK9TCIe8tyrPm3igdsX=mobUi>oLvQP>ii$Ur5PL6Y^w zZ@@2hyC%5DAnooRH0d~T&*KL48tj?(oZ3MW<_fHFlq zbp+8wuFEJDY09xgCdb0x-a11Mne}Yp0EX2K;BO!~32Tsuq&t9ang)#IOBEK|)J7L; zwKIeXwt;T<15+VnQ8k3X!&ei0F%bSFA^5hEm4t#8!RJpvIi|b>@-31q0+z5VZy72) zbF{^(B{xHWv4S(4qUjR>0P5Kq*GLno$udk+sA$sP%E6cEEX zX;6?5sK!MKQG7~~mvx$FZ56@RnZg61HuEQhAjt`=ekr&`R^2pVn-^t|Vo<1zWhft* zX}NC{YWD4bSvD4P)H1lG3ezDQnrQhAW!cc=ng$W{YAVT794n!|N121dh$I70xa$Ul z-VpLBGBo)=k+bT$JlHcy$VN8UX7H()Q#+ve{I7%jLRWr@8&2#Lpe18EayX_AXmJhX zBgXeZ!)pv#lE@m75hTS`M_tC@VCl)XXfXkg3n)nZRO*y4$AJ@gGIHF#Or=^VOR2Yz)m!B27Elp?3jra*UQSIreSHY0?Qp@{fJU%PHZ}d z(o*1JBaf4aJeAM`H~|}V_#2*7Z#f6x4S#@G;B~;nQ4B%Q1}5WYoJ|Lfu)?4S_=t`d z2NaW1UEmO@QV{s*V1Rt96mdTi*0Dzbh%aKgP}ips1wuGiUJyf23Y-)>MJ2Q#I;EBj zI<*l<8Twj39k->%40>aUSq3X(wTNh(!72*0lgMU@BXBBA*e2fd+M)STJfy%eE|NNF zBF!`xAC1Dt3XrA-q1r99g9CWKQmKz3-gS-;Bc`+p2*wKN-ED*d{0ng7gf2`Yp$464 z5J(y!qx=$G(s?M%lNQraSKysdQulZvg-k+@7yQQ~o|twMRSxJ`IL`#CqwrwC&P_FQ zDs1Qfo!pX;U6>-9Rd!D@3rQ|D*EZ@VG$9ny7Cb>hYf(GY4g^x8o^B8d>Dhj&{G(L) z*Dj?>^a>b=CkUR%Ku?{l(W3%SdtF;N(A;6-ftb&V2$GS-!VP6WKnIkhF!QqOt0k8J z4Fwt+aaYAqLF0uzdV(}mg1or8NZ^II*uYaRRX&+lSR}BFbz}e@4Uh)RNB||a@KTO) z@3_ieiMB&VPgku4Ig;P-V$!iA{qv2OW`azBr5X%)iZcgIvDS|xda&ckXN)5&(?k(FqB+h4Mw%0U2}S4>Zn3;;5Eq=#R4@^UsBA^MV_d zf{(|5M(;uHq=(&%0|@jfxXM%J-v|XyDGNexKeg5TE!P9X^NOrzkhd9AEf+miLADHA zD{R0;#^QWfiHGe#GRIn9t?~Jlmm<^9cJSk&RoxJDp6^irDWhFNEhJPSa8&?JxN7Ap zhE@%PzzeqTMUd-l4d(ghGZwWWRF4kqEs!l9AY*#;EjkoDck<)9^Tf^ww%C%mkt7d{ zLIqH-p`7TxTKL-xdOb#M{_SP5(n`Y;gr?ru$|WzN#ttcH>8!P`w}9hXA7H#{B)*}j zJ(uiYk!cK_)l=`oz4L1w4Uk%Uw4-1~2%1Q)aWp*_^oQQ%mX~le0j=Sq+%ZS{7Z@Ja zu-5POqkLC8EjuzV^#%kP#?rl$bpn9g6fy}(aCZ|@+DWE6E$PAI-1DmKAZG7KsU2Vw zl|PcplycO(Kr7>O$Z57Jy~sy!`+9IQMZOk7TI?bQY3`+poA6Nk>m<9{45G#8Bsp+C z37OpJ&6as6mRIzfuZ7Vr#*4mnSi%XNf(WR{3DoK~KocZd&CMZ}hpTH7J1O;SV>U5xXT>yU1fZVtF+^K5J=4E^Y{#c*G+M38s5)i!230% zjp|Fc>O#I?jX>`T=2YT|B5f$d`aw@X^`45RI4_#u!x+iZ0P2!#H+n=stFE_YH56{u zq#I&Y8=S4W~xgfSWTVjxO*T(NWz51tqI3Y^Tm9*sMUM`POe&L8@#B@`h(#P z`5>epRWZPYB#d)tg4;0~5&QO9 zOP8bxDvijGB83umSc|5cBT;Dp%v^Y=3t@0`a`0VM2WA5a_fJk4#C=2ELDsMou0j>l znGMKGzf;&8e+O}XKDnw4DNA=h*nxNKYeO(-c@2bwg1E8mD%{vQs(}#nHekF*^;&Ge zWy4imDOiUt#F>9lh}{*zAhKa;M#$+|@IEg#){}zkAk^UKD@?}C#U5vqvllZ4cybZ~ za~cH95q8EXYa>J7Opt<9zFAOt5JyxkqYNtJ&0f)k^X8 zl1Y=YtN-lO4!GaGnxL9d{=}zp)O6BP8dgC;iAww&^xX5QL%{}O^&G{76LhSC#$wnT zf)1#0hZ`B=ixfd|^ZYo*(OxZ3q7;$E@cipcDHb#RbRqgE4mrkjXT85xA}3^16b(Bq z2H6y4xMk@hKNIOtph}5;iD2+#toyzVhb|^#Nh(SMq6Vq#m}9PoRaV$Z1dkO2XA4a_ zz*5O5FZF=nWXhX7m`u9N~~uCXBNMQoHHPJ_sm>cZhR zxWEZEog|~ZjEhWxIM)pWl(Wgz)ZmXHuZZAHC@yhPq!ed~2&w5<)rNHPW9#BEko-7w zk|YKSPzpa&;+m1rL*R{LhS9tq;Fh9bm}Wk9e&V1Y)RtL;xW1MNWf`g$B9g+LL8zEV zohKuh1;MzQ`V|x~#)*jJSkndV21PQ^%-6Y!7P(@SWH~rsa8S{(u`P5KObyi`yHL=Z z&NiLV7&l05s|9fxhRVVyV;D?^1RRj`bPhpoNlE)@2^jcXdkO|-kkSSz#$?85mAN1! zmcjxJ@kUj)L^hj%gaj)Wpe1e=ihYB@fVqPURPAt5n59^J;$-nTza;F>_mW8}2-YMF z@ckg~U-g^cZ@PEr`;#xjdKV5_?R^Tw_P5J6oi=p;wOB-C&x5yP35>^<7amx)>51Pg z+_Yp^mzC2A__WKM(>jF^j_cd2{s3;s{;NaYRorKJ9k`p04}AL2@yVa>s)rt2suWau zH!s5C`;LMSJUp8KaJ;Z%WqrU16~AY%cP&*QGV=eWS9-V~VBE+P0H zwv$`Q9?&|UlB{grP??KQd-DT`{sHbJv zGlo`;(YWvB-Pag*R4;8^o|8R4(zhzG{)ZV=FG9$`tK}js-SBwF0oN=SN%qJ3_5m@& zuCJ?(;)7k1?F(F%lN9Ddoa+~*jkoyJ3;sfbY$0rd^u5uRO9}8_t2L!O@ z`sezj%Sx;<5;@hrnuMq%$I_bU+BB?m(z3|Drey_;UoglQcmtZuD69#v{OBEa_1aP1 zw7SJu8rGiXAW}D=cVu}JvZ1Mept3QTUdnwN268B< zL3VZ|?`{^D>GuU#G!WQ{^;oAlWCK=?RbRC`r7E9r^^AzbN6e*Ur7cF6+AM4@jYRbG zBhNJXDn{xJSPGcF&EOcbU zoX!$U@>T8_%LZr$3Isf8VII1FUkNA zLj8PT+g_ntfdPdAYr4oq1FGSVoJcl4(*Fy6c8_UZ4UQd9oqe14ROv!;SLF7eCYFkd zU-oWXbnZ3snJ30A1j;Te8|s6Vu`2ikH%a|^wY;nuDElGEJWy52Tigk~YnyzdG2x7* z`V&BlfL*3y-&Ib&;C)&BMatmk%Jx3Gi)x31-(U;o1W8A;C7sEmW*IeoS&`EeHJJbj z$LL-NhQ>Bplf*)eI0W>xvBj8rz(R{P$_xw*=;N@=sD@@^8XIsS&SIP<11!am%QCc5 zP|m?LICddF<7|+-OfnYhh0%?XYJlB?GtBVQ)@cnoF||eWOB9$HY)1<1WTG04X2XuI zpjyP(m{Cl$P-7O#F^$#{IXPKEnMD9#( zM9Co#g3iil#6V{*)qV$12h(tDN<*{I)&`%`tZx8O!w9Xx9w60lN(x9oTttyHNK7!C zbpod>zgoa!ebf7t3zBe%`sbfPf;%p4#LTKq4 zUZ0UnNG6M?6LU-xG3>NFY;@>=kcQVFRD?D(RpI|0N(75Rh+uiF$VpTHiBB>kNNz#E zp$gF1jbE35A}vZMG?Y$`nz$}NN1Za%!8fou$9dmDFw|ys=vAWXVmhj> zAeI%bw!b;DL7Hf~_gSUMPP-IKcr2`w4XuwkR1KG>-J1HpMcl zF3b;yZQBTMAt9%8J;$0HaF4+gL}+LU)X5E4rz2aYVR<-9222PsZL~`oAS5@NrFr{{ zEzCE1r!90_YppXRga8Q_53O+#Ec)j7Y*eV?~@xQBLeaR)*%pog6E)fSaWhpLF7ukw~x{i)r`*7{h^;UZBPp zVNo85Ad8U==~k&(u@jR-8U(OF<5}KQ1srRRD>FyicF@$c2zvRE7NiC4K+vFeLwA28 zcTi$YBKz%SaIBjOgv*-?EKD;{Q&+3`Si~FByc(GF7>!eAPojP!5B+QunF976T z4cXZRkj=(W?%-~a5eK9hGn^`mHKx`7nGxP^mKQTi8^#%0RfQd*Qsw3{avvP4qxc{% zvGCw8^`%M(7ADGKK=7@ZUWO!>3RAPw)SZUH1}jn6L|_K0LS#c-m>I|&B7fsIAQd00 z;a{p}aU%3oHEdW~ifT)v3eZ6cB`8zLJ`elTg9jiXg?Hl$9@J%s!giD@Y@byul8KPLR|BM=`@9 z8ssLfO;HExq{KGwTI6GTbh@BR=_(JVx6nxtL4Aga31?<--2Y*`D@`xWP>WFmmq?e4 zg}}`s5X~uqk!ZnKqeKLW;XVMfm+1xAr-Uft=(c?Epb1itr(7rz*9_Vw$VgK6=F)LM zD@e>tSdmDhm9AF?7v@f+hDU0xo>z`HvM}XH7Yn@g0nJR_pk@+4p^G4z7FIby zC?GmlCv~BAv=O*3@$f*kqTZ597Z!5B&`g_*Fd~M;PUsroz_kp{3UFZ~L&~_oaU|*j z97#w*K$Y+p1ADJm(*sOs<=o(PtT9hwj+arkapDRH+EOqvg@O>GC`B}9Lz&QNhj3`* zD+*j~zv<0|b*gq4kI~dF^wgP2aMIVmG4c?s%-#tJdT}bK>ex;)lyelsfO%q__ytQa zl)QDAGUtQwL#uX=T{iK-nNI`w6pOYGBkn2(KomkS&V2~e0!bm4t%8t*Y{Irx+~XX; z*4{XU71T*cB1w#OcXDH7JW0mDa|*eW`_tr&YlcpI#UZOT=#_$w?A?=wVtxBZXTON# z?w4Z!d0s#enO5dcJ%%MPA$1rW!fhRbFeb|=BH<^gee!c!kpb>izm+d@Q@O+ z5G%_Qi0!=~PZ-*NT1xIHeC4F^iA*EeLl0uM0L#bcLV#LT9_z%r*WWvI{DQ@l^R(>! zvN6zR)1p}sOa_hLzcTkH4`Rvzrl?ir7tZ{1>uKk_zIn+ia_r?WW#ZA9_Wnf*Wq17c zt+zUB(El9VvzEO@1>)XZ*pb>M*0R7G{4vZ4>;xF>jKYYtd8EFt#cDC` z&%8m*S!9^i7@}0>z$c`Mp0NS0!Y=|38swAPlsS+MS6xjWBy$`OmQ@m`!utjCaBBqQ z`8wV#g7Gte`l|emD~KEO3Ifovy9+&m(!$pHsY1}>Cn48iz4ZiHdVLiasX2>8?&L={ zH93&CDlbbFSr$5Zxwz6o7C#rb20~^Owk#ZIW6mN7C4+&orsfJl*}br^W&VJ|g^Qc0 zFAU^i_$0;O-AinSvXbYMm96#OjKE0mkcw$MWAs4VkVV|c#=Edjfeb9P4Z907DyFf> z!h!iMPkGr>i@g)gvL+dbVa0meUKqjLS(;^0fzZmlrXa_{!WIs_Ek9%v`0fxaHV>b( zmiaa}7UnpP9R;6XokS0W5RlVA0t%mURka;LrkX2lsj1zL*?jpLIh;51qa?{B{_PO zw%|s1nZEAmYX1?bXW&F|_oVhX*18|#RX$0LYJ|?VCE}9wq<*NLIO#$hjeQ2F1J!JI zVPH%|KnUuzYPvzKVJ^X>)44hy(-dPpoG)hhCu%9Am|Gq|j zZjKH>E4m(0P#i&w2|69dhM{q-r74}^Gl=565AD$-bA&kND8Y#&)#=2BtGxshG*T`T zL~%4p(;_UIEtD=ogC-I$R}HFXx(r%Y0cIHpQ4b*)g{#zn0rNXu zC(De|1dwEj&>3Nj#2}{}f5L^7r8KtS1mV1nrAyXzF(#Lir@QZ+B)ikTx+Cr7W>q_U z^_3B7%r9Qi-Bw9eZvXOXV8votdOU1jBO&xaqzW2fG8H@R2#{q-Hm%NHBhaKMs^>_@0$eeuO<_=Vivb0=Zs5+n4 zbC*#kNrH~8xyU=jBq2M&ZD&am(uW*7))LjWAF^8|gw#XGdRU1m9@2Y5^<7B}WYzjg zH9lm^GzeL)6m+aCO?nWH)qNR`5csGm8^BFR?B^z7cV7is5gS#XT|&Ig@H-s$+4AtgKIQ?3c{_~4N=z@}@3Km~VFjmg#fygC}K_*==a)m8Xz>OGvr{lxO6XX3D z`#D+zwIG+_o#sj=J=R;`#czbBrzcncS5${M6&QBGLo}QiEjkUr!c>Z`6Z>Hv%WYf@E3BD z1Zs}rrp87>L9jtK$%U&V)0C?WMeR&d5;r}GxP}djLLk$H`FJIoPngelaS$16k=Q69 zY>ZK)1_|_5| zvJ&Z8wMGGB`2v8v8ouS>LU8XCynwQB-YAr!G~!EeQ`Aw=rDEO4up*6&m*hbMvY|tQ zyPG+5r6c4UAhVede3Ly3$6?9?$K4=D9oJAq1(Dc0WYt1t1sG6Ik_~n{d~Rz3r36k# zu-xF)**&^2h+qkf?yGb~I*ui=Fv^;MiX=8v#wbmzAcabocMIT935!&!Og-p|e~Qez z&OuCh;;361wUAD#eh+(SL=!9Q0S{gb8YR#p3NXp=(T}QoOGI3UfS)eg^q*tzCm{=#3=Kla zTgd@!j2Z9mN^-U$W4^*k@|T#m!0>mMPcS}DLhwtFmnYFvyOWcHmc@lSz)-HF(*@cjA+PC37fAK(G$I>cN?R#9;Y8N5NY=HQ7Tx>C`(x#^gkbtF}V+ zJkooWBJV0rTIrCtD@56j4-O<}WR~mH0}o!>vT5FdPIErky2{fj`|4#Eck@XP zD;-xo3{3{iEW8+2UPVB&iwJpmXw|ftE9UGOyKK{+u12N}OW+g_n@zH(&47Xj_5qT% zkQvVG|6oeHOz^roqdPUDlB{NC|k0_>Qq1mH1oM;CN*=T7`&){4LFzV+!%&qMx_ zp&tUmc;cSdi>szxy5g-}GXaqM5oRGyIkbPtt>gB*K9q!v+XKUo0%dn4P!h2uPClxe`;0Td4GcyWrg~G~=QoC;bG*}A6xvdz_D8TsqG%hQk$>NaRn&$mZ z%ksr$fWs#Pc{2?Hs7%AqI?Y*3_4;8YG|6|rQJrtx);FEzLjwQ8Z(1S9yro_Z8T7;3 zmEf+d0;ke@F$OSh^IqP%#9I~kC#D4kg1i$X%%CLT-vFTJ7t;!d20ko=5MaGEWyw4B zDge6Wn$-#o7v>Z?*#K7oERHmRb0hW8yS^zM0}Md|bW{Eo?z*&UyT$MVJrKhvQ@vS% z(jpIJ8w=g}_@LtQmIeBd(Np^VsG^iLi|K_ewdGvqcp(IGAq3M>z_QXPcW&#-1{whY z*fBRiZe3g1@3rOL^uSLmiQM?(X|`NmUD#Ud{Sk|JtBR(0`@d9O_-pgSYa;d2cxW4j@}Om+K#1yeV?BiQs?1uvAg8Kkir4?Mb3@I63kS>x z_ft7dKdP&5@`*gXnT9${OnSh0=-f{(ZmJ4Q&h?G1)|xSc5?Ku6L7oq87@y0g+s#GQ z+*OMq8p%-DD0lEvc?kjJ#m>U^<&bMmMz;0xW8Y-Y;H4cVYG4*zP1l7v~U1%}Ywd`^Fvk%eF5yU}P%0#1;j&VSJ zYix18Ka)A{np^Ny_09r(S&hMX=sn-#XL*8|_<;Gl#OIMtQ<#S8b?|_e#o;#cPXEqk zHhG6*Aw&=bn!xmrfNi6SaIDUP$Q`^`;@v4+8Z`0wGX>0<1Pu&pgf+>Uv>F=eM`zpe zGw|arO`Q!8`y+FbZo#9Lb@>h7)1i7NB5Yr zFBcYzW!1!Z)QH}JaVPi?T?ix0+_oRrLIFvcL)Q!ZbU|`;gJYX3^UAEV1)@TrKtT%?}7{izLvmGDwW>f{aV@% zbthNOVa7NcLjhuOThBN=)!# z2)yW)iu{lpO$r&QJUx=ed}BsI(1-cWH6h!muhtAT)NA!O|J7AN_exaIkt?3USopbM?d?f(LCgYI>y!Jt}ZTmyk|!>N5ee97%46oTDDL zfsl)ghk*1*8d^tFu##ytZwOT6!-M(s1ZlR|FZ9?VCQrz^d&k}OPtV>O88D5M{kx#zR5)B|=ZWN`GLo@^=F@%FwdI7YO}inpZ~y z#4;;*4O$zKx@C_OK<>gc%PXc@9^W*(v1&4s3k|?y->Oj}3|Rd9l=vLV&VubXV`c4jnBswVlrFr8do zl}rKL_-u{gAx78H#{|M={vz01r1=@1%q4$^9Jy)cLSD=1vlRLt~J?6&1zuuSr2JT&m3ol zBabdXu$)!|J{eJl2%=G1^wDF;F$xDSD>#8V#m5>fs{GfE}Eu$UUwNh`{<}>LB{*45fo$NNmPp$Pq)D0+vVMNR6}f z+YPf+=WvW}1bt=MtQPA-vxPFB6>wK}gr^}Txaxf7DTB|TnQ~XTN(2W|N!KJZ4C(gN z>S!@C9Ze`{PQhAo8n<+T5=-1hqMS&y4-nA6)RoqV)9Ean#-5WIT!H{H7#KICfn8$q z46$!PxbXCEYtMq51AoJDPBWWYyL7}Pe#pjTix{z#K$CP4lx38RDbz^-I&o$iRDpA<^r56CA}DjJ&e1vL8iGOk950YoUogOcgj8h{ zt`ICJKzyU4GrLvT3*i$^L?&<;+H>vi*S6%qJT+~QJlExR^Vbpg~T0%;qhMK4Qv#>A|J_R#MNjvydZJCeu z+=+vi*Fqgw697f3A38sjq)}k9MgfulHN^``n1(u>gO0#4t?SZofm2mDZX;<42Syw} zD#ACvd2-FSvUbpThk;dw=l|1+2ya$H86XET2b$#?9})ps9)KdW%mO)KGg~V; zws$ZfhuR;XTOzh?X6@~+H}<;b9_1aacOGjSygycaSZrI(Hpc^}4Kz0&%{%v9U+l znxn46dtC>${NYCaz{dygiH%qvJG_D&j#o^u)5mEmjZq)d2 zgSQ!x$rh{}Pp+z%@Sr(d<5w5}_6<+B=Ec13hB6>lz=N4b;aEPrZSbtvh?oAdqD>#q zDlU7`JniV6@k2al$TmwJiyhv^R&R6NJlnNbE4+eak605ciTUD>ixQT9%!WC(#a6Vj z@N5fclFA(>1{z#Ij(XtKHg?(j(aU1+AOTYyz2}sV*_lTkI5pmO*)c`#2<+JInmgOI zeQ)O6>3!ORCGR(u{8#MEhuOiOv$r%h;+L75qNtEmHh4?+mz8|l-4=^q8dpfTvo#>$ z{vduZe(dqB@xR6iAXh=+DQw#VZQqXz;M~Rcvv_aqj0P6(aL1?XbK9~@V#7;f+qSbz z2JlmYyv0Dyc>mlNLxLf@!%>!|Ufnpi<6vfdT>mwM$(tG1<2R4Ixg-5p$0@+goz6-C zz8gbE!b;#P13Fg)6I(W8Ann==A#eGOTZYF96$~#p%;0EEvz+U` zH(&h2%p+l^b~rlQLB8(Qj+;0JsLVLi`eUW}*}Z1@GY|PkybvqSj%|CLG}p$toE!TP z)ef_n)|RPihY`JEuKDkOnAtYYMAaDIu|ICky%{LG`5mQNZ;(C6hQToi0E9iN7yx;z zfZSP6s*~~eHM2aBGas#FE50>b7rwYI zwR#)V(QZ4RH59nm7Bo;jF5%Gy>urd1O`M;_3bNRi9NAdT`i|Ij+H^LiJvw)N+vXwc z!|ChLb{LWgA(wnOvG`A{7~}(NbK90aype70v#Yi=>v@((LR>Kt;(_cwBD0NT*ASUS z(fDgTt;5Q8i40u?6U7~6`<^ZzUD5`GT+|Mhn?O~+SP)q;sjkRuOE-j867v_wIfFU8 z@wD?iJ6Ngp6KKMEO@DY0d-(iyKWs(qAcpE<;B)8vO%A_k`k_16=CS0HY%TNS+EP5N zv>`p8ChGh8KDhv&b=@IYh6Lu@?T zauIy;8g3^D(eUC}McapuuoXX8H=@@1_k{A1a%(lkaMZt`BhM%`pkTzS(FSkJ*si-_e5q|X#HnxEOXk$<+|!wc;cuXwr<%rjJ4l7a&ycz z_f-hFv%O>R>tgV=joTh#+a3w@vCWMaxB-@7J%U~fgt%fP1ihBblEO)cc$+6uL*&e8 z+4vQK(^Ss_t!Nv%_zybHdn-0#opoRv+cq{Z;z2Xpwc=x#li~C% zU=CpHRL>%Rcq`12|D>LU`=K)Q70|QrXm+jv$4c~CU_7j3fj(h#_%GqA{K2zb?XeNt zc1&u+s(OKoG}E&cJ&VGiCp^=N{A5deCR^P$^5)lEZ=q+=!rRQ};;*fVnen`UpXJBl z06pK2o`s2COR{!IABVQXQPs2XjGq&o8-oY0h+`4J@#fz&A|D!_Anyz8m^AWNx41rd zDRXYHcl#sXoeUvc4o*UKB8oIud}GET#+j21-bwMYn~&%1_}ED$nelk<**f(s4o|0^ z#nyF`j=XqiQt8}hwe9V_eziqg^X}Qt$@5;?GIuUJ5HH>SF?-Dh26x8&=l6MCl)Pib zi&;BNdElH5%3{}~ai|>xh7#Rj8l%!tJDk^X(0#~1jCvM-Ij};HXNr42KIMXVFIs<* zx)7%)dKR$!x(?^D3-TK>#@IIMftCYP1aP{j9SqbCb7NVP;;0=msb}Fr&*IxrJ2>vW z;x$z}oGi-jn|p!O`pk{1_Pu$-wijLja>GvUqil)d-nhg_LU`%UUyUbIa^om`|aKb zN4)(slH4=#)fZlV{?S=CwV${B=|`_rvTt|-__LJcqqF9`dEVPVG~N5#Tel7d_kj`H zpMxgtH-U_l_x@?l)|DTS5K5AStl0kKBX91#_o}y_xe-Dr$(4KCf7pK3_QCt!{Ml*% zpOTQPwnO&b_?-3;P;e^&&pLRhuOreOJvII$a9p<^d-It`+b29s=3Kdd_0%g@-tfe> zH|K1B=9R zCaZ4UKKS}4u5aId=-F2e|4;kWJ!i+)d;spbTgkC|uJ}Fle*U@%+aH?**|S#x5Eq&D z+d13T>{})3kT>oL8UQlLeoAa&|j%=MQ(y*}Uw=Cq6!K#-mF0W7lza)qcG)I#JyjyvL}ixfE!AQVzthcO>F+S2Q(wjiq^TY+oZ>VL_8A zExp46LIxRjb&c?OdxdvvAqa_-H}DO)R$BV~JWw8x?(k9;G6#7g1oF7WIjm43JAP*XNN&15k}EZvh+&tYKV)si{h% zp&SeU<=RwEYU)IuaaUdzYshgo8YmE6VHJd&%*3q^U22g-??1IteQDCw z34q)$g&XD|$*~)q+5s>>Cj;Awi9kZ=QQSZ+vH>B4C7$gB8M8HImM#bs2rx?^7o>1= zqmw&NksEM~`5S=R;Zr&SqT*&+766AdUx>)IFNEnbhm1*M$;`4`$PN{S@L3|rc}(O0 zCm98zu| zz1^WMD@7w@YsAfD2=@w*+Q5xY8P>ZDVVQ(lpP?}hC?v*YlumwxYxyggs3(u8$(d4`8SwmsfWS`C#4ag0#+Jz9J1E z8a=OWfEn`D=R~UESRj+J2Q+cfkc_3U8hX9Y!NvK}8k`SdHOK%|GTfZrmJ%~9E~60RXvT^&H~WV>z2 zi>CS{WFwz-tiyqqS~CRRIp9T%VS#LJma{ zUbOOi0j1`P1(3J41_dug`7SiqJ|6Tp5^f{|B$h_Sr!G-WSOoq~lvoJ;RPia)D6LPC zQP{p@vMOxse=c-t2X>MHL)|oUjoZUwAw)IYw%9o21`t$0c1nCHsp5$NPvM-w)^2s8 z$V0|NNTM`zlvLy>gIs*HOatub=}ByvF0jc+({T48qP7}JPc1X(2ug5@Nn96V8n_}@ z9VQ)nffE88jrf)70t}do03YW_LpFyjN|Gk)amc`n9hWl58APjq!937(nueD=i-jo> z)KHp>&iV|&q*Q!N#&9{kM?)_xK}ce4cjx4Zx43{O&Pw>i(7DD8BES<|P{iaBj-8Go zPXWRO&C;9YX{F$tkX~!CyOcQv;Z9LXX{yL@PsbW1Wewz^!eE+(_b3pL!E&{fDihfp zY?hplrBzB{tYO(qx^zmdw~iTlkjh~D7FlR;U6fm7BEt*R1<)f)T3pvS6VhZ^L>Ju8 zX|IA5g1J4Ev{hhWD@7x+H4WF{l?P2Hp7RY72c57L0@>i!kRnwE2pqN=xQaO8Zzq$~ z8RQ0&peBL_kzmzeKevd%5cEPd-bnD^Ed-5ASp_0qV!#$+@iXWO(?rw30_Y!(InISu zAutAk6%15faydK~WVpA}VKMZClDHZfW$V})@F(fd*r`jYuo_A>vpD#e)rbTrf+GYA z?~&j@(3kgkA~RM_dlO!RFATVdK_W_9Qb^)5y7WW=7kpmepT|I{er}lc_ms9nC2F|i zAa16RUHPb^mlOKdIM$RQ-C=@8Pd>~TC3STnK8otxA3rmhT_!Q$WLa>HTs@N)4ZgG+klTn{J-b9)Xlj>; zn0hJQ1+_uj`vYyO6|bHRlO^>cMhq|4dTU|>iWQ|*OE3M{E*L4b6!^DV`@8jx-m6zi zQCsTITGaf%&pA80n-J7mY>t;I_hq>o_SYxy=&Ooq<|o3OowgZsm4oER$ydjb1q4y*gvqKMJe~ zdbO1=M#>y-a!V@K?ChFs)bq!iDy^O~Du2>6Hnh6&fxV*4-hD=lH%vEHSf1h3+99+| zx;Zvi_8JY>Sn!{_EH99(YYJ6m-O)nC+g7y48Y|4(*IOQI$T^PFGX)ex;irfA3mcR_ny~K45thfgy;TZ-irk!Aqs848~gxiS5w( zQJ@1S%AA3+3|{-2p8|{}T%{a~Y*95txj=E3XzBxCq=x}FH{{4=jN77BqU}&&I;P>d z$D!>&tuGFkP`t)p)=)wc}Azuw1|Z)pocT+wy~Zx^q&*at**m zZ_Z3M_$~NJ!5na_rckP+?V!lo$|69nlO37tm5|d3r~plDoJzMtG4<%#W`lk zb6uv>Hr_Pt1?F8gdKPA+hFOHfT;V*@$XEkXMus8RIZ|#A>(FaC4U1osP8R#umv49C zK-INlk!;dB#j1(4F3vjGtT^t}upW2aUdYb6SQQ`d_H5ag0RAi|b3pdE$s6zpQ>on7j#20@9+{nkc zt7Nloa5JcYBuNuf)VVB%2r9}tJVXs(A|tI~j)iNSRJ|{+%fTkfF_QW zwo>L?C+o<7omwmm*=VODZ1xXMv-s(v%EOEIvIR{w%scf&DiHYbp+~g02YW`7Cd^AC z(-^V=3q0d+Y*(5*2-y{afPG!Y3Avp-svO&gWe_H{JE#mIxNI)!lFrl^`juttB^*8O z33MhaQXoTi(yj=5r-3|!KAP%-NFo#1c9di{%tnu>^NIu<8)-hLTr@0TyYOoO^f6Tf zm90(AxYd-GLLiH&GxHS44cke`H&sD~5PC_X^`EvuhMo-qKbl>W6gSE@)g3jBsz5L{ zKtjN+$_aW~10p+txXaC*H!6C#(RW+RO)$fW=>O00_dNvaDR1j?cgWuyrO62eKg zQApA!)0vr39P*7>MHumb#-~F!@O`4tijoFor3zdfEtO<9$cOsodC9p@};;pYbKpM8m;@f^PjGRp%lB?Vs2RmW|O0U_q-N{N0On?{Mr zwkVT9`oN%)mATBGSXUVacy7hEBwG=0>?d!1OelvzIJZ(v;0N)d2t@52f^w|VAv0bl z1@}_!n7JtQ9*u@~66rdIKvFEgZLBv7qn`t#a|W5i9keVh@-*RVD9~Hw6Viz-#-CMZ zm^iMW>oPhZKsG7l2T7Oc!Qg0{MCK@P2!?}$gMHs{+ItJoeDEZMQ0b%44ff5@gatWK zzDLEhK>`G*yo+9f7(%o;+?=#nS&p!TnHX(uM(>5heTS@tUVAx{qMYpo37r)jktu#C z7vMp+K`>sn`7CIHb{-MpXnu2wjAeC5vRRvA<6ZT47S`ysk|mI!9~!o^S_!#&ILIfF zZ&uPnf(bw^k1$4>bpypB(u1 zFi_P}Aw#(@om%i9fM!cHAqBC&O$ql6C7P7@Tge7S?0Y5oa$Yv}&w&u^(6Vu(^7ndd ztD`)4)eza>e$sa=6#N#+hEG6N9$Z~wPChTcmj{}?gmPzCHu&84%~_;{P?9^=R{v=s zWFNS-@5Td5AH1h?$@)EnYP_cD6`jQsvAK#$a;2{cK!Xcb-V9)0aNn`@H?uCD`YBEB z??%VWP$bL}yl24A~7yZ&PG$)mg1oNY3MHn`80|`lIkne zyzKN*WsgOY`2N@j_D#K?CiwCf+-jTFguw1Efl|F4`mnnVpW%8>xCS_tIYPnL)idzHw7BoQ?w9O)JW1<%{b*X}a5TJ5L3(;gKNP5$!@gVzp>)bI!8x@FD?LbFI z6d#SZ&Ab@jlczDw3+})~`fi$p5S(rVUxp{}L3$uF(Ot0tWD9%ewBpvjcHk?2b92UR z99Z6ZwzvF*%Njyvq$Lfg-YMy)#x=N@m6>C$Fe?+7l9)NYwyoVwZSam;*b9V!Td8P@ zAZv30U%Z5~)n}vzdM8=g4s00PTG5o4$lL7S_3d5VfIVulcQ|(1kD=JZ96MKh?EM^t^OeomC8b^t{C!7#XN!2S&<~gMhw)eDG9nIS|Er*YTtAGjZ(Gxf<33MtSnPKLl9P3U4Z7`F-w{Z9TAJAL=HD`&#(;aR0F+v6qo43NWbTa zo4(Bk#COv*h4J`vSc8fWJDBMDLJG^+j4Izr=z`5WYdCe0;6lsV+Vp}7OuGFzpD zhxF;B8ID%O{HdF3B{lU_ldjP;q-I z=bf;GfG7opPCy zop%-BbjvZZl~@!2_rCtsjO_@nnuDRt*@Xlwl<%I&Cyn0KVI|Jj22$ zRcB*}HqsvL_(DswYa1^2mb0BGvExE0J}qrb$_4IhWSZA0ngeS>j)TUB5CX71Bh14AL@gsg@9`mIJOQ{-93TWo>`X$( zSPX|@+_FF>4kg4Mq99RyA%dAoh{%k?w@;v>u2-@{C9)k7Vu37hb4Zf7K8hwP$vk?h zLEyVX%^9vYf!H#(jmYK0jISJ;q*jo)hiC$FYPfFKp{yiS}1Y@1$Z_jjVPPQ&A3_QF6cd+JX`tX0*fIV;BRs)lqw`ipgQ3y zP(j8k)QCYT7p{VGR2Hmm&28Wj(!?~7#VNbaNzSnW)eA{L*(Ec_=qz3=F!~ycaluAi zI121kkc|$xtVEpRUugHZ&&%h;H36jFy7Z^0bM zhN+qxd`I;+2^rCYe>u5N<5?hq4;5g6A1I&va1=6blPM#G{1Ym(^Y=@H_)l;@QaDrF zOX3||Aeg9%;Q1J;0mchd6~U#Th|<*ZD2lxFLq4V@70A-Roj(_>Lqag#KE0G)0g(UOD_N@+n#>$D}2O2W`octHqa2*b=#v;r9sp&2xNc=Cc|>r_kG zKt$2jkHCY1aX)_KS!*Y$!WkZPrse4ZcXjd*jE73t1tmp)DWW10L>!J0jLG~%CgOTY z5uGV?;}7z{Em1w-l_2n1GE7>>hiXJx@=PIEKoy*BPhwk_crc9Wv;&U`rx*9Ik0s51 zpuXgw>7`(pd;p?xgFvOF6tuBP7p;8SOnoLKRmIV}sZ8LmpvobRNJ$X+_~lR=r4&QKe?5@=_+Et#&yVq`okh~@rZ^D52`d5pX zrSlJHA#IB==uF$kE3DNUe7%W#<)eocMl6Kfs1Qz<9u1uIOZx%7`{-Adf-r}KKtP^b zle2`iN=YsRc`0Ng$pU(+vJxiw=&9PuOAA&i1q<9qCzI@=kb?1~$wC;flT=8!z9vWE z&XeI2jEws}nYU=^`j?es73wSbd(Qq#HxaOJUcsl3WXpj|^X4pliLAT`dcO+jSa4(5 z9-3SX?rX5C{0&dNO0sn}%qN^;KX+DF7hHuTcO%Jf6mkc$NeFomw-gx@HnbZ)8IPUz z3yy`5R;3A&)#TM0t@dhP6GaX{=VCd*KJnmQsrGW;`UcQ}frLv*uAlegYj54XdUD;K zJEqRY1O?2{i4|R<6!-lY-ait?7wd`y?-<6iE5$ zVX}*^8j|EOC+AUiFZ=&g?LZwR?*kxm#nDm1aIE4+M+tpw{1MRXF(t3?eA%t*in1qq z1@h68oSxU@a&CEs>z&{$7$*fQvF-f;7Oj!qmd0_7eDZ4FoJKF`a~}(HW+)+)>`0EK z(R|N63!2a%L*9d`zdGDX4u7{RZtd?Kp%R~v5ZBuB-0^m$;+nq|;dN=>kB{*_Dl8*gkaP1xv8rA#DtJ6WujH!R-%X5Bm7@6K5`TD^u9V zon!}FX_I_tVgfAA_n=Y`efYdNMe+_TNd|e=uyQiY-z;6b!kcKwi&i-U!Pe@87!zU8 z1Z3u&mC1J8QssRYvS&=HhEwfI@(KQ64m#^dQXAjC59EN`(?fab%uAT7suS*66|~d7 zH2A<8d^WZm2)^4_*)wBCC8iwuSGewqq%w>|g_c)NPqQ zY2D0r&bm%F`eh>@=!izWY*i!`U)8qLg_Zl<&RuD@KM2^m+nh-I3~1x~gcKZKyfb!(8TxTIqV+2%yh?W7JB9hsX(XVG}$ibc{<38BU62wWW{9ZO^%LDoVP83WbC zJd7J{L?Zh_LKNp{5H=4X6ZHt$)JFZY$?B2!JV3!5WAr6JK1oMXbco*SLUP6}#4OcX zM!TC6-}~rIJj3*kT4otDbL7}5jvxk3pNR;eWK*kK%dR2WSk!LT^iqUGT|-5gWbZ| zIgaVt7;sb`!144Pi-N5Xblyif5d+kYk6##U83lvG_Gq>i%Fm}jPH z(Fgf-BF(D)OM6}_?aeR&Wja2BwW=hSwqgfaad)B0wP&0f!Ju=*#!>|c$x@Yz%D;UE z#-qW#lelpUvSs#UA7ciX=a3Cp8zKkuRW5`O+D%>)dTH5y{fFd;ydzYl)+EdrOUXsL zNOrm}10lg3rkQAlf~1Ke8zh@xZFbI}8FiPyPqp4y>I~U=w41!Ej>8&_JQ)+>zLj`F z2?6&-_yf61$u5$81&O>5Nt%@GFxiS*W-&)Tqb9FOl~f)?l0mo$Wb(I?y%0OUSLZdU z2DvZNzCarfO>Tv3LHh@5kZk^~+DBv*9|OxE zpb1&2T{UZ8Iy;a`lO~GX+!0B9nR16c;iZ_TG$B`2H$i|dRe67}R5`E6T9Yy~CzMaR zv}{^C&;vjt=-uf3Z?)ZaVF)|NL+@A`g)I%kZ_j2|MdCqJH0eyVEp1!gKAP|EBpGcL1g?&f zj-(RFp#b9%Bprs3iI67~$FL-|PqeG(F8;tR(F`gGNom<|6+I8f`g*H|Tp5?3f*Ui_ z7{g~caw33C9_(P{b)=vnFlo=aI#>q#Kia0`34_Q{yv`N7#3MF-M;Om9xJqbE3gEjC zEO`nE!QW^HKtXKyOv|VXdQ;j3z4PR+<&8JUbU4;PJ3u8OY2CfgZKeuBNM4>yo|Q-y z*HR)%``(ixe=OE;OZ#pXwq{e5?36~rHJ4l zQ>5TQb%g_wDQA#@CRcGuM@=37%PI_K3>QGIxSn~2X8I7nX~#F0V+ zeNTZtR4+cvkhn`(3TX-bGGTOC5=2S7PQ|;66!a-#xY&H5ZI8?;Z9l{!f%>5qyZ zX*?|Tlho!cQ3$>zWST(G=4?GO$-^qszOfcx2^3}TcIUGn#85 z$Xnp%PG0}^+VcRr3?Xk$ZP|a#Y4i6ld_r?qQyFYI@XVz78!>%BRlT?m z1k^>ntPjpjoambYcTDx2u0$t{FwIJY1kpXd5?wYT6SwNc4bj9Va+((S1Dq6`SE}BA zsot90N}~vO6@*Lz99i^4!W}~&dz})ZWm^!^a(P@OnU`HuutbxiT8Tk;C2%6yP$gmkZ_R& zcM%wDkR0m}3SVUBl^u~bfWab3nN=NiMNWKvh`(jFHLo{@4Zuz2Y#8b)2w0cH>1btS;5l!WHZ99{7xish1+d^8DPPwfQM16p6se>fb*3KvEUELSBP^7 z145Q?kMx$Ib8;PHFH5|deZf#Q#y6+&Qy7;Eh?#`d6+h zw`daUv`}?yAyfFuJuwbQJfJa$)``!Ds%NI_?@SoA>pK>6rpy@|`NEZG2b@57G_ z;z$y5os`phV}L_(SajCjbOK*+DOzTW(u5Qn@lcA29V@I!Tg7FN>d`RW6fi^5Eqobq z7Ynd#2eZ$il4YdOm&us;e*9}J#;28`^U;-K69wy**e$@pI0Cq)!a0V4AaBFpn0tO0k` zI%oqc`@pHPA#tUHhEtlzT|td)s}=}A2-XZBNkix5q$*0%&SzTQRn(*)bJ~bZ+^Kvv zMDj^a8j{6Gl4L96;gfdEhm^DfmEOu#eMc0Zf(O%D?=mzd+b|!J>84LN@v_Ct$vM8> zP>xz(R3KI&NpE>mTjI*l1S}s~wH^ zn_h6Q$lX`f4RSD>uB-d_-0ykWNs+eC zw>IshBwcL|VF@oMA*xh;kAxI{B*y7)b3ZINYq0$PJQ-wS%$YIy?+AvWY z7lPT~kEW>w4WRq)F|K$djuQKNSiOiiYFiLQbfDypOwzwQ{H!?(uTKBApPYYv49`*} zDT)%giVBlnSO_U~#KB_p$}8~-uAnFg9Mc{gSGeS53=J)bco)2gvuz1nuqrxWJfDu1 z#0h_T4^cSBmR4S_nRive0eG_(LP+f}Q@9)wAwFL<%5fbyPA`%+qzPpjSTIOQ7pBTl z+A&hyB+`*V5Xs;;g#SR+1w)V#oDc&6Ro+8Hr$mnka4@WI zz|0D97}I@WiV=7I?!uPyMyMU$U&{|;N}(JLayg8 z)k!Pm>n$L&S&OtdZM22HgF*F{#GlfHBcg)9(WHZ(Z&5LthEN}j3ll#`2%`y1?2W8@ zAdpO*C#o8S0kT1#Q*f6HdUkes9eqS4)l{gk4GtQ41X2t$z&J0rcsZ-FKxTD$r}Se_ zE(js};$X&!F|PduvbrP^g2~}+eMj2@ntw_6hlZoy8OrxjU75{|R6C%${eZz4-1Ycm z*0N`E<)~-X*UAeK7KRkKou@K^GGWc`SWRMix^Nv=qrJ$f9YrPvEA-!K! z+#k}Mx3%6aM;ageVNT(}4knN4Rl(R9ZyWAwZ`1-Xk`%1^3q0r>f21ZO=g#?mAme{q zbd~nWd5Zk>#lt+Pxl0^7Le4iIg93rvIX|CiI>|SbCXcGTe7kn4Rkj!ao!ftybJ*co zgK!_5OTWoeUbhJJDmRwkblIl|4~+iT=QPSLp#0d)IRfWXD7)`GJL=}wf&IsUxc9<; z5#FyN557I>-Pe!*Q|}3TfQ*@Yx0Y3Y?u@~Ara%79af5F>^!oT84sHjW?w^3+Wt6?k z$_C##>%ac+@uUgRD>gcQ-M<0*uIz=^*;5!{dHwj;8BoAZfP#dw3y56})e9Zj$M({3 zFf+&kH@_RW(fIhek9_=fg2*Cs2p=pTj{oD>DEpT;Q~#1PzW-c?O#EAhf4cV1YdbUz z?)vx1?0@!5x_npJ9n*e%M|4cfp;KFO=S==PArHPqnhcVHdj^LqsK{FH^WP=C4=C;r zY0g_mHJ-p=0k27*iSH50fWYU2-mwcsl4lWEVBPj(IWpyn=d>_?RI z$er`@nWmHcjnZV3&PxFMj>!>_COP)7Gst|5O4JUO3az%0;B*!NC=8|L(RZk=f{LYQ9gIe4MTw7}XB6nI-~IcE9;HtIhwsu_Y&T zr`q9o)D8gpEIa<(&6UXcL4fa~oD@NW|GsL6*8f$tgGsu7pfI>AGnrX?CRw}K*l9aa z(X|}nEjeTI-wFAtZKO$+QZPJR!Q+d1H*O}qUsc@4*ql?S4AcMjtsNLv$n1z_3Nk|EW+TnoI(2(bQey?=1z;pzQ{(g$G_9dChTGF z$jTSqZnDJjPbUFxKKS6a>)vKhpKpvx29~~k{?FyK`!-*P45>hkVg6_bni=>{wZkrc z^PshB@Nr6Vu;uOFe>%#jb~sK}_KL}D+xGj|G=o+2($^DBGK`!jl-)btW@CLF*)$Lx zc0X!|CvM(8D#wmL4zy_~;$L!L<&Bq6ubuH|r zXK_4w7B|i~fAH;7eiseg%-E;*e(qIv-KhAo*NtZnnuD*8`8^gs=KkTiS%a5U4E`|o z4w@8$K-oS1+OyC9zWk@by{FyAYCm^1dKS;Tdi-l|JT&-gYW@Ej&5X-le|P$y7#nq{ z*t0md`}~K*B`h|WGv<`B%G~k!+M%Rp;lFmLp=Uw0!yvoh=66Ts%#R;dJ&Qx*mr?ED z_bdRui`v0bwL{gRJ%7X60e&Udu2;3g2SwTa0BD}Ct_4i(rCV=$?$IyK-+%M`=N?^p z@4%KGp`7JA+-~ZOXyzq&u*S`bs-B+t{S7Vm`;^5Myd$ufn>5GSNdiv#) z-u&X>uiQERg-3t-!lE1IzwikSd^%>?mIn?)$ZK2P`PHKHzjF7RH=hbH(q})m971k7 zH~7NZpKg2Q+?(cq;-y-;-`sTgEB{w&2M~n z=@l>i@ZD!mBjf+y)aI{mIk^3m@82}|@T~8C@vR%5d+?4&55BeU&X4`SDX%>@^Q4bI z|LE2A|9klQr{KYN^Qf=yKJcrpdzLRd@(XxO0U$2}4y}!EtKYsNu|M8o(Zya2@c-_e_{P30k+P@S+%3j?6{dZq@aONEc z4@WN>^ZeR_@Hdc%2LZc0Upw{+TyHt}voE}L(+h*2Ue*7rYo0srC#%jn{H4Pm`{bjW ze*VD2H{A`C-Ghgxy*;Mm-j@m>wrAj>G6{2lD0@DzZEqMe|KWFbyz;F_mTj5df5rFT zU9psKbHDU3ActQA`0mGno4aM^ug-h+F<2u_c#6Yyr10h%R|uQ)1Ub1&wu;yzkcIhRZiZ)WA7m6=ab$CKaH!; zZF%+kH$8jPZTBjuUcf~oNhKQvKwGH`n=TMa$VW-mD7%XvdTbEk^%6+X4l4st&kqgT z>Bho|P*7S1gd`ovYA?zL)~XwAKN;kWyiMeA*EN9V8R)T$n#9Q%n*LgEBAU1(2(k$U zJSzqvsB)o@NSVRTK7$QhW_4K0K#qjc8Qzk-!feifOgOHUMtvgWM6EzWf{96W8E&v$ zA*-{Fk_b6G!)%cR80ojmS<6`L7Yd_?dz`hLyAOxVQPAC9SRUu3((@R3FqHLakO5k>T3qmA}=d*yP=G_h< zEx^UK4F2S*EM|SSY|#nLoordn_1Nujbb0Tr zWXd|VuPxf=xtQI9x^ZEQ&qNKjoAfT5NajG{S#c3kxzlV0y`WLEC=`xZnakT_;-oQ|KE~QZ z)_^4&+N!IP!816a8aLmLEZzwiV2_>Nep0uyVtp@sLb43@DUxmQ_LIA##@Bcvc{%WB zUBeKUA?FQuW+<8L>E!cS?wW8W%~H37MoG_rBT-I_REtPNtWK^Rs|rLbci6vk<}qev zfU?^FfLvkMBtkB(+4b;XT_;My%#hV<8ILT2kjnMQ25|@6Zm`Rw#hQ<+vqP!PmShPd zXYx!%6}i33Dr@)xac3G59W|kBrl!mQ*l|~LxziA0QLD2CLROJECNd3q4ZTrfJKcmQ zg3tuBrlBCg0M81!(3_Q(s$1|FPAFYCNf~V zm}{r7f0t!MTAgHdKR(7yU@s9)Ndm&r!$Z}Rs)58Reu<|UbMKoKsSJC-9l~a*og`$I zlQmq|o*{!?wjP+glOkSP#zY(JyElP`EwKq|^UuzvFEvw$18#awj zqb)s?MS5Mv%V&iN^%an-A*a*0k8oU>n?WMVcoy$2^+_GjfwIackr0Q+@~-0cWkX#> zV6X&vy8z^(V_(!5vD&fS0OyNL63QpA(lxkB5@4{vkaJ*VEWtu58whJKyB;1i!5xXg zqdhL}#{svO?X~0G$*NAb%V-0%GcxV|SrIQR``C<7((DH+oTvy#M;oa~>#7x4idYd3 zu?{GdWLF_c$s6Xj1;fDTUEm4wK6A(nL~ zB;k|(^-yqz^OTfsCeBT^rV==08Za&*oLu221z=xr?{WjKoUVQ(U8J`v-)5;fu&T5S zlGPvXcL||>iG6<|WS$-SkJ-9*Ko%aO0Eb|XH|HpE7OHYRU_qBs(J11W7VE$Sbi`pb z94l6__n%RwrkLqzX5sxnXH@Gr;19F$bfXbeeAmlBUWQdXrbX*&L-fTQOY|~cLwB%A zDLv~{s&u>YfN2UmV=>7(P^}}_5@$Q0;F%O|uBo{tVJ}fSU{MQ-xQdIYI?+K*YvW8Q zXwB$sNID)7tTHW3OC(c{2topRa98SRHlJLb9{(Gnh4s*FjrHFi)~NZc5ziHjSWQb{)X5@GQq>x?T<+Ya3Wi1Tmbb zNY-j_O_Ax9w(SJX0Kg3qBpvWQHFQA4#(2Mp*YRvxie>+r?&0N$kN#PX;<&<}a#fJ7 zh6g7=DG4V)3%UfNmVu%ox~(#y6M|D1mvnqwS-p3L=00Xiwy~5rgrQc_nQJyusfGWjCN|osnTX^Jt!^ zb?|$!<5e=sc-M9;wChBzoIR18x_+nzP0Q zurdiK(}{RB!zbx-BCn_=KPzgUu4i{5Qf-VumLu`Hweb#C*#TqqnI2sk&c-0bF;)WX-L7$VaLE9!yOMP*MtL$L z!6g+h0()`$bdg|CaqCK>og)%J9te&wLkkxAW`0$jh@T_S)tpyS^*}w)yQW{5|W} zkqX;eUzK8YjT=zxSIpSFi*-z{%u$ljE;ci6tT{t)xqZqLR)<^t_}O?NvbJ1;PwGd` z%lInbQD)F;q};(fxFRy}Fka2I&H&39b`CqlR!%|gurR??0#&z%<;d-2$#5-R0l^41 zFbBLYd&KH7(4=TZTSDVP*P=CmcQBLD27yKbApUZ;xwkha8(0~Nzl>1>#8`U@1TVczwc+ z%%CKx6&-Sd>HBht);V`Ivb4QmaUJveNE2qcG04UbnYj%YR&}y$8qG>4-ib<@UA5X{ zW?$l}6D8FS#0_{?!793;0P5vP9eOFGkVIDp%}(g)@dj8)=7Aecb3m}-nk%*^7;1+Z zCB{!F`ecFT&bz9P9wJwn;O-+s`?dY@pXJtK^Y^zw!vGZ}}E+RSm; z|HLnWF_1T+V?-_86Y+DJg91^%F7C9jx-0Apo7i~o%j=-YDk|FzfSqPR4!z830LzMU z^O7BKcVw;;bkI?vx1^W;k95KukKen2daB#RdjMp!GyNYg;&;>K3LcsJ?H`~YA{ZRo zkGwpyHOj7)?gQwuG5OU5a>wkg1HLlSn#c&g{88!-f=smoGA-=YGXo`I7jj;r#)NC2 zH@yc0A>mr61|sM}uqP%SpF>9pNbbCH_Uo{6NhSS)e+%R)0^fCI13Iez!z9#0r2+Wt zoZX5Onp1c^7}+l;rbCkrl*OWZ1-d7AFZ7^iVNd^qX$+i0Ill_ruKmeqCy}?qgQ`>^ zOZD%)lZ2AqAcH&Up;V!$Zm_d`D}YlRRb&$<$c~fo+Dgq$Lg-o*w!DxWT^~t??7xgm zdW#3HKSn(ZyhVlvln~~(39U@&J^X4J?i$iEI7JW~cAPphG|ALM=xvD24B2XK2gL-= zX{TZpLJ%XzpG4J54O0XYsSAc~ij3h*WF1^OQO6f7l2Xb6cbSbsD^5_8G9Z*7s6uCx z10$9~jt&i+j-*aL=r#189!r;a8R5SQc;`Ww)NwjmQ57fol%fT3f+(iMAxb1xMh6{o z`Lo0szm!7ILgGZu(F$T7<_4aPN;JQzF)L(!9BKm@mNi@5b+EG1?4ajQoy80eR3;?c zlW-(61T+;|^E#kmEDVO$e)Ng(d`2|D@rVS1n2{HXU&ep}TJ!_iYUsA?(V=23t+ zM$-}}u~7i05@B2wt{Tfe><`%w%@vhQNey?iKn{k6X<(IlyaTr*;l zMWESE$bNOfrBjoCymcZre{LIUv=r!w%-gTW22nkT=h&r6X@ONYXWoN z!LMN>kq2L0zwj)82KSH$L7s465%5qC-S13QqS)O7bX zb1^URYbXUI)>e`S*FSmZo#ROFS8m2;Hs8{S$vVkXh^%wo_jf_Iw(_GU_fEe;)eZ^z z+_clhC#NC8ELG^KAbnW!&%zs)hpG~-v^9tVJ|)@ME+yQLy)+0t72nz_*|)mb7aioF z>9i1R*rH;RYs_%n^3Xg?XW;8uAhSZjjOz|`I3y&J(15KFGR4anyn90{$dj9P&K*zR z@iu&B(UgJAqTc>B9cR~NtE*?WhT2X~H?$=dHhKY`w$`kgw|?39uP5eCigdYvcf}{q zWIVL!#|EaPpgq)hm89NpUFq#}0SoxMAg zYa-?8dXZS%Cwux_c5i6X1KH3#`xmVfL>iNn+{oQ(Ajz+f>;F-x&4wnCbS(gK>ybO@ z9KM2vPfnyvv-j~ty8tTO#PtI!Le}~x~ZtL%&?q8d`T% z3^|{w_X5~2X62+QIQ=?`^Pywqe9NiR&&Cq(q%K7Uw6IL6BqT~ z7n>p*Rqb{ZN#1988#YfcWazS)t<~L$R~mLgc4g;8*ZRe(fH&TBX3m|3-#k?Gff+>azKLpG$11S9SSBbPN5xvdrZ z=cm&nBM%pUB)$+TgD4RA%a_4p@he7NHXWm$MX_~a2(-|2;EZJ`rrKcCC`4nb7Y8)y zDG=GVIyN&FE93OgE}}YUXQ9c5o^n1|s=ZYv%pMS^eTWvBpcC3^Xmwgdri+X)Vp5>3 zg+?egOAQ&ET618L)M{h09IZJtKs#8d$C-noNgb9j2Bsx$5?@|H#6}K=EYJ4@^pI(A9P>e`DA0_~hx|kSC8H5`i7O?8A{6itmuSAC zJ*}RM3nhkbflnv~bym`_oR2)0!+gXj+{3fu2H=($w>Soh$VupakpCR)>n<>($6Nyo z2jKJ8j2Wd{F`A*^@NXF0CT5G6WCqRSn6o*_V+M7d#$p(*ij65l*O~ENko&{nbIJ@; zus}jvFu_8`jT0aUV9uccY~wgWG>00P>j{v?)GHEDrw(ahCSn0cN3%|J!CZ8JkSfwD z3AjRn%wa2tz8#UOD$IURh%w8lDWjNY#d*cq)Pkp>WR$)d(F^GYrg5Yt@N^jS3_0BC z#Y{tG1q&GF1@s&{lSg@K4m7wUz%b{wVrCf}!pQdxNcWpa5~WEJ=UzH+IFHT@-4ken zZ@V2q`a)~`&j*e(c1ecPez1Be20nZfi>J7n^6+o|_0L~=T-6SOxu|vEJXA)^L`9U$ zLxO%7@<4|##Oj*04&|BP_SNpb@wd*2q23Nl6Z@73*@f-U znuWX1f+oRiJj~-}Q+tx(9b6kO8V?-TqZ|LFQlD5ychxwS*V{JSB*{j3QrxF&**2^M zS$2}%d2<#^f{qoe#?J=e=3<{17~ihB;S(F1?~ilLYG>vzl_qg^w(Dh@E$jm~o|XNh z;%>XZO(}CYlQT>R!P~mkf~$-a<4X44e)xM-D1w<1*0!X)nbo@4TjB4ORbl7OXjNhk z$*vNH`%U1qBuAqqfYlJd&9(7ns)xVN@H#m#V8#<}ZWR=)w9{vRtYoi%IaM&eJvpH( zk*o^W`+MNz?yCTYwy++dLFi+&)8ef%g0C~GF1ISy~yVZ!H2{)dtIyq9A zv__}WhUnn6-g41QV<>JAs4==|D#sQ}6|D52Hx&q%PD@7F zLbGj*3t`hQ)~(2~818A{)1qv=b`+TuBu#KU(p5bm55Yt2RNU+q#)%tu^Fr|SQH9J= zvgZh}5I4CB??0U+FnOiP2*=enMh9sz2q45slV_5(y`n48SKc!uAQl3?yksqmXTo>;X7@Y|I?(x`7~@ z)-e!n;@ZknZBkGgXPpXNo5Lp}UzAU**lZe{BjXh`vP2Fjp4E`=^!m#u~X#%`oMw&RR zp-@gtG?BFs9?>h;%G0G6wM*B!Qq#x(j<>9nf=eeG4ENhZfMRh z_>A8)DhIm273`%5+(8E+ao`xrWv*;SG{AZc+F6G@mBb&hQPYYc-%JCZ1UIK6GX)M1 zplIkW*V!K97Re^Tal9;Xrwg?R%6LUjzZQmUQV&R^nF+FHLA!xK{^`y{r65|KcsQVS zLyUAAA&^3TfMTVA<`Ig4r0HOzpCxp(^vD$lwaNXEmmTx3>D;aCFHj2Hex!pQ(huUz zs)8x>8Es`|VkwZi!m$ntVz+j>#6C$d7irg=34`sp$&pN*g9SmTx@mrdogO2!gU6>It1eRUG7bI{tBpp6v1Iz92t*QZb(E-0vCe8>MTs|7L-NA zlsw0%mw>Jvg$jYrf?$_J0@Ss*$&im3TX68oULh8M2mM8L2UVk_yi#R=9#(-I0ZJWR zxO5O5g3Kg=l;2@^V;rdehFQi=CLos^eFmq3VwG1#t%O-&#K$H@P}r;!E4=P=)dYye zGA4m{peV;sj@WwHjUBSokJ=U<~J^s@RU7Q$pj#xJmXf zc6pKV*N@d*qH2drFg{v}6#5s#cB<{nAH|?{9uM`uF|G>~QnlZDo{YiaLXwNF1EMT; z^(MH{HGoOg+=LN(geC=8T4171efpxc7>mwx@5flQ-i-T#-D{&a?!V+*AgDfud5iVC zrYyPF*F*z|ZUO}B8+-mh3ZDD)Uu--~87joryLu=Y1KI^d*`o2Z4SNx5bxy&YB6k5d zclxGzU-QXL1=$-lyenbb?jcy(6Q<64{L-n?N z`r0~W{1%WtH}$jou01$!|Kjz#-?(=DPi{N?l2?B?b>2qeUI^6Ji?tBs&W_atklTcb z56C7>07_6WK043Wgt7tLKYD!XX9ty#P4nLJxvQVvb;2al^9PM!DPOJRH~Ty@e*uRhcC26^zUxezk-p$TOCT5RrrH*j-Lm^$w#mqL*56RpXU zYhlhaM1F|O$rsJ16*)8IVgne&lh8UtCIa|ui;mTz!VIVt#ic#?$zF;tTe zL58cK_dN@)QMCj0pN?<<+MH&! zsVgZc!40y;TAcw)(*Se>sXy;nnpD}2A&IS~WY#Zn+R6`Y{)`&hUEJl>TL*s3k+yfYYrO zsdQcl_r`=aV~`=A4TilFNqQJZ^39233;Fa!d**c4lS*$!xuf%vWY=2~++HX;;DwSi zA!kERRWiXU+SsCccGb3R9?FRoMj2cdszyU9 zvM9|f*nV#H8VaPjzhhO~Ofj~?h}D*pV;{k|#v16|6RJ$)swe!IT=lzvw=2#4EN+{j z^3slxPil4UtVHg_;Q&=2K*p`2tCA`kT;fKi=>pLnAK!%JR9=>HmlTMPlniZ91~=Y% zjC&TUMaa6S6@(_Mg_BfqIv}`$RVuPA4K(`HB6|SMmPy7q-Phdr;08l;keY=Yf7BY5 zG!9LHD;_1JLvj#w1G9~V5ux@N$8%4i-6%RNmax$pB@*rtFA~5h+}x!W$h&AY=7c2j z2mvdyMxc&B8p>9tIXJpGEZ}o%9sMhP9*I`q(1}Z28$P!Mm#CI9Msz$7CFuttV6bWA z96bS!4j72ch;tm#9=6bOPa(=cmN>yZ4%Rf8ObX@*5Qu0{gt4!EirB%5-n0QnT9QPg ztv=qVvHKYpOh|fwIy8pPVhr_Dkoc!H!B7DLI+X}fNk=Hy3k4~+A(<;O@qg746;g&9 z;3jTjn1RV0Zkn~2I5AnbyhgI=0#g*|UXyUvRmP%NU1%?+{UY-UgIpToq?Tgl=rO@W z^iF%>ns5r_-WtvI#Qg0_+ zZ#V7S=RT3y$MFWyFP0fjQ~}Kvt+ccdELF%0!7aZ!|FeyUDZZ6jh(QXn<}?P(^GVL| zHK8|1DYtJ9?fgDeh_X^~W58VJjt{4+);mNJwP*_M(|WJCJ+f{FkY5+57LsQz#PSV5 z!><9{?Tnfxon)V9!HZcZW_MIoLcxDh@3L)>J%zMzRs>Iu$bnU~zGQ;E#OU;lR9*HY z$LnZaJS!fWT2L_M${_Oc9<Lt`N*pO^b0BG#w%M((JbufASo1Guey zsN%+-Ft8F0$r)tM|0v_FG!z_PH2~xov|8Us{pL-_*4F9yrj9JMdn_^sMf1X7#E#R8-0)dKB@ zxQuailyv+v$l$M0>|OMr7Gg_LMnWnqdeI?RoUOu9BC2I`to}va%Tc$QXjo(+gzhn0 z;1J_DP<4&*W0MJ-3JOZh3*x#tjsxoW6rJ$hr^WDHb3+B*OhU3MyhT9fbdO$oV=t>QHZU5Vh=~R$3#IkL2bc(cds3q#|detwe07Y$Cij*^uBM5vG!DFlmBffM7tnnbh$ z3(~160xs@JR&Jd-5yNvxlHQ~$cd%#x4GZ<0<732Hc+~3%`U>X#ZNR&ZWUXp^8nS&& z5arzlE;les9>=>>j&iy!B`tBNVY3Z16#xa}nA~uz`$=cVg7FaxW1$RkIb?vH4%#P5 zHhcoKTqesf!(kE~3UXlz?xIMsUW{up0OSI5xuV?eMPUQGM4BjZHTbf^mS_whC{0+e zw4*j$Vlo4=u^b!Ry@tT#Wz;geO+1J-BHXUx24^nZ#Yq!^W8O-GAxJtPMO@^`L;#tJ zxr*p^7ALCDjabNL9OJWDZZ zhfwU@OgUJ^wJ#LCJ5Rt#CuJ$JPvz*_&;oZ_MAKcTa}CKk7R$47#mOy+r-d4fR)k}J z5Dw6?b)vNj%<7g$JHS8YunB->e&B^b%bz z{M5l|vJSY4su}R$hb(ZB_f)yfFVBcE;tt#q4t~zvxJUUVFfEiIM!&=hW(r(Ts3^@c zP0~lh6o{y*Ua_F%PYT3IPf>|`5S+J#QyLXm({!$Tca8>T3d z>rBjjD@KcBG7%j;Pb9GH!<6((MTszi0v#E<3sWdbhGiF|1pSZ&?&H5fUw=@I(m@XA zI!Ull#6f)l4%|1Gp{7CgZAv{KlN8VY8mPwAQ$|FjAPc4lbv^RIe6O1$KXMGI6Q--$ z;SDu5ieXb4V5Q;M4+_LNBKKQ_a5@=6)Jy?EnGzoAy#1da!u=`@#}c;fbq~?J!tTc| zR)fxai2RGF)?_KrYBBy#W6^}QN8M;Nh|YuuYByfPLSW+y(L*@Ir}W7p`w9vC2o98qFm*ZOXe)v z?wefXF4Y^Kq&#-*Ln~qBjvrJ!d*GSsXRj_1vPEeEa*4n5Ne&g_Cpp9?B?^8>?t4Bv zS=A2fs3VhAeWxO99pQKV8RUuUW}$}&Aw6pdGOU$gy&QC>*5T_3MFwUmdY{z=v@gtA zs3cc?20bl;I%`5NYaMmKvg!JMLO`Pq*p=vJrW@BK_G28{R}j!|#H|(VkEh*%xd577 zITg6Mq3V`2TEhG5`^PscP1o)_)=gI zKvlJs7<2|eE~W#JWczy?AM`cR3g$I|-qe3D=$$a?edEa#-y9pVsZ*MD>oxZz3>QF9 zTEV}0JMyw`E0KMH;jM9NdzOd(orKJK8Gno5s(lL3&D-Eau)y%L#O0x;S+EjcA@r|= zkTs!l0*iyIex($|X{jqi zC#fXUu7xZfkV+#`D`A!c7V#cI-m%n({zt3E>-m=9=7$g06*aI|t6 zYZ&XHTNa2BgTc}FWgIbSef*F*> z1yiaM9c;1^tH8Qda!6jr_evHrm}gXR)`j(i4YUfW16*iz=V}d>t1-BkZB$gwW;`Tq ztd>Lw^&<70KztH9Zlnrw#_4rlG&l@3S#B({ffiatvG&_El=0dn&=6US20g@~Mel@> zHAeiVsvKJ^m}<-8s6&QM$!=j;rXB5aNJtrV7m)<4$76yRhKIc%&@N8MaWW4Pp;@e) zU=!>{JPPteC(aCL@H@I9uR$)z=ugD9g@g+YZL6Q3+#s4I=FaXp4n~O{!3>EAL|P`B z-jeL7^q6U~q#+F2f=k`&a15bxfl<&?ZqzZM;NVZk)FmAhtB4}fO_wnV9>oGR1$`hM zBl6!e-1x#VYdaKbw1GlJ=X_69bo;25KLhg$e*LHFR(oG#Csm6CPU_*qL%+(Unlhzp z%ax&%f%-Z@>_g>$f$QB(ucCsG{QKSLLg4x!H&)+pM?7!k2MZ<(|sI@ z4%|=?TUcd3$DwyDAiJ*uj1g(48V!UtK{~`QO(H;UQL+I;#0k^pfE#zK*lZ(QyPUMi zYd&9Ig@$Yl-b!JY)!5h#1MJfqzQ!oV2DcDJmKn$6*1;ReRiw9#@f51!!y7wP{M+4! zZR~*V4yQ^ax}3}CIxi9~6XA*y7Jw@=eJ8ddKUbgw$EFNihc1%um0Al0if6;Yutg#sMb6?}b zhW#XM%zgxhZGu@nq%rA|mxv6f2_)B?;*6Ar)i!n<>(?2au4&i=Ap<+m^X4fch%#JM zg%NO!r2stL00ZF)Na4z&;0%}DqF9z;A@Gei*pC9B6*f`PCEQM6fM6ZVPN2uuQ@GC$ zRDCU{)Ul+&Wvf}qW^sUAvik2~UxQo+3Os$Fb+wD|+9m|(k2Vp#O7!hU7L**D5}Y>U zW)rT$;}2QfVX2>mqh9X|bw3BRgq2Wb)X=aILmZPG8-{6RdJKg#Hmi=H1dKOENTDz` znMO0TW&aH~G1d|d(f31*S=YPb2Ipv#65}HGA$K>tXgIkwk0itt2Y7+eHB-WxdPCH5?!c` z(FPg-`<*m+k+t}GR0J(ZZ9BQ4`m#MT9nvK zsaOA~tPpAi)xp$*H)^;@6+{WQx9CUEg08d^1tBz^q!jDK!t7_xYSGL3!?c1v1{d_P zeMJCD%Iki0SQWK zM3j2fuS2H64Nwd>*HjkwBFV}mZNL^X97{^3zyitPz4-R4%1TJq8HTc}hqDOXd&DwI zFD5|6M021I1@_`L2Wd*fbdFy^+M9Ts%(%T&SS4hnwTd=ygA_!v@kY7IFgqoYsbI89 zU9{^F!4kkFE0$1@Tw(WE_$BM4ET$cVx_QtffW_#zk^PQc6S8WDZ59wfvl|y?RaW>Ii(Tc&-#8@QBgU+^zhJOQ zxR-6QI`R*`J71C#W2V}tLV6`WF9zx4^^VaKP4^NHQt3(#$9FiZ=eHU?r>A!j$+1l{ zU-;~l+pV`7Qzw7rvCUi9)<;?K-F8cu9rJbong}-YmDW3+GjIR7x$tgd-RA?3{|SLt z5s8(}d|I=jt^#|7&JSBcv+}n!O7-uCH$!g9S9ke^HOVKe!0uf*y{}8B^hQ6oK!Cib zjroGPk$7i51@5NVW>{wlp5Yj2vpn5e94?)IDIW3Q^^9HbbY6n-8W~@l zu*>%@c`nJPCE@SR`DgF#k5u#u7(eb(IF{p8ss+xnm&Z?f%WV9W{mwGu)Fw8jm53vaS_-W>bG?SXB0{diD*^=(wc>e)-d zU4FZ&olDs<)|5LfXtH$3F?K})DPb|hs1?V&J>?FIotIkoGW3q5(_+ltzugxmP42YP z3!J4dkN@$H*zR>jjdkW3SFvOMRFfX0Dqb*t@Ao6Or=q)u?DC6l&Yn0M1lcKv?eg1za-?tE{{JLz#tem`^D(C5;3 zq!E7;f$k{YZu#yXArRioEffV0@MqHNhu&r-fcHiK|LmXc2fQRTbIu*CH#u5Yl zcr({2mBJLF-bkR2{;q|H=K6GRF+Prq=?dOe%zk5$G+lIxeF2{u#kUL%b{7sJ7U&6; zqzE>-;07x**sfwrSb)>L@I9q^L-sQEDo!+j5p|WMj}=RXrpgjy_wlL5p~s%SpKaNV zGghpHi`OqJ)sFd>Z`$m(^6`@b z8xj46S?C>7s2cVJdIxsQK0YhG?6?$;Jp)Gq+cjp>ne44^mhK)3{A>vRF5j{X)xbV| z1GsU*12;55HQ-bUZd3!ll%2xx4aW_exl5wbp4~9xWh<~HwDnP^e5ht2Crx^dG-*i&^br5@zIo|gi96r>W_m;Ml2>M??TP6=)eTVZaC6%D<*P=fclaANHl2Dy z^$vT6*tY(e>9?rUn89j)iK*=sB0s*RpL&Nk2WO_&H>Fv_Og3X`jjwkY^A)P2 zPk6lp@@QYANi0B`$a2zz%_L1o&`XvI!#ne>Zw|f3wj6tAd2L|E?K8@y?i~V~#y_@+ zmGd*pw|nv*_;2haHFiJ)Ib12gY6HC-kIOiowuZ%VAI z>_C4lhFfKK$qWDPB%rB9h0MbhjW?VhWN@_i(N0w9Y0Rox4gfE(Ld* zk@Tn7kE>cmnOVL&HSYTL=WU_hVI1#0R>)&^&34GhnW?eqtrokZe4mu#1bd;M{Rzi! z>d<-ztq7LI^Kh)@By$ z$1Lg{Bt7Ca!xVsam6%uAW{RhK$%BER&s}eddXxPIJ}F&CFKu&eJX|%=Bv-v$vZUIo zXe`?Hlz9!4pV@Aq$ zOw~J-SaZkT*5g(h!w2G_32{Rc)I96us5~6+$Ltb!nC$YzjFcU?zjo^$K4xhAPdG{6 zZnplW#Jt45Ce0>2IHr6kum!!tf1jEDBdXXEV^3za6{(t2XE?Pp%J=l6`7GHd?R8?q z1x$Ri{N$m)b3Z0mmEkYPu~@Bx2m7|ppkwkJIwlj18?2eHSX=Iz_};rmz025%3`HLE zBcn_j-+s9~of^At*D-0F)*nkh&YpO6?3$s#?LR8p9ze_Z)a&5hY^1GpJn$|ve)Xf7 zh?V}H!|vQX^Y`o982iTGkNHzwU3DB>l!tl;CXEo|>fU_Bi8EI*;F+-=aM%6oP`H*@CTj^PKMa*lI9^a zvsmDCVrJ1FP4@_`shtcvj7GW(9OL_HW?|T$G8(>p4j;0vxgs^=#MJux+3lEFgfZd3 z@a;yLS(xjFoGUT27&3mC2yA(7#tZ#{&p$=e3U6is?vZB}*yznHNC$UPfNA5g!+VFl zxCXtn{7;xku6ySxl2*yjjUpR`M?z6pb7vOCVHTkI3nf7_3-(x~5i^T~{i&&NNKHK% z-w6+5X3;AG>+P9)FM9!wrJ3C7`ECFC{Yc5}LK}~7^G{SWxtA-NK4Vtg&VKd+Ycy~K za}0&l%mU*n%q&ixTC;cP#9sEXzT}qcMe?fP-Z$BO+seoE1m5gNk$Ps4oE!Yt9yPOA zU;5>#?#x1KvMW+f^FBSZ;Fwul(Yx-IR+?Gtp_#>EOzbML)7bCE1w^4Q*c5QGJ$B9BrrY~wK3C+GNrC$_Ln&0%7XR4c4h)|t1f`l;1k}u;oHT*rzC<&N(pCLK zL#&Zz76xV(s&_zNIZ4ed7JR5Pi=*|I<1%4s5pHz)IK=++1^IL%ZXN`DWtLIr5gEfg(&~?=lO8SvJS3Tmll0x1h{;XFGOSFPZNWnLitKQk1 z=M&|@(bVNdMDoPzt>8Y1ioAZ?gV5y9sv4fyQ|}eISi#zy-|Z$%-XUr2!S^mw3TFL% z-L{8SU2Z15m4e!_FJ>FW8+)io*WV{+jCUj9l25MR)=2Qe4EKvlda>dz;8>prpC<)f zX@XzRw!y7FlGhjCp%jdf^u6T4=gD~2N@dP9dkNM>rnPjI`@$PY+Shz;(>}?Xqm19S zW=GalSxr0zvp#X9vyL5Rg{%YRniT7N})9^13^7;`9HyG7W4 z%U#$f?JqLR?O9n*B*S6F?f1!?!{8IYCVp-*zBv&iO*FjRGbdO(&<~$@#uKcJ*N!D= zrW~8=lj4+R)OBQh63q1pNY)>x{QWIhIcK@m@A*4XeL6I$P1JdsRO{MNdY^G4q&F!A z8x)KHxmlkyQ#BA=o2VxE5|V~Fy^32xZ@;v*64Fe=$!w9XIR&MyM9fztksKRUu2MzT zx~=gz(Gi-WSDVZD4xRzmn!Z$1nOZ0i(J9vK;3*?BA`$_ zws}-CEHj!QK&}fTY*hvh%~w&25Im~1lJu^lfLbz)AgW3l5zvC&aWdzqydux)CMyx3 zHD8k&z?uX;@QNJKCsT=gI7wDPO@gRgxsFxAy0n!}a-blIQZR=b5vWi*^CY)bR5(;x zFBC+mKENvnBrin}L1j)o;3;)5z9JbHSp^AJjy;P!Sb|_&N*W&QiW3Q<2SSq;3LOjX zAfm#>;aC(&u0n7wsvY>mOvdW~D}Q^&BT5uYPAvtAGiNF(SUJe#- z!tS}rRI9#{Megl6D+2ODMB71+95W z;SoPlumn1vySrrc@hIqd3-SzDkZ^!xl%(S556b|4wGK?=nc%JprmG_babFqrmIPoi zEH#yC8Gwc*pHuZV4UlSF0TOpqK!PS=))CfHlp)R| z_40B172=+{EQPVd=0-_<>(h`fLxfXEkEJeGjUB|aWr&nH@p3(+c*;h!c@$ZvdwJ~S z78!85!Hu!QJrq|n(h4}P&Sl-Gzp@CL1)V3U$gmOfPH_=u1z^@f(yrc9h=de`G@TO= zSQgR`#j~(7$CbvZqSTdc@iZa5v(lvCiZBIib>Vs_2<}$X4Fl|~K3+*f6U6&{07Vw{ zaY#Q+V+YYnnjGEI1NhU5WOE&)#aW$zoyc=|`0OMEhs2{hR{@^otR(IY^^m@zBnEg; zY4X${;4%d(b6QstNkpQ^$t5^_nurN2AZ>kG!B8-6C=X6i#!pc2Q;-G;6kLgiEs1-I zK#}L%2kEhGPocFP+ik{g8+&|M{@>4q4^mwt(TPcW6;ZSyUaW z12lZAyd;cTZ|hwR?~RR+_l~!>lbgubEg_8RQ0r7-dO)W9(WcvWM39?G7*&BD)lst? zr@Mg=6{)0~sG$vLm;#?>q#sh;s9t|PdfwBplKvFcOa*aAPd_JHGydKoTQj%j9q%5u z!FZ|5y&)@|JYvaKG9ucxJ*f7G+Kwyu_S#qNe>Wr>oR3!?;v`IPpfV~ z649r}S%f|WS+^<#)cPora9xrf6Qoc)}N zG?fd>unMRM3)a+t9HIoll{Sh5H_i3RHgGHrD}|-qfHyFivrJ&GD8Xic6tw>jm7w1W zIc*klfy&KT4(<_H>O|{!pFo*|U^%#LixObF}gMs{+j)6p1eK2YDfeRfAaje$5%? z*h6VP*O56FexTJbOz$IK^P?!TfAYhscZkyauw7w#3-z$X=lqaJ`Y1pyOgVr#_{@t^ zusv5AuYp%4SL3zeTv+=A?+6!wH=?)#FnyQ5AnZ!V51^nfvS9@7!vmkbKLvdrtUTDd zR6ZH6ssSJ3p;qPjd%r&M6Tvys0=#5vN^F6o;p?Y&_zAZz7H|F!D zMZWP9GSV)|ieX2C)$@$pm-WD;g_28NKe!DHZC|#FRj| zLPxPs(##N}5dGgL^2~pzv4db3H+12}Uvyo}#0jxgeQW(t;Ry#OiSLgav&BQv1n2kn zr?=nu{VKRWSB=8>@cxY7AGcq@!%gDX+bFEyetk09N>e1=!>k0%-8NWJsQGktpjHow2Mg2R0B zA;XTSQoRGd8it;&sR;}9feea5iu@tNi6S~*95M;dYC;iZ4_=dV1op|21oKI(#Aydo z&^~12;X#n+7dcwTni;)uPR)`SKA-sDtd-OJ(pk7bHBdNR)NK(tR`{&+Rhnyjeh^!q zPb@{^*Thq>Ia4I!{pM7IrOa`tNW@ScU1L)U;%UYvoX(Yk)F9;WrpdFe$}zsEvZC0P zRtk<&dZR(mW$}FDi!}-9t%@vkG$^0=T*dOOWXiFA?qRNiIR?|__&qpUpB#uAmK7@U zpkdRvK=lsT@h>=u!>1cRd{lpNOnN~6A*!Vn5TpSbKMv;uCEs}dK%(v}#1rFQX2f68 zV8k?RGLtX4e_#4$E&d$~!UaM9UVp)Pey-{rzDVD?)}b{KR}|m6_67MooUjUf>T=^d z-Pt0qIp`u6As#9soPy-;FVYvi^Yy-HB)tntXEpH|?}eou#$8a_H3y$bKWrZS!{Moh ze^`Yuy`!$;tYb&=;CY4oy+5D$Y6oF@Nt1K(xDlr~qu~BLNbN)g_RUE9xWPLd#+UX1 z-$b=@Zbt7j;Mg;=(if^&!e1-g24@W~^6w71iq9_0?Q3jq^Er??*dwcVfo4C-Y8Vws zf8b`-J7Bhj&%a_?g?MTB^Wvc%F&LMT?Xpo7*&cy5@vw?;I(h|de0X(q(xl0eHGW`O zAx-A(-`{ux;-IR*4S72erW7(~lMgP|RlG?%wvaS#H4yCA8!uhZhgSD0Df}cnES27E`{lkgY};`onVcNPy(dS~-4j1aIJ_)F0wqvu;i@sh{(qO9?vJxU%F+ zx#L8M38!!4k;@9A_AEWvVzS1#d+wLWlKUCKT1@1XF7wvCYAEOzM3;kj!A*HIdUcrQ zxl)o=wYHySOsx?@_b#OR&AW-g@$}RCNUmSZeyGJ6wI&2g<%rptFy_u1Ib~keVZ*$;js5 znFm#5ho=erlF@qvpWu_`S!odDxXO-)y9+vYfQ*;LxzZp~)ljfV0|SISZk+kB2Vgu> z0KHB6iof=thPA(gp%`Fn@}zN%KGe~oPM?s@kkCo1qh&mOhMh?A_0Dk5ok~8Tui;be zj35nyj3z#FTrlM|SAC46rS5BWU&jg1 zJ^JB+6$^2r>+Z+XvUtuED?rgz<2%V%2@`-K0hs7YrQ1()7sfVM6D6%s2;sDlqaf|A zU{4wn>ePXyq+E_P01ZQ$BWp91OgV|0UHFTRD9w=6v$$%%42gni!NO`OWB4gRVmrJL z3}L*8fW+NupmR4q@dnIK0&Z-Vw9Qm1kE{uV!py=F|22ukzO=%Fngn5^One33KnPm0 z1^N@rf1H6W9axbt9+;UKn)4Tk1} z{e~AQIpI=D1Jd~BxDm94rjf<6X~FL2_8P53&jOp^kZ znGUyDEnH>6O4I5o28qF`CYq%v;BU~5&Ug&POq1D?{LMUbmP*nQ6o9dOUyh~S-zN$C z+Tn|5LF$Y)xlhE8R@~qRl9VJse$`1(3&`Qda&qaej`1p&-C?k~+8U-VR^;AqS_K=jm`{_-j<&r00&$+RlmYM|Po zkhce+ca@g*#}f9c*vAdXt9gHsKG=)&oK+CFNrrRlkk0#XTj2F)*W#AI{fM2L<%Zrr zc$RK_s1|#MSL8vMGdklJA@cD4tvu{S=31#Mt-loC?8nD3`)DH5O@DdOzK%SM378i^ zkEUw5n&>EHJ^3(Zar4#WE?1ghHJ=;~le8NWFQ%-NH0LWMjfvw(+&M*JdOWh;q)CqS zp*R+ozeh>ZkfTWlRhqU^O?Zcs&>TCDo6J!cFNRC2`yr!-smPAu(j#b60M-pc8-Kd0 z`Kh{TW@97{YbRNAu*b5Em(o28*C!33=%wM?u?HgM#ZerEt;-m1tM)xWFkMEm=Lf0j zAR2SlgBvNrA6nHsU(@vb4err4&53#bhEpddH-fVT1qdqFF3(RD0yB#LiD4gedQmHFzb@hHQ8+f!PE?fL79#P6aB+m{je`V4-f2H0 zU5Fd^L~h6_lBB0n?I>>5*l5(~^NHrx=1frGbTd9NCt^$mX^deJs@IO=R+{#=t_F37 z`?*KhPtkAr77W*nP^3g$@BSivps z8NKkfLqiQtx23$~Stvaq`zyR`t{EX!Wojdx!o6#O3Q+3>7ZRwBYVP5YXUf4C@44u}j?F8?Tcz(*LM8rX5{lOSQwBLAnf(}lM9Mo5>CS5o+&ge0u_PA5 zlO=~^KrD&H@MOv17!XThF+5pvI0nR$Soo8{Y0Z*Y_>;kD&5~I7ldb_v`Z3CLT38Yb ze;PsB;3Q;8Ed0sfv}Q>x{K??7W=Smk$>6kRNi6(nq#~7!CHx{K??7W=Smk$>6kRNi6)y;Iw8*Ed0sfv}Q>x{K??7W=Smk$>6kRNi6)y z;Iw8*Ed0sfv}Q>x{K??7W=Smk$>9FAOkTs5%m6xLaQe;f9GJm@4o?OjjsY<^7Q>Ul zhhsntj>Yg~@ZlH`gJUr~8GJalALLM^?;oRj2feE#a@|MjGsXXA*bPGY|B5+d@Zik< zJGEo~Z!sr8PIpJBT;(M#^I+T31C0?HTb?xbFGS+OBanOuFl%}Iz+(rf{ZabJ10Q+d zug(MQ4}8=+{MA+ZM+Sf7fl+zD?Hwvua#Z3U34P>&k38_#;Q`BHi~jqgvBO_SWq)Ml zM;4}3JUI9QK;B>#~I{#rcX_6~vmoqHBww<*3*Kgc;{T65f=_g?Py$eoK9{lwi51!$9aNm}bUB`Yp{l=cJY|QUlXqTx?%Lu#sM$BZed4w?m-k-@b=UpkdpqA-xaYW^ zE!pw>AJ#5OKYB&~m2aK8?I*wZ_n)U1{;2%P+wNQR#-l&p_TXjxzuU8T%TFFZ`QEqw zW^Eao$D`mrX3Z7-JN~?QD~x~Q+J)OreD-8i@qaAd_Rv>>; z6W@8`2aC5I^VJ`2-g7;qAAIBW`RQYyIT`Kb`-`{T_SN8$TXeXt8gsUAS#cp#R?I7e6;{ z>EB$oCpNuo+ao(uubu*U?00wWS+e)U^|xKQXZ!TxJ8#=5Up-~q!csT` z+|RA~=T!8R#m|ET@TL>LvF3?AZ}0iXGuP~V>W!(3xBdIyp1gC>lC9%@3F(s-ov~%j z&R@RK^Y`2S{fs+*x_jRfi?`mqbI*_WT)S;e`j?w~px57FHyk@R-}bxRIHaC;52<%9 z{^84euDkFncRqaOo`3rDxzDfJ@y^aAUwLuO(ceSuY+dq;YqsvW>bl}*AKn4IK{DFo z@>wXH?~`pK9>oWieqkK>r2giAO(X4MB4{Oef=7c^Co<8XP3uuw&w(9U?-1?yiC182 zQL+ePpR5R41N<@thsW~{7oI@yc1X8biGd1Us$dqa3Od%ewnw9)y1DBm10-XTwM*-w z!HQs!5sQjwLv12%@Dl(_gS7{pAWOue(O6_mA{=JX30Bft%G)Qjev&1&cQi+ft;FxJ zTh*9kvZy{93{vRmsIVJOOT1Juun8nZU4Sc=lQ~f`2Sv^XoJ{n0Oi<=rtKhJ~=LCxZ zLm?+vnFwDHjha>ha5M`Ow~~f}WIUuVQwsK9+Z;s^$|qyA2OY;s)OSD(C6l!4SVVvW zi4ek!ei zS0-L!{iLAP)qA;eY%Drk+**_vaCk`-N!NB%Ina#H5p^(UfbltPke2+~^MgUA{0)U( zs^(45MA-%(##~2h$Tw(`S-2E`y6x%Lc7PUB@S#<&`C| z5)n1al)p_Y$r9}Gpi^CZH*Xh8lcpOt1}#ZG3c`cL4@Y1Sge8!fk}FRkiI>tY}VD8|HsiL7E)NLY3dDRwRJg9MiI! zoz+Cb65LJ*vza~?K_xdEwb5X>4k`#48Vi!6I1g6#BolM-K6kKeDUZTj%K(YJyjeml z8l>I$>|W8GB<>r7R-a`8=0PVBi#npAYkl=m<{apqR8~T71Ve;5Iab=VKHT`j4l66= ztEcPULB%Y^$Mq0YHHt8vVS{3UqDtw<4_B+$pFJv4C?{#VlY*1_V5VTEVHH)|l~%z? zyHzMt9c+65TnwR1L!tx`PHXXz4HU_G3S6>>20RxEgM=|tSqa!;&|83{7r|heDWD*WLSY

6yL1ASaTMKh2ylane+6Zon79-#|^j5~JD7(ZRg9jf{j%|fGF?g()vl`dmvYJtm zwp|iIc!t&Bh7V9OXDv{l^sZ#pEAX*GyVKZsnVf5zp`Rvt!lAjL;_9w=Sx2Z7dU95G zZe=&?;UPHI?54UjE3G(O(>763;Cd4tOU6i(6;@dCy7^AGL3J525hOemvvpm<2T(9< z&kLCxC8J1+@11E7v+5b|4)?_DPIl9PthU>NT`d-8F#c9+i}KxK6a2 z{MNVtJh3arOjYGQyi7Q)4krZ>Y2iu#cpmDX;uVATx_F3(wc?+r`8VedE0gi|$Y<-%fd|iuwuF*#W*Vi=JqQSIwc*s3tT9=%wKX|e9a1aH;M&Lo z4M)iudsDOpm1fikC|HRGG1nQ->f%%lpT*Ij8wAy5&H;$^3a?e6l^x)4rM(YHnwpc{ z`mX-I1KPWnq?al9i-Un#I7AqZNP&iAXeol#bFuiuj)FOa!VEC=(m){18G|&z3|S-_ z8%mMYpV(1Q1-2WGVF|4D#F$~2Vvw0aKMardN{k>eS@odFE&QUxuvi3H;zUUjO-gHm zPwogNoiH;@L!c1))KCw^h(Sn)Nk++*2ENe4oLX$fM!<&p^ii!J#umWfW~|hJv`T`O zk``c8$*FTQ?vE>|);t-ka0LiD{wN8-dKqkjwn=gH(Di*G+8Mt@ti3@ z=BC_F2vM(2lpH_!yp>5Al*&S;Bv{~>CCzLHei0UF++PYs!@ZEfuOtx?^pZeEu*&sN z72^*kEiNV`rS&z|ETv%?6fdsS{_Ahl{5=*}Il5gzLMx9Yp6x~g@ zNcB4C|IqpPVAcOI>bC`>A%#9qvJ6~CuocEAvBgQ9WQ3Xd)IC-bVd(3FI7cYy!8!xo z;!_VW2Gv#HVKv&RIW5kUSL6JHVBVI+?JuA)_SfGbq7mcC1%VonY_=(P*WCpV6ZqDE*(=}UvGs^7aftd%`8w8G9hj8v9laVka+m7EGqsvMkiG0kwsVMNO2I#fHM$TZYWBSSl> ze_9yfkIkxINycx0@%@O#O&&z;;IzLCFxCQ=6}D3tJD^h3Srv}Oc&aViVyUsg`!bBN z8J+pcVzyba>?BA(=B#8g4sH`ib&0S8)NqO9CMLV0kyewfK%W{iZygNF`chCr`b!`& zEgGvaCpnq%u{7Z8ek-Z@ zRCMRHsse{=oIE(vQLGN`{gbG%gZRQd)I0o1YXRk;Gfai|patB7b-2j~S7V*oJ?Iu# zHOG;_dOC4no7EU!S_Bt61cv}m?6%G7lGYF_=@|&bAl-!%S5IdsiL;%3E`88%a^&(5 z##T6-7&@{rT5qhf-{}eWF^mS17GqyGQLh$8|Bl4D5hZhp;ad|%qc0B#UNV>J5ein) zl))A~ER>uA?lxx`NTTx{&Mkta^Wz$eh1z<%nPZ^6Rr4awFqx@He$K2mBUfQkunIE? z=nd%-7z?;A5>#`ANT|9RaEjnCtcs0HcAa+xZMeD>M05(U&v0*!Amxhwz2$=)N4wNZP44h-&s&JyeeosaADKnKU`NT{rbsrHrg}PI1*~v=k3YPo=-D+{Tv?(N=Wl*p#QvZt6V}v3hLDkS?OQ(AvSsnV@ zcke*$^vrT1;bgevB_@wr5w=XDrFXSsQ`Z>bw@!g{_r&h7RUJCl8HANREl#n6CXHhf zK2Znu9pu=&rdfJE{4J+e;Rwdm2aXMdx+g=rrEev?5N)bA&8~SC{OvT?2yVBsF4jE- znwTb}!F|%Q2U&kz+fiLies4DBPpk`Y*?eqeyaXO(#hj*W%p&RH%H(8=*P*k6Ceet~ zdK5@fC1^J_(0eYVli@ljh*_f)G*Jx;Quv7yNwtHM;yfrQRD-B9oo;NO6LBW+kAb@f z^QS4wO4Yuhpzi=A65__s5rtI|H=eJ@4rmi@5?-d@uYWwkvl0Z;A5MCV2NZ5L0m31! zhJ^UKDrc+#9Z6soV?`vWz7}q=RwmIs2-7fFk!1w%rBG&IEZ9mMMpFP|GDst#RzT58 zY=*$#8NbA>*j1k3lnHJc3PKul4z4#qj}*c6SVg&%Vy<3{30jqz4YY;?G45u_EUEIj zi?Qx%(&Z!tnbuoxF&OKl9Ofh_TqhBs-xQ~%bmt95lnK(?P{urkEu2Y-;c;Lo#|FKx zmAnTqC>g5}l_XtO0xQiPRV2pDIJC*J3AENqWhq9f*crQX>_zV@V~8#VIfqh>(mFws z*sjzIKqZ~4PvK$#3$PUSEK_A_;}Q-`F)`GDgHT60Avi!C<*3p)n@XD4C8$dh!*0-_ zl?^fh_$Ublu_voEp^(u^djZDm#;al~%Y`i{Sj3?nNCaT0OSi(PDcV_CTTkx-L4SqW5|+YD={HzXLV)( zn%1kY6r`4-pJEt|Kn_bvLM`=zZ2M4>En3H_-r=>&&%;;x?!FtJ%)4*(Dtu#Z?YD2i z*Z&RyzM?Qm21YQWwcqZ-7ZG2({NvZPA99f!GYYQt>#gA`()+^wasNZEl?acuKk2iT zbhgMG=@DR9xx(|{YyaVQ?86E^dHOl8-+fmZ`FpbRcb6;Ou3UAEPr>W5)!^6Ux<6l+ zskHm4(ni+AtILb>to(zn;*6{AU9jqL?bzRB8pNW)n)us^PeDJ7D$XeABk{p`)o|XQ zbF1O&O7GW*qy?zJB?V>DRx0*J~G)EV%EHRSWKY^3mlFzj#}NtKf|Y(WVr9{3n@aKj-H^xc9;x zyNg%)@RPSWuSG7#=O*vAhg^~;*RCbK zFZYbUY{6Zt2lOF@kYukNckXo;ygtx_BklV+N7~ucss=826PkSQZ1(`1c0dmvoWBoJ zy8lZvRqqg`ui0>jM>a#a(>f1R74Nn~<38F1!xf?4j`T$R>E>b8>jAZwCXo8RA zK$DL6)T}fVEDla2I2xYxnVVMkbYempfUmrRfA2o~*9C*!klIC_DCug-_@Stq~rrdE*53%5T9xF{a}m*U_#gydhXxHz7DZ zI(4#Wzk1cmt|@VLTd>kfnx)Zmi>I}N+rAVeSMZg$e%HY_ocjL0Z9>_cDRogap=8$; z^Hh<=!P}3EOqh1XzmGKR-28W{clh8(F1&D&1zX$s>JLHCaO-RTlDZ3Ioh zP#u4mV{wxP_!j=^n4r2>>~3-5TNPNv1Z+E|r98y@gOYK~g>eZ0bymWX?U)OiCc8wI zVip&`4P^(lr<|tzZlaN3a=|QtNkS3Fu8gS-_{~m;a0gtDDPk;1_hE3Uyq;qkXK;o~ z6f9x&6>&k7!fTlvCb17I9XCt$4uYY7>VnGX<(Y~8ua((!b~+dsb%WCc*p zeMKsg*uj}IOj`Jg?8;gxS|zzErd-9d#$P3j=xM`9qE|U2jVf3EX5B%HRpla4ECrJ!G0X(GGuG>WPnC#1QMuB2C3TCu@9{f=6s8rfNPWtc-)2tW-s2;qZtsH$3U;3`sc3!&7CKV9pJ}O1t{} zp6EHar4i2DcAdpi-NB<$f#MLN4^i#lUK#Yp<;L!bGm1-5U zG3D3+1Jbe!*BK=m1oIk1O|~va^!K42uBwXRZ&wqqNI&d^!m4+0S_S&2rY0Sq=nN8byySjuzU&vrjtTb z6Rz$giXebnh**lsLgwIXqTsV&Wz;y$v(l1Ty`x#~gM$mWicZtqEpmOnV|&kFGNUh1F#|iou#DhtT~#*_L1O1ugh(4w!+~=(u^pP8E&IG zD{TTcwI;>`3Kqm21-7}AETcMx?MbbTTKbFB$kN$@#>F?LoS9MyVj7>6d~ zCP_=c!qVKcKep8BG-@vjp`3gxfjD?Vr1C606as0^1**84UDZ5kJdQV~H7e0Anx2A! zW0E4stxp&aV3(&V4av?Da2?DMt5|&{9BcKq#!|vQt7*O9Mp1J!ZqEhJVa=(EryN$q zUuuFiB?g0rpT%E_IcUe+X)+A?KojZ`S1K(h25z_tRV<)ug?C7yrf3wwo!BfCgT{vE z9ms=%q#^hgi{OIoX|;^?DaUFOCsRAvDRYoe^8sD9#b$~;Y%p8+>kngQL0&>-;SVlB zf|uQ-w|Hyn7Y#HoNyhNsOKNAe&J`u$Ha#naBx!*J4jOx_x;^78iBbO=28Rb#7&q4pO)kLTxCSHZCgp&9U3o@F zPb~Y3kuqKL_a_vS&*0yz^1?%gOTuO(S65Fg@0a$XdtF#+0^zn2Q{37KpYfn6Mxx-P zF2`S3wJwPqZk(7HwATrfaoinIDS}%K+(a*;busXKE@ldN3J-uVos!c@$}k+8quY?k zo4p}Mop>z{>9B!kzL-p5-vF8w{tKevirb1{MYBeg>y8^#>sgaiBp={}nl@=*w$c)} zo;ewZtQ^TYZ2N%IzrLb+hcDtH>jkgl;_!|I_u_BWlMmzXw&`@a_aI=;>ISg$o3uA07k?m zRpeU0kY0o$ahn7ruiZNRnOAPRj-5W>NioEDD=vt^p{gZ1Jt@=Y_UZpKsHSS?J;I_4yx_oKDy^}mm)=Yo)#akLY65=L*KY4SOB;H7x zbUpcS+o~sTnf}a+KA(6bxz*q)h?;rkHFE5EYe7(`ic#yXIVe(98o5fDqasi}1bEH# zr?IL13WBem{K5{@&Mmm*aOWu*b8<;8g!BbF6v?Vddl#(Qe2e1#;+BsCR#m(TjRJ7v zWZdC6i==mw^t{RKFD)2Q0kv+v?u!|c-RqP&x8PRE4b#5}2Y~yOb6#C=|C}AWb%?Ax zr@#G+XQB7AGa;D?>bB)(H|W)Qrvmm{`8ILC{!0+$!M760(SYB?AAGI#R??(kj`Rq| zA3j)nuz%XSs&}B-RyK|><{&Nj^T!d+iS?_cF|9(l9Q=i%5ha}qHvn!U5_=PIll1sp z=}V_*7$g)FDAEwxJP!}*Bbbqr&T`Koc%Dm=g%RV(#^v=42{dU}n#6N8fpQUqR)Qw@ z%Sz*##&Eq=h^*o8h*lCe{&Ki=$mIyGM;G>>GN&n=ToDdiqR$XI+JRM4Fq0lgok*iakFna8u># zaM`AL#yv?MPE-b+!EUF$XI3yYn>3kMVywY1eSRvk{FKX@CYOe$6eVRCMauf*O}#L_t#x)& zjd6OMTg!~8D{c!qE0+eR{eQx274f)jE4}%O8-i?QxAQ=IWcl>ylp56M2>0ySful+`2zEW;<1&rshv^O;1UrN@G*({@_(%VD^Nzy{^qu?yJBpCnwaDri0p@-iDb0u9d zjD&My9R@Qlh)|NFKmwy}o)pe4ty@+iodeVmc`J$SARdpRkFH#+HAC5s8euEK?_ zY8K$4j@2{L$4w|#r5-E=YoohW?zUcqL)cAbhsxL%yr^BK1z`~tS1f2Va+EZyOQfL> zbQ%A@s&_a%osl|KptI}Fjx=JbqpL_JV{u&m)@Rk0dezbO&>$fmPqs`@ut4A1p}WPd zWG)HymMj*Q7NkKUiz`BS=2nr|F*<`xO%_*G$d(4cjGh|^mBlN%lXJt#mS9CsH;VJ5 z;|8QFlEtK8MP`JQ(OWBMx8O007+xJ!(#jlJjE-M-{`5!z%sF0J>4Tx9cP>-+_K753 z8*0bn1bOBFQvR0lumw$U9X%A?NX8qX7?exKjARRG!ce54thC~II$~b9dt zZNj^jh!T~&EZ*I{9Nbk#N6Yw7bNr=A?eV41B%J(aRYh+%uKl+h9m0bLAxS=&2X6RV zX)+6aj0cHCCsXpd<9P#SY%GnxS=~}N1HoCv6lvBw9hkS^6GwhUvTQb zbcW#Asy>Wg@mLG#O=E|Oxn*g5()s(0Y3OOmwI{h98(H~~!3;-FzL*y8#!ld7qMG!! zSSR*g%1g>vc)ScNhGY-q+%XuDgT#__J>THcY-G)Y#BC^Uf^DY)Z_5xXo&1||!+=iU zE20K2)18I$EytT@+>)H(b{i@U0}uEF`(vPtU(WIFqw)#C0wlI8jY1^$h`RoEHNh^d zGX_x;Cs2dqQR5h+D~U)6R56{&1)faCAROE*V5ny+q)}%^a2t}< z-zdU?IhZL3#%g6zfV1EO9R9Fbg?&O%oyoo{@Fc})m!kP_aU&kT7EVxdWwB&PCfQEh zP>CZ)Wf-i*Q;+~o3k+T%5>)jIX{urYGg&`N+0vD!XDrAM;FAn#ILQ%**~Rfgm|2jr zM>I)t*uf>#VP2Bib-j^i0pvu^nzusF77eHJwjSVBC#kw%(OsbBJ|>I>~bv9e13>^V4wEM7{{tbs^YNLJFG5 z;DzUJp-v=iDFHPe4Ipq>;<`#DMD;f8(8Po^P%z#FnN0U@(d$X-|A@=ehYP?Fr`Hq` znC{K7S->uiUuH=LMmQ_Pajz19FyI)D3}8({s7|$B#?R^jG>$Bj$& znM=I~D)Ltu1#kLKT!_CVTjY*KisTRAe%!D3*&}k_jf=vNc8hG!D42zB(t4kx%*n#o z1LmytyXp_wBJmJ@w#ckcE(WabLZC>Ww4dY*NTbMHO|)Zicjh9cptjPdH)(=v|Jfq5 zn&3kG{pw~=*2-)(Fcl?9vs;gFAbX&vQPd*C^pT&KJFDv+_|3ve| zU5FQ-#akKBJSh^9c<1Jct_~ zuWv)K^H8J{<4G$x z%dKHsM|ma%2ep+%a(=Xl=M;%Y_M>yvthsMCX0|tl!z*v?{Sx$^rhVcnI1iRKY0cxfk8|`-i>pF|^D8HHG>JZ3y`h>f z?o`G*v*!m}qwB-BtJ=X6r`^kQ>M|;D?`g-Ut zH9>+`HU3fGZSx+dsuU8u9NYEfIb=S(wC(GMW$b{SK32Hv0&LSs#owb<)1`HEi$-_% zTtjw`cl*|Ax+>n+L6SJ16+08@s<^LPT-NOkKqiE2_oUV1O6Q%1HYr%0SiB*H+vWE7 z&U`-ZTm?~V?zs%TykC>7G|tx0#4af9;1vPt{7G6C(}@MI5zfb-lIF?cI9{&|PVA=Z z+{&DRJ`hRQO0BncEMVmmNYe%F9`_vFK``#+03O^3k}pR>(YfKi9vWhit4!s=4#n+i z(gawO(5X4<={;M)IGJb6(M2ja$9Uq+4iYr?fVNWCPS)QpOiBjx>k{VRHi>Vfp+*CS za%?fd81J+w1uFy%ZsIR(>@J>IazpT2kz;XpCSK<`mbleDqWSo8+t()d*_RGy7=Y757*8rP=P3Y}p?l9t@T8U*5Z5o+`1k>pk zFJlx;+8l2qgtda6QvuMaPPvb=45uetaT8do#UdF@8 zrQj3z!WfTQcF2HWYy+#a9><3RmkEXx!n5~M7EOg7iB7uNAh9#JaVv&n1V9BKk+)nw zL69U2X%Xa4oL0D`T^!1q46>GkeX+{%&KK1}PLermALz<5{f_3Lmg#E>hZ-jKZy3N?dMXG6S zeGZ;kA)`3m5OLdv=K}ZlyR^Adzxb`~&+PdjWEwt0V~0PDx@3oKeyowr+0t0H*=U@x zxv}2byt{sLYJB5gA3QXo@!Ffg)6(o>oux)}|1O3~6B{;3%y*~Jy53Gu3y5=+8L~T7pfS2$-0zQ_KJOXmwb8e{pq&}p82bFDTk%c zSJHufcaM9ozv$v!Gt%4Vq>M+mCU&#pHy4g^8;;-B4<>K^lYUlm)TNCgWgN$*pYmR2M`Ab7@42u{C8Bu`}F=?eZps`ymb zoSFMblif;_`?ZzQPRR3jow!vTzb>^lW!4XGu?}0yE-cr*gOk3?LGLic&)b&ThTfsP zX-M@B>9@*t@9^=|NpG_BHM5os1@``PK*9e%d+#3@MRo0gpP8LqCSf6yCB&6S^-g}! zAk{9Qm4`^~ZX#|ht=otYDb-z69%xl8t!9sAKwd^ojLc+x#ygF=l-0zpHUm-8OQcz zui)CDI!>dG7X6Bf4*5}+RKH2z%+lCw%~)_tZvz!&|5V*a)$8Y?F7eQ>Q6bIuAjzS< z{+eUyY1!Uh4MOg6YX{G0H|MJ}uF4yarM3Ua-j?mXjcbRes=0Qs6t^dvE$n;Cd;0tT zU#T5vNxPbNINlliu-898*_<3p#?~>$W*RbK(~uBNJ|s}ljs5@0d7qq~b6CZcETN;2 z4@D={4sEyjIqpvmzu`0XAM@Z>I2*P+%Gq#kFK5HiznTqOUSaOBihE0vGwnW=mmx(C zv5;em{G1|}F!>zC{V&Smt*xA7wMz2cFe|tgnhaP!O%`JAf5NE4(xc7&w4W}?yY<9h z)DHBkg?Lmi9;zPIX~)v@F&nUn1`zyA!%r{DzCGxz8Q!ls=Vp7yo=5+7?xJiT-LTQS z@NI3~<_TGO)kW zF|sk#Qc#h50X6xb8dnIaz zu?2qA4!z<+x?K_#^%cD>3Ln@$AiHONX7r)0=sWy+^V^?D-@zT|tZw{UXC?CkBpyQe zd1OIqWMQgqr$=>Z|9>+3q&hcX*Qfjuds6j>hkElK zw+`ndM?b+y9@@y7JOoXOGUr!LH!3gfJL_m>;7>E3?)&K40V9i{7oc|d&O)vo-Z~q# z!@~93hP+ST93ZEXw(HfRb%$3TdZ#Fx8M?4--dM_x4SSB(WPk6y0wasF&(CJg{?yAD zWoIdT@jh+gZclc~pxyQBvM;WCJ#)by^$Qnzh8(>%`{ICw7%K$U^^ZMQ0Xa4Z&BaDB#;~*oP z0*m#?<-3-A|Bi&fbwmx#p%7cd{K6h++_jN};{r>b_-Os(c|CXD!K77k-2X;>U-5-}fHu;wS zSabQV?yuenJla>WE5iOm6L-D2eCY$f|K<~yUw-Zb)Ax;BuzM_PGGAc{|L{MKOx)f5 z-12^K(wh|d+HbxOw#kZo?iG)7?6HlH9NhU0Amer)WPsdVF7oj1CwD&b+}f)Z`Pci_ z-n{!Umc3xdk==)ZZF|J`Yhc9g`x%nBn1$?AD7y=R$@>_?7vHqA`{M5B-dF$-+vNv2 z$z9#|yu5$jHK(t-cICmB7yS6m<&RuyJNNHTlr2_piTm z`Q{&fd*S6fpSCU@vd2Rpu3*UQldG#OHy|w1FosVaq zir#$v!t9)t*Bx0fAE>Xd9qHwAvj50|wbx`9pUwceyDoqJ-0P0aT?0o0PIv5rD;}>2 z?O(g#cO$-7dEKjDUwam?gxd~`yXlHm7eBoIk%iAqd}h(X_rHGRGuL(B`}~3K&+S<3 zNdvnXh3(w09QpbWuVEqgoClxOJbLk32A94Y;Ja%k?&^LGkhk-9chBg4Zsvkl&icSMTLteCMn8F6dL(wqHN;AIr{V?*H1&;JdIALjMZ- zHMqfa1=v0w?%V@7Ma@d~evD|I>wz3>|jTCChAaQ7Mv4u8x+PxK7Ui*_Ygd+wux3 zax|Vp*#*#PvoeRkExx6MBy3Tr$Rm{OPT|7o+P1*Gsg%x0xK`Qidm66U#5b|kM#}h4 zBrz7)0JdVWJ}G9)xL&J4Z?>|6fU;{9*LJ31PWy$)N{t2wyiGELK4KUtZD#)ueVb~Q|4fi3~3skhL+m(27~WHp{NsK zS;u9w5L~g<|6H=lOJ~NCEJCk~g@sKm0L2pp*p96!%pF5v?)+oqVirb>X{JwiN}5H`NARz&)-IeM)m@-c;>-n%(sVu5*|1keD5W{#RQ=Ruf73mXJrzmN z?3dtwt%(6#jS?S2%jwpjgg-p{$`WZiY1SJ%5k!H_#Mo=l1PYdG123^sDPI9#tgJ}7 zJW2(6$j~(Vo_eeYHo@3HDA+|+adRf6(kiz)42O*mkdZLAnbgKsvTXJV@-he?grm>| zc_~3I0iapL8iOPY!_f$`v{GA9Z*}0hVA8gF%diK^O{@u}gOP1r9lp_KO-vPt7(fM6 zm5j0*dsHP!0kCm7VQz6IWD{vs03=2^08$zI+Ywd21=&f2BLLa)X((){7C{cy7dt@C zVg>c4kpQNO$mW44Cy}<4mP(tEkdOQ*9wy`H0SjwV=&26hy?{ytn9b;6lFbv|(XBvh zgO$@GLDr-&9tGJ>GNxCn;Uosh*qG5NQ5ZKT6}R%!fWqaZ?(uK5J{K$*X=Y44)@6G` zkkv!qOSIHLHkT?mmV{Q0OoQIau@IUsZ2gXfzyFD3_W}G-y<0ob#}>o~BsJ%*`$yeU z!&|KyU{rzpW2i{JMVF5H;rUU23u$;K2iLkTb@H3I(goo~SB)g%V~7qXGf~2~y;V3^ zpfNX*1A$R&ZI1eYWlM*s7UT@kP+TGeD+;U$j>MURX#A*86_F$sxyiv4lRzbeU}_#5 z$QaHSLh_L-9Gv6NL4(96A)HeJBGP=q67%l?14+gFf3JFC{;-woMJB>IQP}&U5^5@l(AhaNB5GvRNwFL|kf`SP6a#N@i z9H3)`#E%1Aq=hSuBGPhjk^wi~GJ+iUE;nUENTIGB>>=yM1Yv)L;SeefyqSPcu6(h46p>qmfog;XiBQkDv*IO zBj|}Mo50EO4vtYK;DqxQnNvuX#1+Na3#>_ifAtESLq~}V-YEtd*}|u6j-lK?O_P!- zpJ=$|zzKbadajI6o#O{}FMha1L4){rk#U>GLW+BAO_-O&7|nCgOiMRgN7H(9W)K+g z!U0mK?sTGQKpmB6i5Kd{&55LFu~6$bq6^WYYpKc=dr-HQ){tn4;GqNZ`lL`mOC{Q5 zl!h4|5~fs0_Y?`~CR)w7DXb>w-4Yq9qsnz6Z6JGZ6=oSd;7tk(z=zNTAoZwnuU-+3 z%HpQVCfl5KJ2zSs;a2ucv}LHUmGNyUqeBE|p+V$&yDkDI_+&%qQJl0!Vu1$K>X|wi zdzV&e&TK1T@`jkz(5hfkLue-f6~Cg^&>TgLs5`Blw0!eNJH1;yBke`eD&jGjoa7U*mI$6ODW3|ZY!UiaqiI$OnES`o; z3~2P_em#V~#n@MWP`R%ak;0g&RW1VmuV)2uAc2_rPP+m>|v_PSQjj1a9PX zGwC-LUIcD-m1&?$;Sf+y!5wZvpGRebsdp&H#sN6T+2H0*7-bY$DBLc^Xe43Lix8qk zLp@b^xrv211a8!c+$52{nMk0iF}PGg6Z(~nE`?Rnj4E{yxHYu?8!WwWGi$<0LdeWm zv%X%_CP9-fCXXNou4>(2Eis(YQK=r1VE?njT?)6^kCAN_M}bUQ>9vFU>J(`F9m6!m7XX0sQ+O*FVFZ^Y>3qs2!jV8xH^E zx`v!3Fy$|hV;{lY6i2s{g-AQm zgB-=4M+r!q2_WBQ$g%>ZDZ53QajPSd5(V|ALI`)R%SPjwB;chYdl@Df-F%EBuyb+V z_)e9tK*B|Z#G2S>G<7^j;MOtjV4nmda_QUxkcXl~F|e;9ODn=7i?HcK*)}Q+V8WUf zbGxz&NP=96rYD88S|V0NqI!}AGd1P!nHCfj8hW};lZNKAKK3IRU!{j2yC6ErvW7C* zye9Y~&C$%6NYX(;Lx07w2ctw$N5ZhB-ZsMUc77gZ+v?!FoXQB&n!|d-YTYUX-Y$ZLgqy)nl(za@L*5H6=7RzqPpbdh80{(7AZ5_p^#Y&Hbkk2DYDQFT4(NNg{Cn0|y`oE1VxXbs!pt%I;_M33w)aFEX)| z$Mb9RTsCs|xnvxX-wxl(Lk))v#qH`M{ODNVdXOKT=?yZ3S8MrQd-D50RiOcQK&qi- z@N<#@A*9JSBNVA$RxlTs(H+>(*^1NxW{?a{T?G%g-7A@+aEyG5F9aVrpkJdB)pA}^ zy0C~0dZvgqD2+#u6XPzZPH9I-r4s|Pwt<8w{Lc``_#6UK{0?7&hF@~ZG-%1e4}OpY zBH$x8poW7}C*$BS*&r!!(A1qA1<{4Nd*Y!Q_u7je2`;38dl8#tI)m>z3?V_Qb-9fP z2rR@Y(Ih;GZNPBLJpz0*3P`Y4GzQ^a))S}#90x0Uf{&_e+Xx~C2<5d z2arL-x#TN#fKL5EsIwXjz*rAAhqMc%s9-BehvIqz`Vpo%E<{Nl_UO8Df%=YkcANv7ms4%QSQkCI{Ch4w_5==-g|23s5EvYbMg^?=2vT8}- z%T7H8jY_}`p%z`Fqvj{n$8Z&jCQ%iEi-Rut05jL)m)P&bp8N#EJMI_U{}(h*Y?#px zd5euL7~!-ZBlfW^OV3lXuf6x48E+_%&j+?VdB3 zM-w)-_;22vd|&6!u?NWg4+5MN(6LR}S*BmXIb%NpuysH7x_I#JpM7rS)-|^--EbTo z7+a3GAh!20dg?G10&H96e)1%QQzV(gp9OmA;gie(K<>RaKB!<)?+Zf6aZUO`Rr`@y zb3*QWa24x)>(V95&U-zQlf4g`@P;$}uDWB!>mLRretp`_{+g4>9l0d?k#H5ftMZ`o z$?uiFTa`KQ=QO#n-<%IwdCxt=q4%m)hfdIBT;6zacPRzemA(G@H0(pexdXj<6MNQs z2aG=jTd~~x)4sg%cMSVTpFF{uoB;*zQ?l832FPV0-yi?xHPfDaMfv+{DjS}C0sy(} z*ad|^t3{Gmg8Rmmo1eI2=#u53*JpBp0Qo&e*@Y$#-|^$)1)>uQo^^NU*b3LNz|CEF z^Nc^nk)4y@_~!k2+}th8C%%tTb%F<7E4jp8 z_^=}Pj(yOD>QzbJcjYNJ&)Cma&bwRX4!epS3nAC;XYxa=;8Sac4SfbB_H~d)DejLb z_Db&$W%0+yoxXm)>N`aFbJHf9KMDN+sOmpV)>pW=q5u%R+7+?{>sZ2=dYl*!lz<9n>&Zy9B`LCLl& zqYbkwp?4y+iuG;}Mz`VP?vVf|on6`EwQT^Zp6zW8j0e{0I0%51ov9|x&NK%?{PnD> zAjtUkIB&c!5Q+lwwgKGOyF8VG5McK4b}Z3LAX^Dh+&zs*(uXgs6+kY_wo_f2#vXJA zVE>O|eB2$jqYZOLb}YrNA!0FJnnC+1PZbcD|%!3YzXOE+L=h*7Wf>v z$A7*)Ff2L;!&=TA{4K6Oo4;+2P7MtI>Rx-cIl6PZ#y$y5#h(@ZQlMeZ9LUC|E#U6z z2$VE8kFlcl7Ito}jBW~7rhaAH+nd`7h74{0J%VYE$?X>c~{R87(XB|Y||y!Vs=iYyT$uR*2GeK&`+&j z0UTjiiQFj|-K9!ZyPIjsv7Bkx`UZm~7$2UBkEGdEbJ?+p)H2BCudJt9!=hniF?N0b zUP3&zyq*Rx3EWXPO&@QnZ0PJ#5W|794Y&$-a41!E>9ybY@Oj2sD9p`1W=4ooe)Qj2AJqFsfRT9|W{Q;Wf;_xd-R3Wts`eSwON+a+MN&uzZduy4>K~n7n zJbn^5_rZ-023HPl0JpBSmsyhg_#-0#)MoL-arn=HQ`QQhwysMmBq}GPN{#W-(9-e? zNB}P%b)>JiVI6@bjJA*_83m+c_0n<2F&LC29V}v?xD=plm9-hQUK5 z`csBMW{4nC$%f<)2El|3xHa5^{~Xdp4m)53FH;bZ06io_0@_sgAl2X2ZV85DjwDH9 z)X479s&M$k!mrO%wSy#7L`}p%8wymlAKUgHA@}5j$e6+vb!D5>1AttiW50_SkU;j9 z?UdH4T6m*T(8jq7j zC;P-mH8bSxu;A>IxIqq-6e`(-HWGTnRn0jMVhtc%i}GD1q-ER9-vfD(b(-dKbDKcA zNI;RX!cW0y3y1=8f5H0A0s`Q zNQ5=pc7DZrD^1`k2(fcQ*e5JIP^Wxy^{MM2B&85#$5>Xt^&oMQ>~BF$0LZlhXl!HD zVkYbu$i}ZMqiIRN2mIO7a(KUCfHe1fj~pk3#|GH8o8a$w!VW9QTeK1bhGCgG@I(|9 zJ^FxdsdD}8fIAw~{1+wg@)4DnCTXtLI;jMYPHT$#d3QV+7x(kL$V2WtvhjS0GRZ|H3nGr7u>s!-p_S{|+=yv`8Q1HZ(8St%~f0 z>`iz-A?%jLnX1RNLGczc;u4k7BD#&K#N*`4SFOX7XqBrZ|Kqo6w-Z!Bn_;ShxG!ydu7n{ zAy*k(5i_E!=0Fp~slG*C?+7AUHfe*n>B^HOD94GbCwwjFMqk0kysq2Np|B80~D0*Z2XpY%j6mreS@n&S3>bAP>QMwndHxAs(Vj zkffsx0QNa~O9Cv!k~(u^X<{^FI~s!>qj5!J*-2q=gOR5(4G5uVNi3$PsYbGUn|v^e z^+uB0m{G!2ChJYmq!?STBT1DFLMY?g_rO(Pv|>@xNaHC(&09f&fo8GiO0gA74Mviv z>J+xEKsiBI!ZK<%Nwe~T)gh2m!*%T{BnkauVaLg38axOEEpCttVztmBTw@usrYR+{ znKg2N(EOvOva~P>Cu}5zu4xeR8AIXyMib!>@@qYWXp>4I+W~y2L$n@(4A1v8a;OL@ znL&DqK-nlU;p_1dQis1mv0@P%-Qwdl@bU@FK@Da#NG4wpFrl}hV;0=a%V zl1ueQjcQqlq!vRd1;6}KFyI7GHCkpMUx9y|!*;IJ#O zl%vPVl(>xJ%5)sZhew(yrdNQ|!I=&aEBrWQ;2bK_pxn&5gyxon{z)=4Q#K94NJtrB zuxA}jDt{~CNYW%JT>EsHf+z@da;i8io0prg5Qhl&Ho`-MU`e6Ne!sA0tZY8yvklic zg#_G>6D)|dRlr~n%s6Dg21>D5qpec!gtRj{+NFcV#e~dD67C5L#GmB9Fd81TO&YZ! zU+^i<#=kh0~|j0}-Gyd(Caa7^6LRH;Kq1qL))*fKS}&e)`(s@9B< zV>J3pzHebn98b1EMlP@tCj?WbFw)VIAjw?%ZY(Y|ZyC;gsW#M+cuj;vtu8B&cB5wL zjfz_kynrCp@sm2dJBMqBzDqHIn@2dswCSIRjz!eTc&IKn=A#w){SOLx6Xauz%sLg@ zf-~eTwqSp3-UF{LRp_aVhdO`%Jf3)7z4;v8%YB&AWd9|YrhaSXe1)5fBmwUVL6E%$ zoJz=>Jde&v-pOR%=-Y)db+-k70a30d0QP-v-pXeon}uNK_uN+LE_YtYaqh2t!1$jk z+4n=f>l1+QD%q!u?@#h1Axe{mIn4dFRZ77fNOI~&ax3Uq#`{h5`@41d`+2>w$NkDT z4_~?RRV2A&8JjZ<${n2Xo&pW7RJgh8K>iBL9^~r%d)FuHu)qB@kiQ1m$eEmi&p-(L z-LLm@2zq`@&Q)U|09eAetlIKejgtN5^6``3m^6OIz4KNMfBN0dypVp!e#qZ|(;e0? z`-E)BS&68AO@LVIT6xI>Gxp6}x#1ixK4V@0)WD24kn{UN-ivAb$1Y)WcHr-od#8nF z{Cuo;K9tsYZ2o$X_s?6kW$7i%eHaTA z%7c67b#ao|RsI1em)C^-t;nvw!99M)4KJS84;-M#XH46C?t(8U^ntNT@8(|~S-AwV zpISa_#=X-xch)t`f!trl6d4O}uB&qX&gCV`R$2T{BD>`z{Z2sj9eA|GuwEFNxKrzs zFn&^KUw=0lBTyFd6a<_o#KyEe-aF(u-AFsY#mYHJW4l(~)7&02S_8uyevPO(n!hew z+0`@B?r{LBx(tgGkrGBv#Y~0WkxH--CH z1520{oD5Q`!tQ7u<7yJY;>4B8O6Wb7^;YxT%1V$~LCig{2eF+wtQ==Th(BYeHjlPb zPhAq@ol2t{@yEs=vQv(ICAed$WkZ-7yZquMtVB4q9qS6dfMxjV2S#N8AhwtSV%Z5~ z101`gy;w$ui~|YURQ041n$Z!!rfC?~Pr_UeE9m+gvaQzUF>tKX8_B{P2vXS)2u@~m z@{Y9ylO5|04B%_`{s4grtb540rhY($@IysGj;L|eDP?r!CwdZy@Hl-SWYo&fd zR#XBB_n`1cz2!ZvW6S+ZN<-_LEo-t2w0;3TX_!}OIAd2DOYrh&M<6s=Z@21d%FP3F zJWa+ZyLCq()Ycrdp3xga7tOv4ngA}Ejc1rZ=sc;Om18SAQlgUmt;lR?!X6l91vR?^ zWGFZznh5|(x10*T5lk?>$Vj!*^s~m5Q zqzQ8h!T}AZ=mcY|Tj-D}aD_e~ld;Buo+3sSJVsN&k@pfcs#8!Dw;O?@(|`82octN? zldXgxp@ikF3^=3-x?7UwP!+jaK@lIA1f4}>I1;BP3K6)bki(?B5T*zkjH82IHj{C5 z4NR*sOOD$i3=WtX+_lu}8i-DGhIBYnkvY=g1BZdwyOl_D#TgEhazW}cgyA`2b0MTV zj>CzrrVJ8?5FO^6s9=uq_>ZfJlJ64p+FGNOu{IULc#?y&^5LaGDpF+L|6%wr(k zARC{5{03tk@-*Sv9vKs4Mx6}SpPqsC9|-E5BiyIq>kfz0@;DNsBus(~_hStygIN>| zo$%crPN4_VwFFC9jXzDNk~VE5>(I^#djn}>DV8$$jV5ZgZpZMW%B<2#1gjXv4V-{k z1xJ|B{dhoP#{&{|a24BjoP;y!W(0mdB{d|Vfuds|15x3aW#T(5N$Cpp)>#_t71ScuBB255rh_%D|Y;a21&gm6C)f0e29Yv?#x=i)VsVBKJ7 zVxP$EHi#Fpf_8AW5>mAp0J*6}r?TD?qH&Q!!o?yM+IMs*qLWT*VZCQxpsYk0p;T*e z@LRQ3Fc`Msu1-T{08|qga(6R#YwO2F6KV5XEPHfkQ;KtbSn%dadMJ33-nph(WrHd{ zMqIdv$(*E8a2($3P~F-uT0@35k69h8)r0=9Z8pLQ@05a>fGVuUncvxIu;?WmmM(ke3GFWIsr0P zm3@lL@8LqQX~sf=1WzrNq%nu&{95ud_pRKBWCTgn*p4CnsY!AuM42=NMK?)%&)D&I7(FKVzkOz)q*=@{?zkYN0LJ(`uXUIDaCgd}jgTn{NCcMz0 zP6cez97T?hmvg5ugb0DV(B5ikaKix>-3n+LbTudqcWvWx;^^c|5d%S_ya)Ll%m^&o zlvUt#Vn1P8Kr4gLiwrDyu_n^hq(UD6zBst!$Q_OL5~ZOcvH^){(Njq}VOu!3NK4&p z(S^jFSSUvk5>&hC4sh$}gXo}Y_>vFzh<@3m69;7_Cy5ft>&Y54P1 z4O9Wv7W8SPCJ>b&Y#X7>(WDmTjE4{hNB;Ye?0*G$<~dwD^m6k5j37=HDvw{nVFLf8 zdWPF7T@Nq~!9vc#H+U-m-#JO92tMS!#J)BZLCufjtUz6n3$4uOW#Fk*#5R>zF1yR6 z!MTwSTIE1!W&6GY!t3dB!fS2wc7;9zIrnjKfh`A~}!+`!jvko=?W zfOYEErT>{DyFmE?nmS56QV>eMQvJl+1S$Yr^Lm9YaRN?03a%wlw^y`b<(q}&Q&qB= z1vLvkPXW7W8Wn`9(;*AL2tmz&7>o|ch>*h+j7(Vp)?IRwgqtj_gdzqK7T|_(+#(I^ zaIdM+s^g3!siDOKuMH-NcwO>s$DKkS45rc%GIPOEze*n7qvA-!g$X*r$3~JFO|vH^ z1?f(k;{C)Aw>_Y02Q|T}W>Sx1tzusEqsV|8{V1~H=Bf1^|nK`;*KI0Wz!04Q+VlddB`kC*#lNWg|(< zT<2te!{yEixg<|M!T425ZzPGekQ2zPN!M18w|1X*_*Ru93wdw`FHV4b+$WdGm6dNU8}p4Ni*g9Q5V9Xr_R!=_WW$ohH_v$E1=f4re0P5SAy@B-ZZ^2G zPc|p#D%J$61?-b<2-y*ue6Qk8_ne=Hj$PQ7-LYoI{x6-J4RFjQ4JQ?avy|9)#>Whs`+h;`rgfgag{$`mjsK^S)8DI9wZjS?u{87F{{?#M8BE@? zkS)iB;Kjuim`z}Ej|&;rP&W%>%5eD|n7r-MwMwj6knzxv33apDgOkIRcYHn}jAbJ$ zy9T$Dx$OHP#vL>FR<4i~Dp7`65sw4A-7ZVt=FkZj1F#i!t~p%_ z;nZpXGHb9i~rZI4tT2x_kuB^lu9?999e*pYLK@DkRA6oAo)$9*{5g~~uos7HvC>go>F5(Oxd|*n?bQSVw(#&qK`x;5 z1-Q2sH&If8%L5|FeCqZb5rdJZp{_CZ<>4KbcswV)oCL`noZKINkg&uL&luoV42SV% zD_@@!5CfeBvqBzDJQ+70+uI<@2($GWXv>3K?-$)TnydQMxX?2!8A9Bky30xvcn}v+ zh$XsFF*q!Q4ddWw8Lv8V?}c)11a#5zN(zkAL1$w*ND>)!f`dTU+K`+}8hW%6ze*tq zOO>%q;9C)jDT)o3q&inOVhFFUpiMp{^tW^I>L)kI!@0m8n~wKnt8l@mOyCbS+>l24 zDrqFQ0R#UMmZZT7N$W8Uz&8{wl329C28^4ihV-O;H8tmT%L#;dSI8pEW|H1ymf^mi z6gI**Oi}0<5|DJ2wH%h}uoiq5W?L}xAWUFjvl`AADe&qtO4c@AdM?1M0H$AflPhUa zEruJB&sh347J6odw{`;r^8|#TbcRKJI5;qa1Cl>62wVtH97i%T7_~|0NnI@M(=6Nx z$dFa6SHKfqfypISOn~E)3ELKM?eM3Mt1{|D1zqkoh^Q%Z6TEY{YT8rEw;Q0HD#ymq^v-5b0Clx61^JDM1Lh(4DxV%(Fwgf|8TdO zpFb5JWCENNbMz3>l0fUx&#jWo_Xd0k4bAdLpuR#$G+HTEkSF4S4h#W{6F{t0EnhHt zJ)%IyACr&Q+oe3StjMbQEIrk6u$n%rv^Y9iK@6jH>NJpKs+m}macJ9DU?qavGFM1h zFs`sx?H2O_Sc#}q`>CxdOq4S>E{3a`(es)WD4u=eRRFPtfCLsNp!bp?pNh_cyY^~r_*51`%74A6y##%p z;SKJ$j;_Bs*)h-?hI4^HlIC9F_#osqz!w`-Y>v>!ed{&kjzotnp_QQH)RM9qqO;11 zpH7Ts1yQOx-2wp%IZ4Lk-P_rd>P*0?B`wXv#zkuat;mK=!NlIhi{o}v6diOZ_vZRjClxja#`^?wpWf^XjFU6#txY%DPf$W=u+rEZxXih& z9-8RG>%!uV&BXwqUhs59mjAp8XznAHugjQrK#_Uq)>c-IaM7tp{>7|O23xzZWGLdD=D7tnMN zWpWpok>eK3y)w{#VFjTUHW+XqcX`U@@^U?bhA7OPn6Zu31d^m$&N7)0CRJ}ml0ij6Z zgy2TZWRdvLg!2X8)gZeKwB7tex+f(-7o0BIb{s>eGOGb4ndabcGX4-GKA1$aWFfDbwIGvIFD%pbAFg%l%TW?*YKkvjQ}QAbu|YGPe%w=X5i%( zbPGHVI4r+U!VpJL5;tB2Jz9Kvup|S0#dIphwp#+}w28CzpRh#1^0A6TY5b|c-pPj` zVuNgpY+!y^H&OXa4B11?!+I@lx&jw?i7z<0P2zq&fb z0{|CdgpsnU9K4@zNaQHQDyeE-7;KtM;)odcF8=+C!8snAKh6DW$76D5xhF@Zmm|r3 zCgJdDtx%sfu)?hPi6Niit`wP%68wO&cVHbJ9w$%N!yepGtVlXf%#(7aYnlmfF{htz zQYqE)uNy{yQ{ohLD+kQ$OHBSdd@hA@IiFT2FA2O>#zh>C#;F@PoksP*fZ{${DuN#m zPEI`%d1m((KJwZm2UeAXpi5Pn&?M!9%%9{`3-dL^Rm2<=y|AQ+h!}!zZ%?)exCIKu zp<}>LVIDI<5?jr|;8G?ff25KR`KbIg?tnh1hS_s@SExuwIh=TGa}U+AewxHVsM-{J z5c~iax$t4<=41Z(zyCAwW3L@hbsrCDnLF1+b+5oH(-}%G{H>=_ADfMyGP>;h2a0~V ztuVX#{C6`Gv)d>WDbChp`^=)R58BmR^vm9JLCyp`naEhGo0`PF!Q?kY2moVNN(PG3 z5idmt-)nBxM3%{^`BqX6%aV@a@`a<#TFoBT1>AzA*q={Y*=!K_h#a)a%Q_zFMP~KG z6S;JCa!L~%0aLK)R8r*&agSWx$c$6*h>3!gY}*ba{`{t5f4STvN`4B zQcS+4Z4rEu26wdf3GV2OGdvi*urIpx z=s+O5#U4#A%q%)Ae@rxuuFM{dLP7sFWDuQ_sm=t7vU+h=dX7eieuav92mTB|vmgUO zl{G2SpoyqHEP9RV?DmulX758bz@qX#wi4!4X8{fw%(j3VWMBz@Hrrbn2qKWBUP;lv7&dagAOrB_)7ap~T_t>ZRf*bI*MJ+OWTg{8ff~g0zH5qSpXu^@q z#Eri_CmU1#_VmH6$OJf$s&_DMZguwaC_dFkKl>;8Z1$b%*W1<|X*+$Pmd#!aB;18Z z8Luk)jzYqHKKuU0E3%$!AO8D`dW*8}j9oVchB7Aaec|1i@}rkB5^gs0Z|k;KzwQh@ zMhmmoW`TtTD6PYu>^nmNkPCmG!v%u%_;4tgcC@BXd+2|A?bWPcE@W$t0;*TZK0IX< zaJIHTlf92FIj3$)?Wkk>iVpRfN2ffpdE5NVkV9KH9-^YH)y79k&&&I#{(g4D$6Y(< z&hgs8%J1-jN71S7#YUhw(H8#6^VuL}_moi0Z|^T$7aO?Fns8TU!a~#z!D7xZQ8dB- zr{1FBd&Yt+$9Xd7m+jG=IM)uEgW3V#c*}8sJMhvTKk9amgAR3Qupxb#tjdyEOnYi6 zUh7F(TGAR!Wz2oNcJSPK@9np7nbMEv*@-^ZDdS#B&ULOG@bLBLZ>}2f;K9z5U6@pL zk4yGfOQR?gDtZ@-3|8j#u7Ww(aysat zJ!rvdJ&u`VbI6A-ttSocbnO%3lx#AX1XQmkeN^3>RX!Ok*e5aeiOY>ee|Yf1zPP8) zzl|JPqb=M(XJmd(^g8`M+owa9fgxlNohz#|Rg^WkcIbpDtbT3wL=@qn|T{#qYjk#&$UCZcG^9jzG_bLNUCsM zrn)b)`54X5A}>AJUlncb9kB47YUE{hPxc(N3viWWQ$G-JTTLIbIIgWWkC!xV{UG}` z0Q>g7qb+=@di%cYX4DSrr(acr+F@ZY9iB0Ia%6ty-zQA?!36q4@7otx z4BBqdorAXRDLTbJ_AWU=o=uqwqHX+&2wEpy#xN8wpv>9cbcKhu`KitCks*)=(LV0{ zWw9*+Z7ZU-T91q=a#exBeTRzNgH1)=m?~3vSaZsq^rIOvcb%&p-e&GRZ(fMMx|cT} zb;dY|o~3YdeT1gtF7B@Hq#6sNO288&|CIO~+Shj_^PoXyQ$Qeoq z6+kxo8|1z=&*$(V2D|Eour_VaLZXHAeXbo)2kwzxR9{X>=4^V#0H~~|kM~0u6dJs- z?~MOJrC}j=yh@W=T^999qEoWa+d`7cz;shib4l`viSz_xk5Up1#dRZ_h1!=!w&}X9fY2_kOzKT$(W7 z)2DlidJFHnXB7HVzuET8QMYz**whaMS>2aBfVPFS)tZsXM`joG8K@mD&c0pr_Ge07 z|L#!v&ZTMcTq-xyqU=UPio*NS`qs?Fuwp`T0vWw;56Cqyc?tiLUw1H8_X^hzZ-1OA z3Y2#qpsA3Sxf66Gdqv2b+&(-!%=e{d3vMAz_MRZ~ky-_MMM9fbi%gF@1Y1PvmjlQd>X0o#nz3AM? zf;+$-?z_hP=%J@D;OHM&=;Hk@1$p~XBMU#&P33jwkp)^Oj4VtwvcN@tWI>;)KKz-G zOGe)zhA0)dH`;67_8SaTgZ^x!kOuBP=*FA@A)<6$M&JA`nHyQ4_X}=6WMc?{k;SF? zk;O0rUm&&qH}|8KoXh0YoR`s`2lpwO z8d)eIeLOtz-p3vHQ~IJ?p7Uog$=2s&Gs!8|JfeA2?v5;qq&N)F`AkV>%-Co2OV7o~ zqHfw;@~^&Yo_Wsok#%ZhfstG_#yB34JzO60FV8}69ztBo7M80n$V0t@*SRAL)*FVJ ze$QvKy`tBjRC*U9=c#VmXdVt;VW2>??qdauGayGxlLga0RX)KG6Xs)}G@<;r7+E~; zubYZ(<&SEsGoI=9Pk2|JKQFU2JDn>W(&i&W98|++Wyt8+He?TZuNZhtnCCCxkwtIl zQy5ufXl&MV#X?5H{mud&Ssd!c$RfLI;}!3(Kb)06MsL8QMi$!&UJtD6YvX>&Hf?ui zRNush4qy7<#m4E|x1XPhEqsVBSvRWh#%uegX+?(z-=8VR$l^E8JTu50SxBCs_&~rg zuI5|%LsO1_cD7!n~T)W`q$8LD|;2Tqa_v9Ph4}5vnk+WWX z?13-8x%Q@)9>3w?=XNrA)pcucI&#*o$C*6w<)7W~@M~{O-v#n_Zn^2mXLmeyaOZ)w zK-py>m+yM~*>1)C0%X1U^98@_1{q+mPd?jyaP3X+odt|o2)TUMlOXTB9^B_LNG-_M zfqe4|kKNGz9uQ6+eD>T2-kAE{SucY7z}l%hyKh)?@b|M{c=GA)gKyk)=s^hCdEn8;}RYDf*7<)te(dQ>J zdGi}n-}vmSj~&=~|GJ4=yRU5rl<5oiL-wK1tvze^Bb#47@N)>cb`4;FImriZ$z$@a z1;p^XtFJq<;CD~{=hqM3vT*11JG!sS0&;lwV_8750>SqRHV0O&dSK@-)&k}AhKKh7 zCiTf@|Cfy)@ox9Am+$}it5@84!`qDS3%ys~a?{$gc0$2l{Pgu&hkft?F}{P;7= zA>_zezy9>Wm%qB^=2yFK_}T+I7wr1QGwVP;1nvVnUs-!O%iape+jY;bI+*?6BWHcS z`ev!MIB zA0AluMb7zEY~|CBUB~1dF#dix5<>px$bug{`Rw7nOy0T}<>Y5K?7s7cF|Qq2@SQi8 zFF%<527CrIX87n;7u9&zSztTz&XF^|(7pHh1FIM8UVibzdyahbcN3p}WaJy$#{0jr z{NM`*$1ga&+ar(-ubj2$5#Z*I_wVk0Z38fQcR#|#{`wyQ+xCXFH{NXCITVn$=PkGb zXtn!)o`bw)1mANNd3X0I=`)Wkc*(!0`zVCy(BwDIG52l=U9ju=+q%EA_8V(%y7Sy$ zy}19{hTYvm(%Dg$yJ)rFxMTX!Rj)mN;A`;7mmj+quDbrkL$AGe^_m&ex({yHcMGig z@=EsiS<6v;ZXEC5(S3k5+1>rOK9@V7!ao6J*MDOc0lm$DkrYWr?`*_L^v|JrTBRIY z%OIb7wRkizAXUrU{p4CE&jh?{ZIXdzOMTxJ(Mi!jMbg&d{|!XhNls;Sn8j($#{L3E z@-*;VKbBl71VmB9E~i z9^8N=OC89r-g-`sQX{-#F8tXeL z-f)M}QR&2C&gZm(pVZaKi8{;?BioG*BdJ#fV)b;dsFP8%B3VhI5ZnfmYzHuB=haae zwNguME&ba7lPi@^09q@ntS-(pPSQwnHUxZ{xCq=vQqwSR&zbCKz zH5yI02`ir<U6U*z%FQh~y9>S*GdX zdcy%3Ahw7Vbtq0#zAMlP18{FGmuIquCr9|3WU)wAV08qt4ejhGR(Uu{14254rkg{i zKnV2KEpL*dP87u_q|5;sdOX5g2IxN?UVsg7D5!aFtngFv07zg zxfhVPM4dn>(sjUAK{lXz(=)54mMn9*38+ym(sTfNMP*f!0I=Hz3|{P9)vuP7_c?a1R=p|O7w_hSCQ#V zX@VwDkjUu-a*Ya@1&)eIq|Te@VQM1j$3Ymf~O0#J*6 zLf8$YE`FT6%JMmQfGuwhaC0G>SV3S) ztpK_e`9{JgdfRrJp%a-5T)j4cUa{;#tK&=|&WKnqlC#o`v|-=gGtIhaVH+M|F+5^5 z8%bIpEeKP*5ca{HnT$RY)BIIj=V$cc>sjsTA8m0q9)?q-V>oHQC?Av+Z}T zL>BARfqi$(2=!Ew9ju8#Z{&QaKIV*sIWmL7CFn{kcMENkG}3E|d4j4*-36XU8=mtaLS0Ix$ zJ;M~!p-p(Tz+I&mCnBk*1s19U8-yq=~V-6ntNvy9M z4ku$7ZIEY@(}IgI+F(lJy(4~O#7H_|^!Vs1=}bl>URL6RU}qs{4l+uEY={uN*DFV~ zK(b6Kb0Ct8v_Yt;oLEZRBh^iOKV(^{xZt0ryNxo`B9q^jR~c2+_V9C>hYNRwl0t{RX-+V*o7I8d&pDnk6h3hL>J29v$i57=rAOf6ru-I!0;bCMM|&EH*OPIP){xCP!kM%QZn8X|vC< z$rBnvT_Jx43U2mthOvCWM-l=mLUy@v!Trfm7AH+u(npOY0Zhy_H$qk zS@#=`N5Ch69>k*G;;+=SpeNSqO94(d_HV^qUX$XH*!D9uyoeYpF$~$dVcQTZ9U911 zLI}n?R)^6;>=UaMHb*0&9VecFmE79|?(eiD82aPz|cilV4HWSfE zFg4N(++vH+Re}(B7~E(zxPABQ=)yT(G}Jmd9=r(&@Ka=|vmw?>PA9m5tBbA>fIrdG z;O-%s<^+?e$1aqn9)gF2pUI6?irf^2Y@cO-JUoIPsWTGIz77Q6DsWp}7+$s_3B%FQ z%qt+}uz8KenmEsM&W|0)vb!`!@ICu}8`%JEYShe{kZz_~Z*ewriywX#+wD6v{yWzWeQxcbyS_#`HmT%DWxE{!?b_Hqf18IC@YA>924A;pcGAJRlG%~ z3PGZ368SKIls+n>W`P=2|I$jW5&g>&0d7qXVmCHzMSMl0_9&C527Q*(S#V7-qOY`( zMU6|_5~(sY>prtZ6p&RWj5uW9D)D>?bTl06p2RQ|JdsK`bSqkbN}U06(Z2G7jEzVb zAh$7=vO)G)9j3DqeN}Lmo3cfB$v{iBlxrdw;}nGPz@*A+RY9|$Kn;YT{2_bUH5Cwo z5(P)9_jb{r0$Edcr)U}bw|)v@6K12;WE*9LGp%M5g96sX`6~BDP%lAm_!rG9en4gg zb@cx6z|6F|poy%vMyMq3A*-hnWN^b(DKt7ZMyw|IMOoPe1y|@L9o*qV zK{7>UO9Yz=w6W~wmtg#u|ChP10gS3T(>~|s&Uh1p-V8%Jq*Tw%kDF+<6XFkxuACV{ zI$={gM#Pj-I|FKi*e-~zR$M(3?hMh57%_l#+ihYKtLtyA7C-&`957O}2yAPMTdTls z-A_bVDs|iXm+yJcoyklBDq35=1G#hVd*Az>_kGW~=l9<8Xd(UJj#mqnEKevrPUNaf z_#Gs~u%%E}ltOQ=Cb@<+m;cJ{cD_^65fwuh15vhXGEj{dK=zu7?z)cX_Nb$re*(Fy z&(~aS`NO}oLEadO3X@yh>cSQ;W_5`5X6!pLLIT<4Rtj&)nhv`bR~YO@%FA}UA7tz2sLUvLIw0Gz;-RC#4WBFskS63< z+cb1dPYQNNb*ABmN`fUrvcerOMd@ri%`&(eH>!}AK&YmADrqf1&7*Ol4a#X$NMX_h zLcQYi$)W73byPe25s&bP3AzK6yFq!s^aC5vNs5GVw9vcNoupkhZ(}pNn2$|3 z7PY^XI18N$j%u7sR+0rLaR(Vk4K-6#x{fon03et$)D4&)CYacyGNf;3Z|KbkcgKg&XFW36NhzK z$VQb4y>XRl+T7mo;mtFJtJnbrvh2966C`fVvfzgHBn0>|(2o>E?N6G# z&U{kT1eniv%=LoJ5oWE$;>|%x+}QdPuT*@IxJep>Y_vfZ@F4U)l?81~L4mAFZC?ak zB5gd9+=wVE$A&QUi?I(vmJT{dI--kCu26_t`bBKfEf6o~0K`CXItEt--A*do7$ll0 zT$S3_TB;CQHkhyi3l7|6;GbO&wqETeU%TCh6Z0Y*?qa<(>J#9?r#(T2FF`5Pnsh9O z;~<*3nD;>AfjBRdO2`K=7F%M_=RnLddRdT|l>o8@zE2HE%3%0_ikc46(+6=aG)Mg_ z|5)|k+t?yJX>U($%ORXOq=>|QYzDfCm=OCtvf3OHSl z8?xsT`ECzu6&qVs4X7eAAJ)h8O-_{B~yjA1<>LlkcA}g2PKTeZTD+%@0Gu{(Y zq~IgP*>EQ|n}HDQU6OazA;v?K_p@>|8^-$Ny~)=X^77XAE)brT@8?+0gI>-PChw!g zj$Ngpdh)u9b(sgXg3nyK<4 z^n0=|%fXFOl0Hfj8$P zrm;JF>v`C|BT4AMHG^wJGMMQ#Gi$Ns2%vgFw(ytp_axWf9-4ig-gwoM&9x@xDr~x{ zHEDnlaFgtuPv+96!%jyhkp<6@Z;i$Er^6bP_bEqn>kqrN5KgkwX(u@8wi~+?;oDzz9sL71u)QT z*d6;4%yI7;a97%MfId8pism9{GC#3!c+%IC?yBDN-TNx0wazs@V=b&f?r>AlwmpHq za(vbqi&k2(1oZx0jXMFG3;3s+W>+v&cDde+7ASv?yKYfJZSsnJtkMp(LN<^M%r!}2 zW(=3Ip{;$MHog-(`J~{0e9r4^@QhFY#mRPiifV_C@UCWYk4F}|Jnv0b=r|b)oD%)9 zLg+6i+lH}an!?}N=+5x zui4W^DUQubmF{K2vU=(=BS^+ddQ&>eO1vLBQnc8{9EmOoI_TiZqW&*}b{2ZUh;mJM zp92`Yo4g}9rO2^!NrGq~le<==RF0+Shf8p&0%25Mfix!OnKX_o-;Ex_`CcbVF;mb* zx=Y(kCkPbhP+HQ32(;mY2zt@+c+mE$fqf5YDbieFE5*%v~q7=gv8s!+6?cfq`jWZKpr0L-U6N)=+ zq#Bbwm^Xu8fdq*YMKn-Bs78vhB_haUqQ9Q$l@f8%z2}zLNm?)>#$pDmTN8EUC1+^yWG*iTl6^$`g`qZfLvdEmgPoPO#oVEsW zqS{qhM?;@Sz{(E55ah_2v?9RPQQ20KOYS)~qbeuK>Hy0*b|aB}(j+0Q3yn(LA|Vlw z(`fw}76eMmgS>A)ij!kM!iaZESx+yP76Zo6- zw!5@XVg>T3PdvRnA+rkEkS7-@NHDlN@wwA=-r)kDmcg~)!pNt z7^pxuNQ!88EK&a@JL#;{B^LhvSC})0#VF@ZUY|=c+)X}NVgqoy5(l?q?`72G2EMu{yH4)04)Odf7HC}u01E0&ht3C|39ca|G!x6%E0{GOX zS}iVdj6`tYm}f$*M`TH4o+AUT7Sms&+*}a1Ocl5jnp+XsuZ4KryKKx#LAKPgaR_N4 z*?f|y;L(dHB@IEK4m zjwaihJLeNUJ;j3fI$GFdPN-nKseod;jrnGQgH4!)uCP%X453SHZ%Ut}8mh zMf}f679N4_x?CK@=!x=T^;bH za@<-+N#|aYqzy_95sIy4nBFckG?fmbm(^-veGsDuSKyXZjs&h2TcpXL6~d^$^CV*T zy3zED;4i3R*i7lmME8QyKae7F8akSw69qf1?MR|jlOj1)1QCd==yz-|<(PANA*~QZ zK6;4O`3w5D_?9sj3MaA<^|a!+N%ksDHbx5z&Z%$DqEcU=gE}D>mCPDsfl@3GGSSSM zVVlLB7?=^OWnI-6Szsz_FVotXh%Ji4mKOgX} zdD-B`ylx%|7gONCE5(eyCR36?@g?pKA}?AF^1`8aLEg0PQNYsPrWITS0b_(fHlTVT zWPbtSv^dGFq<20^(wh`K2qELl$?J`^1#m;}_xAUrB!u+N3t6@9?6FBM8~ShPy>;ER z`Fp>bOLEx{H$4PsT1|d$*--GVye0=}bAJc{XaIbIB+GS@(ES616#T7SHJ%&D0|i%U zD;F)h7nlO0R?#gMM)9j;Sc$fV+j>AW>d!S=R(B&wdA6-*;fo z|F)+W-ar3o$X&jdfDJLdkDRkxTn9dMJG9Z{$ug^;WEEbE_q8`Tbf#CR1WE&SzoXK%syDS0Ry zSyYofZj6X9SByW6<4U7AEktPYaHhSCa9pu(c^RhdT^HOv0edim9n?w4!ZF;KFNToS zljUxQS;>+?_avvUBw!C^+B;&=>X6jjTnoue%_WJ6{)-*UBE21_XA?BpG%<7;WMf8r zR6#!}*aX>_N=|^h5ZpCTuxz~EX;ScT=G4%xv*WovO$y{TB)QqsL}RgG>)Or59&{Wt zQ^vKcG9j9-VmR+V@HLC$+F<7MFGvwGthqWb}%3WUJe#*A{ z{dQdYd$EIA@fyty<5k88Z6<${@fX8*0WGG2Y)`|~I!%_d%NEuRyWDXBr#rkUFpq>p zB2F318Fa%=ANID_dPB%&oegz8MVf4mVhm4uFLW}l1H1xC(ngX~Y2hPpPToqGb1S%6 z+r^OWXn&)eOpnQlq0NiNaARqT3Ph+hLXP!qaha2?3{(tf?CBmjU#@6s4~B=SRLz`f zl_mv@uX6`a6n$790qYz!mY~|md6^I-)*d6eN$~92u}ZO^p+_d4Gwt` zj)gf>XRr$hX~x;${(hLL&*{MFAae?7UNPzu zaDx$(@RFDuI)oJt3Thw}k`!8RWtg}_f()So42q=?#S}b+9Jl^aHaI{wRbF;8O~EU{ zsFRBWaa%WNA@F<_w;Q;Yo5$B=%#MNDoRh781PDwi9MCJLkV_KEaOi@N=T<=`gr^BE z5gG5C;Y}k%1o1H?^JIEK4f<$qL=1;!8b!?<8S zU{*Kctl5!Z###rR=mSMYL;q;3Y{6(W7infCxmeV;Q#z+$FLHpyByyL$AFNq?qTx27 zYllC-GU_bqX|&*FdDNCMR9$HHLm{uCyFOE0k=DqpB1GI4HiLH)*o~a3MUOiK;L~o+ z{UfFE&ROWP7X2nbh$RPH6PJj)1(W zp^GRg|)z zCX80+9eMEG1a>1gJn}g(DVZcqz%9&COkRITq9I4i>blj`uzuo{7gy!bxOI2Vy zb0}y)IdWAawIG@dO*|`|fLxfYbY)T@$!>q(J00-LZKVTKAP7kgc#h5cgc{r3Aj5-s zAbHQ6j^qzaO%7D7%=lqE>77TbrH&I6L>9DEBGa^EGaj6-wz3oC1dO+kJ2^6xgo1i) zKXlas(ge9)4H#e~2X2xbN+9Rq+!m5eId9|LW&kPgCa`085XOUBgYPDsDV<5%?=Bt4 zbOkD&(?W9DKvpIQ30Fh)qOe)bRVz>^K*rz21ip(-aMF2dWzK_}DyvY~rgh{& znsa254Od~`e{k0fX96W!$T?x^PlaYXAd@D6ita9OqDx^VMTjK?vbYoE6nRi5nXDmq zQIf>1g^(r?^4B5TO9plAAaoU_=t$LQQ4@f;BEkody~>ps6&=8hIdrrXLMUM{TvdwI zGQ%$StFtvM_R zVpx4AZbcG_3jNifLv6Sow^O$03AlMqM=8MlB+WCWo|WJ=+n zW$WIc%qf_`uf87D+`7*RMkT=-Kmb4>(b`J#!w(88Lx#CS=m%O3&~a&GuaPB z4|-TOt5(d2u~kVyr3n6DN6(&8jVv?~MV}X6ZFNC7Hc$r`r}eXXXf0S@m$H~~^ocIM zTZ=^*3a-{x(Jj@~C!seokV}FSMG-+W(1*RSTTqE(S^5OkP)ya@mg208)f@FJ9&~XT zn_5KI#6ebuqNq^5K+<~%+D^dNd<^>4Q z@Rz4aW+{ecG9ihkaEJDQo-jsKK%gL6agbheT6i%%7gyddYO2Fr{L7IiftOZ<4MY48qcq5tDq)LnOyq=<*eST^vbbPNLu z79lE?Td0M>MYvQzGZRc*P=d$lfo)}~!f^2&4_ZE@Z^U=!RMNdb_7nmnBbW*Yh?Mk0 zX3?kxX`^v84QT5}6q2I?+tn~bFk5+CAS1|JRpp)iwU3w1*R{hNdTw+FO;qM)fc3QI z$4P#4{wQ>;p0>}+CV*U;ywy;q1prY@3Xf{Czd+6__!8l`j>n%JBgBJA#awi58W|Sm zlf){-czD+i4XU~j__J8ycw8?x5ch@S5l+1%J#s-dDTqIsbUtTPZ^DT6lH5a%J@~}o zG+Feu@e0n#!@KTTih1rs5PXY-yaV}^B*0ql{|)qh=5fL)p1wXup1&}@#3ETFmnb<^M3dJEPScFc(1HR^8-r<=3# z8iI7b3#Anxy!ft#)-2{@72<7L64;BcG9GWLUG)>_J!v_NhwPlRbr zcm~`W*mowmiXes^d}r~p2aOC2`%CDaJOVcxfPM za^|CuhiUBeH9biBF3HYxYT5lnzGNazF5GGnIY|RYjU*;7d60%vw`pz+V>P*CG7!)- zM4Ly}Lizx@wwcHzB;mMz{As*2l8s?30Npfqf(GhEC}n({Ig@k1r?)C-az_y)o|c{G zriu75KB2D!v`=i{)6LaMS_{1-Aq0jKx9trafyEYa%&uge+h2x3H56PBm~7<+j(!qU zESulD`C{lC(d1zokr#WgnEOF8-gE3SaNCo&WUw6YwW&nTc@To8LX&nVh-Am+<(5q# zT|#pM9Dr_4R|c?ncLXM5SU)-TH9hY@LNXbWJ#}&qG}(NKmi=9eGK~D~>D@`P;qT3P zpHz);6@>I?SLM02>{~(hJeV_{tkfRln(U`c)5cE*rv?qqENN0Fl>KcsLwe(nK<-G3 zWDS7^5Bq_edkLd2CwgNSV~z*kED)S--@JKGf31qOF0Hw(yHN}Wkrm(`+=iu$;Xv=A zWX)}DOPx(!OP!}+&fv;`9l4~}x_;ThDVP~@GvS)S6-MLWO@WThe`8GR{@sdR>tgP% zjojOJ)2An!g8|m|wW%FI@Wr|u0F)g(KYG>!XE{GBI?Kfo6Ld;kg`o8z*XU^mY!aonk7A5EI>?!yEuW!%f(=tSeScW)DzmeEd$CfYD)hCw0skDWNIqL)|Q*71<4C()a^}XY@^jpLMTZ?3+X0q z2qAI?^XM8HKHm&c1&wyn<3?{oL-i6j{ygqNy^X~QBC8xX=KpnDW+ewmhzl}xSEvOc zz*dQ-8QjBgEIv9c)qRI=*fevkWv`?p*?22~C_6wtAx-klK4g0eda^sooP`b=@&WWT z@K-fClc@}CzGz+u$x&|rLLl4oNoUTnxa7HtkZ>XUBK#$t)tc;Og9&nHizaIYwI(DR zX#&Q3OcLE-z(ABUQ%th!r#`?I@g~}nrne-tU}!h65dg2F^VNHD2?a|fY+6&*3bQxs%T zTt_K2U7O<{wy>oEM;wyBQ4roh+j_89oYYri#b0L763u1 zPZpMX%LvpsU8ecafDsqXHj?oOoM|-_C8wJ=CRrAA?LqG|PmfH@7H*al86Og(1cexc z;RRnJO@tu&r6Bb2kb`F>DJF_#k2Ri|3YQTm)5Zj^KzJm2Pa$E9xm-BW^ZVXhBJ~1T zZPvTyB{b$P31zvX`WW$r%=qs z&WBnwmJdXj>Gqx3G7ApZ4`fcPR~eGZoN^%8=)zW=7HiN>PUP22=w*FRh($&r$CN?q zBiMr`p96G*U@TjTBoVw43j7~##!3uT6~Pyq!qUqyl1VVoAGG}B!qAh2+Mzht{#=a& z2`Dzqi^Ew6`hoFEx<1$asN84Co=ZGFukd@^#Hc)OI_7m%AS#lbC+IBmlBTB!v(lqC z$;iu@XrMFffi5JHJCQ~8$_2$4Hmt%< zxY#ouajqn*H>D};e(d9>?_mnoNJOC*QRo%~&+8V%$2j(>$H<~t8ph}aX$#^)!$3lb zUC_-4dYy?@NDF~d_mnNr{;IJz4pQy#yCY819@+9_6KmVnRKA5bowcPYY;HLi-jbcz zG?qN$_e37~1(8RHd)qt2?U4`R_JoZ5+lS$P464j{Vc)=&`zeiERj2!fTMdV#A~>_M~GRYe{w_P0kqgB<(pSIDua zT|xfddJB2*{tw8V&ADv&sm`5>qTG3ig#6*WaoJF8yw1yFO%CJlwlU`9eNt3Tii8xG z6O^hMW4Jvlf2<8A_6ToAmoVR9dEV+sud&z+byZlc8 zP8aBbAVc==06(U&u)g)gNZ^To%U(GWKkv%O+&fQ#kgpDY?f`pYWLI03KlWnkF?Q6p zZyn`XeZ-IG$H=(*Gljmxk%*l(vgJEX?6=#dUbLm;mb0F|C45ZV!4ulDf7>*cJmXy= zkNk5vj56Ar;LjH>6KfRanVGolRwFCYkl)M70)vU@Ad6FUu)egW~ z^-y+?nK9Ob#jYyyNwL2@@@v|$cavk!zOUf#$Br;}-qo3=xpPS#M?wyc&$Qw!)_Ga1 z$w%>bk&xnYf>L$%m{R3g`K-3`7l0U6<-5pv6s%nBz;x|Ugqzz`1gCr8ctHP^>|$F0 z7mBC4WaOP=fo*&6z$8=yJ5V*)BcJ{Ok*D4?g4*GaGhSCSo|S;S-KODmQ9Epl9}B2n zU1?D5aNde{=9IjP+Myq{gY}q3*}W&9mqtk#%9PE>0=wCRbXMCo`d(v|Bb}dmAdftZ zBuD=9?d;5v5klF0{gfG7`~c%@9-nA{f@a>WnXAP(WWs|O>+Tn^*QdcnZz@wjF z?a;nT*ACS6IkGUaCDjD{*s10gHu0>@6T__Spnxe&W69IE6M27x$R)(R^|!=*R`#&m zIUyT}``?Ls8(1Mb{(ju!lY9?N{`%zO;m88`uH^JK*RiiNxr@k^irkD!gK7s4lb6jH z>%nbVGXC#+$@rgY4_1u#_p2##)eIs(dqu%jJGBQN8_#`6?w}I|+2{g5$cXm0PI87# zYLY zM}7ee?ssQ=@%Ove^xW6RI7&0TPM_!jbe{JpM90vM8sK1=Ax7i+ZEk66%ez0{P4iBLCl+L_SF5tyd8D zncauw4r?I?pCs5rOQ3%QQCy(DKmLga)0N!f6kCPNJj9*eU0j1QDu%w1F{)pT_kU?~ zo(1=@Pd+QAxpn9Nk=%*reRO1DlpJ6lZtjjJft&l}SC2Y&WMslM?*dNu`160yUj>$t z+H zz=7Nu@%`~Y$veL{XFO+5h+jFv4o+G;a+dM-@yDLE%NK6`)F0$2pL(YJG0IE+nM-#W zhm0&*&;LLEKb9Q-wMUL;-pIl@9|hvwliuA8K;pZfc;kK~`PS+uej1-K5})za*6SXx zKda<#uEWT}#K__+*$O?f`1~h2vbfw^(fsq!JinfOxz)72~CZy2c0^t-;ru&vHnSKnwNjJUnR8Dm;B%L`(Ao_`Aa+3fBTMozxc+p`@g^bwS#B8dEw5c zEj#v|dF@Xh{_?~7esJxJ{{SG=x4w1Tg)cq)?9M&Quie@7?ZJP9kp1h{zxKk{9tHP} z$ASF9>5GS+-h1_nudVyxvj?wSec?-xy@vo>mk+&m@VFP>{b%6jp7z$YJ0IS+6Xcyu zBMC;NW=%9-DK;U`}NKJ7PNy!JQi_bvYFTi3p@e&6zak6pcE{oV)v zYx%XmDI;$9WXJk_BU>H=Oe!>a;Ts+@t9I4bf4zqQa+eQ1_2qBuyzi;?Ti%3~J0F5i zzI4rw^%H(D>t|=b*md)QeJ=nEcH8=WZ{7&a)?Zb(@6Bsod~MChvoCz>(JzlYyzgIj zFF*CAGcFr??TKsubl<1eJ-h76+b)C^@BVfHP+zYedihl^cSsZD&c;{1ecjIW*A4D_ z>$+$6ulxSJ%dcEJZ|C}vm-c-5rJXYt55bCO?_2lay)RsGH`3bo(xS!JUby}r?f??* zi~B#dZr^gq{yt^eVqCp?2#~@r-gC?PlOMbC(Rn-8?Rja>x8Z}ubuYj5truTi=NS(n z9-{2xp?m*$$&0@Q`Hxq=dExih?0d;se&q|_Us`+epRRrGl$Af(_b%nm%6E2<|AB;y zpWj>v4?gzIw@{q4kQbgP>EHj>wQrwt6Cj4KIP;vHKfH@=KJ)CI4;_8`z8AEWyALjz z?%%ooPtU(L{Tn;i9)J6u-<|#TF)Pk^_N^=4LJ9uU1_IxO?61Fd?e{*n^G6W!!kuvJ z&+mEUtet0Ud+;?RiA=lqh4c3=-`SMY`}~K#e&#hV0w8+dpO#()*)Q+dw|8Xc`gv(+ z^5Qqv9sK$~Uwq$%`__N`=XWkY8*sX({llNGz45y5{a5IP`3$W3=3^&Zxc;3hxBNS4^7_k*FMJUZkjd(OMaKUn$+{gPC2~H0unB34Yv|GB_L#V^MJ!uMI~_qH2br~wOm|92)-NoJ7A?hRfh@pfH@rGW@nYX z#7qr-N#gzfl*8B;2=dlKlJ@kAR`g5BDhGK#GzkQu2_Q))`*QOiE zCqXyJ>)ZYryZr%GH;TNxxxvTgl~93zhv4rGNHQ8{+#yYv&n2H=5%*?cqG$rEinBI5 zhq6oA&>zEfC;)B)g{?FgTTPk92sifx-wB+z8Sr4KF}odEd~;n)$$)m%=0LNmEvat{ zNN|fbR|ae?#5Qlh^_ao!%9LnznGAVU+Kq>7Q7aoZ<@viq|&UhSX=&j%ZQ;M?LDFnAnJ=dP(?emVO<_>f@WiJpeK=d9_c10cirv2;c6x&ddZ{mBCUtz+ka; zhhue=w)c|p(C=7vu|=DyCTJs8B62OtAt7_Pz&HDo-l zmiv<+ho#H3l|9Z1UKY309Eh?;sGS9CI%IiSFw50YY~!S!a#L8(^qyXsan!l7_KLN9 z1&`6oBEC8)?YV(;V|_LoKexvzxoq-mTb8GX%A==R)2m=`yK`n6r&Gwf|MtaMX z#KtvFjdOz^uvM94cN8#JfbxRBL#viCGS6i`$7%^qoeaGrG6Dalxok)A$vEY+gW*6L z=49a`XO;tn-Jm&uo2FLwIX(F#;cvGu-4Kh~l>i2V>;-XXLe5D0(%f1QCRddvaQ&eM zPDpwAqDlUhF^#gT{jJo!D1$&)BoBfO<}|bepN?`TWbnR*`mAM~druP+5b~3t63mDL z3o8lk*>Mxxp(g?5=O$w_tgKbm0UroJ;F(O-bw3GBfLj=ewM*qBfU7s{sbB_n zgzcbeER$`9JqRej`WC>}f&65+on;ysk6juXcIpHJ+}5N%Zbdt$GILRRr`0mKr_tx* z(d}DIbsE%#7X~03S?>52SPNj~b_X^GaQ=~=OFRt)SA8fUUeWA+*Q*`a*kFu39ed^$ zPQA$ptSd9TSinV!u5dpc^%cqbK~{850Ru*UqRJ|LV&bzmp}}E$X|36i9PNb9Fpnxz ztUQEedl65V>^7DXsvCPJmI&OA>Q~>3Z)@?Zj@D=O`b+0t!9=tR38)+J9W~a=v65_= zlG)LOU`+CCj9&J^j`4W;0QW*x2~(0OtHiLBppVVVA(25{gG8IeWO$3yQZdPDAOs|B zR#+fr%3hoC3et$*L$B7*WfOQ$-Sob%;owXQ)W6X7T!LR;sn-Qf&{*xZpA|aeuPdYaD?feBs$t+ip04E@LJAP z=ww7$N`dk|8@HQC)g={_gxLzFpiyVs<+bSJz^yv8Fe8kJPR!~;iWcso4=@pOZ?Q>M zty%3@WiJyc-X?dkI+lV(wqa!xF*uG%aNxZtY&%OEUo+N^Jg5MLvu4OcNH7y-K#yje zhzb-1R@*0`QozRTEY=+8GJ&#-0+%(}G;C2>VQvOzc`Y-AgI(|vU;`IF zvGAqtqP_#FE~*x(%0**smJDl4(XM8o&e)PP-CH%#PlSHaOgUN!Tb2Vl+{DiLtg`iL#BT{QG`n zNrps#cOEkUo5+PVH(t)vkJ=VILKR)_E|dfy;fm{{(;&O4bb)1HbxV(n-Qx*B-Y!v0>Ju?^T&W^K~v+;B;#$Lvd$&V2;r2JAx` zwN3KLOtgGx=odUdK8a;p7Xa&$*Oe{^rkNsFl|d7fA^U|IX*bzhXvH{68KsN(WDazs1xn+Q}Y3+?qyG zJc%bCDetoC*{_zN5@z;2%t%a-VYI3z!&PN-fbYx7p0vx&E_BiCsb&weNQhg5&6!wu zknx%_0L_|iiM648rv2Q-*iyG7yoGhu@JpbSI;lKcexkhv#`hdGZ3~{6?wYVsmOc$_ za4+kj@u=pBY*LGEL!3H>L-8YWGcHH@MOHeff0 z|NclGzz_Gh9s#%KsyEJ{+Tr(J*(TGV9JB&bDE*K(;g7N8K)OJ#2T~|H{ZfRs;{nM! z9TNTGG`jVu1{h^Q%a`!n48-DQGB4o+xPA|yk=74664gQm?1#aT3%;f!~&iVQOc z&`C9nwdl{6ma%VkqPx)&=wm=!G=k8i4o%VmyXOQbNCnMxy64U@0?JVd7LBt6bMA`t zF`nkF(O@8iJ_iFbYg%xpfhu6PKa@Z}O#u{`EeN_xnNuHSE_!)nWmJ*zvoZ8x4hovf zdeMcA8xrRUaz?RF(Cq|w1iSBPlRR%%?3aY!zVrrK%&nDLbcB4vS@MLx)hJKOiQDG-`yTE@H08lF)#Sg0Cze8 z>}w*oV5QMlN)_oGw~jT;Cd^1>vAHaOK1V2lah>U;un(7g2lFQtp0a?dV{lcl?=)b< zVwA{8pBsGAi4s{%?jKxECFpd5etaOto zCo{vpVeSChOxwo5G{P3(d1oB2B)cYC+Kmb+2-I>UX}e9xdGerFH1QK-R!a!7L|L>F zg{`h$291!fh916~dr&m3g&6l?s7dXxOGU425;8^LjD~|KgXC}hsJcI5;d)f*=J3Ta zkgHH8VGgdEVlovrWZD9oBh%ojcn38As0PqCCd6)Z5p-UnIWRp1GXqp1p`fD-F6cFR zPVWrrTN_B4;4WvX$APm9$i^rJ1!A&Fc1wUqQ(=QNA$onx;q~vQ-~t>SUGMgVY`qKB{f;bR2+1}3QuP29Frw&_F2>~l3~jz%^hw= zyP?41^;H$LVI`Kp4|I`Ap_F!0w+;Hz4+WDc{i_dPtY8y#lq^81g^Np+~{F69~;v7Q~JqCjL667r8kYmcC z%9PYq6|98b><4#nGrkv;h_cJU%J?orW%)4{dfm((q_>E{wiySd;7528j5fY0U=g&P zh*O}C93%@glO~F-pc4aRWD|n5^7DH(q8b^-9#*D-`rBW!*$l%hTY@{B4a2xH-)E5< zrXIiu+=C~g1W7hQ5Y*LGw%yIxv~X5HGUa)fg*U1N6L{PQps#5l z#(en0O=WmuE!K|{cmpPYPJ<+AG0F_VNO7Dai}A-%Nuw?iqEs?l^kR^dMNI=TGZ?EU z{ks@@pq5FgWrwLv>BoJHr34*yKrVfP;owho?eOQoc4D6n4-$0$ybG|g`d#;4^S_pT z4$!f{EWPdK{p+rN_3tmheiwHGQFhsXWPsyJpsFu{eCdwMM{!*1u-Ao#8$DZV^49fx zu$RUu_YW<5Sj%2J{nf=6T%F@S1eSIbg%mq)K=w_5Nxk%fDriCory8@AlH9h!*0LAp zF-sQ?Z2}ovcf5!3cV7A_Y$S5``$3uB?!nSd!uB1n&R$n_&EC8AyiG}_mjhJw(KTDw zPk;5*3m}BRcbEOEhSRr5ggNI8?T6mv*h|lY?18&FURid^fBkSK`Fl-Hh=&fm^cbCF zj@)^{^zyxTLdeopIkGRG-aw%m)Auq=Zc^V2n zyl`+FdCHz;tL^|2?lF(twi4UY!&TGfpQ-geMazDW%HZ@@zd=QF0Oe$Mj(vMd#gj$57Ki-keu= zoPCJDb?)R{1#Xm+Le77j-2I)e>e?ZOZ%f^@Ltlz&SXvL>^*zu$lZSA}+skL~sp;t8 z^=ysf40o-bH0WPl);h1uHane8RP<(F4L9AusdZgHFOO}HtYL z;I3)VdJkp-9i8p?sCGkBEViglfx9;oICT(vu2=+4x~PuuXVr~lhALI`kNiQ9=`b5z$AdD$L0>G{OfuBsgD!nPyeZUz~f!k8(i&cx0ygZ@D1 z20*E`MS#b4v34xJ5e^P%*_EL!$t3pW7v{50vhR-G=N>8#Or9M*opvehL^hbIA#Sdk zc>Y6O6XUTeD7YK4u{%pT$+1HV6zuJQId;s^nhd6yGjvS*`GaodwC=b~-;o1R)=}vi zKD=u6oZ3uaO6=z_XK77mYqBAe#;)>rz$crkLao@QHMYA(=Veb#O;0w!1~W!kYx`<= zuwO!un_iT3*CH<=q%8o)&g|FRPm%Fe+EtfoR~>AX$+|&c+YV+{_rdt8FAx$gJQ$1A zxoHmW_BnaSwgTH0mj=_$fSH*%=^^ar{`c`fSu1iUwv-PJ4Pa|}EV+SX@BTcmrV_|RT6?P zhRqSjO`Yrvu3B5}TibpE6trJh?5qD$BI2&OE1C8~2)^QOo#*YXyBQwzl_rOK0zp+0 zm>#P%Lfv7`X!D@-BJSQ}Ps(7A`|eGZD1)p+CdJ^r7Nc^KzA5nKSRfo?odB11gqhOi zyAQI*l))o?Tyw5+kPTYFBNt+y5I*e4;(dEF@{p?~H!!1_I_T&yeP^<%;t6(4KpN}> z%*s^L@EfBpZhn`w;;YMf5uEE@A^KPXqSjh2GZcDt#%!FSZ)QLcKUzwL(%u72O6z zBubeA5=YqJk_3r?meRq)fp}*ozmAcj&0Vv4Sb;?UzCQ^C@|Z+UZ(f+_9mN&l1g0 zadWr{tN(+QNRC-B8YGk2LCK`;kd*DrR4H4~m;?}5*mM{L zoVL3~k%f9Rs?rJ~AxMfU$j&*f3`q$a2PwJm5i{Z@W*q4IaB`5eo5hL%qLVNf32O!@ zFW{v9Pi}Pag15heWT;xw82_MpVFuO2s6>;_haj`Y8fg!5JB^{*?G>jURknIsY`a+obJ!VRtuexW4M*jnep(UAcec4|Oo?omK}HSB3JZcQLf zBq?a-6vW2r#R^8)FoXyLo2SJk=^bHp4ceTQWR4MvAYX{g9jDS~nr>!ZA6bd%P&A*I z2t<-(Jo*kqmL$Z%^ME9Mn90uhMCv3xa+*O%z~+GfYmQ?bE#b@&4zC57xrSR^V}wG# zWTB1?^-Sx{xbkZS0c>JpBM}JU0yQQ}17F^>15@M`^-+%Z}mo`^UnxwBlh>4$`}OCYuuTGWaNA8=5Cl=R(USF!+^!`foI zxh!=SZ-SK-EA3OE_q68A0nL!CkOl+N4VFXHYFQCzpXNDMNVp01_bGzCrI=xJ5W5P+_i2Zppm6LiYi|3fM6^pslaWd&n?jiDVA;_j)-E(B+*k=dX;Ji%aSt+Y; zusz7T+P@pcI%lFG=@sNBFT%bNm5?1Pm9iV$5vOtCa9SbB03i?ebYe0CyUN5N#7zA; z&*iAAK7tUt`rEqikOw|R-Nk)W^L+?3PpV(dc8Lnqo`aS>9zj(|RVM1lU?AK}*q@wN zS8!G@BX}T8I~}xbOdG|Uo0)xX1k*guEK~=Q2ZK@(w+%$uB&#n^h z&);1F=vdUt(1fx6U?hT;LL0BKZ9A=LAVU)*P(((XK}oXRuo6u@?HzVXkh(^;1Q|k5 z4^?oe!+Dg(g^rr@jh{_zY$_Cj9LLPqops+3_rq9p*PbZDO6q zXtsNq+HA+S6B#K0G%1>DZ-Vgx9fNqBNs^7$s}9{O_`3>xo`SPvi^U=UJ2ovBN!rzY zkZrRXC;}=Z9QL3T>r9R%QuqEZJyn=XkdY3>7jAslv z6tlR`hfZMa9Q3t%Z*Gs;K=4JTZTKgS#|8ePuFhw!N|bUlGK-UysA`zmY?}tFj7wNX z#+zR8A;*pyKkAbY^SF}iBNW0;dHTbTEFgb;kY$**hSqrO@8sRApK(@ga3jvUeR#Jc z!0K(o=}R%c>Wwpjn_H17($P|SC4TU{BiP186vrGdbLkvQKREUxli+M3AfPXXcYk!0 z&Lj@rdoB#=3x;zUM)p-03Ku}ihk8UrcRypb5SC&>;RnGoB#crEOqA zK%EL!y2A^mmWrbVX%%?sS>opI(o&F7=Ls)Gl5W6tkf`tvD-ijBd^A~U3JT$pkRU7E z$XGQLMS3XUxPr?9no$2649PUDassqV(8 zSRh^7j@l@75Q(dGP}ESMA|M3{9RF)^P^eYu1gG`2GQyEtg3cImqmm-_h{6HFC>!hw zB-dq2g^rSx%uGe2xCUm!WP-SB6RKYbo}zMM7}$iy5C++uOP3^l5~1n)x^_4pbFc4> z`bwKx=YUT!KbprC#UyK|Jt&F`K6Z+ZF_@+Oxe2!do{Z$(dRI-kYYx7QrHtRB_)J+uj%~VW&K_g~_K80yzajiOaG!Vo z{CBQ=dDY`f$-*~~#T|RFPPAwF69gKJB-77e+k0HP=5Es4%N-Iz+_W41-nAeD2LV3m z$&t?~AOvX8VC4g4}<4T-OdX zl5;;gB9{!5)pG!do>ns4;{dZ1J3y?uB^2A(9?xzNR%{mVN*!l#ZIv=t1;Q~JuO{ot zVoOD)&ndZQQy@%`VFVr9$pKD!v17Uo;l7nYHhc%BBR1+8U{bKJ&vE)Pfpf9RC?Sc_ zcIFsrau@3ggBiRvmsw2{@id;aVhxS%Lr(JHOnYx;?Novzn?mHx5zKKrH2rP6HwAA6 z8Bo0?)?{sb-7w(DdXOY!lMwpL`2<^zzc(2|bdnCZ>+0Oh)Uf7`2ByW5Fdl0bE-vMv z&hp%Kj;rbtAosdm5MX#hX2U}5DlB}sfqAWj4!nq4vHxrwa(UgbnizJwdP8jhV5B51 zC}@Tqw{o?Oadt;*6b>NccROy-!Lml9$D1u|a5J`pH!QqLUM+bVi_H zvN6-|G!1u6wB1#!A>`+wPC&;(e$QYHHk`++IAr`r1E`0>>I4ZwhW+p$$gMC(CTqOn z;}jcDBn#TW-!LAUc-+?PWC<{NIfQ_FqCJ>dJ+E~p&iN_Yc;?SAt2gb-kB8W51c$$?sM*08;JY;0N-VS zs-FRjxN~XUplYn~t-@=R{?+Ae*?={h3&P|bbh*R24AzseJ7UtZ;y8tD)8!3jrpq2S zD)I5nbgOlyW6iH&n_OSbU^%*rnb4G&3^cZ0+;7EBt{XI=4P`OqC42(8KxO1!&f6^g zDXk#3mxWIvAU6)Ueeic5kykSqUry_0eOR0TIxx1?XB(pJw6Sog6~=$`=dy(~DK5wr zlnsZL??cHBnPbPXQ^k?(@9@4o8^thk14oeU3Ss1rcTWU6jdAL9r%Vhrm}^%v^k=za zw#NkZ{?L^b%(z{5p70G62>s|~Nnj1xC8~?jYcmiS2F*0H=;48ZSK`2Yij^t4fqHR@ z#u(s60JqJ~!F9xyfdjf`10bU-ODi8tVl)A&*@YN0>Jdef`lxh&Tt{)BTSo&&4C&CQ z=`aCFA_#pfI#}#zNwQres)$}JQs~a2qP{&~XX&aALLZer;vGsy4w{xs84d^=FkpxA z)%u#n@M{rSQ6HYMDi)Qw4rrMy!34q4>O>|fN&N37KhYEg&J>MKKf;mxvjP7>| zVu*?p&YXB9h5`nw794y!7+Iu7eQkJAU=*z!sYeUAcqcLN1c~&57r~UXf={3csfeI6 z4MJ#EKoG$P&5@?ePZF&4Z3#lYT{h|cZAhT5qEPS8rx74 znC&>C9Mx_n#J6Xvtl56!anzQf%dpG2%f)v$R!uZ-dN8!vPc_;}R5iN8v;jImRl7pi zD!sa!+5~HflL;ocY6&ds2L9~xEtR>}DM^)R8r+Hmv{X*P%5msut(nqq_-}f5G@^xWo0{cksB; zXc4z2d&Vnj%XCx0u`fCr@+7cvs?K$9LW{bIx-0d?+@5SB)SO6$LcGFN$$qqYXm7Bc zxkhHq$$r^E?0L(gk-1~UFCo`HfLEqVv_P%N8-mE80jJcHiXY`?cGA6uAQ>Hcn^dy5@* zU65M*(0gFot;xofZt|ZpE#wne30HL{M96XmGA=T%CD5o_>=t1DCLPaJXe%=z!W}*bK(k5Y4nXQDcPNVu>N^0G zn0t9?7w69594nLDwww~5yQIdSOH#u4&SWAKYD8WV_D-OYG$Efr?=X~n3S^L)0Kgl< zH&W=>kU0s{-JQ&wL%CyztY%u?8JHr)-zqoaxc3AqhDpKCBa3$n8>=(Ald#FNGS@Vt zX9vA^LAJrwG4T+lI#7^F_5iq(D|=Q~40mC*s!eM$rL)l)R=c4jgdle;auo`)+@SR) zpOD^1((G$-FYRarvE6&*i-O!c~8@h;5#l_*;o?C9n)fmt8S za0|Ovp#e}&`iDQynRQ~n6%xc<$x=M1Dh9M{Of8{-Ml&avLYvo5$B8NEA-XYtMY8eU zGbVRY3%9crw6kKenkfOgLA>}e&{XmmRs7)Y#uB;0jparlh&id8Irsz_sHR}XJanoV zaX%WQY)**eEM}CDD33c#(VT3|p+a^1G03K%=s-SE#jX;{1qtfnn%-5P3(cxP(A+uM zmVnZJEyN@toVpU|28jxZiK+u_vNBe|g<0mz*0ZNV^s)*o6+73k0|8+oNi?p_Xe6xUaT=I8*)BXsvdVL64Ba3zB_-i3$ejzw=j_a_jKGE>pLh{E5s=vyj7 z6m=4btZ+a|3h7XcvcX_sK~br2sshL{Jk`QN|EU2~W%r|y;JXPwPJo;Xg#_+VtY6=Y z&My}b!V?N)M3imQ>l;#YqxezZD+(plYP=%N*iltr68-hy?goouoD?u~-0UL96M}P2 z9#lHhbZ?k()sGi!rjXt-t2~BIORRwjob}=lLJWZV!nZ;z2#UfVh!mg~g3w1r$G;?* zy=;6OBMUgjQ`8ayPPe%rt?4PXs7xuw&;TG3?nfL9o=G4`{NcJjSKbM$&5^y+YROEn z;3h4Hesaz!)p3YWQMxP{7r84)00iM(rz1&FM8J&wMMU8GjAG<)rGL%;N8Hx{Mpa$; zpZDfvcoQSM3;~Bo^}c-2L~T2Ot`S*tXNYu?r8)v?v{Yw65wv!(tZSFX?G{CBrBbBEQfgIj{=akIgb&;9cDHuDkoR%kednHY z?wxzj{do5$^~uo3p#y~C4DmB%h4Q3CS(L@CXanO-RRQVOuo@ug4)nvgd4Eic4sEn80{h^C77h-XM}641u4k(#95g zI+2amjc4kRa7adg!a;zE)`*iv456tw0L>dOa_|!s`dS@!)R%B6BY8!xVB>Cwl6*?+(?cfhOZ~f?y z>HmQI>gox<-@M_`S-anz@G8b#zx2+;zU5CWym9lBMc~t|k7DrlfmyrporMnu%W$JW zPt_Q)_<#V|wt9Si-{uV%>fO3vXz&(H7;6;UJUfq5eF~|oW-Cs&xdTCw5WbB;B*;+l}DE`=zI*v{@*CS`h{H^r5XN#c0Z=S)D+|BbLuRa0f*VU8W z#jw2w_GK-1&4H;{?nn16hs?Wwg4lcS!=w$!6E*MU800^s&O^SIkHUh73Ahjg@%X|4 zhQ%K%U$$%F-AMMHEl*(VpU2RTht)JNY;O1L=Q)wXOg95(sTf{!g7jWzga~fNp z-g_g@SqujZ@L8)FWp~mxh5?>`{ym$AiaiSQo~_8sk3u6~izNRyMe|Qh1r7h41^+U+ zCwi=|9lFuM^Rl|fatNsFuZP~cBwPw~)7&(}?OA`-XZlt-7d*witeWtwP>gPq23cKK zRa;$QyD!_Ok~_;kb>Tay+w!i_H(DooY&JN(GBH;VQQta`yRWeTI=kil%aYwpmU@U9 zK(Oh06%1d1W&4rlx#3711e}+ywSwt6UiOwTU0IWvaDUQAW=%8h*ahBdbk}xASi*0lL-}ei6LKHeaP->tNVg1$bA?w`dr(X=!&&i>UY7(!CYg71!g#2WMb5 z9sxSGB`v&FF;TH9d_liymd56WqcBqrjQWBLq0VTQB+_~bz7h~_cXJG}zgm4`A3ajC ze1+Y7$%IG@%46gv?;92Xxzkz8h%tW-NeW2`nX*|}nJ!U%N_fP8NZ zxet4Ll@Z23Zcf*B+dF_bmlhZ(LeBe1f-m#-7*2QniqkZCTsR5RZHaek`{#cmPYEo?I*qVkxp}S=F=EEpme_o6Ijqwi5=rMV3WGFFif`hW%-8n^g%ca}DdUTYs@ijEp9N#?u#M|P~GV9_EX3_?m=<$*P z8v=UiZ}%hTy{?I~xIiH1c_^hHgBMty36xzkvN3K(eudGMfmm(HP4zC9!Twd5v59dg zp6P(LU>j=+CLdM)?@o5E{ilzkXThFN4t-(6Qasg=$zTl{)mTx}E`|5XepT?z+A@WW z(M~eYk%&Ct4+T^RxPCI4F`WRMICycVQL#hm$N*d{Gbcbs$FPSzG6cjzM-jo0B{)VA zyAEfepM*-Zi!JyTokYc6S=_@5S_iygN|(8Cl`;B&jCV-@u+fUTZL;B2pu#x$I% z1o0(XFauUScv!X#tfqo8fIrA#CJbqqEn;*BShL5yG|wi%c!fpaZm?yrx(F)zA6=6? zzKzW`6u{gMCddN+)DQuSQ29~`KI*KiV@r|*>mETta@A*PGW>4%@sf~Q(U+%@24CwC z5P6C!Y5P5^e35$i32`Og4{|X=TSNhgeiVoTL~Q5BNAE@3sY9{D_LO*Vv>`x5Pf6kh zHcm|o?ol{G=+V8#mjPCf1uAhIj2y@qgavP9CCe-;hAZ4n!GbLpd4x;JM+CtKg(MZu zWv1@f2r#zI`}tv1)v-0XH*132hAubDAP8?%(x9Uc=c4IP8QrM6ef(KxOxBwoLFM0&NT<6Kv(YU7 zxtcibE!%Ney*&h~3X+aJw!=d^`L9J#)tGB7$D)koPRJV`oZN~eTZ}qP!}zhGCAYy% zi!lq4a=TmH>es+zm=_PVdtsVa1x(%)BuUkDWO?EPXtm3xcel>1ip-nCO*2fjqqkk7 z16M|YD9gj&-P5MHohzUv8kT{zQ2esWh87oizdNe4tbBIDnCvphJadXw&-kR% zB}E6s25#=W9kAdPSlBTB+XJ^mFwTvpb0<^+XfRy3EY!i5iwt7R-dBSr$I?)~w|@ky zbI{(<(qNlpxrqyg8|Llg@%diJZ6HY(>sB6NA?=+bk@MrbTT@0pm`Y{P*c$L1re0RL zMwSRpvYp+PwxMwzVQQczer7M>KEbCCoG#f1dXaEC}A1$4OoCMdt$8dtR*8 zvqW|7-f&reHW>LDd%IVqJ5hu_QhZ=44$u81^M6$|oJ27FAP{HMz6*ndB=Gf?tz_ztFuV*exzZJBXY zadCs>(gZF{r<@01QDeuAoXHjBM%2Ar2|77EmDDp6*McNQrZkzb3IY@a@R>}@4W>qN z6^6o@=Myk{U7ExIjv5I92Pe->k*;aYpvrS2hl1mAGqW^ap=QR*=mbLsg&v#JZ$TXgI~=pcz(3rEhG;29&H@4K*9=QZ zChLH21?#L-u!P%05-CWSPNnz>T0b4YiESd;AR^Zm7~jX_m!1x3J#-Xp3Qy_W;piMV zw~9ev1kYDRV9*W3sD6OPINTu&T-#y!I zi=ogOSP<;Wf)&t1b7E`pm4S8}pM;=+hp4zZG6;Rk*@?)QjzBSTSuBfR;!}?5c39i2&I^y4~D7%t;x(Gp;`>vpN!3;suV>_v=Epqu_UCY z6e1x=ef&fYQ~E!S+Ce*^utX4(J2pxFkjiBD%D`x@AiAL{kKc7JU78?Dn^h8-cL^+| z3t)!NFdFjCzc8iXyprI6?7}rTG7}x(Ar~VS3E+#DQ7Gde@VGt)L1YPVKvozqI5Xm6 z0rEjcxa88!vjgmjmMaB{pX4DXI%%eJ&^gER1s@GaQ}{H=XZ{89WF6i>X=Mrmwuskf zel!#gQL-V8 zX<+gWp|q$w*O{CEiZPDt)%pR30S7Fw5->uTdnw=}v0bx%EZC@~;%i@2s261u^?-pw zghs~XAK_6SA) z{ph4&F5J}kLT=cS1%*byjz0bSx9PpNM@&owmb^u!eWEZ}nCGlt=otBU`6fBcup197 z*=~tHdWG4J8XS3`Ll!#D{)2mB!Jta3evL(!uXu82()f;~H4@$b&vVv^DA7cxO%ml> zfJhX)%f@;Nhg@vsx5!biJI1E?#5FSL+T$*zu~vPz2fz()ZW2kZ$;n{G-W&s&%KB-c zv!3@0q(TRHaX^M)(+O!lS$N3+a#bN281FwgctmU}B!?ZCa^O-LvF|=0f;7H%*@r25 zKXdyUHSE2k3J2uzzyDm}CBR?tI~o(qLT7eA9lsA)uXJ_tA2&!UWNv>?ncoR6*$Fa$ zu^>s?-05$<90YU&+ykL1wbZF?!-_Hc8lIT#Sxqt;i5R@9uI7P z__P1-e&g$dg+<7n83(=-59QB(cfY;ah1^0jY1}(17 zZ;8V8>B3X{_XAjW#Jl?g9}?8@$<(-XKSSk?*bC^){f*l}-nYH+HTc*Pkbla^RfUV+{c!k_Uw?M{{?iJ#?OihX>kk*^M^GV9`X2|T6b>xfa{q`Q z4Ca@-G^VjwPLRVu*BbsS7Lb{|WZd6U7?8n@_DxV{-+k}(Yw}NDDMXNI?;dEm?44m3 zbIzYKAva>L@r6PwC%MPmgK`2eUt|MK+%JdJ{l7k&ySINFzQgI)zZkqO^_x-ofD^d? zTlB~eN*}hkc6jdo;0IA;*rX*-_kWJ|-ZWyy{=jLQC~Bw?gM~NI%36VZ1iN~Vpj2Rg zhny_L^2@L>sEkwM{$OWR1L|=%$wc}Vk{7`G0{9+rA#SL!mk{>gH*+>Q=tRwivh7E z0M+tL2A;BR6Na7@&jlK>KXBj@YUJ{V+M(6xp^{8$l^U@>jWW{sqh$a$e=?8Qrmh`u zf#U4PhC0`9?T`v8b5)6|93n%V;N$z~nm>#PPY&!{)cD8Dh(Uu*H8`vQQx%})?6_F+ zKD=gw+K3vS{t(#C9mlPm4Biu-yPr!G+r$d=u=23UIEjx|*|3FY*(N=aawsoSWwZqL zM(n|2lJDG9_Pe(N&rZhgCgax*c`HzegkV9Hg1s9uY(X&jl{ReNDHReLHDCzZARy8D z_U_;$5nCro$V+6g&IXXtup%#u_8wL^I}*x`9eh0SA3r;BOLWBkL7-b&a#(>Pq5ScM z{lXN?q&q`vk@Le26l^T?`e-QD4oohC=E#P(xpsK^0M{BL_WqI0{Q{E<*X5nYr}zKt zQ0<^Ajq@2n_v!t=XEH-)61_c0w-1(UVBIZ@i94e3A?og93ig;nH-x(E!#V%*JQY%< zTeylj`Rx0H;|BLWng7(1Vma|^hZ@Ne6`pM@^aoU=Af4fqvV?4W=ce~IYI6RYsHgIy zM(j^b&VPQ%J2w>uPx;-dz_{4ioaFHZ5r2C>eQuERQktWlL^JRSg&TnvjPESWzb*OC z4E6*Ty}0Bj>T~%_;X`_|%xbdC!XHmtNWu5$=P%@|#^kU09NltL;NtzpKcIFn&R_8&{GrW5W`h2u)kumDebnZ!H_lksJ$KH5BZu_TKb_>4Q;VV8{KI)zHXZxg1DQD zBB=0PK7Px2E;1l*A{vg((={2f-we^99mv;|lNiBnpNg8yz!vE>#_V zU?!NDm%u%wr~N4sZ40bH1S)PJkE5;~6fQmz3iv?=_H!nV7z8#e*A9V_%>05H@z%{; zJN)kRh1ZLCyAzAAq5$ebOt;y`qO~t%=C4v^qf74iutXT=siqu;6}fQ& z$|K^rOE$8-LFR31b4_&b0y8!?@5e@xkKGiw=q=;=$rC3=<(dWXq#N_LQLy~n87G-Z z&ZNR~5D-j|4W&XsE6p2PB6pCNAcJ=e+goBZ2HBvK1R0X(Y=9&>(~i0+UqgBKiOJ@K zMI#%d!9NbZfxOHgwYsM!)N#Tc`yJ$tpXBRyL%#I8H(7tCcAx`9o!X@cJWdTgp<`*- z@9Ff73ZL6h!8n7k^wSum;j;&aZOEhR@^*n9JKMOZhh{x)?OQN>%iy_?`}gwp0{z=g zb}8U-Ts#;GF8DOah5Q)>@yEijiBAQWJax<#+bML@WO3Qkzx?j|^z{%oa|b#bAE#l{ z=*cDdiY0kegD58$pLje%N3&sIs>Z@VK-3hJC{wvoQjNbmd;bcM%L;i5wL?d3vd+ardhj3>9j?W{iSwY}JP9=>Z& zJkTM{40;ylZ(|4engxzG+o|u6W%;J_?mF?q82hdfbPB@kyTbL~B+;`N!JfYBaL;1B zBz(k=pC8=iEkivEcExqCWsUB&Y^1UM%w)FXEdY~Z&tf?9=AOkOr|l9nfacRV@Ztc* z=vj~n*ATt;Ki9M1SmeV1LIk`Dk#& zzAv%&|1`)Syd9XxJ&S+5cwgubsZVo<GOYhssH@c0k#oV$b5WmO{TAma)$o&*fx)7d5`Tw6OIF z^epmco_Zj0-_^%#*|+w|eHVX2ZurBLf7CsTU#5?m9HJ4rXMq~^*OIw?BB9Cm9sV|y z-97*D<_CZP1(Yd}LO19B0O;5T25kM$U5{L}eZ!buK(KE6Y!*nN41D^)fmi2Ww|L8` z*R8wqgMWSR%Ud_R@WGOQJ8tW#d*qv^FW!DCB>BaX!+>Vr{?A?4es;u$>w4e(x37%( z?dsJUAb0zQ7have7)f6D+8ZC7@hygT{otBM$9{=%bFW*nx$%SV?Z1vuc7N~|$QNzh zaOJ=6x?=G!uwMY6c>(Ww3t~UKc}yx+yZx&^{1L;#fOb>Mycw}G*9+~-Sf(>(-He(L}QfQAK>&s<1aScQ+SI3T7B}p&p{FZw%*QosNnVPKQHb3 zV9EA-w?BF1V?Kzj0{HIs4gY-qm5(fb<%gMDuKeJd_a|@Pa3#n{G9cK3%Wrw$N`}0B zWe9&(=Zr%4CLD>57s~S*`EQvSjUFk+jayNzq;Ydb?-gE%J1Ft!KpvI z_&;E(T}u|9{Q&H}ckv59T)leF1B>rF?(9?dyt?DDSx9;36VS4A-OwEC_ec&C;5f# z;YHgn3IJc(XR>|U?y^$C%^FCwfiobaq5-7Sg^Qk`-WXF z?m2extKWkape2gW8+#vuWJM(0J1%+`WX?3?PQ!3S%KxzePrvxkj&~Pt`${`ry86+t z-Gtb0UU$~^)n9z*xepfq{41-g_dND5A4~!^^V4shc*gAy{L=&Xd~n9!6HfOp@b(Ma zf{v342!r^X$I6Jz{}#=Ya%Ff|%5YOg#GKZ!g-OUNhG0EuqevuUUv0|N8Yb{(+pMKX zqN}_t#3;KHtc~dmqLp~o(uTwY3@CsJ$r(7|gQ&2jECaC}r&nP|oj6%ud8}e!h1JF6 zk=TVQc0xkZ4OXV!pwEOY&#H?eNv9+uE|AS@t&9s~)(K_p7S>*kuE<&KEFcA$+yUNX zL=)khV`qBi$@uhOW_+g34qI!rfDtwZB*7_)3zmd57;F&Y^F0LQljp5XLBMc3BJq8D ztsyQ52eTe)83~`15%}!L^ptA|C=YcQkl3*{ibR5$KoXmoFfVdOfeBh{<_!y$DC;O4 zyXNHP&FiE}gt zJ2w2>X+Ky_=CcJ^9g-s}G5~1?oNkX5szc{97rYsp1IlpFKW;a18*hDy@C2YN@^HSn7tzvl-(e@Jw^>S5%54}c%m5aXC-#L z1KGtwP1pn-8OLm8n;>n^#cI9jAm< z{6)sX0xBGOL)8JJgPC}9I{2Hzc7ZRKn0JZ$oj4!}t##96BpQY!z`7j+<&8wpP@_~W z5P8i?FI5+7E>)f}rT&6=c{`P`+{qkJxe|8i%`9MO#&{2KQC_@(0D0Sy8o1CHNCn(G zsr;EP)dP5^=$Kq8B11tSd?~}0mB<~p^*pvW#dG5@5q%*d*jm6)o5S!GsOJV!b`oe}Swa#hvDJvpV7th)mZ)cDOYrXWG2q>52VX>z?)AV9 z=A3VN5u3KS-Kj*2^U^6OK2sX{yF3F(Su9{3#)uy7b1`)E6qIi#b2h#OgQ-#jr9c-) z2{xmBR_9d^e?kSe8HOY%RalJyq%wh$fDdyWBq5lM_Na9n6*v{k?J6*vRPg-%CLPZ&R~gyYU<&|Lqv7hlM%I}2_?$ON+CiONpfmI!TvQ^ z0Se?;De&Sbz`{Y-AlL=q6Dor07^|g`t3IyobtcydxU`J4*Cvas}QAb3#a4f+S5GEDJ`l1R`44!;?y^He`vT z3S+k}_hj=XK2tX#NR=8qmERabclp{}jJA!VDi&OmJQ=dfk8+aeQ# zK^LY_3-zb5uH?r&qftGk1?%hkUHe75Dr!8Wgqj-p0kzF3n+w=@yWk2TPENac3=*Pe`EAd$pzI_H5u$8lofq{ox>2#&g;xvN=wjCmOW^ z%7Ps7+ndr~`9QGZIEZ|+qi~@YOZjHR)K`UQdYTj?s`m5Dz;GMk^T8>U`vQ+A*^YD{Z z^|^eVFxQ``dTxzRM^R*ySEn@ZmgUPz>7@VxZ~lTlbJf*H%|;INv4BCtI}yG^@`65U z6ZX?|Y(rZey=af4P4@$3Hyl)Ce%pvYXj)$N2|RUiceNpCO45S!GZy!@cO@#2xYIu$ zD_jH7mngGv>{Af1LX=6{DqlX4sACw=nDYT3Ujo=S4ZPLh_)R7Fb<8=r6IM9sDP)5O zNnlD3rn){6WTbmGRkF7>#6`2eSTsp7h;6a35#F^p8Z5{sMma{aAE@Xo zo&(r8lTCJOg^i{e0MKxH!zReSuSU@_)9JF>zywa&-PnD_9+qU^LHow$DRz=3*mom# zA^^9Fgo>BL?R7htN!FcaBp?C=9LtjAUHkPR0U;;UK}qna?Ji`4No8<70j~+0h|(V; zHYbVYr(Q242{`?TeL_6i>0=2W`T^Gd$?tMwF-g4r-~3!5*SRWcr7Dq4Tr5OfL%*mh|>}qKfPp8 zHXV`*o-UGX4XO%PqSDCqp?0`nY7U?Cvm~4{xpn4?_mfv=G*O;)0)8!_>q*cvkb>J~7IH^v%!)U5#Dy?2JLbJQUMASV63!+*; zY`C3}yL2X$7c{*bdRd3yZKo#fe7tHNYaUi z+v|}#7jhQ6tsI+r6Y*|VKJucS8nZs#lrk?*W}$o}h@TT3-HJra_R9gUEyF7X19K#!JF$63)zq`nLLcrs6;p~=_yFUa-*EIyQR{OqZE-3 z0Ih2^|5r9Vzy-Mh#mPfqgDK20oTSX-T^e5rUPcz>BocODp%iyLxo3gcNL%y93A~x? z)-jVmCG*pdlgzy2$~*t&o&_54KMnA$@L&4R-~{Ihs}eyfn`S8Xvv7$iM1IdsM0H#c zZM=dY*-yjo11*(gilTr4e}S3c*TO*cKJL-D&JH_P-@hjgnze4?o0fn2h8DP0=svOFjr< zrx*WqfC%#7O_7E^HHbrTqC-)OXMrc`aF#-W5-=nSsFM|_VDWaI@W60DYS63krDp0! zAtw$q!ZBt$-aV2`@Z3Z;7V^V2E@uxJ@8a!~m|QzYti$zcq-z$l$x>x^~d;u7|;-{_kKrzjDp#vkH&g zg+0+V_UEern*DHfn|JD+ae>3kGj=c+8RTOyxQFbN6yH29V?!5C$yRN!-{XN(xei8%CE?e4_Ab18^P@k!ee>$me{=c2p0Q|m{arJ6O~01`TVMVDij|vhKjY1K zqYq2Fd+)v3+Jz5pet*Ty*lhm&%g>(--t|T052`co{1YzP?bdJG2r$@oY-jSrBFgSe zmgKH&yH3?Mfh4P0z}e?d+P3?VyTIh_A0SDF7zSCxyZQpIUEeeDnaz)`I7X|pwed>~ z28(@YG~Vwa9M_8=H#VGEe;D~Yto(O5Hp2izlJ5Xz7l!q1!VtsvuD@g9I^fTOJmEL9 zw@#R~JA~L@*A{#pVy_S9bdp4a()s_bu9xx zgNYBjd;?6ia?<;=8SnS5Z))DX6QK?ySvlc`uW9l@<^57MRM`IBe?Z}-<7uO z4@fKYr>u;gn*OWtIg`MNy%MgG>-J@gw3W?OsBHYG&^ckp9;{7B*DWbA>ecda7w@?e z0tBm_{`NdMui6^ry4dd`YGtQ)CuWRx5*r02=7@gmD&L=-coDYXjOKy$me|$Kn`UHJ zy4Wr~3;~a22-dOFb^suE4g=&e?~3+SPHWwWgJ(<>Z}T%f;XJR%hF>qSIsE`}G*36iI&E`*>C$SC7jjmy5<1P{LvM*9e+k}5Sv!&EdTwT|C+<0Mi_4f31g&*|RV~ff2Mn?u%`Hk4i{nFn4 z2g8#AEI3JS9bH+Pu4YM~e7Xlowy(mTE8)?fnm6B-tueQ6or~NFpQ5vPhGRqd*7>Pg zuf0BvO>$-qziB#JEhlO3s!ibI?*8`rlrOhg**s%K#J<9qmsnWq-H46Vz4GXd+3>^7 zGw{7PENDwN`wYlz*!AJE#Cg$f>@1ULNssSq2N`(3U^Hghk6@Ge)e`v1U0%A{Te~9J zIj*v@`F#Ec9)?ZT_O-hP3dFhX=bHiT)V`Kk3QuW<9LN1w}C{AM`pG^an4 zuw#t$42S^;sKTw7f3cJ4%sdmB)_$j(U**iSyznE<=Z$V{**H;NaHi`SN2l{Im(Nto zMmqR*f9#v7bv~-`Aps!?|8|hYU{dc3XhCjF3Zp3Noa@&N=&9aJ{rYh&y%;^#Nnc4)9N79_6nf(Nm@+6a3hQb1C^kOMt-OAMr=y6u4`qWyG~4C4g0J`jat`;;eC$DLFuc)`#Bj1aI+t>y z+=oL53qEqNP44-?#nu6rhJSVBQ3`#Z!qI;&NTk42xxR2l0#X_werQ^;wtmiE3x+SD zI_B*Nyf37^RF!<0a~@qL%w$2lJQ%W&_z%62ZO}FW!;?tj(!fN}-T;4s3s=|((2~)+~nPjAEGMo*SVQ0pLhLqT}_?;dJ7pr18>Xw^E zw*#4#?cI@x&54;Or#+HQa8;mARiqi!X6r`RB)8Xlg_^A8=;((reyPECF{@7F+uJRp zAHcrY+8SD7TkkaLY&MmJB+DxdGaPQNoeGFyH%4x?rODtOFWMwk4=sHRE1cIofur_WC|bGDw7r^ zQX>;W7YNR@QJVL;1m4v;(@M~MES(OlV~HiP(6PW23G^VTJ`_hGC2!b~6dGPOca~x{Z=eb`@MWRG_+v=spv%*?~oM?94bP zj+Ul`C!K4$3__WadGXXq=~z;DUw|Z1)FAe}qggE1fvhsqsN-N*UiB~>v29~a zSP~tz5lqxw?W`qs&LOJ}RR@g}G1fA~UWMqXxDd9w`J5Lm0La9+4ef-4u63Lv6aa%Q z+pLOBaUJ?XNeR`UU92QYEJ=*cN$VtQ;-=YDak9WRbIB%f4>W_EIl9bJPDw?wtOIge zmH|Lw8886vY6}81G7oVkla!&@nal)@r^&dv3KI^=RK~3NkyajgkThy$q6t8f^B`Lc zIw_;fgCkWlGl$k0lEf9%5oW%;q-3m$S`}y-731cbUyYS#==$aoPfZ^=97&R7xdn2E zldRPi#32E>rAf$nCLd;4HWfKB17sH&gO4EjNP%-OpF?NH)^cpAT;ZMKKprP?vKh0% z8)fhru&uF<<0MD7rbt=Ie7PXw=uaVE1oMy$kR)ijNHPMDW(?EHQ4z$L3^IA+V|~Cg zKU3Lu;20PdgOniKH01~Z9a6a$CBd);85Cm+I{nCE$A$$_T;4(5ql}VEU^P4oTa-gV zwqPovs!(|p5~F;31GIvZ)MUUPYnyOkgS|m6n(FUJCUO49)w7rf=P7IoNS#nXL@;N! zptMvWdtn~IiZ!7!AZ|6$pkcJvwreTZ0qc;!&y={(5cP$WEXQPqE3l}}=qM)%2iif2 zNBMx6@E&_yey~jmJCzIEnN|&s2q;JbC)-ebGVqJRfBe2|-1XrPCB;z&E;ul!azfEN zA}GE)mB5QY@sR%YI7lgwj3mqNm6hlJtMKMK?1$(CgrHLg%u&7H}v<6pD z->?mdC_?BrYDooy9ZriAkai8pahKfb*hxeT!;+>jrDg;7n4EwSHkHh^kXfhDV`@Sf zcBrbN29a%B3vAX1SeP~86cF@Lz&Np(iKS95mzou}JV)Uf3sgh=6X2js$sLe-3qK|v&~H*W;SpYgP<|+pzCk`%k z@)N|d>Tzkd#p*lM0Ty!S4l2Ovw=~{KJLf zYXWk5ts(f{uCqx0+(=HxyGTspn5khaqoOo2kVz&@tqIAXY4@1HF0e7He64-ui`RJF z4+%EBM2dtr(Fpl+<0Mgs;TKW5Ley{%%)w*)1ac{yP>^kDwV8Ou3{0a-C?%QtiG??R zrfY|DF`7CAVvAwZL#VI+6LJzezklPG*GvL<=tHx1z59?xI0cR?c9oxX*ZGfP1bE$- zu+jHL8anm_9!dsAEH>kwMP(zmt!0Dx6ixw zVr;6;yR#nzY;A+w=S>oT^Z}_~2OvStpO2Vc(uM*a~XVzUl891vy#b2v0 z3E*A909q~w8Gne)Tk7AfwOqMyA9$a;<>g7U4xA5E*@bU__uZRUPW<%q8z!Ck+tsJf z+Hu~svo`L%XVxD_BFXF4y}J54KgAgRtZ&RXqipxy-bF}u^FtGh0%G|4d{_}!!fytS z5b%ogVef^X@v|7x_?zUjmTd2`9bhsFvadc}=MKn2hTZ(o*FU1XzxjF5RIJVw=RXR* zBQ)4*i7~`M(m32#8Y}#`Lcmad)KQdC&?YtZX58f&FS7qVuw~9BOpv>I5O_= z^1yS^CJqMTncND}A@XR)Yx85HJ)+ddO}$SM~zGt+b4z2Pyy)%#f@ zU2QirZ`Va^g&ru>ncjh3Gxzv)Y(~QEth5v6iezGZx(s-1eafiDoJG=Fh3(y+HpfcW zMf)D@^G*@{Fl=z?MY&jfRcY+2>&g;yqLwFMs&G`#p2Wi!+UZ(skZQDh7&c%nH=DWE zIBzyzOK)C!3QH2~3Omm(n?TJ|CZUs63p89eI|Pu6L4H_pa$~6NJ@O>0ICG zT=;WzVXycX0aF>nG4Wxo#scP>j)`ERsWf&~HQD1!EKiC~Z*=aZ<&YUY{@B7a=jFFO z13eZjP*A{yemd-6ilj7g3VMgI`Kr-Lm3Jx==JzWHcGPn0Kl9sxO(3^m;J@7WOk}~7 z>2~v()pl#Dw+*JERV$pnEVbHCg*)rgZdvoZqx;qe}S!l{{s0dT9|raGOHrMQn* z#hnhPmEd7XQq3m1cusv?5>IN_4ZPW!>7=w=iv2;8hYjFo3LN>*5DG_-B@P{YDFsIX z8NGOTY)J|vc;Gvhf)`7qWTwij0!XionG6mBX&Cy7rv#*SZ}sg*4nsrt>_;C8COY$q zZ!Z!o9Ri&29_}kETuV5ONRp!PY;k);u#~YN3IQQv2|gSd3bJ?sGI{{!4Ks}<*=AD< z?@O9P_edx+!m7b+U@opVZBaFV%iG}PI%UEX77zV&kRc4PfL9B-5nE`z6zj^KGEto* zn83xG#3Kz@HdStViV$BCb^%S1omA7%zXKC|CNpGjj(|T^R>g`!EkXE$Aq}ms5y$Pp zfNlqq>lkI%6c7MiYl;ijm^|)Yi&3Q&YK1Ue#1l~il0Yy6fAGQ~yFwSyROmJ#CjLOt z$B!Iz;(i1dZ-5EnDYQ%kEM0L9dZS5_y$}^+TP7Ao38X_7s$N#bG+L2^v=YO${U^kU z`sq$n!d!;rLV=iy<6+1GVl@dWSnlc1Ng3}o(yW&&$j)R56%r^jXQ`Klx$~#S<>jF`>>0m?N3n2M&Zw1y-1hE@=`mRXF z)u^}A_5Fw18(^W^6A3`XR%fg;96#z9e0~%zMq(vS>jJ z+ecdCZihp9j?F}+;dEIs|1;4lwP`#RLm!{kXJZe|z6Z^B_k&uRM!k*U{at+n#3z!dvlQtKM zG~4?yxexMYNe-#=cOyIZbaCzQd!ef+#Ya)g~1#ZYI;#+COkrW%58$+qumxV zB|eBiLvWaC zWVR3#jE!ghkX-<(7cY_59~8(2ql_g<^pGVeiq^@)I$-RoAA$g-tUwdDem6jQq#1~v z1W7NXI-|^RnTM8}Osq#>n?xb03uY$#0!-S)(b5^B3~?+a5EsMrI3h__kUbPqZWU7$ zH;JZ$1mNhHaOy-)RvDsKu65L~SXnTR)$8qbTp$oEWl6}TSRQ08j;-q6vEW0N33F|n460GU0cY9@3je74?klDNe)F*~KSzlMlhPT&;r;(n{c0!vdG z)WzSS3CX?{66~Xxi7pc0n?j`y@0L}?AG}%*N)+Ze-iT4DT_dC~H{!$WM+m5p3=GSz zSsVwtzAR(dC4#Bcp=|z{AgE?5AZ)6I$$n%hri5`!Vih)uDwN@%_;3VoCj1|eM{NEW zdlqJ0iQQu<8jT-Wr;)JZZqleBLbc)Wr?b>1a6qP`luke7iDy@{XbVDdVP}p*eX&5{QslJpko6LGhyn8+45rkV3N-^Me0+sFp(#WX zuLo#6p(@ zz^$G+a(BhjI-8}Tnhq>G;9OwY(3aqcI@H20$hc#9Fzt9yOUtQ4&#oRU904}DGkGdQ z|AB-c_3^L+3OQ)3lr|meF3ES`jZkaC!Z@=?oW8)SjF2u70{5y4RR>0Y_+_5~EXB3)cm40k7;x6HuVp5SfclDgh5Iggd*MBAJ^A%@Ww%cPobI)Y zc5l5_kJ3KJv1ee!eUd*Mi&5IUuG7Qcukv{DhDK&Gvj8%~q;B$K{}!>2kYvU|0XH2} z6mZVlJi-h~-at&?&tfG%GXaz-#-g8xfHwe^7SOan**!t?-o;G7A26xl|FiFM?1cc| z)lAl5ELta7Br}u4ZSvjKI`&7&yLc=bau3A@TJ7_{k`v|9705QA;HtAg5 zxNY~#JRfpXG4_3%mm$d?z=A(z-hjNl=84S*0C|hpn^$YB)tUK;BQS3P)K|z20XOk{ z$kUkk@U`6h#243X-s;N@<+t&u_{Jjg@P>mB!{F@;_$}DQ*YYAr0&>r+fr-7F-+`tZ z9=&?=>c&~S!G9=ru|Qx_WxviHFxh>WO)$uhBp2=ax<7`F*qp_iS6Aw606=c*{9gTLBFX)`7Jd%U&d41-1@rFN z%PQI4PzQLw*JXw>ZQ9l|J_Yh3R_D2ecWizVhP`Fd@Aah0)=I?oXCb}?{;Mbb{ne7c+=;np@fYCYt68{xHlKse?X@KfQ?0cSHHtFi;S6%BD&0;nb zF{im81FH9;`3v#E##d2dzuJ!E_HACNF?qFsNODLWJUWueUn9x?m`P7)rLG;g|Kw%) z4?tbqcbbd8G+@;JXXI3^*M_eUvgKneEBUiTaCC&~W8Lzr26zi=`HB#}hj5Z}@j(T6 zm(D3?GP>PJ5|DMNW5<4Zm#`E0YD>8;WmjzTD#8~&Hy3Lf({2Yozwojcz-7SJ)gv1q z;N*E=0synl<#VdNrGR(6DdK?KkVuzwOiS1HXD@XvfUYgiViEq%a06xue05STF&5iR z=rsNs!}jwTq78~)ias_gZ?+C8KMl!29ZY46Y0si}xD#DL;N})>0us>GRyc{OqN(Pj zS)KlSyPz#%Y736<&OpPL*(Tt<3?1olkj5C_op`4jmxv*EEm zwyil9!v%~b%*uP&?=!aTm}W@Q%4FAFx18+~ZoNAj-j=v*U?G58` z9(*+qyCmjLAA?Nm#;`$qkYlr9k>uP74T<@+IeUl5-#x!togALgb5mt9@#|njj@Nmq zd1u3GSjgC1b8LJ;rrY+XrL+Y#Z{OYnF}E)}hi84pczu{|7-xn`-TGS-<7=(9Sa1I^ z;n3aaChfXpwA1|Mb+;xAZIyR6EWCi{%4-e-f#huZB6_-M)T*_t^w?Azc>kLjN%{w zYgx>Be`pD&;$q*|7w$OevSK+&i>~av<_Ck$x4bsY-p$3BMry}xiJIE};8kwlav%?{ z0%G!IPP92DxUea#pp2gqfxj1lAnh=|Ll>nO4>w4|4-#~Cg}Z$gOjJ;a z1>jCTf?2|HVaW?i#{r9M8}JtYN2tc1?FfTX{jipq!yvmFZ{XWBGcZNHc4=ZHI4O<@ zh6XNNDlIYdbkgza>oOy2NCD^<{b*Ke0DN;$i%dNXVH-RYPOd|J zb?i~A zMEUi0rI)4hAx{HjmgJ4BiB{E560;lK_Eo|&F&?cwBz&c}GdxD3O_;Ysl(4DH^Fn&U zX6|uLBwd5X_BF4K!Dg$3Ho$@d1L+}?s{hxmvzQ3r2mara%?m^D7Iy-q`1C-y<+Yj*Fe)v+{mZh`>s~?`1Ugw)iCmBgx zu9rb{C#D3fX8~ahxFgelHH1Qd)s@wxk@rC2qu-pbiL;eF|auC^o zoM)eB9+P(9kDJdS!y@NnAfq_|i91kKhq4ji=2k{p=bD%|+QXRF?F_f(Frd3U`<6JqTrbi4V@TX9p zQec`$k*p)~C}x{@#-H6$5KRK2=ztX4^c!5oLoUT@rg7ju-16DLF|O0+djv%!p-3u; zYkpV^68yB^$WnZZB$*XpaXB43@^7d@8l)7sXXpaspg}Qe3j8rc0XCe^JvnT69($x z6;RG%bw~wmqlw4iFfCw!Qgsp;Pq7m~mQ>6MGywy1KzcEQI?=9|vV$X-mdAw-l8+D) zLE;Zo#kv|s6Yg{{4M9@)*ZLT>lp#os#ElSbIF^+OcxvT#Kepf*xISdWRyg!|IaEFpd1NL**ZJKhd+@x?>EU>qV)9Q&5~9LV`p>RXf|@Lgn!+f? zh9~g((25)$a$rty#$iSMZLqagzk;W1MgkjDL2+Cdyt)OaD&`5hh6LaGL6{O3{ChR2 zhND3cHhrnY5!ZZBeDZ_f6F)x`bYeA!?)8=Oe};#sdOk+6T7GbcE^szy`T`e8g3l5^ z#dxtwk+`p8BBuWg_?rU1bV8@5MY9*^E|8 z_|=u-Xg&djniyU<$*E|C1nOMLR>TpX1XMMl6hl<~4!=i;O-8BYOC3~|gb=1->#l?V ztwyURLI%&GpshfL>A)xT|B3q^_$aDt|2wm@VG=g%WC^%XtTXx322k7p79Ik7cN1|z zlr?~)Jd|Bf3tBDIKlCXjcf%w`Un-IE$NGFVvBCPUg{nx4wpk!jszFl4(iRb@_B{}g zARtNt^ZTAV8~#{d>+8?<-A^(*bLPys=bn4#-gE!V{ko^7{3*I08Hs9^EA}enr~JsI zPR3mTiINrXFIcIp#56`zUVl3vcC(uc!gczdhqH<(l3^$}YN(-+b}#A)(nk~JIKuE5 z9Zj5@hGK%y$iRyr$jXMw(dect7)1(sy=XsqQ#B{XeA9p2*gee8U>lB@{DNz)X-7zh13qD^INZbQ2NK-Rs63F z#n;EbdON@D%J%=Zct`VqfW=~cEjSwHbQA!szQYLrCjHgl zvoBr7zIDuV_~o9bP3^8w?%gaK7@3XHsVsKEhQcnzoT-^{4Ny-h9`FzXR_t{MQ7k}R z8QStWMtwTqZHwlym|q#(1+r#_wA4HzPptz+ErjU)Q|1f}+$qk4^M;?ex%w0~45K+i zG;7cgp@YjGfTzizfxH}<*r@tl0_ElEj;xlQftIwvZXuLk3d+U1)47cqgguF^Tjq4> z!<8k@aU{O#bmO$NGV^3=CM(-{%G0IzvC^bH3y51SX~j92n##OY?|T=5++og)kL^s4 zaCpmMc4D{Zqcxtxcjo@F!yoPdRBqULV;GXNL|5vW=g!>Sq1?ASMl4K^sA@m%z}{u2 zu?w}5Q%{Kp+}Ls+@OF>|{iWGc6#uiDIrCV3N1(-dBhRVGb7niIVz3EC85(^eJ*F$Y z>-X%dEEevHl`DYlTHQg0g^;N-8?G$X+sNK#M^<>B5oY}zCji-_narKzW;`pL#vl?h z4K^7+trSj+Il2{@mbwF`3QTQ_`kmM~V4rRGkLk$m>KJ??o#njYZJXdYWnJWXGM~o$ z$52kfr=~lON~2EF^YI^F(jje}IrMPe2LRYb&XWNBoJNKXAP<}cfM8>%-u5_zo*8yT z_(Zzp6k#2DK31GA&@yE(oOVF20yjP2@961tT>8EUJAZTtTbBdm%;{1Wru|O4zl&h= zAi-IHyd3PDD!8YkFkI1c0_67NJ#)GtQVE6ZyZ~0t*hL+c{r#aiN@9*L(#TScY<$(J z*{24q?rQdik;>n-{JPRX;yd_`5|49=a=t^O#BUL~h>`)HtGf)IC;Xpt@%G*?taf;2 zcl24!GxWbicDu+~Gvph5&}rirj-Kc28E5hxr~Id_?%H8wUSrV1_VhIq`5rMHFIq1a zB9l-SIG$76)t^3_bJ)$^bT~cIX@ujrC!fvk@`$)1;us~$Qus(u9g$TMk}{MOpiE1$ zuqwuj&u-ZsKP&6K^+- zT~5_Gyr4|&fc95b+#Q+LM&9&y_`*j4A&J_-1=$9_@TZAPb)X@M>2Q}B@+^_XvT8$O z4pSPvC?lIXw?jeZ{8psFz421i4&Kx%mL>XT35GHv@Ty*w9xWXdQo1J7}Zv*po)4b|^WWsU4K>qITHhKhbfi zlLlrbl`4NV)H}C!7?D2lF>@{j&EveydI8CJUM}(yc)U~wx#U)>kQ40};#GBpgo(TB zcWPCKcjm|R27}z$o%2xe2&sBDz_7TW+9AiJ+F?wj(T|+pTh>)}>Y^oGP2TWnlhwIfml&j2w%RHPxh_}73Xvp8heGmdxR7=Om$%F488c_apXhPn#tQt&t z(tQ9K+y?8=x+(aj%5I;$9^G9=)GOl5+rciN+JUiEbm;BNo1#vl+QC<~KQWh=u3D7> zvbb|>ahIZmKjh?3Z3w4JQ9CrU&DAS}W!GEMc$ct#sDWw+mHRt*9Mz?wao~cR4r{-XHROAEj?@HEribT2?{|?q4Q!h~ zzQ>=xaM-(iv_LkPNdH7;ppwo}S+9|hGDsMXPxFusfk6OkPwJra?(j<0M(;vTAF3UE z)4I%stO&J3fwLjsr@ZX}yd|ru*M6O5)jb;Z+l*z#J(&1!Z)@@8j$CX$u4)& z-b>!{o&Uw@hA9kze{=%@Z%0=wsiJA^RX*SJ#T$Cr>)gz>ccgc@BNI=h2YT-j@4sEDJpgc+o62HbuUxgPw&C?lSc(($qcaWx-u89Nk(N z^4YgEh_u_`(8HzZSrm@6&5$oOi~M48#gE7O!sk9^c99T~Mg>AWi>as`QrL=G_AK

%N*sud{c&}7F zOKv^=ls;N9XS7;|ul`T6XOSig%AUogCpGi<$i-cOmi+-Ci0<|&@uTpZ5{zu)k3ntB#_OsAfOr+6lM z7QOH~*<5$(V3XLDik|N&9Hm)?zWkP@=vm}F9i6%-wrzvH^}GJd_V{0J8?k_oIl6J` z(-WNIk%^2tcsbbrP9IaXy#+msHg^bD_j%yBFFDV1yQ1neI$@|CF6z*xIeV(os>9S_ zOUlN~U<0Q094UHy$G)JIYt!0$c-hRCIJ|)|RXwUYbioQVj+tK$wA|BW&c~j2o?_m( zKj+yndKSB1rJe<92SDyR=vnwW@>M;3;RiQnBU6Uq35m=aQ46Z1_ z(gJRD(2gHxz)5c-aME4tAbY2T8wIxQb3m8{{%j|HCaui~0o*hDV=gJ0@DagQaoqKQJ-Ro{$ zb?`s#{=)|=*B$zgZ>+yz_lt*qI2Sms&u1W57X#S$T=din8gGN%A3Ox|U7a@otrnoc z_hfK$De+r7=bs#VCY8YC?Oe2#Qtj%!we#TRH*|A@?Ki;99fG?%_toKQ(u;eMxRcw~ z9r~mS$pi8*Ky3f8_P}#j?_BhUU%h(~KviFQ`JJ^u+5H#T#Lb4EE*|ld1BnlN?LKk% zO+fWN)(ss?df$C=(BYNe+_djrDA4mqhjxyAdkBzPch37ZoA&tCyEf>vfSbE&>n96$ zy}W$gD?1nb{ANJ)zO(-qr?(xiDuyhHCA@RNBR6bY_f6!^!c3IApMCB5+W>a_m8#>n z5kT%c>kj{Hf9IkL53XGIlLL1-NX{jTkUKlped~$CM{juR7muy`N#~u;Sl|**JGc&- zeCwt|@7}QW_N&%z?Yyk>E4xQ*-Tes+xy~7}3x@q@<%!z}s`rz1TmLxsD7eqbhP&7I z+BV{wkL~{Cj%sT5(A1`w}pD%hv357JYB@YZv_H#Cx3=&%AH$n_W+> z-sVht?&A|x|B1&AeKK$T*sYHp+5tf5-7P4{9XBB7TMl=2P^sE4QFa$VZ|C%X_Ih_M za{k^4kNs@x8wY-^_J? zcAy6gl&zt$W5n=)feSmNHXk&^-S(Y-dvuaog2+%*7QoV~h_i zZz@iiTLPLWQbH0p_aPz&v?h~aJMBizQ?#YGPH}CS6YH&QK$H`gYD=|LC>CHu9v_cY z6y4uQ+(iwV2eJ(#Uunc1gMeIZCbHJ3K{jE;E`M?&$=(3j6NcKD!~-lX7P~xTR&rjU zHCYjsTcOoxJqE=B`51*HO_pklr6!snc-P)ojU>svwNz5duJzS~V#645Pek0_j7N#3lF&dPpj(Nvz^8_0YpFdkYz*mB%-9^Tr5Y7;4)$@-ngl86OPO;x zjFGY|Q(u}TGzGY=-I{>g%4XyH*4TD-C}vC#_#V>9f_BQ)8)S1}AYjZ$J^eAZGSYsK z^eXkiEMY4pW#I&!p0AUsZz<3@i$KU%Abo<1>?VF+gU6z0pAOg z`mo6r8wTo+e?6eJvXnVtXda=}jvp8MevQb3udtMa437)p-fAaAk#pG z!m%$C8A4+HwNxpt155>*v^TB@cs3Qn{eb2cHiI;Y32sbG4OQ62fL5oWfK+rR2YGoT zGz}Dqa>djM+jc_aJ+={*>pxvKu5qTaH4CT8w%alPk@w!Yr?jt6OOZx|r z<=Y_sw9Bm>1Yz!Aj}GfI5H~+B*%nVhh{wo>5W;=J41P(J)iNntWh9$VH@ubtz$XRx zNhZGB1B{gtOvj>Av_el2aEWXHC+ShDOO38K<)tb#m=#bX)+Z;zzxVIvS2($Kgbit4Z?d!K{F2WB|xz-X@P##~E;Q%>fmt${=KJ?3SR$ zm{}rm42y%ag4gjH>&f=tsLM7tF$VkBV$v=)EC0IwHC8ZzEw8mEPf-Z~oM6$|1fW~h zSZt22HrPqUWY-y9=2e2XjH+PEZi*$gsC`7!D&?w*zO{W;D6yOKhxWIXSUe5f;wG=v zFWMKWY$EtAWLgRE0Q=a<8mq(;sLcob8F0;{sbYZRLOFqrU@A!lTvSO0XcpjIATw)F zG@mhzEQs8Jy-PsWfLBEYnV_anAc!ov5hZp9Q5uvO!1?eH$O$H3!D`g@gn|a{V7=wF zCd`tUyrL{Pw69%^!6-EjAqhSDP^%(}V+7*Z2eP+BudQhkrhYT?GLZy~m1>D(Ndj@( z#aJ5=T|;?U7Y&8_E(5&b7VJ<%QI;9Nz8i=SKZo{d@B$Yaag_owSv9nLKxCmn7<#du zoFKUs{gyl^NH#d9u(>wTP@Mj;WaJJ~`NzLwB{d2b!~6SL!5z$?J6Zzm76Yr~?&jU1 zlpw`)8#l{bs$eFT2%*4Z*$JN4s*R$v&j>_hGNTqB5Hk&TIcuabj%tq2uYHiMTCNZ# zOgiC0_%PY7LOZ#rAq|?TkgjIA6x!g z1vq2z^6|J(A`0)NAw6(gG(ZdsG@c0$qG5t(;fOGi;x^?e4uX?ris-)ntY2~NU7Hh& z(vws~7%h*P1Jogn5KKB4H!>^`6Pjkh#8|FP_tXX~+B31GIjvSkNYeo!Ps;(S6%;LJ z8}LsB!rMNPEGKW9K4Gx}k;R59UkgC83M(sIH?fo=!h~eO0huINjA9oGEltxjthPYq zL;-aXmUvjOE}R-}m|Qgk7lgp2hE&u=X+%}p8^$mO{JQ{uB9l)z{VTzh{Z|k_gp z6K36}%4!D8Qn(blMnVemYAxPaS3!7`R>Q2HW1*Bp@HJ{Jyj3?#-$B16M73os9aD`b zF7X0l-B?Blr`NTgm&#zYVVMTm6+)7MvI|^C1z_(DF92#%CI`5gJcg!PQA`v8TdM~U zr4a{)Bz%WNCOIh4Zkxb>HM#+hp}3%lBL%(nQ6q=h-iH#g9&x)=fzx2ryWBABW!RmC z$D1KrpJu!WX^(EIRO79KMIz?u^XxFi4DvqTgM#dh;eqT8ghzQZs~;wc8?I7b*V3&2 z<{-AFDSnvyzREUV!pweUp(jZ8=Ah@=^z1sWjC%n#Y4nZj=gSfUgMVsJbE>@!eD1jT zgCWftG%mXp-yZusTAA^}6^~pSi-umA2TfR?7qn_DEkdfE9cB>zY;_2Fs}1$q3iHvd zICjJ}bzU+kWEZmHy0Q=wPd+Am9@vDkm^1dM8r-a{Q0mYi#$m`vENXmjnAgfdIq5d6 zB$okv7qZKYw3EI8Cjdfs9Q_y5AjW zLS&i67}G>H=q!%J6F{lWHe5zR^!&n-G@eEQFnt?A7ENqZNY%eb+$f5LEcC!QqnV(3 zH{vCMLSz#g?~ab2^K9}DA-ldq$&zzw2bHwo6upI{;s-Abem+GGz@N8tKwN(YH|Jzs zKo{r0TWaD!lm)l2Vq{cXfk)2sH7PrYEsY00DP_-pRNB4?QQnLWM{QH(bTli=5+!?3 z4b&>knv=+9YE3ejLtO|n2yfKLN^jPOjmZ#BV&4c;a@^Q_&zuy$gM5043T? z0|aX*W2&fYlWP2+9mZXwVIX4031nx_f(=k~;;6}mBBCtHY7$t#i|$GzG$ABhQLLh_ zU^SMm=s9s=*+s$YLewLwgb-#I2P66eQ)-&ZtvT_bh_b2fy8NuE_NtNyu&hPX73Rh2 z$QnblVz(M)hIYrZ@vmnYwaHXb4zj_}8iV7IXaNG}2?KZ`34zeh^ z5b=KOq539n>DToG^vF|lqF9|^Cm&8^=R|6o1dzx3fqPXD)vmED`EXK?_WRXS(0knQ z7mS*xcr=v7R%z_F=;OT7R9^ffU%%W~p#-n0iNXVCG8+u^8bNjqOSLh)Lb4In2KiYei|dmsn~QOcx2RjTtR1j7)>j1# zi)pb;lv*+Dts3tstVExM5giX7 zoJ}HeLzn(9RxzX#xCS}OIJ=6G3r16-QWFnL36A|m={^+TOv7jr7;ud#N_oT!$q^;) zcL(=w=1P)H2t~jRoTTOX*#q<>CV_vNiVrC6^CHyELJzyrHOYm`3`;kMymo1HD!S=V z6;Yb*v~pONPd1)q^=ADQAY7u? zbF7D|XJ|>YO_@6>c(vF*E{*INR|=L;5C^k-57$^!#c8#kWgr90G3Drrs0oP12pw+t zvO-K31!V8EeIq<@xWZ}G-12LDa3R>mmCR(R1k{$jH}1~)X2U_2tP;HT3s_3uDGFPOB% zTRxmtnZP=YjK43L9zuL9hl?{$6j}1Lt{OjjHEyzUuDbmwVny&`dq~Czje{}t;<|&v z9w+Ugl);MNGH{0PcD=ZU+X|aVMJ+E*8mOp~>O_Vyp`XG8@&#ROH4R3_Ij;X^AesM& z@aUDYcId_x#Rd^B!s%I1RRV1N+~j*^Jo6H8bN}t90LU$FTmSHK?Emrp?bEPT#ZUgG zkf(_o0HTw@{nY(4-i0Qs?+9-`DZyahzvaZ`Gxm`tM}PW6F?I|Y1@iJm?+=&gX`Ludjb#nM}?IQV_ttF7hy7QkT8H zIQ0Je*zDuLevn<%R|%?0vOy+{*!@R;_2X68Ykeu%WaLTOX#QOSB);RPwa?!1!mE!w zlK(12S@j%b52W0Ayt%OHb=bSD&!}7GOuJ{wxtaDHf!OxH<(_HS5$C+TT>JV3qXx}7 zgC*?3={`;N4gm}nQNn`VV6c)r3V_5vpK&V*x%cV!R$&jC^U4X5^X(@#HzDzWrA6ZR zO+a!aH^6s+f&7jHu?4>Jf|q9OLxBJiF7STWyiR$!a@2%drmdfG>obsj7Oj?qkS6Er z-3R3NZ@F$P0sB@u8&B7wuzj%pkryPnn|tz4f82;&WuRaixT8Br2x0O9@Av4cSJ$^c zhQ002X7RV5K#4skIT=%Z8wxHcCKzC3!!5T=>p$Z+1IZ?j7t|1U!vz#&v^@nc4tWzw)ww21skl4oI{ARkj)V#0pwDYPgDefvU@$E#K!8S_VOPUHm@*P z?UIW2@fF6S*p|MsGPyT@B_Q{n#avD`zHSW!2I%i%8VsA{FQ@%WzJDz~G_EAQu?>4H zc2l8UleFqL56#!^>pdWLU0}fYNMWqxmGe`L&?NQx z@Tqof!GPE_e3rbI^3o%-_=%zUwfA8g_H^HV6Xq7rshmH%`K=~=2_5Qd*DeN#E%u^G z7V$};(>Ho*UY_nVu<4y%1c)=(tJ zz&0;dNibR3faEL#1~PV*r!4LVvJTnJ%bS{-mk}Vb+H<^&(%MHtZ1ap^C%SOD*Z??k zUeTNk*~!xJb1c*L-ezixjp5jYXXFF}UqVxf#jdc+2jV;R-cvvxHU2t481J{{v_&ko ze4wJ){Sjq_!7;h2bD3$jg5)66a%&5HF*vEQq*BQ(AP>(?+MA7K^|jTbVs9Az$InGx z&Mj;fa|bfO0N2*$1h20!La_)#7ALior9g;=f4n<}F)@N^^=PU6<7~y=j3Ehpz8w=mAj@q~dGgk442~Nf$ zT)ST;$8-~i$jE?NBl>zmW$pN$a0+M~JR1GvQ}+fvLP0;sgpfR=hrLWqWBCEw$9XL6 zVXC6kBb9Kx!AC~1V|zFm24X1S2{&+7mO0CiFd8C5KI1ZbX+ms%Dw&Q1n!L5=|$cpP5h`KZwxH6!!uN(7{6eMl9K5N!p?BhAfs*-cbf`Z-UDJ7El3>sUt(g; z3_!EQtxDOkICl-3{UcXM|9q3dm#R$ZbqOni_GsmRZX9m@LXG3JbQDk zz~<-GMx?;#uUB|krDXvOdZ7JEH1bwsU!zV{4J<^U=94qHDz>r#9!$O+KsLwAH9YU@G?MPWhWh9lXB!?3ZqF%g~yS4t&Wt6e&UMFaiEBh#>lU6hr=i*fT(P>G#WT~~)h zS|r5QYM_bN(-@q9l_@+~8nuv|SCU#Q;pW07C?`OXM$RizNGr*f$zi_AxmJQHd-Hq6 zg4pkWfH@9X9M?G9LsvmXXsdzX8-;=uvc$^ba|P-*nZgUv(0lu`LQ~71hw-QMu$aoduuBerPcK1hB4KG+K;@`xBN5b# z$`w@g0>>3~rO^(8nv8Ir4fLXRjcXY62@%#Rt1dng@#JZx%U6J9bAJBC;Eu<%JT;PB z8mf=ox9rQDY0DJL5`j2h%m|z`8|bM$3`_##qrt@&p7H9TXbuJ1VXua-g>4MtHB?aI zg~Tic@F_I$0Dl&RhsX*Efr21oq$rZd1Ex6R=o>dUSG)-XA+nCOA zenlA$NTtSLlK>nDBhC~PQJPsS;>DUCPL5SJ%j0R(D3OR}KtUTV3htpzUKZbyZRowN z4VM@(FMB!~C&Nm|17dozp92KVWamdyehmiAyK5UjfPlSv}(qvky zMq^kw7{Zz^EkseG_|So(#1bLMqc0+GBzpq}m=w&AM^dUI-#`b;%B4Nb3BH)Yuu!Dw?oV&_Hum{KFXFM)zU6l5>sf=*#rdCbPD9iqnAjUt^>U~0|L zudT4*ZkC`}08tjz5pze(DJ}$#^5mZ32%a-XdCHKdZV=rk^ed^Rq-fNU$%I(<3B&w4 zq?y#`a^=&oHA5A?o+Ej#24~X6IR{xPX`mu9Sy2Gi%N~!3hw{3wdCLVZ@qWZi&JcA8 z{>hv%lJYPaL*Nkv1z013X%ED4o(I1-Y6=C}fuP-FB7vHW9m^Jww=n^-AXDI#Q8_NC zdSxuiSwpc|gnLnR3QX)esWXd3Qi5(~0>vwXko86P6@FEDOUdClFQSY^;E=^J^@5zo z6{$-!tpWZG%KQHaNY--tU2FzR5#rvN0B zF&k^Nj1neL4QWUiDE~*{Xs4_lreZX;8#)$4vgaYQ5(Mk#NAui2t^bs#2+H){#@ClG zX!>B~JyTzM3}CI87Wm}oB^bb6_0HPKw9&TNyFqNRx%zkZ zPy04BX`1*6FkH_d%8s3}|H@In?>u_^!rv@d`}$?jedWlvHn*(*j@0{Fxr6#3?r6RSg4&h?Rd(g59T?P}cmMMLua@TWMER4k>0drkGtFxee>G+{|(ahfW5tb{{^JrA-PZd3&cHYDmH~* z2*b|UzW}M6y=wE?J8G_hVK-xc`vW(On(8L!&!pfrD@IY28N6R`1C00~DWuQqE3iqv ztKh`BApP>%RoARse@4Om3%&>WNF_FeU)ldSgvhPZ=el|$O46j8`v$-l&zL(I+}{AI z?5MYDX}@(>_6>(zuw!U4^&LRo?wvO3GFT5u0{2lVq?Tm6+%DObjU>5f%#eMyXv!Vy zZ^e%FPfd0=;0NR_X)^J#jF8MO{>z7v>}jvBMJnG6W13|3j8l;N%Woe?!jYWIGf}!i zGJDXYuw8%PtwA&PQ5ihi46x%>n-_n3%IoWZAU$~`pn4BWO@4XD@mc^LY}hvpzpXHZ;Hf zGHzvHfS;LmR`&V!h8E}Aq&pk_|H-mc-O>1m-m-R}zOW6*F!VmLgF1Rc=qQ0i|DT`S zPjV|vJQy`vR|I@pqCosAwsLyTS8Oq`uvNo4C6b*bW$XIhrkZo2 zOUWjcF?CqK@pGv|U2F@>Y|Vn>TWid~ihPg;`mhPVCQ1tdOUoL!2L^C}!Ey`j5Xj3c zAn+9!St8It$%*l&^w?b{99*jnAhsCp@LkP^1t)Gu=$N;#Ta&Y)AVJBEIZ9e1&f?EJ$JBP>##cl;R#(A)pY;X&eli46c zHYL6mOC6EL95&I3Jlm>ow#;VYt~DNxsg#$+IWeo)US=^(V6dnf_TT{)w>VN-`Wc0d zKnraR|J@d(C`pq@giNK?VwD)893NHn7#54hN)-}<-H8otN#E%cm_j?h!zC()sZ6`? zQkJep@xiFm`1uwLTbyMlER0eB*cV{On1ZP^VUuL>kL{A|Wm!uNl$Gg08l&2ePtue> zYxUOL=2{PQH!3T606U076ZBtQ`&Y>_ImWUV zzmT2<`9tI@ndC$oDV%#iO2PBWtH{wc4=3L#7)9R#z8@!t3}~%-Bl)mrf+^1gJY763 zcN{euz-zKb$b{d^G&WB)3Pc>M({oCbhz&vzV=8X+#1DM$CyVf_rA?3(k6OUsd}0Wn z7(u4l2srGGcv!$;i|4?nGdKp4aU0$%)WvliEPpeQoJ=tBYqBdyHwvm$%H3hgWPL#< z12^q&d32|+AMSBguLvR$Kc$}~;Irz$K5pLgMoNqVh;s5FFlg#gV1D##|55JhP_ zE_``FQ6qlV$Z|NiT)AhCnFzYcQtp|XP!2atsSR=ubOkdd2pkn7mW)ZesJ@f}QUN-T z_`b;~qLC9XtWRu+){G+~#8QlH;esJg5MtDaP<3o&k4r3lV&0o<#o$~sIb%&04uSL~ zn353zkrk&jWimiRI?r6VdR+qog>0QQ()YtEXKDjkf?<8MoQuG?2rV3CJ} zozoLVXlU_^T7Dc={-5bM@v~%13FOJm<|WE58m&y?L8fL1LJYJZAgAm-xFwrUNp@wE z-XJdv0N6KgB){^@dIGX_kVL`+x5q|9&c|Vo`=k|`FyGV~_h4`S{&{cNLkGmlLv?fZ zS_ZUfv#k`7?H}=nrf2mJvP2T{m3n+Vi6*}{8pYtOYp~xsAcjFE5L=LdC=0_*QcLxu zSsKHh|5(q9w!Qa#*oHl}*$CDpfGF!KSeqrAePjcJfFwZ;oZFCp=Nd8vv3umfv1_s<(Zvacj^VL##)qzN*uT4n=Wl_snMG0$sN zNw%5E`MpVO><{;_|H$zgfgK}v<`p9Gi%E!B96kLOdD#sf zu0LKt;xJQA!K8{)6F76D)Ii2yp2=QC^R)-Hvc|Y>mnk}ypJOFH+rps?2e>&1x(}1F;N}?hWJX?) zNiBt5*C&lV0!U)^1V{@tSZ-U0dtNjgXu0$tXN(Ut8!=?PMos7Of`FDl4*NPg+^Wl{3SG`q&QaYM~qa0H7w)# z0=J}RAedaa%`G#{z|DoJMHzI+*u*NJR0!Nc;)yKV>$HiHXtbxqw3wD<>-xnC+GO|= zXA-X(ni8033M780@jKk$fpKWoZ6AhUV;T)Ens^XW5;&+?bV_DDL#9)T`z)Dv7oVky zfVY{$%3(H>Br&`CTKF=m#+vi=Vr*g03g9eo1~v?G*O7=Ea4}Yde@V{Bh7$_+65LE{ zBJOdv+Nf~pwHSK2rD)^%#WtSM3Jh`-T)|^1D}q!LWk1RgG}Go74@a%h9f6E8MaSxL6irPP zqFg8}ii%ic)tnw8UMiauj%ekaar5`a#209`rrjc!!HYWX$ z6Ow`iq1uwC+$G@nDIwjFA)<3acWy&VBjkGL9J0_(AQ6}_S5X~Ai5~_)Pz4S`TFKM>5q6N&%_%uTG-Ld6aDVO*cl1n1%m9UR1s zPl{rM->Yb@9wuWZ7b^OTbUTJ4YIm7Jfpchnzm{O*NgK4Ku3?D&&kk?6-)Lea)g6oe z6sYRoLEb%f#&7Oey^uy}GlREsV0Z@>o!_x~%2_f7SkD0v#gct+V-WrXk)OF>)D0OS z1i=a|py2u$`yhk{oqq!;-CrJA?UJFl6Ye5V)dP1F|M1k&RrQklOmgISu{$t)qD>;R z0%dnW%Zy(@ZVT{M9~*k&_3sZ_=ngu&qszauc8KijZwl-t2wd1^A*WbHL$ zNR!LYD!5?vHG3)XN2P*oyQS=|{gYQO1mrC=S^bWjvlukvwR5AKEV-rLzlA1cs|V~y z8TsB(Dw;pTXDP0Nle=x=mO)vn&e0naLQwEQSkN8&|7mxz|6tvyQ?D*xPeQK47a!1j z)$17xegN(RzeCYH3fY*(z!c0iZYn>IOOvR4Ft09?_yK5pp)6E=9;Vn;0PN1?(r3uLEO27+-Qw@K93Bs(w4 zS?Fyg3262byLNtI>x$m2d3(k5@i+JVJ+uHUEzr+Oi)|4YnO=cG=l9eBvD*eVNCgMp z#OjAKEZdLA{%dJvHc;VWd+^}^k~7Zu`Z8eKPPjP`oNih5_^6_}v9!3^4t%|JG5V5M z1~4L?`zWFXw~6@*Bpxf~z>VG^G&$cU|3&0(Of%{VcAIL{_((T*F$P8mmR92ZUJbHt zfs_7$$@>HZ+>9?i<`zF3^IF>Gq}jS+>DRT|-ofZMhW;QsqCXrm%Ht5G;RLcVXab7dXh*ARN zj!zW#sl^bGhORfrm9x~5bCL=fz|FMYNIbsCxVb_C7GnCyevnc*D$m{w3(7&4(vdU> zfNW^k3{(9WQv%~?3dR}`LzFA#7PeL|{d)6*{ikPt0~v?eDxJ8C@qfm!i0*#?i$gY8 zCaX>svU(sBE>TD7H!pqrYJ#ncG--hHv+v6Rsxh+Ry@2nvxtBbuvtm}80Guwc?`)Y$ zd@K#_q-g_Xm!hP>BFy?c{LMtuqp|r-_Ji{UT%Czyo1B`JDig=~$Rw91`PRKy(VNTJ_FWjKg@DEuKOJWIv z&?*MruY!Rn9ZT^6&L{foz}3s1Z)w#@XmXxSptl?4KbCC#i~Eh{7v!>-Bu94X@^$Px zZVrQc2p3pU%|?xS;qbYrXUEtUPe}S-I3@Q<2DowXt%j3dwb<4HH-tVICMcNZoy{(!<6s}LCU%{SAFxry=T?8apQj)nc#l1mCf<`z0)i6nkFTyLCgP+3WX zSzcn)mt9m-P!?zP0Hj-{F<+huXAqxlc$fka2xpX%n&F^?&!Izr=acCN8BQA#2heW_*x3aTgM zDU(wHL6%;lA+kKqIB&?$BB|vvwX6Z1elDzwTrQQkzSY(OTw^` z0-|cVXwPR8eK42KhsPNWB$!-R2V)5qBV)$!F!BSYH{qeXsT@GwaU3%R7{FId>?V~X z2jVfB!j!n6=nzanLlCT&vWKohO{&J@mOav7NT%qS*Ndq+&nk2)4mDVJ!ikWkFBCo% zG6c**oVkG&$S{)ISto7XaHFqJV~h)VfpTbw5Kif7j2BUKWMdRFik4yp340bX6BmpL z)}vLPuqJNep=B|(k+CW07hoJI)|2`$8uM@%$aL9UahdZX_ZP)NqchdU!cUHVAG%97 zl57u#8L&uH-Xw*H2ZbWdayXR<(nXT-vLKO?&E@(hA0JJ8QN9DU?`LZ?tw&UjTnCfZ zQxk47?Zr*95=F~e7rPm&`OoF1W(aE?P~{St&%)SzGHKgOqpPaFgt|5G=$0!$PC!6S z;r7P^U#`0kP0-C>4%Xd-C_x^Fk1DDI4YqAa;L|xI`wq+AoGkpFtXr3&IyZvZmhvP^ zuo0vYK&FYy8xvC!Mc zEcgzyOGWKo;{MVZ*_QQiWG))ph-GIjMeAgYRiBk?YOPlLVO2+L&Y$`RgI6>?yd|Nu7D2%* z>@iXscv!s|@UF=sGSxCPpEmGk#p?-t!%;DyvOMqSaGX-rdrQR=NF{;qk_#8fG;s-Q zyZwmkA>AIJR&NX08;P$45-v5im6T}-tL|a4$6m88i(r6Bhzg|pS^`2Wo5qr)yC*l(4Kowq2Wt z0s&v}2s|WGaJD6~fS6p3A=aA%G`;gfnpc3{+6tu74pdUJzaLFAR=U_^6MPFHHCccp zC9M?X+uD30_tWlU!SZkP4RRnR$1rCBACr7Q%*$mwBnT;6MY6HPC!;sqTKJe)jRKpH zkYIb3kq6(w;1O$2ECEd*`|+V}l+tBJa>%HNwwjV7T~7$<_DH2BQbE@yC?_D%e|MD9 zR9`gN7&ZS38I?S@PE#?OgKJD7G}s<&I?{@k?9Hr@CyiC+Og)E_XU^Takt$0XC)CmY zDWRU&Ygnsbb`&*f%)~9dBPJjAFw+d6N)}xEL2RS+HI)0?;$Gk8uNZ7&zF<+RZn2Om z!W4mFBs6IZiwn#MT9z_Tz6u~&?{mF_S=bD+QMQJuG}t-*J!vQh=D!xe@z(>juV@_r zK8_#GN#I7=4B*n0t*J(dm$7z1m!eL{xT%2%O`)lFE{M@!7_)*KqHEyd<-7sc4G(Zd z%}V=(Y4(hTn(!%xX=_-ZP@$L_FE=#Uouc5(gy4q|zrehJ!Yl;_Wsr)|agN(6E>f(T zj2`n~kj(6`<0kHq!tzlZHG!qsips!*HZK!QI2DW)B^YZJlq7dF4a-nq1VEB)o zhk+4v6H5%3VknZcj8(I|99V^w3ou=+cC?x$h9@msR_%clXh^h)1LO+){Pn=;w!#wR zy(nyufD;8jM8G>WK`A0zZZXVqB$WoMflJ9aq^dG&5CIa(!H2>I=ZlgkHHPUyTZl`B zRG2sjaQ5=CYxs6N{iI?7@}xlp(&kbYV|DtOLBow~7W@*R} zJ}@i9i>RokQcSCZ3s>YC4vEAG<;OV*@4kg{#&JWw=h<1D@+or*kK5`KuQ_xL;yR4ey@IMEs ztrYcX9@V`?WO%Tjy30RxjV+akLNfvybQ92*t|HKtl#rpJyKsO5q1kLu^U?q0Cenq3 z=tUGwHs=M|Y7xuM&xeT~3Pf_aV1nrw8ApOR43mS&Jj`GS441nl77VaDC&TL{`80T6 zvc_a8n3+}wB5nwD31iHpsYnW(SDUg%VFf0ZNttzwgKB7kBGI4)Jq30d=tpr?mQQDL zP4c*8aFSv$zsk`g<1#m3eL*}XlOe z;9M=&QBP@xKuaTU(UIdNg!)C2Kuk~oM|mlgSGYXKA(%CbvGKeFX*O9=o<>~dln*kP z?hj_iI1`!k?94qXr3m0RG?P^9W-y7218GD(Busb+T|gOOvIZ>u(>Q%Q2q|0;=l@lB z{;4mvb^tt>_d7Q8uK~#5W%s6?-K=xB=fumNZO8mOJN)gMKh13JCU)>?>-<2D(*8)aw8@SN9+Ezuf2zuNnKH$Ok}f2LZNT(53qq<~nP7 zIql&pekq`1+oB!^@LPa)1+s#)x0OqsW0yJ~wm7Zf zbdK|{jGgj~?egqC=6|Qd|J&`)JL$!DYz%ks)l=Cwnffx2d5`B_A0Kl&_W&dIAW(L1 zbxO0R-L<+)D-0i7UbTI(^Tt%C!q0AXHee>5rP%<0W$JfpVb%S|x~KfJd=gC9tm<2I3b$ zgMSw$h%9V3cdG+TDS!rRW=IoV5~>%#zT0G!cjh8FEyu#A8~zh0yLC}-h8vhttI3FG z0)S?L2z5?ClyQe$qSY24V|M+Ku&`z#K0w|Q5LhSREZIe!>8cY9 zK%Y*B2Y|4@39UXhuH`oyTb$pL5GI8vBs-(Gaokxv6DWi4D^tElLQa0vaw^)gDdPVY zd#8|Wa_Wjg=Xh}lrrO*>rm8@JIK00nOm(ugc;>NiTi=#V(8M@}D7!qv&q@0~_w5(25^a3@NC3-!Z)6(HTxn>X{oPLZ-ojHvc03&v!@4rL7-Nxwa`QVn1 z^H>lVtK|gm3Y1-d?<%thlNT7Vd0RbSYxCSO+PAO6KgkI1;Db-_BM#f@)(#Sr7jHs= z=Bo2Q*ADOz%UvFg`Ee3D-3Bmf8B~9F?Ew7Q(;vVY-PD;W*?y`W(g2!Wy(_qy{Rz2# z3?Q~Y^LM>ECat1&7(F_5r{is3pS8!cWcraM;jS>%4gj%bY^&$)(VjPl``+mA=e;eC zsvh3ISN!RQy&yo{Imh9;jZrM}iZ20c4Qum%yI{-@)_THVYf`Yp} zRb4&sx;anwak|QY*zFwg1DSAlYZ#d4ZZy46V#8^Pvb%tybY3D5(IaavJdh4|rY}eB z@PX$gLLKe7IOIRQ50LR;r&PilN+BMSozZ*bap$3#4CE`WYat;YZwa?W!zUvCk5c!p zhE2+xZDQMznh>JgNGQ@y(9iZJ#p13> zIqwSpv9|CB=^?<)Z7lM}+s6SQH=MI(kH4d95Wsi0-ia=z=U99GLBsbgU;7^4fBVJ^ z=YxNKjNo+R?d5RLJdpt^XN0l~OkN^ixM}!E&t(_+4j6dL!kuEofY66OV_VmA^-*P_ zCt|3aY6rYX6ppz+XOAP(?m1V@hBH(Th=$W<8W~EaV2?mSV_~ihW1gx^>7zSTI}Bfa zyyyU1iuY#W{oX|%`ahX_IcHUW{@I;FQg=T6O8a`C>`of};iMU@VP{J&XU<_P&Dk`j z!P9z2?#7V6d|r>Z;t}mWdjs(~D{VZwIzML0T}5BWnjDI;hV@16XI$i!I+W6l~2)+-TqZT@aG1aJbI|?3?G` zG^Wney3N;V_|4J7T7EO4Y9kLfR9Gx$)Yp9I8eBNQ8?0&qdi+LAL>4P`;NN5^rht>^LJ3Ot0-|vxj zC6kbz>9qS!B0isl7zR@AB0-e~(1Vq9uSQSTFy)u+lTdLJ05sZqfS-D+sEUE?i!wS6 zuR7T@la+@z-j}YIIK?l7k11hSL4TP8l-)}s7kzjILzKW*9_~0r!vIK}XlV0rN4;Y5 zn5?6E`2A+7N%{eD5C8eWeF;|W_yT*?yVIelTzh6U(ZoG3d!+OxgX ze-C;VK6EQY+D&Z911a}}`hOHuFMQXj`qDaK*ink(-$_1@LPX$hN#0v%(-0lEIzi)5ev~*Sbkdt;B<${o&^Rv{TLN` z7Cj65`u5|KSZ7Dsf(Fm-?WS{l_0f-0Kz&V@5I}CYr?X*jm&fdCH8Xmnqmb!Y zZt9>6XZH&KhWKir?AEETH|8!peHpy};~hKDv)Hl8L8st-zjL5Rm)kVL&EI}e*Q?aC z5a`T!+P*A11;>;bVoW+N3^voldvqvWr@g1zSD%Qsv~6tp&_hC4ZWX#GDr8?onUA1K z@+8OUk$zp`6o1sxrM7I63igmT3171NcrkUt&h#vfB?N5;H0;mI(WGYe7bYduCai7{j}_( z=OKme-rsrmt{1=cW5B)s;a4k99NKyF$?5ML2S)6@_w0P}j^_QHxBotCaW{gm3w*ln zKvSop2Unc*G&+;Ju4>z4)(d54}5Dg2A4boSr|5 zAC6@5-w_Fa{Js&@O z$K7ua>9KBZ=e3J^9UcLoS>WcjATM{`BU5=4HraI>PCU+S{DlD3ynw+xiRua<_eSsM7)V?TD@EePM~<#Xj&*SO0a00wvdV1@d zhn`>b&IsqZKX+E`8cVXPI`MOG$G#77cjk+SK3Vj3*5Y+@ci#1H6Oq`r4hC^|(sS?q ze8H))ul2v}KaO<{nzZtj?;ix<^L;ZPKlqD9{qWeIJ1)D|f8R=H^1;rq^XTL?xt)uC zFyz&*{kikbpAUkNH*R`q(ae=IhwZ=WhU;&rSoz)OZ|c1J^x8v95AGkk`#xauezNEf zi(XxK>XWsk;Gxa?I&b%{oB7hAM{eJB!y7ODxwCwIubJx(Z{BzB4Knek7rlM^lH&(E z?_B?7z%H-ce=i>TQq!TAfU>)ysjc(QKis};-PYfAjUVzDK!X8xe6|dB<5|x{s{f(n z%uCXk@f`>f)J*;FVLO-Nv2K)Idb*}0o8hNHk{N^+#TdyhYQo(c!Cevy5JYHEC2>F0 zETaUuU;^Qx;;zp7YAMUobCznht$c-$S?z~R2pJ2J9=0W*3GM$8_cee~RM-A@W@nd4 zTx7B=aY?D($wy5@+XZZ;Na5}h{j1fxak55V#25oaYTLvH z1gk=AEiJy*1yc%2k+xcVEfznjPXshpdA0`J|L@${O``U_wy)M+$n4CSGv}Uj?w$KR z_opdXS_YBAnP}XeL_@N)QW^mdW!LU*N&>;R$JTV~QlP$S{AyBg$R`#w!FBZNWq3MmYq&dtxEldT9K^FC5iqt* z(17b|v>Iv1wi*x@6vRV!Yn)(dDXx%?r->YgkeE>%D#dCnka;)+Xjtjgxi>GiVP5Us z@QD*DnP@8G%PvgYHCk&Z$)H(wA{K!`NGvW z+)gM?cGNqjZlo*8YNOK~0W!-Q-vL)uK|$A*NG%eQPO3z^-S)9vA^$BhU0pvqWckxk z$1w@-m$6w5!JRICMu$S_boZ=QWljx@cilGN6qAr&+Or6z_PNbAu6zHWG=X!rRYE~1 zgw{W$PFvb$3ST)o3vifZ4le^)aa$oI@x_Xplk1FTgMP|FRq68cq?5r_50NG8Y9e#A zsMGCqz%?4%Wf{%2>0ZiJ3_fttp3^3xZxk}jT87C)+hS>MN&%nX1X#k-@WbD>72a6R zkk)fs$~8Tx8?Av83@4NfkNYJchMlt7G%sPTNa9-2Qjzi!&8eO}F!rb}$$U5tVkfLG|qVQ1t zN;Wh&d+W2{&~P*GLGi7Z9M9g4^qKu?#LE$})INEFd^Cjf_ti zq)D+QLB`f>A*&Ozoj9)25E=nD$2>WK!B!VsRjp(%bS}jPfs)7+1uF+;l#A5~&;$x% zuT7xr0*H@yQI%HFn7T}=D@exp;vAzc}Gg(@Jkyw4U zo08frsLPmPJ~}zUGIsFU`GJPMa>lFYSgQc26^Typ#981>W_Kl(2QkB^TP=q2pvouAiIX{Yq%PC~b4)OBPcU_(Ztj_TDh7M267Ux;5kzW^h(+W)vhI(kjHk1OkXan}0=6;fLEVwlrAOUTNQpCdz7%ekJSweGDzH-VChO9vT zF1#d)+e8%qm-q7T6GEwDit}o{(t!;IIe7Lzo&0qU^`v6M>!L1j$afm^WMl?r=?2}* z-^fqyYOx{OJkB)6B@w*7mqw=;ZeqH?FM^z<7E42f#FY+qVT2%7GmQw{hfuCDn!Ba} zxkAX&{po9(*+2IHmCt(9E71@6h$!%(d}kAbb*Fg zlM5JN$Q9rLt-wyJ3nXd{Xf{Zc4Egm}Rh+wFF#Qr63GxOqeYk&igS;fQ`3rfJIS`%Tlnc5joWb6@uj@3~3<1WO=3MOK4 zfU1IfyOm)^cjJ=8L};R9laP^6Ae@>M0D(z*cRlMACptks zy77r%R25;DWBu{NB{sXFoUp z_Do9hqPlq?FOU4!la#q3Wj=eGBjBinc1EQbb48M?~LBZHM}};UXDKs#W2-nXJL;oKXB6{0*N}VfksV(Mg%BkgF^M$xz%7 zHLyJ#$X?B7`l5wA$Ouk1fuf}XFsvu&E)+zQB4e~6Lxdq@LMzaLqkZF91#;CY1BpgL z&`L=nE~In?uA%pMfs{9)5VB7LWlbata;)tjb)W*iz(7N_0w;CEjVlQ0LvA`cTUh@d?y(%>li7>^aK=~faHahXG2IFB|4s-Vd*08s=h&2rCR9~upqk# zaaAC5#iM9kYk3bAWzD+Tca<@_ctOZD+W-b_>C0OD=H3$!h32AN9QL!;5)&`BCT)o* zONbn4u)c}n%vll^R>0oC(4J>0x$HE$+g*)L0n^$)-3XshGao)d>d1}7=aNr&brL0J z#;%12$F_u5^T?904!r5=+1MMOiUBjOL%|-iE-d1`J~LGxNU!Wc=>TJ)H=5pcf^%~= zn)#Ve7>i7C`%6}5O`|WOhvWo&QHs~gZ!Bi(s8FtxfddpB~Os zq>-z#t$|VqsnUzBj43D3tIA4AwuXFwHbeu;?v^A*8{zF)S?;+bj^H*AR3)(Q927#%h6kv z>oLc)EXamLX2BYAfk$?3$0>u~=z9nX9AtQOFGIRv^%Rr%r4QKDJxNVSgPsQN5n9O2 z(KOEQp?lul!=}XQ)=LtWkgb-_R9I;@#b9@e92t4B_ zHFYDvSS(u!A-XS{rRX$QfQ%C)Lp9baF!v#gS(vuOGr>_vv{oLR1>xCc3|(c0hfH=J zz;=B+*o!)D)*qv+O^aFEnGB8+D6{OyUCc00+F)DJXdn4(7RlO7s$o%>fM+a@BWZ~M zhoEPtPSpX<#w~SI5W(W4B#Y5S7Jn4-kE&D30Kwzy zoQ#&TBRWbpoJcxHbm%!?;Rmx#$x4)!55oC*;|#no#K{e0L5|aZWiZ9VMXGcdf*bW< zY2S^WO1Pqb5BTn`75A=RckPxvKV92`Z7}{m*?=;|HW(W=V#AC=ln+73t3)2y_~T1J zeyIoBcf1YY(|w-oT+PO(kFR)O34xQo-M&giIRip!HZ}ssb^Sdc115DP@O~A7@4=08 z4}sisWz|ZMpMZi36jblsapH~X@Y{0HE1qF$l^nT{hk8Zwr-o0f+ zzE^SItFTt@hJvqdEqjko?psfKLlbNWbN8husVE1H{|3Rk0+#k7Y^=V4FnJ$XPkWYc z-3s#bSH65M_MtgKsk0J7Uf*-e+J~@7$tw=@etF}M6?Y9bvRV5ra9?xi(tVyeJOJp}-#_?UBENm; zB4sLA>9subg%v^!_pVk?anoH;`~IK2)U0%ACy* zvWgrFGGs4>Py8z$+M}?9EoJ=UWKP~y_pWvK5GF7AYW z=BGNoP-5rL{EgzAr7ajga91~9(30s$d~Qx@W79%lmM-sUBXbnI>%{pAAb0t?#+R4d zed&b^8>^g&51AX|bMPs+Z97dsW~C@Ip(iQISq){8`WQ4>PPn<)MJ4YOPw&Q^F>Dsg z2VK=Tr-MFX7LLY$kWMCHJa%UfN6ZT|jrfjNVF|Ypcex@vbDHS`X$O0`8>C>S#JDdL zDt6{r6P(x0Mz-8;(W*!j7;kl%vp-@1q6!eKw(C}aJ94HGxMLi^cP;Z3*;xE~wD(rX zUK2;6S!U6d*5~VERSvLo;qQOz?nE7VTf-E%DgsULX|m=LK<>vULK8MN`FSAt`lC(G zi`C&(RjIbR(b$i5bj0~=@!6kSdd4p2xplo`s%cA|up5X+UjBTbu_@UTc^X2n4LkNN z2}>PvX;asQHZ1J{nd9SVd}9t0x#|ku7+GXzIyH{n*~6X2jIqw>&{$`&ZO*%*s<%f& z>U1PzGj@iZ(F_4Rf-QU8mLldhmyM)P`kguLmP6RKFrM_zjE0qv`+5Aqu`0(x2tIdK zJb(Qez`G1u+WBlQFT9b*J`Y-mLjn1Siid+>oY#tE=H3xp`2K*2FBGE`hY zAKIj<8XIF(#;w)78Rp1Lb60&sqRdI67n-axT9&fFj!z{z>&m7yU07O{ptMZc*qf&Z zI(rJn5#@7sedBXAoxOlaSPu`n*xv@&da)F9X2rOF)fGv1J0&r`XumKNIU!{?&I5w) zDq!*k$VzQKn^+o|-NYMrCVjoDY_igmP3ELjlpoCNs`B9fB-{G=?^Wr52G0i=Lc*-A zh@)Lq%ml)TPk$tf9WSX>|C94#xZoO+F62{VHEIvT{ZoxmS@nwygiz2WhWO0 z$7@-W2_n~`tqMRmiqD-WF|(vaRqHOzIJQaA^j7tF7E=J$9cag$M)FJ=lcphYwDjzJ zR0+@#Fx)QiWFVc8GBNl=C8e1p&hNhzti3TYi#(%6YM$j0EuchW? zAs|laofGjEG+3))Rx^4~%-4#W9eF7=o77D&Be@ zOUe?bH*8iK?afK@2e|QHHJ6c&nvc#jkinltGA3it0@6+d1=>SD^yfG;6Oc*)j~ar5 z%(@vDqPvpmv9!o4PZKwQqa^hO5fi%{pJIDmo&biP3T}dO6spw`2dT~w7lKen95xhA zx^bcx7oO#GB_&DFF&BUt7Vi-o44zo#54K|2g;wb$kp!o7;kp(qcEGuci_GW7jeb0W zhtStcz(|`DFM@8XEJLReS`5W4X<{Zhyq$7eL>9Fwde)h!gFMls^<2!j z?e3^`0~u=!UmLOjd>WkfY)a^8s$lTQx~bs@5MnvM!kFbEO=!{an7VR}wvfSL<<&wc zD|NflZ2{zff_KH%Gzr#<-Pzx3d}G4nCZF8&esiqkc;-jpl(EKfl<>3SF$lq!Dmm67 z;{jp|a|C=6Q&#q_B7Xy-q8vCaF=jR*N=ri{p^ywplVa3m0y~}b)iMDgEv*o;Q)`Vo z=XB3zJ8GWutdJ_6r0OtCyA#!Rq{(I(aHVZAn_QCcF2tt_nUNg7W* zfKBSiCrRbl{>lnXo)dNrXwqG9mF5>g@*w%70KRLeL{p9}2RZan%$}-zQvVz|7OfbA z-Q+fe#pq!fSyM>3VIbjJ`e;Jg)f7Ok%}F+zhhw0L*<>kAk{k-QFb${`bG113fn)KJ zBB^adGPo_e1d#GLD?qZrUE}505%^ks=-p}Ex!k5#YF$ef>#0Ixv<9?E_SRA|)H3@8 z*%F#aEXFaNHWd!@&1&Bd2%SPf+* z1d69v3B8I(pQDz!y(l#<`_FsBiFuGQ+^*Gkp%Cmp%zuf&mPoUH-11;t;8}M zW(a69+*NvlZ1|i&Z7;LLW}Jzm&KgjTF`qyc5gQ2(UWp2XgkZQF@UA$W;WtDm$xpU; zzXdWXTQV{)o0Wil5j4>yn?u~%If#IBcQTXAS~knafcnY|X)~8;k#K35Jy$=;atvY4 zjzz72Z%qptPn4F1wD!iB#$z#G+$=i7a3re?@A9$s_N!q|0AzNKHqyX|nPHV-Dd4XX z!r&nxbTp${49;}uEf^H1|KvtiFjd$&631+$@F@( zF+d6jtx9N8jwlmie~iWI8Skn;2TvQ7sJ0pQM-bh(QdasArMI=VVF^LhJQ=5_mqH0I zb##xc6|#>hy+qpv?f~<$kII821mHFx%NT1hbd8OPvTDN$lkC|sQV<^WGahaav05vU z#e|cHl}HvFV?cQ2ndsp0b~S#!?HmbiPxe(o#P`=L|U02m|*FLLBV=5)&9E)o6$mP}EHGWW(Li+emv+A}#aA z4@HT){}eK-`l>1&5aS0GY!YM|y-*o`j$;ukox%TqOQ^(z6Zmu*9mXg#62=QeL~xiu z<;OZ1W)M&dQ%(Yl?)NiYbbdq^?ckXQUUDx9zzN4mC!-%MI~kkQOKiVi;=(YnQ&BgU z0{2lM;-a;3WFyhNT&aSlo%ca^>jdGdO0kU(x`3EbJTh| zqjx6?f<3J$R}=y=sTNAAD^aNnjgxep@`S;3UFW{pg?7u z@+K(=AHf_=7lN^Rcvll3Syg_CUM|D57Nu?jIAiEp;Ev~_nL4lLbW3GRoc)W!eO}w) z0t|%yC4|$zpL}o*ZQcFitb^CC+jA6ytlt`hU=u6BLlAU_7v-ZD(f0B0Qc&$Gk0=Mp;2u(Nh)lAtd1}vUaAMS* zxRWO{Rkd~2)mtHjG zI=G6!cZXnrv2{Eq5enwqz5)oo)5g4W(v;wh7j2zaAg_To-<~q&JlYNaqKUIMdYYUE z#}qu ztx`z1g`MB$9-ounJ0FP#Alu6>ngX3S?SE}W)$X5oo6Qqy!etNwo#`(RNPHCBo{)u` z#w`VT6(!n4rT3u=%E%{*TWtt`_R;ewiGKxL-W3=Qf8icLFO1x?=F+)O5Rfv!cMrZn zIku2GB-=UkYmoV-ASK#ou3QJsryyi_MlM*XG`Z+~#(!XBBm+$8KJ|~P?La*upncKU z=-+~7haS=Y$Zgy1Z6VgTvOVe;AyaHiMe1WI*9AGCdz+uvp|_QKAFNlCN2ZRc;?6Kj zuIxbnePZKI0JhdDa*FkZqP;tQ6{(-0Pj*B_&{@3nmc9u+ec_NaG7qx)cm^=&wrMT` zV5@EaEK_P>-|{^0DF(}za>KPN3?TCKW(eN3A>tgg&Bs!9TboT#XR|RfPP>VgIfxQ} z@3FEGsBkoF;10vhHS4g$YRYE6Sbj!W`f)2<(i%WFGmg=O6okAE5{3pG?v)lmc$lVacee#j2RJE5Pj~L)>btUR*V9XKJL|XWQA8x@Ab;xS|>5mJ{RH z=EnaW2-)$m(Cpsk2j@F+=&f-8!dCRP)o?>jva+{fdS`nB_Dr=+cu|=X@CNy3#qSO} zH5I0_)bn?q?8NVw>S%Ux?Q(y2XSuz5M+jZ}k0=k$By*4q2L1wJf~D<3XPvfbC32gK zK76JO-0+~n685;eZGuj;I%!8+B(a&QDR$1lTu2SJfbED%_{0$aJSKlHJ_A-(yFV-? z{6Lv0J^7=_qpu@^)DTP>r>)JHFdkQ_74?sBve! z0|J1;M?#WA?@m0_MMBIJU>Ui+{-h9VUI`(3$2jMODzj*D)vehxsxTQ8bu;luJ@lRh z?wyxuhOF}Utwgpz$@++fl%KtUjUt)an%fHoKA6?jw%3?LiLH)6}gIGXNS^T(|R zSis0^ker#LF|x>;(FCNiVxe<`3$;}`Ckh(#)pSemRst~rfLF?@vg~GN6k)lGN0tm^ zaC3?F`cMG*y#Y*K(~p|q!-7y`^p!b?&YEQamd!;KJTKd`EbO~Sb({*iGe4K~tbHmNiiEY5V!tX9Fooby&oi$*iJX=Tyn z(3+P)9LX*d^!uK`hps&j4qfBSvrUu41;^MdR4L#M1Z5rb!=qkr2u^{eWN$*xB5eY! z80T>Y&tjDn7QHh5GdvcdrDcK)ER}SROZX(wCfQuv#9#&;5rjGx4&D`>2}jX4v?%#O z;?bC6$din?tHC)wHByDRm_W(|H{w~#;J733y&8+*5+RB>8RUHUfpT7>*RzN?=V*lj z`U5GWjFrGV8PPy10cd`INHzxIQCgyGrN1GvS1byz@K@vjO3M+Y5mtwBbl{;jEx04X!CS5G`!CY}A@9v9+n-*x>Z+?)`qJbeRb*yVe z3~@xFac_u{Tl75sLr8#*Sbcyar>Cqe5g-{1N=TN|Ig^r2RAi~Rz)eLkbQIuLjIFq2 zl7mXJAwe}ENQi~q7nvqCDSg6|EhDJ3p-?q3qb7{kGF_m`mNVs#aidZ)jNnT%#<2V! z!*FI$o~E6tU#SP(n7Px(eQbib6=Pk9lEL{{t`Sh?R5oiI6hz6mvxrqY40Wh3@(Kbl zNJ|tYnXcKWxDaUc5@V2b3So4v)Ndwtgk}+$@x0Bbpux?-NUfe$E*UF9lqQ<&dN86Q zfGehQOYiw)7E4Vwz<%P!e~V5G@(C_L#)Y>ah10nrldFW{27%+RKvt(pL5p*xAhoNo z8#it!a-O@H7?o^~EWnH)lQ`%C6?lsF1~(*UPy`tQ0V9Wkg&;&y9)vXvBb}V-f*}O) z$4R!N|F}o8m8&HDjdRAOgFK4QJMkQbt(krf$LI4iAOxXYwZ0rWrDhm{#6M0#h?fFa zM@h$FdVfe{lTH5k2i>zEO9TeA<8-2o)RhCcx_;k*-k$$4eC*wKk*m-lkdmaDrlwb! z23pBznVGDY&1u&K1Uc>WQgfVnfvY^{DWV~(3pDgNxgP6mOeykC_u>)WBRCp^XYV@U z>gwG}(c6xoVH(delXR6f1!D5Zl$%s8B_0*tEm~4OMgY}7=0^@49yeD&!E~R~LB~%P z?NfD$egN`6;x@g=M2!3nMS`r?YOky;k@(&$j(fjWgB7u)HZ~yWLjZ|L3uN5oiUSAG6gmGWw8#g;BMa|R_kI;kDd&;3T72Rnbza3Z z^rtxKt#Q&5UZ{n#!h1luFdu{WpgI~uvE`|FY%t)aa$&m?CF3mEY)}*`2cVU&oJ8_f zCMZON>wH!aI8_m&B?u)!PJonPMOEmEQs6~mHq%IDCCVfIg`=k>nA(MRS0Z!LnPC_V zGkK*($^b0ondl zq)-|!9vbS+LxMh4hB4jS6x`?_BdW!_3P_J8yi8qkI&2N&kakiBNg zkv(fB&OJoq#cveI7|k!31M-URTt{Q*d7tdxGKGfLL3-_rG)I)ivi&tveugQBi(ftk zkhd!yxe6otyYt+npo+4fAU=FpbN0{o+<5jE;gd#uQ-CMd?fD-5zI`^pjy)?6t+|gw+PIW(bC<7s6(F`N*OXDNI;PA~u6ii1_nUhj zIs4+5Pog=ZvuH%$6H@jf0VyBacQ43!l!gvLZ zJm@OO-E{Gh6;&X69?T~(kv)`Ml{I&CHUSu6c9MU1b3FojC5# zZ+M8w--L4qllU~eJEX~`nLn8`NCpP-kWZk=Aoo9;+#UP6DjmA0Ba@-Oe+YVO@dOB| z=v@hv-PLm^JGFXQQ!Mp#WT|a5C$Tmkn5F1xDRec0IvXA(#<`c^JB!pA&_wez=^*&G z$jq0lj})b9?rM6yX8mdiLO*rqZ6T|8M%mO|vF^3AQdJqZrmAmEsB!mAW%HXp)?ta| zcI0Ix8?zABoi0W|2xS**4%a%%D|(x4d$%kd+gA?GCHlBz3ix!@=yv0YL8vouFHLpP zfOg&|s*n9;)fH5(^7^X70o~f2DsXFdZ?iQUUQ~#4Ch*dnMQ8M7Y+E7tuEvP?k`NHk z2#Agwklm*Gsu)74Yv^*yTR!4ESN($wuW?;U28+-G=ZhK+7+@$zKzE_B?C0#RMRgsm zj#$37e(4dGSzNI#({QuVXLVitR@v10^2{Yz zT}d6@g-FK=0Q3QwuoULh4f>=R=JYm~0OzeCVglaKS-!4oW(q?Q6}Gz`xO%sZj@B;; zk@t$7Z56$%W68EPqd(n8qZCU3ahS57rj)N;%8b#ASM-IYr>8tX$^U#5XMNobO?+s!LdZeT*U`}5Hs?W^nPiq(Y}@<^8& zSF>s4rjQ}Z>Qhy1&J~)Q**4we2+vm^J`Xo>fyF0Z+{A1;1=2G6(6tmrCGHFmY89u1@KbLI%61(Zs{tkmdwRQ%t z{;wwiw7Xj38+>Re3AEw6!&zziGHVt(8}nyl_=HO)KgTBe1>XK`i2apzVW~NQ2 zIHjA>%3ZE5(sL@pTp|MV+~LjT@*S(5vJcBvTE&W z#;Oe605%f~Vm}6l@y$UEgYdYIf6zuSum}xb-fNu6?78eD8Pt_Cp+CNP!Y#oCI3X9m zf=*Rm3o`<(m4X=~sC&dth_PxbdY`(vlci&fd%j@-NZ{xKf@dgjb=o~dAU7hA3HjDP z(i{Xmh#?t-YK!Aq*^b0UQ1ce5ZzRwyMdyZs5n$+s&~qxF`7bSLHM5@Ih ze4JsrD9GFsEV4WaZwZhPw$t7o6i8JI2d=sv);SnMAd;FmQhvZXOQuCPq%EC;4(7bF zhOM|$JF;kFPh_*@SWXPZDJQj*vs-aF;V7q?X z#?sxgOnZ66c?pGo60%X0=c@`gg9>GdHJSaJ{mq%r`ZCSmtJvXAEK-BzR8=TVnuz;d z1vG$tmEKh04wc(td5=ea?pLf(-YLEpO0$*l#wWfO9z%cFwx~jCyRFx!G zm7+aG3o^b62c+!1P0#U61``yS1|_7>%uFCI6JY&)526|AXOv-sG4s= zbYy&t?{`vI^Pj3H?FfxQ17z}i)#mBh8A+kCf;oZGZ<4=fq2cuZs_Z*2UEW=ClQKR+ zyfLQoiG!k*X9C9%Iau@QU8(3}wyE(EGQHp#6WT!ITi_+5s zLT=xXO-)c4IWPe}AqC6KCdh`REw`mAviLqk8NZ~ogi#)}?aF4j3av(YV<{uqO)6_b zF3BItgRl?^ei-DEQ~sMuhyD+$bdn^98;0Pc&B>@zynvDSu)2}I_Db0N1(mE6B;y)q z8c$+2noAmv;T9@2RFJGfh$7VY7$eToC{1>h5ow=;IT7JK%UcZ@Wqq6qf7C}*`|H_`s>EkYaU07lVP*4b(>O4h3ZuHDBorY-5WsR?Ct*fkbwdtp(O#Xx9v>nw~xa+CKk z!yjE}{A6Oc0BEG04Z?F=HZ+_c>C{MCL2+0l*bEH=verj2lT+=V%iJVG>R@o*i`6G8 zCs-q&MTO0=FQ#Oqqd@Ij2$FQ879BRy(Iz6AZn|T%;~4#9fYs z=jvSM8E)pN{Y5RfLC{(l-zL&tJI8A%;RX$ACV30?l48JM#ibV}8Zv)|A4Gr`Kr=MX z%`A)Ff-Bfa>{8(C9SdtLnkfbhMk?cz;Ma{ljV~L+jB;H=F7C$QWWG&_n7>y2eYqBba144w@?@tmRV>4uJ9$qdO5+TkZd zV$K3^PY5boQTjwkq^wNdf@l?Wwz`k`Fk~{R=9h@SUAOdhCIRm%r9`q*S{EBUKYOO~ z2@^yf3SvlEC35J_UpR|GQHEsaEYFMhMJ0#9bb?#?fUQ{zr|K6b=z{6BbfJnHj`!XR z8iX;S+TI}G!vQ*?*sC#QH`=PuPWUM@{K0E@-3$m5)qBg4odY5+EZPZ)CIPF|L29hER z?>4j{y}iJF&`6!)IY)X#r~wTVOq{BF(jXgE0<5>7piX5~J_!1SZ6tFr48M?yO7>OOCM{f4P?()gMM5@;cvP2J&ACaD;vV0}hcH);9gg ztx5zQ=_mZ83jmOtbDlV6PCurg4fl??QihLb-@1>gUqtX1viYC}=To zGjn(0I{ypu%p9;YY|4BD*);6_o#S)Iv~}x70aL2qgQYDav|2WE%`u?WZu)a4$g2ck zY0GjM&C}n= zPT#fn)T4UIZTgQi{c>hUT>qS9Y?3%VCyqbD-g?V-;IQw8-J>p1$ivrWKLhML55|gQ zLuGPo?l+Gd%f9g%VIco>v+ppFaF326Y^L8l@|Ji8S{3dNqYGNf>f-HP{03Be}hsvUrfO1HMO|^zGX{ zY8t?f|C^%x?fhJ>IJcDWXWv;|On_RR2d%Gd7H`vw1URf=0Z_~dyktIT0>QX}mr>#U zDtJQ$tcQH!&-HU)`Qi1-X}MuYxE{)GcC^B)f)M7Va(yMOKk zPVw~Z&5yin-2mfvL%|uj3F9|MH}&rzy>oj3kc;o|k;CDWp$wrTSA7&<$Hy-_@byi( zekAAgXZE@`Z1-hF?h@aG{p^Wj03>F6jp;eK3JNylawpvIV?kPMy5>6d)$& z5^s^@_re5Hd>>BGFZlwM4#TtgKNztp9RP+q{T11k%d&1P0A{|q`h6b$>|Ya(!uiHy zsPBBE{*;z1IK4l>u;~f_a&z6jV;r(`@Sb|HkFz&(?7Ju(_>A1tk^7>-*N6<1T|YiQ zV9$<1e)3`QuuPnY%|8t>8Q{Am`+a8~_MJ5~_{Qd-CnWc*Djm8}Uj%)!Fa7g58v$d`_!N4=9}r)MXv5Wl#Mz4=GquHC+~pB!~oG`N57q|atQ z!??2Y(Of>Gkz-Lb9v48$dwq}ZtYi9p!25+ciu^|Q36Nj+?U?H8^Nsjge~aSApdaBn zdPunBk701S1+tI5-3@Z-BUvgP{ON8Mkfni6O8HU!Cr&!H;}cnWk|D~gd`~<%YHt6i zPbe(m7dPZ`W^Oe+SnFQ=x$vZlluS zPw0G7pwa=5xBlxC60V1`o1LH_Fu~0g4@2j23`&P6aG`VT#z#>)9ecPuW z+WQF@-%lRQZP!kTj>_#;l?zG-HdHzcW{BzwsB}==*Q*@+=JfpmcEg`ENolF=_r3Fo zJf(jml@7=!C>3UI%roeR;2^AZa$ze&7WZY zsmCTAV`I)u;0^l-x~Es^_aTG)4H2LgKys1Ov|q>;Qp$_5clOSV5mqgUd=u6a$qdz@&YT#vt- zSgj4*rLCP`ys5t^>A}*n+$dx;{k!x|j(Q^s`|X`a_hu(ZejSnw7ap*;6EqO-IMfSY@MZt9p7Y)oB$?x;~RJ$=kzFB6vV zsQx}STAr|@fB)(1^<|Il=<`i}V$_8^_^bQQGEq8ElnNl1yuw(FS_9eXkM_UuDsi9v zB&80O4vM_1|A`aXAB!J->`Y%DHmvBM!PzAB>Z#JfS14k@{Ro7>94a(CvTr(xpE@1n z2`C-bu^p>dkAU%1I*gopeAlEb-Djsh`l-#n-TOv0?j1E+MfqZT?wc$(m4v)AzvwI7 zLGlTNSjK7mZF<7fo6b}~lnAO;E%*uiAQ^vDyuRG|Z(w!RTs8(oi*3*@VSv;1kZ{Mm zo*)eFtai!U>7#fA_f;4LG;l=8au>jy8Qamjc<227eymxXTC!{UYtNpY<5V;p8@=GT zwq@BUG~rMc9pguHNJ|Rn4UPKa`Oup}1_LJ z|KZ!OixW1p&B2p$yCy*apzOZ2_x$9I`yUsdX-2HoFR>9{e>0ckRMPUUShrV7<=Sg{ipW$`jsbW5cGN$m;9t5cc->~-eur^2R#cfO0)Ko_***#=IZ87r=A4>i-7>Uz5OH4 z=p~zGpgsFCVF{0T`!GrebIslZpJ2zYnep0_zOR2BZHE)zy6>z3^ehl1{wsJ_i~}$N z$VI#OnY~97Y~Y$1H?&jg649^(+eHW6=9!$v3+#^FO8os<#9^iwoE}7v77~VH5Q%f{)}9k7V&6V_%!`A5(q% zwvGDC-cjFwTlFkv=EfA~9wphw*9TS|-4yjaXx5$(7-z6yh@<_O!e4{@Hl-;cFlDD@VMb_MAPF1o;^xrkU z`CgcF6p41i9&V0!XH);1RRiv%kN)P77Z~*{E+z#ROtiO%O*tKUw;dOM$Th5E0&#?a zYz_}nbQl?e($jO`{%m&Trc3%ay>->cH(2fszdDu`(?^R>pt?BAKHJawjgxXuexFK* zhTL19z2TtmSnd;_aW}oSOr8PZ!gG1SU4I6xFW%E162vpxab zTtcf|b0qf%{A@Y@j?cUSfLvHTF!zOzKeOfduMdCY(%)~n?m$JT-Eza0zJaOxufOg4crJ`8j-a z;GPpIa2MFN-#`Al7YDxl^|y}fBkp`2yyl73R}B1N;NxF;>$P7W-uTmRzjNLndk4P$ z%(TbP`ortX0Rz0|;G-|U4ZTje+e7f(yfnA?kCepCFP{yM`JTQ1?dy+2+7%Bk9=QJ7 zTVC6DkGwI>%l6Ch^drDme)y*ce*F1UuNe5sFFv*E`$w+*w;!E%!dZv+ z?wV3}$~~Wb=+8gC{+nO^1OG5)UBV1Ppvi*4>t>?6y2AEWe%aP6u{3zMrE^i8zg!0^w zrO3VM^hQK!`d3kuA>&S1xie(6%A2BQh?fR6{yC>M;ihX?^~_S^ZnqVHtqqazt|mt; z`iPOX*OkYhml5OjdQ6I?IqbZ9(%nw2 z7+u?w`VzPUt&571e>&M-764AJWF3|1@)F(r2)2=9QKyt!Y**50bV^5Tn;6l8P_UD6 zXHt2{xVhYM#Yur}6Rh%i@SsdbfmS=(PM3X=?4GKq|C?4OE?~ChNkm6Anj(pQ;M+LlEh;n zHHvGv?1VFu$O@C!$n-eSBw~OYh_irEvu}<^`V4oSxxkvJ6a=oT%Y5PLIM8a_*R=vK zjY-Z#JwOs|W&>2sVC~$TX@$blmI+96dwiOiAcRxIj75KL%G0FEPpGdMSn1S3h(A>< zcLqvaUS+o~P{=~~_AwN00cue_OSpEd5->_GYoCB02mxvlT~A=WMIHi`2^=B@P}P_t zp(n5O0)e!mlv2KN5JFUU<$&6^o8dCviFF6-J;ir9`tqi+8 ztpE*f=(X9iCh(Ldt+RE#WCw~*5)x`zVTz~g5)Kwknlqz2Wcx@Uepz@Lz`n)21wN@3 zY-Mna-L(V&xo)bGnYg%23!?jI$LFLNU}h< zh1=ZJOWklOHwjDF<-R{8r4rK`-Bc&m7GKVSR2(joI{{4V^#ikyTE7-f9j0UlN%( z*U*4&wO}l9R~L_!B&3*7xiBy4yaMC=74Ub9*4mx-Nf%r-+pXb*IF|{xCefj2U>W?- z3tbbV?6Z!Nc)QB6MZy90SdB)G?$l)kkjf^8*TdgV{K-C**-Barx5jC}#H?!vvHvhS zoPgh2(1A~W?N-5%ovK;NVSC*w0D&z)G635VaA%Q#tqH*Zk%dG|Si8X;j3*iz;Tn2L zG7Bjqb8z=;KxVTjpEy7@w&9z9$9kFoGq;CztF#CEnqm=JjRXYT-aeM8g6vRfhLE%L zaBqdu8ySLLl7NOp6G7xb`^Jh!WS34POB@-3ax9`mh9KjIzdQdVvdZ6Lz@iT+ zxD=1-N#S6rNWEh|evN*)9^l9mSW2U5Ae3O6dWNqtt#Jlpg3)Kfj2Q;R7#0Sa8SWvs zni5B*4n%-dEz7|TnudLu>E>YIxT*eohbSJqK+usEB+!YD zzo8&K0)D(e3L*MrH6j+?gWe7Ah~-(bpeEyZ7CW(`w#zc+Dh2>6;gJM_G?Tfkq!JVL#mP=Cuy{g9e3|Z(I@X@x zPvG`u{9#=*WEJTmfn_9Eq?DHJhFYD1vK0`+A}TDH9;*~QtQDu3z#8sZSe{_;sF_ki z4BNO7%1d*kj=StrMiSFgI<}U=~L})v4W=QraGl>()O9Z=7 zm)n>%=4SX78@`$#V}8VQGmQ3WDs#}11&I{ZnJ#c&(jt<<0KJ8}kT?o)0`SE|x22T8 zBNUK^cwi&ggx-q_EbB11QOral&IHU+T+kA><9~o2;W22ONLSK>x`>P=Z;JqytivtB z!C%O{XijHZx8W~J}q0|r(DBJ(Z3om|sBcsm45}wl))ETo zgdrgy*Zgm?e_(WWw{5rd#Cj|>tB*&YL@Doxq9E%`(zn{oMWO)E!X3||W?cwy4OGAA zi9QBj0&B5~Rkb$IswunJmk61?b}MrXtMd%QZv7S2*qYaNr~wcnLji3NB&Nb~t(zQ= zpz!Cwqjb5(;RAy5MboEYEDC$VOGUX2pBzF%>P|Rkc4kug{s@44fx0{H)XBhoT-dcYHlySh zDc3$d9zD_MVgGg0z@!LEq> z0gW_$nI^bi@{7yTz|D2{1XfoBwYz0@j{M8gJ5n^Z=8-k#(E(c`Ktq5nI3> z@S(CbtX|8g37!h5-e$X46eaXb1&oi6T^(2reAQ?Oh?AxemonGK?5;q_4Yo}P5<0Mp z1vK%;qSls<)&mXMGF?W z(71z_Tk#4&IVg+PsL~nN(D@%A6BzN3kR+t}Nwx@iWVF2CYmliyW}E06uy%h;T&0Wk z8a9f;MwBS3%{Y@ryDeve8|}-anLr~{qlP7C>o~h{vL4T*B(*-><*c&0j%Co7Xw{`2 zsZ0?JFmsne$b|}T+My&+R#J{aloz6^!1Z=mnc*ZG#u|2;U`oLmq#)&1G$bo9Ckj2W zcLLf21tFfR$V#bPMY3Y1L<^Di_;f3%qt6*1KC|+3_uX0 zrf_>sToTbyNJy>t7C^3|$Pv~UD_rCx!+K+j9ZQ)rJ6GAYRV)A;*LKeEtz}5^u?e%7 z1$v}mUI^$|;LipCcHA;y1K@O-aVpkJEhuZTR{I;#DX`q7U4&|kE(B1G;geNHSv(>x zXu+Gn&@P4}BY~3Dth*ta!xW5JE*Z5-koP?I}})b%8PtWl5OQa{L07*}CG9koL0_ zY7sW3z)i_Q85s@rBp`=jCEoWkD$-k3hJdVKU@zJe@QKxeeQT&i2RLKmh7iev!dgX% zHWLQ9OiP<}U4d@hHowGNOO7X0ZD@wJPCSyqM`@9|gyGLFsMf8SC6WN&HDN@71%!;r zTBt)8(mcJ%9FA+!1U~_mA#+$3HEV?fk!D6C1xYsL!S|Z;Pb8Da#Iu19zGuN11xW|A zy%10ssvvPhF7qx5ZFXFtP2dGn?MGPYUBvKXVQvdJu7zhbleMGqfDt7_;Ilb`N@8{i zq7is=v5mdePLCRx){^Y5Lc`7WbU`=BX~LK73A zDa=FcS;0_e%Pi9*xeHsPQ>TV!ldx6O1jN(w9T<=OBu%3hWRokEnGP!3JJw)61M;!+ z>S)r_z`KQh15EW*FfI^JiNw(ipzF`<(_L60lhhdZNdXBbYRn92QxPM`U}iBzzVWjV z&!Gut#v4&=WIyMztj-=xU~MXkMqM053US&REdvfbO3u`#3J$X5I7bJG$;lds7$t< zF8?LrvCCEIFbFqVz!m+l&^(8sr>ZEgd1dBB*fhfk00|eOmBZWeGFVIr~?|Snf_tv#*uxZA40#*I)#tq{D9eWQDPIqr5gws4` z>CJiB*r#Lbx5C)$;}s8<7TC6P@<6k{9kYj^dX<8$`=QA_Er@dKw@&heoCduQf((dZ z?C9~pFg(>+iRgjlmW&_b$Lr`1xe$hB-22kHW#C@>5HxxI z(vz?gNew&*auxYx?HKI-aw)9*!lCbOoV#?zruFLpG4QTjb&yi$R7Cme+LK|)NN3zb}|F_kD=6qzUqg2dBFpc)u$>*)N;WM5X*2n+U}A-BIR~805`c zS9u_ul^{P4z& zFdnW_2)?WKtU_ix=`R?sQhqSXA-z4HZK2MiJTfv4l8oJ!6Pr`?$2zy7dWoHnX*qi4cHiFX%!T#>4SouZpgnb)6oTT z+00bTB)xC##ts=F>j# zHf(#JDUYNY)aSjBo$73Xp!FSyvSV!hg{473Q7%il1n;_9_w0{j{{Dp6-sp%u1yh_{`HO{=Mrx9dE ziMAtDx^72D#IZ^u^)bz96l8n}$zFfc=!j^51E63N^lquqK!%kQBRd;HV@<%jVlUa2 zo4(7i(Y&&9!!@DEZlq2l6l}SSw*jzF?GxXC@0+pvOQYQ*{Ul`cnBD+1sRJw?$mElj zrJBr?jOoe1!aA}NO;bgNISpfa@uju%RoaPHYl=YUmYyUu8MCgr-K+<998sEDjqB&# zicI;Wv=kfVXpQ*F`u`L6HULr-Xa0Y6Z|^X>uFyNI>#)ICvoGEf^Rj@s5HM1+E@U8_ z8Bi1SN6ZW;hvt&Qkkg#{)0%a5*?5R6LBw2g+4aEa<&554jQQbt4zlr2as<4b#^la7 z@+u)H#(2aC?*H>t&+INJMsvOtY)|*oPe1iMPgPexRn=WzRmdYGQN0Nz1+5m@+xa8Q zRAf#fD{#8mbZTB^Yul!tuP+-~pExTRsciYoeBq|hiq!Abez<_?uS}Zh>3k~irg(4$ z?lbU3jg#Y3Q{WA@)%Dcqq3%Qx`6>evZf0wBW=TFZbZyNALtr-tvM^Cpk*QUwfC^zL zVpf1j#uqg<5gHl7(M>%oF4$BRo>Gi;Cz-pf&rEMyY%b6Z*IuZV%QDfF$tGr)DcZ1R zQL)hOv5Nm$#ol!@KO)$AC;(-z(Xs3rrP+FExjss|B2;s&vcT=tR|o8M zT87`@m!$bK%0iVrd2?GL#M?N?89A1>WL*qc#(i?MLV+LK==Licqoeqgp=LwSPpoJ> zEkXm&Dw60;94$Z82KDaHGbsk3oH*h$|!Yp3*#$3g@&9+>yl+pHAja` zn$V$Urhrgei+)bQIz*XBZz#&jwb9i$)$OZv^b=TYQR+)vF9yQMSPP{sg+{{PB<;4c zA%lQ<7AHg(WUiCX)hJe#jod94aXIx(i$LStqCC}yoyu~eL@y~| z*@6#tO!AshgeF1~W0Z8N(2jyr@sfFmDONFW#SD#0)~#+7*mc%3h03GijV4cKcHZHNj*)Fgv+UcR_tIfX_w)ob<%`04 zpG7mWq0__@1wBRMA9yTMGC$O7lKIqD#Y08(uIfiN&282KmqYsiceN@5pAsNsl?ch# zLmmi2mXA3h>tw6C5luYR(_H~RwS5^+jE`jZCMIjAx9Q_qR)cKYQ&d53;uPg4>gTf@ zG(ysf$52n8hA>AbSZqFP3?!p+aF^0NR2nC?VEjT}r-F<*aGT=lMb(DqO|DBhGO~Mn zG86+Xk;~FT_86~U*4yLYi$m3fPZrufRyohX9Td9^3)vJWE(&MFA5`(}xk{l~)-7rD z#3$5Agp|ByDdG~`EKSgqjIRt>jU+XoSh800>t4fP|dmE1{G_!=;V%4_-y=wCCd^B0T|CBJY>0eS?a;1dP;CZ*_=*)hRJ%983kIYQZ2Vn*ye$6Cd8PqZ4O*!uz%Emki%olGYieb4N)PoYiDJ%5`H30lAUks*>ziR^ zMfTwej(G6RBZsrU?plX1YiO3zX*OQ9v{eD~tu)sEJ94!Mu?b z8y<3OP$@CT>jF1dJsCc@vZ~~#y<3k_Q$>?t)Jk8?f!!9WWAS*Zp&<-RfDp34Cp-qn z`ec#e!pK#vMKp0%s>FZ5?8w%u_6wq0#e*TT7eS~eOG1;$Y(gg5Rb4u5AS0UrL%4aV z$LYOrAsikMtZGKkkmVT!>Z`Q`B5>DK2ND|bWDFz6`ssx}+{gZzvdP$SfV77AI}??q z`2t9|Drj|@*en^SbY5w)>>`JNP7ZZC>@r~YV!UV)SU!+N?>Iwpan-rdcyRXwoOGHn z#->;vKb`i}WHeEpZf;;c-`*6t%5Y`Paaf}?u%J~ogqt@=kX=*LU{N_(4~M#b(GX9> z-H^3c-B82A+VVPs>d#87HRtCZ(@>HnH`a^{Ww$Oa+ECm9OYH|+4UbU-U_o>U}~+qmlM0(51qP-}=^sUG|p zU-p@Z@@j;DqG&y^o^5lM3La^K5Ok=u8|iGQ zg+knJgpnP~nSfm$#evIPd?IZLXTpASO{av)lBqC}0$NQ;(mh#N<1BHG zDrb)P)$W+=$xpTMN0q_KVxal)or*khMigfS|44%%&DC>Uu5Crjl6> z+rxgV1hvf{2&f{)^vCTYInN`9H);ak*GiV^mrKb|%c)3PSo*E$@(pVE;z7FBvVEIU z@bxxn9S)w&0PdTB=6Q5j+7V<{S#>)CCoKcEJ}v8(GYag;XR<)~vdw2~=oo{wx|t#H z&A+~j<(z{hU>9>AwNH=OqHXGYCAZ_j0wRnF(^xPIoNdoIONvi$C< z&DWH(jEvv1P^ey{tq)%A~?llIo4GV!wov{mJ!F6U4>67IK^^Bc_I6S%ofP{_9sNO zYLiqS(R-&XAMa$}vE&fk|9bi6M~|QRET^ z_?_N|-6RNl6K+@0J1Y687zUPo&&3XOOc~GRuZ;FpUWr@=hu;wL2ppM=yUyj0aqbl_ zmu(=^p)M9vqDe?!STN+8SOiph&c!uCo~G=2dU2fznLMaPP*6-wVOh@(%LGEElNpXY zA6fj|>AgwJVWwbyr!03my>{M(sx*{J4Xp-Fcf&dd*vjyR)Ifl1u}H+{-;(psTpU`4@5h%u~*o=T~C7qj0tr!t!00Rwy?OR0vd*WRbo9pGwXXpLDdVs~6QX*Ah}8 zvhiG7d|uugDtdZo?Ye9~V_%uhb2l!)oO#TgjA*jxT+{i3__kcCZvL}VzG+WU!Ho&K zX?0>r#=lva3##feUfa<6DJ@_ugu#aH#q;L6$sh-ItlodJf?QOIjhW6xlL9e*nLe@( zmdzQ>5(`JIe1pj1??m|c0oOXb389OGV=g!n*)E7WA`^YYadgOlxeka%iPJL5B198= zdCGD&@XJwVcQ@n?q3~j#?{&Jf5`>n|Yun68lH@PZW)!r8a9tf&?F&y*?*_4(Q?4`M zqF9OW6xp@2b-VJbqHr(T9ogFQo?K8#sOi-z%K&#R8H(i!mE&I0Cx8qF31Vd=LY4T_ zqGPSQc!)z=Ryh^9%J|lCl`S!%3!g1W(Cseq=`*mS856(&#wo+@R&y(F4y!NtZjxsK zaSCbDpH6Vl}hLf%HO*Eo!%d(+Mk04M+&MRBZCQ1@CyJVvu*9IA)VG5Y=SatDmw}c2m~1Mt zT^3g4xKvhcq^C%4tG!v>>M9*wuqc@dx9IA7CZYz8ioCddKjCLJK*y#+k0A)=sqQU43e*VioJq(pRtv+g@Nq zawQI9nuA&+$%-6$BmRJ50L?4mBgOCt4vNkT&s&|QJ?2^mX&xK-fsf=8E#Xwq1+U}f8wAc4Ns$G^0uS4cpng1t7m>F7+G_ggV z2d-`PW@L7U)kROZJ%SK$ToIJ_#Q2_K&f{r4l~Zu*2-zh^mi%Fkl_=&6?dJByRY4@% zamTpgf+@)i1MyLok=|!O_TlBR6u@ zjCejDDaiZj&PvI0_l$VXvpnDJTxzkH5@|w~h1JE~f<7>@;6gZYth~YCIRzmLcl->h zeBPU3@`aw_Pf~?mf1<2dpE+Y1FE;F!62gOCZwzh`G8e2P%-NowDj|g^Sh$%&>jaJ` zCl7LpAumB${Tkt}_yjkVi=m=7S@N1Qdhd2ZDrE6sGVcXa4A#_dpok-gVTm24+NgBu zgKn(+@Wej2C$kPcJ{c$uCBhxO6XR;G_#}nyLe3YvlNDE;AY|$a3bydFha+#tu~Isj z=~IhpnJ4DNWA99wuBN)r@Af8doSNsce{0`{#))ceV;lruxCh^iPC*@)lfFjLg0hAI!p`ctekFLQN*NuN`s7J8 z;LPF41euBJRvA~UvDGHdps<{)X}3lD?X@dE<^1xea%OPJvK zypXSQbyg)-nn-c0R4RjPONin4;Lgb*$*<-IbL60dKggDiFRwmVWE%((cU4^L5wbiM zbxF=u1)Pr@t6<>DlJYcxgL|A5pL zM3A&(5LxN->0Yy{tz&dC2bn6w$ZkA|Pk3}Y?glOIj;KECscvKw3p(@C?NluMkNFHN z63%?kv0H?ckUfAxa+XhC!~_Z_hk5PxeE<$*pw+WB5;});Zs#r?&){><-Qp?uZ z>H$jDeWZ&l_thk9!b%?q2<`q%I}Q#GwG2>vVo%cE4$h4^}8*bNR!IZ zEBs1kwnCTc5ch)0q5DN^71W4^?$8?dQ$CV&(J>5?qV7mpA0arh4kXx=*weZTDkIpm zKgO9OCoJD-sPGtm1FqvQ&PmO#Uehf~Jw2R2YTFwRP7p%(618=aG_cDt3$k@*`=REkQM)$lPI zzZl9g(oQbcvZdiIfQ!kLiX(?=6MwE3?2crUaeIz=g!b0% zZ0>ih!}D$s^br{^9zhC)^uHsYF?QVbHOqe7;h_oys~e?Vi;%}xpN1w|1S8hr&tAwl zbXoS(5p=BRE%OSJLAG!&xo{amnBl;joi}WGe!MHR%RX`2XYu#t_}k4ZR4UuSJ=5<)n_r3tcZw!5 z-GC;yi3bI(mcJVT-yJRaC3{+&g2MglFADdJhxW{>6tT>QR0{2iJ(ou)yZ&D+N+551#>W(G1y}0@D zdp~h?$zAf^%BO^qN zuar*3CvORPV90l^!$#@I^t%4dSU9pjhD=uzSTE@{gAFGArj09{?0m7e=~ZPfr3cE8 z0q}B+F6)1Ez0Y+B@nnVS`N!88q@L;_}4x znbeg2OsZ|uEpV?1!Jp-oed%VVQ(vC{X&qpW48n`-4ATvwiF7kp=Tmqu>Sivb`+Y$K zj5Y+QTW>h2_`t;E_m+QAI=o+Ikl${|dkA1)WKo#;<;2OE{J_S=ThV(NLc&RQZPQ{j zp*JqQ=88F!`fee+<%vmt-u3p2C@5L>3YjdCErS5XaVf57bbxO2qYo;6BnrBE(iGvm zF)#w>#td2%MUxOsD95ET0ut%nyR3jFC(@x#nx%|XMB_Z=UNiM1IXMG4v&{Q)O`bWN z3vli_ag~RHIM%}_$jzsVoq)xyc6uY5ah_3gioNTa<}GKAD7U#k^K4u3=9OBl4(o&7 z*d%a@#T*oLdIwa9HN`}H1>7o?`Vw;@VouN-eyCVf$@KCso-@bc{ifDzNVjcrV8`p4 zGAAX%dHMD)uV5%*E#E^3+}_%XCdl?u;O0(2=SfU{B+W?^-!UbxzdY~4wqp0nHq|iy z6Pvo%g_tvAURtloq~5>DpQ3GJz}*lmMsGj`5u)@^FY{Y>FZwZPc{4N1JGpl-eyo*a zvV2R(;lF(D&FWcHqBNzn3x~{T4EQSHk(F~2Oby6Rl;~_LE4#($So;4u3hc<_{d9FZ zJR_%k4Vi#U;=72#PC0dvy-}+3)4o;V0KH6uR6ZEr&bAJ5mfwm@iN_6O&(YYV-I+iR zb@@*ih+RkGTG>f!bcoZPAn2@{VZZH%)9xp@EFi9=3IDlQ_Gt!YqUm2q+9ezo~s5;40%f4Y7rcl%AAstf)yo%~Xxl6~> zL7~=pa;Agzp12PBU)W%P??wg?A*sX%6`HP$sI!}6|JYNsYQRJfbLmB3f*$Y(O1vd^ zhI)tsX=PfDS0Z6$)TO~7k{T{OU4}a*+b-oz?!P7uyA+tz^|vqm)lZ~#c*9V3%MX^< z4++Zi<});nwA=A?%C26T>WzgbFnTS|;LtVxIUaA)VkX{E>}47w;+6-w?d5s)K;j)T zD!%v<#{YR#^yL|Cr=_Pk?*Sv0cAHrU?^eF1D-Q6eSPkranQRDdpKVpbO#Tsfyem#l^82 zA>t}zJ59tV$X*Ir0zOIyZgG{$dlqx}pyCAE%WDus8VZzMVw0ZLDG@?Q!8ESOUX~I} zUfY`*>~ToAScy5AoVQ)bt0PV9>UB*KIn~z%8QG<}#+o(7MN?Nq3Ze-i1@br4mo-61 zKJR=2S@bUUo-2^IV$R0+?y6#Jl@4N2%oC9Z5#p_hxa(~(Tuca8zenP!i>u`|h+qSs zSN1ZSgaj+d&YD(5PvNJxi(s4U0;g&4lWO6w5Pv7X+!L_{Q2NSJcbod9GKN?tac$XQ3f*&)Iq0&ze3kWe zgJnoOjago^heIo`4Yi9AJk2}2@PxOl!8GnyD$#~S1dx2Ki+6gJdFt4347S;{28 zwvgkh3cZaxZ8blElpjfa%f9Ss_hU_z7Y>(mDy-3gCD5@vp!)$ICSmX8IR^)v|TJI-^m_jaWNQ{U*;;g7cjhe@KIS9W~Eo~v9^ zD!7(Envb|grGd#g&O-h|KWDhovBqHaqi-UF7$|B&R7L|zGemqrjk#K0VvoKA7a2zK zkU}K2R`S=<3>}`Fc(~=iQ-+y7xllXC^0dQn@~P zNi~=H7bVN8sgcFp*cv*;CFX9q$pu{*_D_AsqEEg)-__$DBCByRyJe_ zSA~szYlOngqNGrSlr@jckPD)7S{RgQ)%THN0B78qab8EOd z#g+U@Ltb{GtreN>kDtSmKJ2KHA@h(%6tG5(X_pq`Df9e+#!p2!CQ%NsDm{bt;u zPYrvjY15RO0<|KX{WHj&H8+`Y2jd?;Xqp0$VTVD`1@NeE{_yo`+*ZNXJ#qhpgF}EI zT|Hdl!Ck+@P=mXaVllwFp#!r@A9%X-xo@khUZTnXYHDgxsGPiiFjOs;3jFXvb>j2- zivrfhDJh{HM)W>pLV=7z_Uz#&)vm&&yKL1Z)sJ6x(zyd_!tXXufAWeK2OvN9n2p^4 z4$`^jJ`#|m!j{DNTvts3;tDblsP}fKmPjIKQ zj$Fy|hxhHDc&IcVh&a?x>V3i;TxZnmpG}-Ryr^DHIN(*y_E7i^W~W6#j8{Ou1q)6e z2CD8^5quS}un#$MRt{bL!{1juaNqEd2hCYIxf{v+cNpVosEzXsZ=i|fUuli-x69+#y{N)K(?f>OVpS^c@+gD%MHXXeW&i+moAxN4% zyzLMWfH!^gx73q;LOXH)@NBZ&c4$}U-#llk_C)!~_T(P`nd?#&msahc@VFko?Ah5@ zs+I$%f2i6&X4f&_DzrRtaQ1_0!hP!ZSC#DW!)JrHJN(J#1bdGQPdsp^I?rC=_lFbk zo-%~>d|voeF#BhalQoKJsc9YF1#a~I;ZiKPs#Mh%(mK?s9N4U-_@^~;72Ua+B`yKMP_`)3dT z@*rfme-rFKP0d_*-S7+Bjv4rj9C05-$bBW8_$oqp(O~_uZFOS&@Uz1}&N|16tG2|R zJPl2*<92)Qq|Y2UziP)#vV zb-;&zQ(}Zt@{1h%l>eb}>%a&lqm)(e)UjpH*8L`EIWYI+OXL2ocZ^razG_>?g!`WT z{Z*Yb-ygTh;o%7*t;0({Qtuo1%m2IVrT3{HA5`P-tUBvo)v4e8+k1aJjPY+{>u`4z zJ4C^Z82qNEKh!!}Q`(&leY$|NOYy3KRu*DD_Ec^i3;f}P{n=7Y za8=3g)2?;s{}b@L2LPj0S8a8zLr`DR3>woqybBBc3IARi|K8Gn4yzAeBF~$j9#rk! zBlaRhDLsKc?@Bd!zlnFNTW#eeXQG-W_swkXvL~zFEBAZdmf*RU+HUD5cG&Rm1D~0o zt7ry>ZB-q2S>rAJ!vL|;!M0Tx1l;s51I=~P281jfFhm#}jqX?N@Lj0=;p-k3$8+V-Q zgxu6*Mjh*1b?km30X1vjGY4{NN8z4fd(6SYEsLGvqvkkk{PRyOlPy_p1GsyVI%a?5 ztn!KS&kI*im)7C9k4oz>;Z$iIx_)2y>V%$89{l3)%Hwv?I#^+S(FW6%*;WgD@u3~| z{n?N1S96B9pLTxdw+GY-C5AvG;Xcx53m^KK{1U_%>WQjqq0oNw;eYF!a3JFi2fo$g z)fb0Py%F@!xIeVbQ-4ybvaOE|tCw!Adis2xImcZ2pnAr>@ATb=HmR!)QD|!SkJR|5 z-f{1bhB1ElNfG@Pr?|^+`!fEAuT&?#f6B&UG#Dkpg>+A%kY2*&*$>@v z)sx<15&)08WZbTon&#!WDKES<_lf78^oWbO-;bqdapAn&*YA7(g>BupUA6mc7cP%G zt@;UChqJzRRfQWNa7!qD#jfW;;;kqnJqt%BV;7d>-bWV@clZ0T8)g}9!@1lO~eEj^1-*`m7hU_}V6 z!wt26K6N(6(+O+aaNqF$vSXdACj7RA26NV(^envLt+WnR2j|^#PFeM`InuLWnDyYj zgjuT2EI7NQ-nZ>vt(qNj>z*u4>EY>x@0{s+7Q3WpF@3i5EPnm_ZC@R>2hjU>A9}P) zO?Z-SmECUZwsqdOZT=AJR_{|2J5m>~G*>-zn&~`vN9PIjEI>A=99+!s*>$rIS(nSW z&rwfyOn9R7p6@^V;m?NG{dVGG*L5EA8IZ}^i3 z4pu!Q5$OrP{I>dJ?vq#l^w5_L{Tc5&@lV{O#{Zh0g}VICr{9*=!I`5TzQFY?M(}3^ z`x9KzeWfwjpAJ#>%_slJWjSFKt#%|!pn6g8zb_e!RvRg}%;Dyq2ITEM5w{aEJYnGb zWu(ym9uYDEW%`QdTowW39?5-$Bk#S$`DEKuvsV7M!$Xb8&Q*8qGq1~4c9i?EwdlQf z*-hi*S9`3`18wuc<8ikcYpfwmD9)n$6cTPukC+*$`jxE=$*Tt1Alhg z4Y$8|$+ySMtHKY}LrzIfiF6Si%*ebpsf znjZVV`+jh6_8A|aeRapaRaZXtjd2^kKm579!Q&tJe(BfKUwUcyQ-ytBAAan#pWgVF zm*!@Tf9HWa&VA~#D<2vD=!`=zp7-V0vVXaK?`j>rxG{1M(-JgEq{1?yo z1Z2Q@pSb%Y|AOltJMBNehLBmOJ#p#2AN=~iA%FCndqg%U$1i^F9sz*BFo*?8( z5wh>a^Ix3*#PH8c=b!u%LI(Hz?Msx-xBl`T5pwsw-~4*-l~3IKk#EAi1R)EaYemQh z_B^+5*6d*s@&ZDh`Pwu0eeRw!p1AR;(o>gT^_2r3*}UhaE57pH|NOw%JMF5kVdV=~ z?ij!N?n5tqZp(RJ{lGInxObLlQo)5D%l+1oKf2tt4gw%r&8}EhV}NgEjg=XAX|dCC@IL zpUISb{OhR|jpz5ps`Z75`sOLS^D}6Q z>w<(%zT5j*#;jP^Rrr~w=Fcqq#9&VAJe8@svGA=bwfIXx@yn~#=bMbfwzZ}1IfX&3 z=6`9N4FH2}(qUNNzF;2XJX@bsetc2TH@Q}4-qF9VPJEK7sxD09Q=|V=T`YR7i<8Wx zY;8ZIyOS&itMnIUjVlGVeaCct=LwW_XJ%Zn@T5x3Yh2LRit$b1Oz--si$&o=vtNx* zhE$J!S@oG#XGa{{v49mC)M$-u9pMI#w$SY5IPMFsIVH1>cM`Yid3N!?1chmy{!XHG zAh;U%;+&AdKn}agLi(z|pyq*XD`aJwosjbrL0{2(|GafJ(ePb8uiMnC{@N*ON!Vbe zv?l7tBF5WH<4Lzg)xNK~P|PHn6O#+6KXcWm*?Wrm+!yqm?-aaRdv;E;tMINWo$ik- zXAZ@1eWg~_`19dzoo5AQHz?>GBBcBE-Is49_e1{{wGIx7XDq;zAoMVO>mY=b!`u-> z9`(A&TGx&dBH(55*DpkA5a?c26V^I&3f6+X<`n#l)c3UTP3O}3Ub|iJ{(QgqPZR^PaaB7QUS3~nV`rnM-ZR*jOI9^(a^{F8 z`MyqK^@mgKCh41EN@L|Ze9|`QBInp!fkF50Vis<4XMaP1FO}yucz#d*A52{7m^Yx+ zqRzX3maDnQ`km`HcV}yAr`^()Q}6aCeM|MFRxCXWO?WFZ?l;?%d|Jz*kgUs)LvH|Y zbMfvD-djLH5Wj4yeZFKlSk~L1NfZ8-s(4tTag0v}g{-AQNFkQO4O?dP*^`PKlq{vD34j;cW!<9S`g~pwmP)7-_1v zCGLa(8&*@B7@DF|8@nH*4yJ16XMNQ(y)GBOC@fM3Yd(f1L#et4!dQeNUA`Mm&q`&h z?My0FOwroYsJ5!9efQ&kJEg8{W%iG{caZg}_s%PLaHJx~%|G1}wGQg_CQ6ay1~05; zk8wk`{EQ?!vR5YShXuC>e}=zNO*jaZ$F`E% z-Hvq8 zt+>+Z+D$o88Bl^1En9iVfSUutj9?&cP06ZG3^dvrUhlRh%qhRdayo2o4}7Kj+XBz` zjcpE0e4t8Bc%Fr`L+0tbtgZLsDmxHPey_Zkt6i?vz!r~lmAvEOck_Z)gDTcvBdzVQWqNYMr$@sIEqKwxhMyPv_Fn8xcl?oC1z+Lam_~8PU~f+~1@y4T;JJ5Q;sZ zfvW@cMF^4%e_2@wvst5v?qohnd4V$Pu}#d|sKOvnb5sKey(M@@5+8(A=G9?r8TaCwW5G;!u!$RC4H<^BV40YCbVR! zXd0~FO>wm+V+hgib#s{om%8H=6yuT7e?R$PJ%^ss{9CUq(Jp1;X~Gh%JeqfZazdC* zlWfI$iiif$m(_Pt9EQH9qccvZ?7r10u>a?s(mK3I(?u)u@|O62OQzwI=S^95tvprN zq?EM!cr1dY-86;fyeeEjMd@0&0}H5X2haDD9k~JSCMRTy67mqZV{!jZdGbZg;srIG z9TRDC<(c3fh>+I=5+uOAM#%m~0YXGJ&xE!$g48$!P9~ZNnquw!LI$_>L5$A>n^+YV zFHoo;v4eoBLT))GC)_Dd*PYIL6BV+Pon8N)iY5ZK`&v9$+xg&{iobhPwSd8fQitM8 zr6v#XH&G4gH6=AJe5ix_+tfA$)R!u5WKNgj@s3gznry3K?#%LL7##txUuUY+PGfcZ z#4I;Dmrn`|~F? z7T_K_hqhj4A7M%8Zgq`rukwDl(yF@i<2wR-dQz(L4s*?u_(Wao4WQXh9=3HS_4|I^ z)MP2+pK`xvD4iJ!E9-N-C0J}HgW8U|YH;XIuTgW`S97%*aH&oeUMU$omsrGde#n|^#PZG)jW>)m>a20~6 zQ4!o^;|i$WwCY=$9b4G|Hy<&+Qhm@{j3;NY8ty;pF4jjCvgvtg9S**JxlzpBF7Zz9 zpj3t?r<^0VU?JojIvb)TO2UPPsdg#@roe4xR7;i@5zlV|Uly`ONNwk&-q5zy{sQuP z<+w$5tuuT|<|4w*BH>%yU+;tfGmY%UxooHE$%!Tn#X+*1OT%kx`hMlOZ-u+xwGOUi z+LFY{v?aWR967bt3Bjq{RkRMJGCA_~l*8_K&(~n`+9O(r*IP2Ko$9Iho63-OSz5Ok zytj$RhB0cbZqGLPAH;ai{7^O44E%|yJxlcNHj_J5Z=N*|7fEnEC3z88;k~JXhmgj& zSk*M(^-fQ!WV8CF=BJk1MNOUJCwuEl%Q8|rJvu6#JjJjm+2h&Gna&2k-{zCKq_?g& zZ#!z{p3|$ob7yjS@=yF;FG*Xn5OONnJ86B*liUeOzk5@@#w0(|5nEOa$Iq93NLA9` zSQUP&<(;`T<9KBd!5&qd5nq&T_Idz2uJtFaPpJ#re-dtr+bP_WF1rUa-JL)S*~nd$uJilhKBCI^nK7jkuXG+=>u{W{a9`_gkOq1KUVPjQJp-y`zTEFU zgK-mfs$ZmNkbXcNv?)Wa3ZvVJW8%hh!=6;2+wbSOz^zN8!DLw+u+T}CYm#%71v;5s zZP{ewixN1N^C}kFS;?HCGlK`?AU&f=ZD*pF9T6gZw{-lN8Tdq+vuf2FkQX)9bH#v2 zioxC3;P=K<&s6GQ4xa>ie5bSyPKd}FYy2BaZab>%Yo|%;@H_RtNS?bk7$d>$d!99f zOEEdEX%&g0N<1CCu*7b>QwZ{~glyPc?W6>bdumcBMZ@VrJ$otuYn2N_$XewgpI%jh z+%>^nl$5B>@~7M(UrNYDQ-wQJY$O|g%mj8(2<5c;NtCs_M6PlgkF&CCRS7nBD)OR} zj=Np;rk>B{jd{Fa1Pa$*cuI*nas9%}ND0WPrRDZw)ht?U}uwet9pSCl2WtG#I3 z)uF5kq|=}l%Q>}Ba`Lq0^5eAdHV9Q2_NAO4SGlrw6^q&^8Ca=K{3o3yOHNS~R=ant zi|Y82GFX?@@THwb5hG!gr3nPUh81mPTlI4Ko{>J82x9^zwrAWcUWxpbhE6bUTkv&F}GFc#R9~~Y=X5IP! zMD`YdeP`|5d)wa#ux~f`vupo(%lZc%>3Dj@r7SiB_t(!Hzv*kw-L-SeHD6&(^k20f zKeJ))l)ruS)2m)ONsRwJLRJHL`^ag?2HthqH@*e_?0H-IZ+!ZILuOq(Mw7Kp!FT*o$Xg@u^$yVbPXvZ2G`+g0g$pS}C0q!OeBpwlAGD zhb;dY_~H#Sf0a4@+xYwB#e1In#z}2(Z+Y;#osV4hy{8|U^@+Xj!GjlnIckj-B ze*A`A2WIYV|D>36>%qMs%H9t7o^_bh^s9@mL=(7QSoQS({2bXY^WYudsuVG>v=@E# zup%DeK7Q8Zy;cehv|1LM-;xjz+o#@j{J)*IcTfOw|Lv5)Rk!c_H&;)7e;LL<^96vE zpZmvK{+^X~;C}qZS$i+~_>3#|PKkto-Tb}Z|LBRGt55sYisNT3d2!Ddq_W*Y=^*4Q zJ0F?3_o5HaT=J>grhM`#>R{WdyLR5QQR>N0=8IzoraZduxw78n>hu4xNWPT()n_N3&K&^HQYm(NWj6w*H= z`+)?*VT+QP|B~f9fJx;m>oJ;u5i8%UB4o-~GO|O~MOmClgKgX9ZR$;|mz6gFKCNE? zw|uHSM#xxAsw-CVA@GRoqjDo8CO$!S<_Bl;VRwWMJR&5rGF~Q+$#PV0F#9T5M%)N- zdV7u>^sMPjZvPky!{pjBDxDfCq*6n`s1@H0_s1Hq$)s8q|9GWW?8$q!ciP!K8`d4O zfLYWbgtYTT@m8IGVC^ElLxwvW>&WEti}|{HQ=uyL9rTWMLk3ZH-kek>xpi>VCyOe^ zo4A)M9-GfSbX}r)&2@aHEkg2tZ_Z&g+d`^k03p>yzJYIybu35$OM78zeNS$g>TQf& zTjWFcL?a9EPc@=Rp(PcpNmSo3ATWWADxM$6Y)_{e3VA&MSls#sK1L4{O_{2;#oM=H zj>-qUiL-l)iCG`{SQCryrxu%Zs_3;W+qBjXdaNtUWIX5mZOe`oE9ad{$>Z-8TVJuz zOg^=#C#8ey62}RI0a1H0esRi}blXsmm*;Ew<5*U|iPB+R9w3Hyr;3~NdDdHLsH>Y; zXR^2}pE8hxo~mjfZ;!L%A${!l{&>E{EHQ0E$L6P~SYpGPwfg==sX`OrW$<9)DbCtg_5z1%8<3*riB_#BhhDm&rbA;KriiTImL5 z*XQkQuipOOJZ&U{2G@i(hS>p9xGmr|xLZ?;LJ3Iq}UjPdr)LDOF-lZZa(>IVTD7#0OEo-r?X-G00GlK?N zIZeDslL*B2NKJgfLj}PlyE3lZ(_9mHb7--{pkXqjNn!TM!-b4BXH=79L>3re&yF$v z@GPz9J&uamBcQ5k6g2qg=E%c#Y?h<4(HkN0vN=^HPkGnI`ryto6k9x1weyUAHcggk z@O}qaf~{ZGb$5K8d%@OJ*}n1V>T1=b3a9W!M|VBw!d1bC(<r}bI{cU0{7C@pk|ct$x|7I&(l2racrH{XyONb$%W@{SMh~u-}YBk^Ptjo4N0H5 zfn=%5s58?^YrHwi@ET;pWJc(ZTk@b$YL2N-DODXK)R@z7L$mT{gq?voKfQ2pW!F@~ z4xTd3RowVhT7CED*mru7S{1KrNGRKR>h`WUh_as2=clXdKz^w^m05+Znc0%BDS3lW z#s$`S(E8|Y2!6zazDJh6H-RFio>& z5D!cCup@U6N!M=Qy6xc`^o+=vCHa@GtWm)=0V$Hiel>*pH=_U}~3X6D0^&PiQt zq}KSRvmsC#;59BJeD?xRcNz9A3i<&<{-gpj1eKbvq;sqx6$r>KBkYnF$&Y>*02pb&S@)@Go`_-C z)Gn%p{e6;$Q7m>v7lLVkhPY}rnne|{&XU2rIZRBbRtuN!vxkA`uxZ-7b=-<}k`P`w zId|1~QPIDQrC=a=?c~tk+Q2k~9~u)dM@Adks>Muhf@Wg31Z^tQI{~xn)pG(%);D-6 z)~Thrh^KuOs8(Jr!~Mxl2%hTlJumxDibhy5HwsQgv+9pG8P!`8w*fN{Q621Mv6xtA zkz5QfU#XHRX!iSS#p}N1y5{y|;Kymq4L(&$&PKH{`swPo6kxYWX@3zE%U`*`*n3kF zT6mFQBR}Q+h@!>~xz^!q23Ze7IAzIkNN){!nFCeb_3+p%n;2b=uvY)DCR;x)OVhW> z6=%Q=EbZA(zuX*_VL!S#toqKF@vCKd`Q{zRiGnkZF60$Gxc23mR2+Lqvb@w;$rA5( zG4>zH{@5%A`8S{U!lj!ZEgS#9BTY;8ef*t&wrADP<IN*j=n<&RI@&t@Ss~9FljSRzgOaagSa(_|Xl{N<-W9>n;;$avO>@8k-&w~O&DI;aS_zp*KEfrh)#`&wKj z3&xIS=^V@0Kv}l&Qr4!tVvN^k=wrv}3up2zPQenx20VkTziv|eeop1ca+$Zrw&_i= zP?pulRp@;I+`Cx`U-ag6ng>Dhu(PX)(ESu08RO1DCZ#^Dd)7z9?zot=8jq!=DnU7CwswH@Ub@|CM z?Z{}7_KIuT;BM?F-ZF7g(w}B`Af#4Gr%hz5;+jPAyN){o_O&U@VX{gV|HVp^*YRW0 z6dDSmw<|P1DhABy2^=|eMeLW07;XoavqDe;lP018fZ9*=tW*uWcQ7Z~cJyBAtRMxItBxN~cyVTe@$Zl&Z z-jcVYh2=blDfPs@I!?g;fqt{=iQZ$uQj@d>jyGYPavADj^c% zjY;z6Q&# zk}aH)<;#;EAz6tU$E2zbkxs-shURvODM?-&SF?W zl;QGY`jtj2(twhVvko4VgK90?C~Z~<_RbgS!WpVs<}E}H1kBQ`7i*P1U8G^oG}X+B zlIvc;1<`RW=HjeeuSFV0*UE__lM#MoA_vw;=@A|+d)960MO~^w1fNcDg)T4iZ-0#$xwPPw^w1I5xu{U*=i| zX^_eQByR(nXMJ&i)-ondZcCDuxMv`rr?otqCI#Bo#dC2g+$NnDy@R<;1wMZUoV3Xa zS&$wvrLZI3n@#U!E@EAnf&=l+v!=GQ}JHkD_%V@Ie#uioXZg@ zr2q~9rYLc-1!JZ*=1X9cG*fweQkEdyScwDY%F^^wI-ba8y5aD8;uLh|NRUu*ELXS+ z(F=&J)T*+-<-Hi2Ck_Pc*m3)4qLLR)tuGAa3&pv|V;02_Yl@12a0N{oDp}4=Oy$|` zN`3(O4y0M?pw!sGWPHxx>SCUC`IGfM#djv>$C^CRyARHx{9qsmK=@>SthqyKtZ1T~ zkR$82AoyZ@fwwQ@Zdl{)1~@UEERW=VqsaK=XtKkHzvEg5?OF^gTi@-v7QNhdy_w{0 za^qc5$<$?OHG8VnO8Y59PrqD~P;(-#HgaA!D?b0VmKlA&J|<)%&jQ^+9N`-JIAhI@ zTejNmS+*4`B@3g4;GPx%BVM_YEW^M;_SnFN8Y~!Km8X>?D;v4monfnG_Xu+0aI&Z2 zh|Ec`r?@kS9DLQO?DZLW%yjd}v8ur$ta_SAuXkhO)molMMXN~Mlx*F?(VZGTkI05+ z)XkoU^%=>}(-7pb&Gk1k-dN7EnKL$0FzANJ2T?rlxIi1L`n%bScXPG%`#fb7S;}yv zW$SnPfjHTX$7!KM=0qpiT80`)b(%|5XsyzIr^rr0?m#xXZWbbAp zIvUGhDZ;mjY|mvWWYTuS8x|sj{}xw4mJ=?^NH(q_`J5Uh8}==KW$x{AVZl6@O7*dKoldy zWmMVMh^R4^t!m~v+mWGwebL0IfV>qiqDW%I6AQFcpj#E>0BRyL10k-ai-$C(5Z=vu3)iG})`&$fPk16q?q04Oyy~ww+HnVtO$?N{k?&w5GBs5Sww!cj z$Nk#Fjt)PFt}$bXuEBA~Wi`j19K)wa_N^3f6fst4mK__Ff{^%ln2fZtajKcivX$FW z&XiLaSfvaH#!FFr?9n|S*eoY9aLP~-B`@p9CTx|uX5e)vsiEQ_i+pm{P8>oGPg+9c zN)lNSTNH6ycLKe9BYUE#MwDF8PrDW+nb?r|CYQ33k+$wb3PlgX6w$I* zNu3oL5uu`$uAJxB(KLD-QBNXO3>@+oB{6#>34@j+cEm?YS=ZHYJ23i6-c%AUcebF} zlVp{W$%7~v_hT)0t-JX-WNKE82n5Abrgi{Ag zD=4HdSMa*w+0XCXF#F)%M^5`hghD!2$m=i%K-gE|K5zKBVH6y8nv7!diq0}-{3;5f z^YagEcoo^RmRvY0Y0=~--xZwRhH*3ki z1MhkvSi;|DN?-(nb=JYX%yRGh=fj#<2((DdLL(gS{MpTpasF$#Tn* z7l9al;mq6D-kW!1^hU@%0OSHod+{a#niX^S6ZqoE7he3nC3~iB-gPgZG6?yHvz9#f z$jnpsNTFSNJ21dMd*H@*fUAH&Kko^lw- zkz<|gSyx61?pm_%OlOWj^&)>%?=we=_%N~*!=+_F;>#XI$m1{mrz@Su?_G8K(@x00 zVGel00Oal!1(_ufCXel1^$b%m&y2Y0ZU?)(;Kk>}C*{&)$vSH3#Sx z;dd2#-vDy=QH3y|4b+*X2X_*^Ef2`B6uqn{OV^)V4jD~qg;dt$7D&qzHsfjZrr(s8 zLFc0h;goPYA!21OgToG8twJ6w!vI&vlN>s5WIX6y_TW1XmWMKB-`yL7c6IP;bP2LSuN(+7;t;N>z1JRW1~1CxmILuxRlRXVdTKz0SRcc&-%OmF zISKgU3%wC2zaVkWn$^7h_>0;ZncU#UOnTW6+zs8`A6$buL(BbIHAP&dgYkLY6I(dR z+Ub+c@_Gvd zE85W2lLa1GI-g)p1=6`(#D4_}ZaxJLQB85J6T;X))yB*U>Pb!}5J1Ak@LwcCMr|S? zIhjDH5imq`QUY_pZZ=-AJC`c;Hr3d*e)3(*;ZC(|G%MPbpKmM1(1h`l&XYn)$J>}` zNm0MOI|Wzo76vJoPyApeSJ)V*c)N4;Ln@9YonnsaoT8+3f*7SEnoxamSjjBgM*gh$ zWCPgEI95>jgq+EF*S zyDIe{9}37Yfh2d)7yS4<)`L(1$b62vJ%djIRmV#{1hNeZCs>9J-GntuQxGYQT!-ZUCb>qr(Ao6wS#-uFCRvSc-b$ za{g#3z++yZH0BV&OSi3^VHz)J_u5rTPWsdv{?a>TmLcuLpodba&Q|&tVa9hPtwBSn zVN}PR3sNeag0)a(bcbXOrYNP|RKm0-O@~>F7-^P`z3n zLkyU!70a2<;K34?cGb15uPw|Pd^z{vMjl*{bx(IcLXv_dyktebb>lT{9YgB~g;oQQ z+nx9jKAGAz6>QtoAkQ0(l{Lk2VH^*}7pHmNcore8;W)@V_U-aST_%+SVwmUT(wbsX z@vv=5XHL@nHKi#Ml-b^;UXOcdf#AD5mi&A!zp=JGWjp_W=FSB$s_M@F=ia#qH!;G^ z5O6?k&xFJc*4hDVrAXywNO7Q4$4E7Gt~hKDN4W5+`HqQj8RVy6u|S;H$M- zt)_)-9gte8_}FbL?fL+_>;9!0i)-C(1Jn+x0>|(Hd-TaDYym>k9C{8M_qy=+Cyf#sR`(n*EtBaeiDxO|tZk*WM zb}p4|nv!(oIvOrka)b!@AS{m$h?Bvx)HcRNVqh3!n6Ye&YzqR~oWaoT|jVNomz z0v9z>avE)dFEhPiSRh7)XrxPkbXPk_Rl~;}V-*#p8Xq{ZsLf=s37oFZhMyFq5OeW4 zhLQFL25sj(XD42}AjPQsv{Z$1QVY#Q;+vLXkY2M|jQWJ*C{wEnSzbJ52`9v87Mxt_ z;1+f!U|h{<5DH+lAXs!RRCTtg;PD1HpL}>`k%uCvQ=xq)R4@Y)ZsmqPHHDgzH|HTo zqnd#ewkX#qrIF8rMQ(h9;8_bFV%%37OwM>J zl1xLAT=dpOOuIomrnTZKg)g=VHTN=1ifLpLA#hcR7)(sF@+BVt!J%E-5>pF+F&e|N zQ_lF5USW`g)(TCu*ik9~iK{he&D#~(lSMftgOTN659A^UniL_fz}F?o(m77J99^^= z@5;u!IqIXAj+73Ok>8y6UA#gbc?0xe6LL+z!Sw@4et00||8%a0?jAlcLzK7Pi`-$E zWoS=IOBgy0`>MJd90-QgmrGs)8+mv`*{0>#o5m(kJsKtnZa7|$Y2p%OHdLtw!-hgZ zvz1~pVy#u=aP5b#Toge0VnFth6Kjr*+{_*h*QqVZ)sIt*M1k)Crf|{DrFbE_hOmhe=S7AhmHQphObR`FptRvMDk7BX z35gH7@Rfh;c;1*IwDNGaUl56g15cE8%FTZaLFcGNX&yE)O^m0c#iqPdD7}*Hj8dz|gOm<0y^8{%<{O3m$zmTHOnD#e-h@2N z^R~GEcOY+t&BH;j@O5F`fn;r2{%5kyA?gTQlZ@hyo{WfWfRY~}B5SM8>$u;NoEQhy#)?lGIxOP&_MaTmL4pSr@?9nNmH zio||-Ajm5Rf_&_ClB5m<*?J(zO9z6?K2VaeyAK5U{(&F^2Z9{;I*>aL1i58c*s@`F zF{H3xKAAPlQ8w(@FLtPlAYQDNe*6Nt7`tAZLyfG)G`Ti1?6Y0EawoouRb`>ITUb6? z?>pZo1C^ZK2{vnvojC$xJ94qE1J!wE1Y}W_vQ2(9Oelj4pTEVyQ_Ekw{%h)s zJ7uj59>|zgTOR*|$4qAUDhf{=WlIO^ukR@w_YLg%+#cC&$2Z`P{=JtCB zu7Bq8^MBrd!TR-kmi>Ih$>NYVMR7m))C<=k{;?a*-0|HP9_Reg{1EsdFJC|K{FzVTuX13|7yfnG zPx`;I{Dt2v+kVek_YUm2V#FrL4s3qTn(D3#_gt}K-$NgMcFz}HJ$lF5XMVP8*0LS< z{>?pi3|Vmbo}+j4U-+egk7C#hdImNwd-BL@!1}_TmA~pA7#uk6%(K3C)<1Fn#J2ytq`LNqq17F>?@ghK`i>YQmxK>fQ^#`rM3Vg8kc< zFM8_u2ao-`J)gV0@&=m+FTY{!Nq1rIUtoo2A)okY|BF9+;kY?Z^eUYNRU%4hX%iNR{u}$&ToJlADzALAN=avzVkLL`^Hg!dFZo$ zSTd{T6F2YL{M-`=5Hq*qUUS)w@z1_`$%ffCtQ~lK;HSs$xZ%)me*0yj#QuAJx98}S zjvd&(|oEVL(a z_ZY8Sy{e`RtDT6JVHhv8H!_kn(OWItlY?#{XFncq*wot&Xhj6# z#_PD6%f!cR61j&=#o*jC;!QDL(>~3?_oat7MMlMfLj|OeImJeqiMOow+Hv=Y%N59B zrps$jR;jkQ*XdOmmIt*0c99I-HzozU!R^hRA8%DFdiye&OkKmZjY%B_E$g)7Er_p~ zT!&m4e=^vp8~030yIvZ1n=S$-y0;*Zx@x z^7EDo%U#o+`eGqWtmwV5R&9M>Mc1iUr_PHfQ;x+(s~c;RHIv75wfOZ*$7`^Y^ZPQb z$X%M*cdj5E?%NU(GT~9nB)`O2uK%Ar=8?Q0kmiekLrdsHQ zE;|R`m)_Qv*f9v$U1#*tzO6SFI_qm)$T(I_X522}&X{nO*WP4Po&zkV1J%we5gE7P zYCsmSzMSI}{mDs}4=MewOuV7J-ch-Us!KV%*`R|lwG6lVq1RsDz_dn7SD`|6b=>GO z>gcbYAJC`JIv4k@_ME!X-MP5FGU=-pVy~O)pW-*==4p^zRbCs)dez_? za=dEblcSJy#LGs(;~W=4?-jX8qU>}eO6zAZBa&1kyR0NaZmsjg?MD`mhZR;pRS+@` zo~*|X$VxY*15cdbq=S^4JDJ6GMhUk<9nIQ?@iBv{a~s4j2{vK1!cjJ1c0dH|(#$^wp~ts|U=yg1|g>k3nIeCC7}2D!W4MV-knNL5JAY+kS7p|#!wsO@%>&v?GIXG9Gg_y9p^Ckj ziq#sXke2zdK>L4EB`NQ%X9ki_La|B4{YXJFa%;Aaxco3n%Hwzq<8(_t!3&bwDyh?| zimEBZCM&*c8YG{Xu3E@|_}2Z=7PvVtsBT@8zEe4*4jzDNyPb;0u5?i26}95Csf4#A zke`Jt`t9l3A{XN{5VHH7W;CLuX5|2E1nnT*5$wn^dXAF9?|;gegVt zspl6C#b6+pgCqOe9=TW!a>;}g6s|EYuOWb9gRi4~G@-^)kjUg-Tb_xKn}Eu=x`v~M zF5V4rbx8cE>=Ynr8KorK@!|kG(HR?!NpnR)5W;EL%Sk%uu&-N!g?s|vqbtRtwpCD_ZdqfEI7meYWR)s7 zoP~kgE+n;CuAraMk|5CK+>;FTa-c;OR*(`Ups< zY1fY=38Ubv_-d{>I#hmL124n!N(aczssj*5qHtyJhGGom)X(e2Bq$G#sRAV>f`rO2 zi3w}Q_rmH4(~qgMGKl?MVGU*IEQ#tY)WC9>61kV4}O9f1w8YNf-%_*h7k1kdJ> zgz0k}hgHyJ4%8Rr<<37*=<%Y`fuf8;RLZF4Oq!ikwiI2qjCzO2eg7kNlSC<%ZHhV} z>4cdvRESEiyFd80*Pc8m%;FhSEa_5qD&1951)iNQ1z8F@ztXlH5{qjKR;m?Ea8K{vhTKbkf|EsO$}O7%Lp4;L?vAJW)>oIpQ&M%>Q47=8uJ9(#$ZYP8 zw{;>==1A4|f%b}Z>Ff$`b?B$FM3PK2`%8m55{+iS3VcRZrPswzFU43QeO_H*nwPA} zIyHf})FI_`0Jss zoJaDIPndbHGP#2n-$_YSalNXVXL@3oD%~pQrlrkQ+h!cb=iI5=At%O6!@Z_Dyd~@U zTRx{sc)s48cXZ-ZlsD7V=3|h%aY6RrwDWNC$|+%WVoi-nsdHCPr`m7Ih4ptn-X3fG z$|>2xVKxuOT};)S!D;wVtFa@GeI=iemKw6^scPk6HmNRoJQd^&9u*tO$fuDE)WP$l zwq?<^}6Y1x8PV&#cGRrqYv8*$m$eLxbcFW z%fuvyPN66$dK0ISV`oe+i6pcJz^-v=B$##tbZ3(F#NIVCcs&aNFs#VkCfu3LYTFbi zb`;#+iWFpQlFa0M@+55@!_MPF`;q4|HKhDI`dng@*FW>oBlYAWDII>tlOU=f9IA+n zSb&|_{>{jBZ_F)Z%~QtRy`kJa>`<`JV%OrcG})A{?F73GKbiq8U81ZlN4WwrPq3RX zNe8cl&T4XVmz&U}cPo|6@pyRK`Ao6V(Zs;j)enQS>X*`+3~;qF8>(fVmzGG>)q*VtQVG`tc`(b+U_ z5t3jL+MnIM9`@#|pEXtH+(g)>^5pF_T{Kz@^Wtk%;nu6i%*6Zjv}*ba@ilqWO;7Go z7^j-XLU+zDQTY{@g!)MLqn(cFNw^de9!_X{x3-UW169b&fmq+=1x&AzE=2WXYKc)S zl}(3Z{nEuelPDYV$wo0JTGkd^LstjG+9sN+EldfuN+Su$@>kt%;C&SCO0jQ}a*LjY z5)1P1t!u7!*U1yi!$%%O{6v}#NK@!9AWb+(t{T zsw)7(60{oeGhvqsOm8~*Woacz-wk(r-I{tHkYqti_7E-8yiAG!QkL|g<@sqLf0r_@ zwT`rE&PZ|P+0J8Kr&KO(utlnN2`Af0xNNirweDVb8)5ecv)@ zd)18a*alD#k!ejSCrYv!%My`GiV!m)t*B~_N*XrV(^89=8SvpeHCtM4r4onTLJ#G| zRaZpPa>lb|LkT8=Zf9db$q^Q?CWHcJxlKlW$xY0j#cVF+P)i#yrD$JMB7|JwK%zti zV-G0~Jb8akp^tx11{%#qf-k7UVbk!D97G&pSrx}P&}iKAdbeC19Rxe1X<4Thh7v#T z2TzWSZa-$ztn?ONb^y{!uh2&%9x@soG=vK!w9L*j}P4B3$!R>oB z0*Mxcnk1V*ZBbf?)r1U`-YUdW+M zd3&Ha8E={;(J(2an=Z)VCPa2r_ff3qLX9J;L0)7*0-f^I1lyykrSBnv0HKU#QxTew zwdCO)4tn_LJv#V)+?Ec1T7P}RPXAsMPmQPXMf-?D!4dD3$BicAGqUrM8#chbVd3+) z+Po27Va6p&j_vDhcR&P5<(RwW)H&yBb@;qDP1h7WA` zM_C}+O7b^lNp9zJd$?c3-Qxj1Iv?4v>KCl*{F|oJW-TJhMFW=}ZQVWIHM#SVwUeJa z|BzW{-XDS7DE<+zFMCtm!73JT<6%;`S8hO^wX@E*%HRI{8M}V=9k_3QaKk?ed9+Q6 z_;tjO=5<1DvZlfd$-R)jb5keWx2=NvOSdgviKuZpdP&PmANWY)rG1b5=wI()fe5%$ zXFPPPB-)5gqGTAh$vu}oJEY~}i6V(4gK#%KP*z@SGD04<$vaH$`O0@}>5!3c!8()j zE!f-k!1q6Lhi}7;-y4BU>+DSC3&mAdlKBF13DbAa=)!f$Wa+B7$M^4(X_O^cP&mvq zPwgw@^F6ptWYyzY^G}T@zPKsbmMO)&cCgpDi%_Yp3J^b^T*@kdqqMBfwDQ$u9_&j# zDAcfol?cX*+QnNFMdHQ^Syz4ILGQCr|MLRMUCv&w6*o3vdFnY9eESa1Oj zN)vgfa1|?iONKz5FwGrhkj`6WJ&n5FHIPn=d`2eDCi^szXz}aJ#MVUSWTMR_6~EPt z?wI2EiDn{8VVu@F$;VZyNK!c1f+*xJrB}`NB^mfKvVE%4S?NtI7RLwaON)u;^7L#_ zr!%S6uVD5U17uFIlK2Ahl_msSwdgB_@hbcmmf|Yb|{Wj zr^@1#QT{%ag(8}v)Q(AR}NV3>zgGJiN1ZUg@SX0UxPu(rugXPkHSQ z>uJQjnl-Ga5uf<=>U=WMrk&N^l4R#il6``inXIc8I!&2y$+W^%QyX!Zd1`xyTb+5F zr7ZJP+kEZxG~SwIVG9p)CEDVs)2!_S*giG6MLeh$;>bO5l91bS`GRERNbZ?$$1~Z0lN%Jz8bbB9o?>oO#lQ^R%hu zb^F*+HL4JXsYD%1{guw6y{BoNX=Pj-W<^Uh%e_YKlrY?4Vxm91w)6zvjm$_U+&7B5z7 z5m5rfkiMH%riat%l`U-547rpo`Jt(4x7byl=P4c7*$4~LVabR9mbMd0Mnq&1fT;$n zfo`z6Dlg2+Q~;|$h}ev?*e#y1hV-k6Orz`T6bOx3}7LOm92F;c%Pm7Hq6A{KNH6T>de zYN_?Yq{G?_2v`gb6F-_umxgdp3)6rU0*7QE@6+B1h1}~TlPt8rSX41?LHwM`Y|UXR zxXC(Q#dut}+d}1kF^5eS)A(pW?&%Y3TDFOENb+C37&eC_b*eI!@RtM`1iTh^kAF7DEVXhwDMjI|TPudmC$F!CJcj9I1bZ{xxJV4Ukin0w`PxwA?qv3g457zYrouqg__SUrGB66xw*RRLgEy!n@f`iGr{hl4g$U2RQQmC%#V7qnbBBB@-oUR>Xq)S@jm41b=E0IHYuZransEoCxWVvs}`k?UNlWp zX(KndIU#>T)X_n=ax?2hKv&5G&_U#Ulvu4aQ39emEJ*A+;r1v{MV*PNN0DggQ{j0U z0j1hpeX>xRGt{@uq@|CmR2lomGp3N6$Iv2a_5#1*;JQ?;9|Tz~`J~y=a5unV^I(Q# zK*4g#w?J;_Mv`DvR-%XO;iFS(bo`datbmq`F`XsX(dwvJT^Do}6zb^U({P*SMvq&( zI!QG@ODn;hOa4(+A5_jFlcOQJ#MqD}co9kC#kcb%-v#CNY}A?>F>CLC}d3DAIXB&YCL9TA2Y zwu+1{O=mmKOY6_CR}&go*28pjL8-KIrq%;vZ;=;k2%+5$(HI9;*c@K4HO)sJ1BZlg zc;QE~tFf1=1VF@*MqtV%$G%a3p$Rg*-mZd@mA(0gz z=z3va${|un@cnkSnp_3C&^mb9*-`Q#wtX}n4mmgW!*1U<9J^LYpVGyNRZ6^3gpnXh zF1CwWJPW9UQV^60!;(WSr;KOIN~L?Cs?N~CDzF(&;LlLBauj6Ps0J&e=>{usLtkkV zLUonq`S8qYVq%>WC@O3geJZf7GIBd2a2Vxktc8|dgGd?FYR*J72N0ZgcF{8NC);NL ze9_xV4C_b;<wC432oowI5rs&3MndPbQEF>5Gcis>(ekijj~E3U#oQ?vSQZpb9<0%IEYpLe zEN`zZc8gUf5-b!piIP2?YkIb2x)IW!D17)lTRMD-LDn;#XCQRfrML52|HwW3*3Ocl zrdPcB+3cxGiqdZY&pJ#Yo$jkrDN4f$RkJKJ_-)TWK3TZ= zv0$-vn8_O8`*F(}$z^W4qVlc6%~Xcv-taplS@f+D>__goTnrltxP8N^W$gbLGL=Yj znh3bR1rH#PXnE%I;-iyAvvF#9;(h=2&6WQ+>m`=(wl=vHkmp|>%08oPQpTRK{*fzZ zeQF!r&%Yd5@WM-PW(Di@UtT-iazBLJw_f_8XgTR~#p~uP58g2AOvs;-6|5y0{=jeT z(3;e^VvS6-@`tCaM~dAKuHA5kU0fZqa9@Y1zB=oSM-HF$8Nn`dpE!~_W!If$dw+Js zRHJP2`V3)H=ba|+vQhTbMe9mRkLaCpt|J4i|4YX`blJr3lZ=YXZ&(uC4`$Ni4;v;s zi~`3~ohuXK1yVSxWo2SB?VH{TW(roWYRlXopS9qUDb<;2$t_+|B*`*~kT}_LHzw$6 z;&4dFK_}Sr)2#yHZ)HHcFeTXsxp0j{$(#seamI;zqHm+lY8D5Coaj1RCzuu(5>VvM zq*>rC2YE>DY@~c7pg+G%t}NR`oLZip`Zc`mSA>*~oJ0C~r%*udHSum! zTvhfki6pr0^ccDy1_=^51RUb7L~bMrkp%x*a65%QK#r*E7P1Iv)j<*=i#FN-Cz{$nKI0U!)XAonz;Nx*I;N!wPrv5cif*xr*rg`6SwkC6=3!3exhc zr$egFT+rBOrfOCUKe=Fn#B)PYPD4P16c92*CcP?=*_EuB-<;fnGtSOq#;3Nd$!0~8 zaEaJtSj*LGv-r^r&IohsX>9_c9j}ru!k8e@=1xpx^Nm9Sl8($)p-vdjZgo;MTbq-f zNiH!fH zNM`%rK4}1r_y?0H-vrxA5C>QJjnC!|kcXrMW+n(WZb6bFZJ!Jnx5vFIN0g70!G*tj%GZ8cVo;c%8$6s zF|_+#$Bw4cEk+Vr^UmnVpL@D2C^R`5bwW>?{w9uLQv3)p z<*~KXLLB?jd7_69$|W1h7JxSriT;f8j3UHUmaTwn6#$7=SY+6qE;dI|&~j-cq*U`# z#fw4U$b10ONQh#=CSH$f3N6WQh(Qau!&a&8w4522jzSmb^kp~k$q92grF2$x{d4H4Ojn;`2;9a?Uy%Fu3nI;s-qD5q?G(}8 zo9(6wTROb^h0&XoQEx^T&jUjZkeMx%mJ430#Vg@Ai}Qp`DLhZj%-{u*Dzs2|Ci!H` z>b? z0J=~JsTbnoWuo1WxWP`A{d=P&lPVF}HWIe%Ocv(F#}_KWO;5zxL9g}O>>*9DcF0sj znIBaRSuOo}^;VKFG8MuRB{KzrjXEk%d+OKD)x}8&h@0pWr2%5e2E#%Mcu4?BD#gD7 z0@BiWW*PuA5)cp%(nvBhkgLN zOPFe*Bc?)~sBz;*4G@tXvi8c}D|t^tS}|p^Q+R+3K}EZO^>tNq4=Oph1;s@N4l@gA z3Abb)T#CPOq6v1gP!G2oz1898@)p}b#sy~a#sm&Z@i>CtQd$EB?k$yZz_7_!ep0`S zfTRxGvcC9I-d(9`PEz&virfzru>8K7`)C$!U@G*MOza zxLOdFvZy;ZkpwLQLrLSu2ugqvS;kr%NfASz;BjL_i4$Xhz#aBexQ=1S1=ob`FO1RXyZx zp%~fND8nHTp%{L4pmhX0gw5R8Ebn^!gd66oEERSG2B6s|33{Wdv!>!s86wuBv8{kz z(o}Rq`CQgr=c;Bt*0fR9z{-(f>((oWIpTmAB*e-1qh&+76Po5)rX1DVKL%4Vjry+Q{Y(%fd?I&?$!jaA)78KXuc!xII2 z5S;-+o*L$29xjY{WZMAf$eA34yfhP0jO_eSwp#9|5m^q-a6Cg4*_-l7#0Cq+4}NtLnak)*Dk$zCFB>^&MNzL#P_0LGvdDHOP}Ko;T{oCoLV0D?1(eYo9!_2n^^E0 ze8(Y@j2`<3_Zy#G4}hJ80GrP*ko!L&AD9f^iKZJMN3#$IN+Rl%okI=__=8i1({k6T z$emv=0zMthS^NSm8T+^DoXKR+i;>)smJwOFAN&C`9M*~GuOLl18j=0+>`RD<= zFoAI9F4SQR9o%QdRJ(BWx$DxK&)jv&`judx7;)Pf4m;g|DnE#{d|>T{g}4R1!aC!G zBxCo6Lj+8JR_$H#F7F7W=ES7CyAy zDqqeg-{Z@P&uwGE=7KVrl;8HTXs!kP$lj+&h8TS0wM#o6xNer1YSHsJpDfQpSh=s8 za}VT&l24vF`HqEH@X2q8fU{qDvUn-BN==H&HTpQq24!Y5@g6L*qlEZrGPs7t1NIrEYOh-22&O)5;}-Mw?;Z9X6i z^LdM1iD#jetAeHHGC9!8MHk_mB*&C$S)x>_YZJF)7>$`DHAKuHp6*E9dt*!Kt@-mDHLeQ)KzOm;4FYnt<_JIFhpn{&(&xH}V@ zh&o#GH}fp{DTNNmD<ct)%7X=kh;9`SQ~s>LB2-P2O>G@Z8`2IrJ=E--=3Q zX?oGVlm^&*d%0E*bM@RU?N-mW)s!2m7N57%4lebn>ZzAi^eLksQ>r8Eg1;xAOU%1$ z9NOlx6XrOSrX%0oI-1U@kmYBhpGRAdw`k-BRm)I$6oi4XizaqD9B%blCSjm^t9Hr( zAxGcaHgJVmh2?gv+;fl+c#DP@REN4B@RTqfgpx=oQHc8}hSW=Je2sd1=r8wI!bfvm zLdvr7Viw0nYavPaaMTdBBkqg}KoJIMrV~OLJ}HNYuR_j3L8W00kmrU5(PFB^>&RN9 z4lhc}yc zuGvD8oeg{#E|5(=4SgEvHYTNGYN1>WY6OhSQ2^S=&1@xTyA=b5%4ss8t14=p7N)9X z{DTh5#1M&$mo~!JBO4nv$u7Z;tMIyhTGe|covLH>sx$Pf7P9cA+N49Khe#g2n&5K{ z#ydi>C+Sbel{K8Jyotv46LgAYpVVVWsOiKS!S%>ICAZ6$x83Yr(uMI=Lk}msL{`t1 zkFq75gm*ZEawNLhAmd@SixNhsb}NbzLc4vhHs{>>=h1eUDD`{fb(p%Wn0K}m1B%7z zl&y(Wn47jPbD2{p=JJKgiIe!Q0CMn8rRHf=anBSEo`qgFQJASCUk;G!h)@8(R|d0fD%op5#mQnFLqJ({Z^RKg>Uu zl0M%~DG$lk*hA$u-`?RqTS$5NuJ2G8qRw1oOL=PSULg;c^3*p(`A?Z#u27lGOI;?i ze-Y(vl){kfV?#C+ydDYcjir{R9XmlzNk}fB+#^&rw_xaWx@v=rLz zf~kz0vjXE5ii>l$+Amff7NvY|B#B&t8=J5I%i#zYkuQ;!9rot4P2?7`udy{1`5L%; zYTLG+8|&i50}*n|SjYl$6P%Gc7UZhrG*sc6*w#emviRgMnOnE?ylI8vGs)tZ)TgnL--vO4b`Ik@*f z{_NTgLB`RFvR8%~{g}4JWvHZpmTH~a#;NJDg(#PN8G_SyKJ?F1y(5~{Cx7hzgXiE) z{T$X0hFiI-Wott?dao(BEtLAUl*#8%mK(XwO-xx#m8wGMkiF;~fbwv;@I*lherYmD z&{i}?!)%;Ub!Uc7A{OPC;i`f0$M%S7s}Q1z1~)^h73cy&FK3`N+IRGw$LJ1vrC<|ibtVi@IiAO<_KnsHr%tF2Lt4y$& zvsjR-a5FVsB`(JKwA)v8IZ3=KD>zaU#>=KGW`i~yg7af=$ZC;GrgopBG@ofI)f3wL3$k@FIw8ta z_K0aL8zfE05j-2*!(9ZvoFMhIJyx2Fl9v1{!;cVgMF*~>uo;#Zpp0_0rOUnL5Zwfw z>pg-Ws-Y-`Si0rF1yS>CONcOyJ(f*0zfr!eT_{c@JY(qS)&#+9vSf)(+auW!LfJU7 z(XvsRB8Vrw8F7S{n2;;vV#t{Z<;>-X;M&hCLS^K-Jr{1d$-4 zT~Z|-QtBDb_{sgmqD?t!&&GG9U=DYPgh#o)mUu$skPw-K1KU&R1~Lq{uhMY2q`W3hpuZ@fGPq%|?EsgKJM%NeYEW)Bi-r%MX{* z;dg(c*xxAHkhEbKq1w|a`m2~J9_vY0@Xmqbk9)203@;gYg{47z7?15vR}9V_+~j=f zFz3mhisSD5z#mlQ1EoV>QFlBQ`@*)^Wlm#Tfu*_H&+j%RSJDWR-)eWVDO?)NVbKr4 z&P;44N`1P;UL33_#VfkfF_jsc^m#m4zfE~6*kVe-pi=zDUJHj&>;bg%5b(7N_Bv|Z zE8}vWn)CR5rOAVXrw^(N_FY$fPQ*Tnd|rC(YZtuMcgL%X#_jf248k1@4rbvVe2xD* z24A^jcjbM%AGg>VS&MtEcc}^)Y zsC>wK)s(%?HG?l*v%7MRM45;L6cE9NeBa;$!ac|h0y6m8{@iU6`J>?L&+?O(%?br>-eajxZyA*%r z<@BTKgni#Sc#-qr)4%=Utop_Icb*rfphwYG80ZgfFHZ}x<6 zTxm3UNbq6hhY9cMfxBwwpIzI55>-kE$4JAO|CzC#gp>{{H*V0>IPJk$Zz-=#&y1-A zrNds8dvxzOa@4E4j~*Y|pV?=*=n3 ztQG8?6HTrzW=^-*iz|t;qAL+oJICr=4K-`Kh;i6vDm_~|gd^Fkj0JfO0?Kov#=SId zr#!)6+o$Z`_N`t#QaY5%^*zmUtaU-$>Vm9ogv)3(N5uWKM&vF;5d1L$IjXP zz>!8kwh4Le-k4}fmY=iPa#K!0j_q}Aj`GRzbIJl*a>PBFe5E{P5xE9C?FaJ4WRZj@ z-zN9#u?I&<5`j=UL~>J5Be%ugf=%w10_oqz?bh33*9`6+D^YGvl`Z(qNbWnG7?0IR z>2ReeF9bkHpO0a|YZ9-%e7}?qgOodBmLttjSz;v-ZV3?mJF$1HKK%Q=vB7=9ddI#w zuZdyRU{D(wHnw8NZjxd4i4Pnb&U|@e;wkl$!Rg+%*!bC7$ERu*zN{CPQm0tCrNl&T zn`nbu>IQdH^4ymF=HOmEXYiVR;kdz9XUy3=hZhQUOaZ;p1$Sk zqcdLo+85NnKf2+Ba_LZW{2WqeG&vGGl6?61JvjKuVp}@=IW%KNv<-MBl^bSR43&o9 zF%_i-N(a|;*ZfU*y4xHatV;B&k5Q_jV#fWuRriGVk9#E?_n%iB{rhmv1G@+RUEOi` z{c~tL#8@0UR0n%Yt5m6BsB|#pXb#3}eO>98T0qoeV|8~;tT}MQ)WK>uUf_?3bv4A) zV=|&Yu2KTqy|-EFCoD(}gTvL#wmnQj-73f#i{GDz**qpIRkN(W_22Sw?yyhM{@e|sN1XK<_fjd|(!YTPN0%}E6hpL){oc8>ec zzdJT`=FH9AHmE){WAiP_-K!2+_S_*CtIzD|SzdBxyx9H)`iZF4_=OKi6EET*Jt=!XpVEMl96j9Wv` zqK=*gAW;{>NglREr)tdZ0C#XP+q0N6&EH0f(0-{=RV{#gO&ac_dKQrlgRd#IUdR`G zooTQ|AKLBPo<+6o6nuc5#pkmlJVjs=? z#%%SsUJkAlGCd0+?{#g@0+0trbMJMpksMWRdlpE?{}kz2MBGB2Q=(hJ{}kz2xVDOO zZO_6Vj?!{pv)i>j3&>RD0y4O7e{MPjACP(yZ0gBs+p|DGOD;zVdCnjL0y25<4_=dgWst_aiv8w;zWESlnGoJqvdl^ke$3xCR0mQz z9I|!JVCyS?;r^5JiPz3*q;y#R`TO?9?<=|38*9ydEmITw;NVMl?Dl@R?6LkZ{>sMm zQ>sRK7Ow4Cc=Rlmm)uu|60K}+dPF~;{hQ4%|33cyQ^I5ES?oLN>6SZo|5yLtZJu+` zV;csu%Y(UtTWIhdyNsTN_Y^&gQq1-&PNHW~ZU?F>16K8p$e-LHWUB+&@VyS{ep@=c zQ(ku8j_=P4w~L$9w{{6C{%AK2`}_U;)BS&mU(qw4Jo>8N54?QIm&S6nB#uw}$M-yY z`I%21brn~aA9vzs`Uh?}@A502>fdws!+TDToRdZ%gD;Fkx$lPlfhYcI!J8(<;^gVysx%2zWuDGZ;=h?wmIKOw` z@fUvZrS12AqVGa6)sOG}yT82nRf+QP7cM{dANoJpPh6k*`u!gn*mLo$v1j#1PJ{0~ z>1I62KJn^~doRR6?om(OJ23ddAC^6R-*4{~XRycP&KTdeSHO+)T?9O7)wAsOS?>x~!T^;H}aN6KHe=Od5w5BzM~ z#^pQuPrM6@{tCaj15aG`{Lu)AJKY7_Z^m2g@@0dsp4tEK=eO zz(3Ctkl$`Z?j6TkpS**E7JJ8!9y;X7pWXTD(T^UAPu}~M{r2UP?!Iv2mp=8Q&-DLx z@OR(2X#3p*kN@BTk^9EqzIuGc(O32l9QPMbyl=;S1CQ^z^voUof7yA?;PNN`dd#r{ zdyc`6asS_JjH2v+ZqIV@_d9U;C9gI;z4j0HKleONgU{-}@bYC(UGdcKhf)Ut!5(+x z3vhGr+j64)Cl-A4?vuVZ@amkwqaVbv?`1EY|L9A*{^hyd@7vM;(4FUPT>jG|uKeo2 z^4x}FxvBKram#ny{E41tzqss^M_zNoGymPV=ksKM?E}9$en^ z4a=Um8S1i#@hsB5LCjg%Ge96fc5iRt+P^bPFiy1sgBB>>#fKL|n%PID-W{j5n;;j)Rxo)m~@AQq~%+gG{X_+!{$nD-W7d$)KsJ z*7Lk%kGRu?Ez|u;3V!CkG9BY%a*lgfzZZ~9wbx$XtTNRVg+fK8I8`ljHHUx}J6_lA zIdJp&24pXDs@I;Z(0?88#O3WVnbz)As(e!j8VL z)lX6j#(P^@s`S!B#rf{EPZx4CD%z9v^;OsA>Wb>7e-HA3cdMRXU=EytX}wLL^xTVs#}wyug8 zS&w8eND^*PGItf~wBORsbZF9obcfwuZ#@=`d+V69D8-M$-?9qjkusHl)Q5hHIxc@tSOTH zFCSO5lqhdCQR!gBxel+vvhq4#la{j3}xx>DX8J5UQ z;%5s7h0{XabV6b^F7Yz+5+6BzrB2=+>>-J3%kob?mt~xb)CoZxQ z3leV9uwt5Dok-OvJ@tgdb;)t$MOcivh!uqbw-7Y0EYfg&2F;ywRP2cry@xbZ}H4}d?dO~p#qznnHmwgXsmb;tE$P~3$mQ0RcN+2UIlMr@j`@g z!@xVH=@`yhkj(S5rt+X z(?(KGSgrI$3?8S%LMBw^WUi11TN!@VwNPjRk?5h|F)EGYE)iENoq`mii0Bf^D-TW( zBA=D18M!qiRU2rwWvUD}4+UKT4BE)2mNLxMRZZ%UP#B{{HEF<9!U(e;s_}tpR{R?; zqeA=gTIf>v)@nT|&@iE^aXQ#Gui&~@Daa_(z}+D!A4guXo60MB3k^O_|Azoml%YH; z=KsL`4qrBNN3|WOrryZo<{wAyrfjtZomACQTD~cEb+awHtdCf6>|2eyQT%G+XHeP> z6>{Duce_+^;+8h!c5iXWcUfxH66<*dQ9)OOgZ8AOyNF4+RTSl>%v{bolTA{6LY7jV zUFaW~m5L>^Jt(?nD&A?uRXpS|xTRIPYjKwPofYH-d#=CJcN1HtIH~GZGTWw9X7ea@ zrbSwMW(wHxQ%lL3Ff*5u*JtGoj1i8+9e+C#E>S3Tg-Rm{A%C3z-a%7YddT! zCgD0}iV3UI&iCd*&b1X)X-VSLE>}Hrc6I2?yY`Wo(oLmohf=zFXKMWEom2E<7cStV z5#CT#PDz=bnh;a1cB*+A5);lQb?Q=&D>L=rkTuZSr{U>7tvuB6OH_!oYWP$n-gik= zxUJRnT@afQrYBKPez&WXMfr=@mBJEEgJZES_rrynvAStNFg>NtCPOU7JFC-3S>Q<$ zOX`?LY4CM>q-FIy-&iNjfr}^1$aQQU3ofo*p^Q!}Ceb>lO(wIE@^W`ANu2asa8v1F z!ORrubFlAE6g)#R1l8_pOi@JvsIdvzM?lg6=Tp8M&d(u$)7S;sTR{VFUEI3UtwO+L zRKM5J4%`ejF=fLkslpTlB;}>`h1~uG5peOU6*FG;WLrdg- z9rq^C-he#xNcmhXXM2(4ZIh!%s`P_VrGr%^6u_hs+e?#{-5*Ep9u2aA1;ySF?5H6L8OOd-q=(cGIlt0WdYya*q_9Vx zwre%Eq`~aMK#MU9Cc7(geomg~yr(BG4*I-`{Aio3D4WVRLQV&jQE;RvilIpPNT$f*$rafOsHqoB1E6C$CZ{|&^(A!L% zdTKH63Rx{Dhb?Gj4fv^Mfg+RV61l3@?r$9X@y6TIpDjA(gH`Fr+@J@W`002TPqd$& zR1C~ib-Fm${;Psf)wN&mHMyqBd&sT5{sXiII?2RU^J*jKyPw(%`K({_9->KpYiE_J zE#^e-$wlD~Rkr!z6fPSMn%;e(X{tVzL^F;4f7H2dC*3OP`t&M2i9%W)zIlP0tB6fi zRUN1kN=IqE>dfIYq;jUt^YC@7`8JJfldipqhYxI$L3=rst1V13j!6eAE7m(=K|B%r z&61YNqf@|B#`!!-wf{&aq9{$Wi=LV)^x!_%ozyMmYnrqKlw5>>UBemKb~us|Ik^yW z4mravcUr;ml3kR(fjJMKynI=gBoCgt?(2=J3{oqSkhXqa<;dS&avf)Zspv|t4inxK zpKdI2dG&}O0^+gT)&H)MdvTpdM()6aFSGH0(1IXJ4mwHsrnKcA!iJocJSg2kdRuPL(}`M`k*Q-nr$3pxb=UMLf-M z1>GT~zwH1yrp4qQTk$W*^sp>(Q)rBA^+3PQ)xXDxr~tN4#Ud7rh<40wXi~N;ew+@K00|mKRJapCp4uN21Lhe){;o5j@oU9$A0Z&##(dxmjW(iBf=OEut(!#v`2Gw|j3)zIyYA zS-X6V$o|&v{`q#6c}X<6i{-|xkiI+qxzcin}i zgu53j@7U0bCIY^@Y;#%2Wj{G@?FNCoz2T}$SkXhkcUOJkEoic4TUvxXE#w0zD4N`h zkh|}gBIf*D$onl4?wyc#e)W^cZuoiEMFLA}75u4~V;y^rH7C|&%T9sn{n8y%o?Soh z>Z@50=D>>gE!%&gwQ}d(KX?q;S6wZ#|A)A0(~(}a>y&1qc1lec2$h}ntK=z-aZ`it+yxN2%SxSPT>x7yUv{%^%fmIcfM$Zwc;&*b2_U<_RK3Dnp&UlTb-V}XrVh7@~+&z<`J-MmG~r@osk(r z$kkf7yN1!Eo)uPsjvYW}M|bJQsB&g;N7Yo|bp7c$AD?8c2UYjXBHUH-z4wv}KF&Ar z&7HEWPH$Jyd|{w|OahKM3d#nCFM!94qxT6G^?^<^w>-iEy)lUSmVdC zy-8mbdE}~vt#{a^VPKTAZ7ejShyoj#uXaMc2I~Ed% zg40P$iBcS!lGKTYoDuvjde6M{gt@tMfzu5tqRP$Tjx}p5g?vQ*4pA_E0GC`myE->B z%$174h-BoRwS%jBaBTcEEJps}hvYSdyoT&QnCwqI>GkVb{4uQ^+Gi-mE&KaxKFioL#K(S2=pE$(6SpJL{1M)TN$knk_$Am>pfOD(ZWpc zfrxb8aM2ibnyL~m8qeCsK#WHR#0@~D@ocZ<;~mE)Za3ON>SVOMRajynMh>-XRMV`W zOhrRYo0oBVnw?rMnr?n{b0FwY2rToWEr~2SK83+Ehnoq!dvo+HD{TU5j?@bh-_H-;KgGaMVLj7L%SHV(3Rnbn?)!DnwYbP0|JZ%zt!a)x2B(7qZW0LG9Wub!tVtlJPr~O!7>K+P+I(Avgfx{`%QE` zg={Z%w@3_wBO<2+Q5W1(*%=~k@8=-pa+?a!+Hu!%uBxnb2E^q=%SLgLUTQa=@j-E# zI0H!mHs3c)g&=+`1Y<{{b{uA?d}R7W(mQ!kxviY`97FT>LQ zWyr&aHJNHPSyBwOAE02+)Vs-_!VFkOUj#U5*3teMjrEnHcSAkMtf?5smG^K$s?V}H zvk^j186ir9Kwd+oQvR8L8#L~b#Gt6c{FmTsmXw``zEEIaLuTJgTcfs1wF_jv<{d&h`>G*a-3nu)Jx>-Mt`aCbX zZE9+=M5!b69^Q%U-ahTdR#F)*)j42*_lPEyfiaO^A5^^gO`UlU^6-DEa8_TG0yH?y zgijSqp=mT3HtAU{(MKtw`#_WhI(Ct6gB+HuIW~2eENLl1mJy{G50ox2z;6E%U$d}(-FETGgp{>XGBO~SifUgwPUuxi zl+3e02EWzn-&s>uSGKJAy*$1YY=8O{PXw4oZDAH&1nt;5Amt_+ktZ zDNwx(U1r%J+k_y>)(4d8d9T*>uh>ToGOKr85NtTNKFuv^d%LbP7dU~N>;4>nKSo)YmPqo}y*;f~72a~xLI z<}BHFy)Wi9;JZsvURQr~#DhGgb%tlYbAdLFo6WjZN>e{-gZuJy2 z!T2=gMrIF+R9u0Ai>uFVVsH>RT`uPHx?0tivZh^CS26+PS58ojf2w+fxQp0SJGXm` zJih%pxOLs0l5$OUL7h_F)|@ykOkp|#7bxAIokG3p z1ohh3D5-2G+GOa(8ttHoOUk>-G24lfq-Xk1T}}hS3-C!00Cr6Dc#u}iX|nj9;LnyA zP+dU9oa!~K&5tIUT6<5siIc%WLFK^c(;$>_S5;e1d7J`hFcgHah0Hq-jx=R#J~4hr zf#ZDWRvDt??GsOyd*kZ|5(;k3iueFxDLhDK^QPuec=iRFThv2*Ayn|{QAA|-(<}*~ zI=l@_lZj9MQ1F6lu_2o+0l$E3iSh_d;GXE=JHg#06X&&SvkxGxFb66+iu*N$91F7g z_kVqZ_AKz*5kXvt=kU7haUT=Uq=8eX>gf>hzRv&!H$&<%pE64RUphJBgL3! zsB)?`X+1TP4>8G5OjuCXtALEk+~zG!$Q`Klbx;`(IrA(Y8M-CDfz~*B4w27sqK#!u zNvtXz*j zMMVUir-fhKbZ1|ojMt`BD&3w}w0X0r;C45mGMM46YK!9v)a7o3v>bPeB244Sc4p2H zL7U5A%JV#uCc<@heagxT7$3@ zJ`=KL>of;!WjPt8W>^hT1lB?eHz?jCS8A=?8#`twR4OnCw^6_#LEEit#bXISrdUsz3(zv6a6t+Y<|V$*-KWe z8fIbjt-H@ybtkK*KlZP(`1#GVm;G${#?Ax#HtybfXyfjWpZw^WZ#3i%Fwnn$c?{SZ zoAW$_+Dpr_S#o@5LS8J6-75;Ri1@Yf0_BN<2bPKuySDhwuUk;n{~ag8T=d?^SofW~ zZ~t3a$o~15eAbJ}n&=58Z$ge`uMl%U9$qKw#Gkw@Rxn<-{JsnC6DzHdz1w0<;FdMf z*Kb?;$O-e_^Rr9lEqiIz{qF)G_jpTAqWqqq8YhdNGtke9^{miNKDnE*|9h87l(F8= zGv8v9Ve|Drd3^u%&B-$C7lA>$?8nGXl0jCLM~mkHCP;je2odu8#hmxNuy;1==Eq>i zaK8-q@#}ARPwc_6zyFU2;kWF-cVpwf&Eo!O@<`drLCBIiDhYIyvD+ z!7}%FA;*9mKT@B&(X*w4bi$&DUwJ^A(Q8QZ`-_l6(c}Vt4D!=iFIv=BW17QgP|)n? zPqwX1WwRBvi@So6)lL|hbl$slMlDN@%j&JxoWb8`jvuXOOryiDxEa?Nb{9%&yiPbwMv$`ruhIp`XL>BiSd2^gPm?N^iP^?Tm zm_}}>bg`0mg+epPI~qM}-;_gdJ*)9VS-n;KZ8Nf!jR25v!=9VgJu@8PxAK|_u(gPc4o;!(i1Dc{efn0@Cwn}_j=aOll+~? zKFkg2n3F^6s?J?+#GXam;L49{LmAs7<9n+BqBNsr6r8tlXry|=#z2lNl^QqPlo1jk zmJ=Tzx#guLMkoT>(hlp&hJlPJ2b}mptt$sw4H>!P0XdAN>Oj@OXUTF&RoHELqxrz2 zN^VWwkJ9l42v$McUL4cM$VD2aT(yCtYvO0hw8W-ZnrIZ? zjK7og3mmmnz18<9*7+98DwfK$#(HC)6%_X>;7sgcXGPga0u_)9dA#UbZpT_%Exo#W z-z&M@dCPXhCOG2Erbpa6gg#KO2OJ{|S~jmoxbHDrI!J-^=PitoUoN-*%LScRsEGR9 zy4B2MrxvzlQcs1M?as%du|`5hS{mk6%GM>)04c;xAx|`!T!%JEyF|#tk@x&54}G>{ zpu~FvT_~8L@XtsUSD>nBsOwwEK>Wh=T#;QU?i27`(WH;H+{eo8?Xd@|(sljRs%4bj zE}|RXC~IR9p%BjPw`4~Ue5D%djD3Rqz>BDGsXll1Fy&t*RYi+cFcvb|zLU^415yZg zB73R@-^~D!iz#zckaxri%F_2#LsHq!?JDl;i=L9!0FXH|$_mO00uM#MbaosMbZiw? zN&|%Y-O3J!`!GkQ<+~ESnJVdv3M*_{9)Gy?RbWZ|=okC?Cd{6o)?{dDNF#w-bze)g zy4b>`N?p{0DUjPC(@Kh>M#&J9Z8PgUv2wU<4*u{_5@26n@P1{reKX#Jv8%WPzjijKLPgsOUk86jaw zFMF-iw^WX;6pG|{G#F;!P8cY>*zfCrUm(hpe` z0&6Z)My<6IxLMbwJj3-N9~nmE9+vG_ij~f4 zQ!ojc9tWg}BAO+txu_N#PI(guftC%y3V~ZfAZ-St^|&wh-MBoU-I1a_zG3;@SW!-)tlNxqn8Yzvt{ zz9|PMIdGscQP>n;8WO73*s5WUf9R*|YeRv@D?3C%46!)jmD4zwIGe^DA8TMWY)l0! zS1SqSDCL;wn4M{-Tciwyj@4Vp_C97zq1#|13gimEnATKpZ{!iTI_t};gOKhXWr-Ls ztnRf+1>+=m@s}$`X(@;ZVo?%h-1>*6l@rP}D3dLB40K5a_}8IDbck&_{6I|%w0 zCC8)r<fthu>v>ymSa#so+ZX}1#xt}&dfwJ^#Q5BSC(pV`$iH2%argbpEi&sPdtb{{H^l?m zTb8b1u>8}nq6y>B;|9y&?gR+7lcDuBW8`y|CPJ3|%v#B)_*rOzf_r5^d($$JJ;u#9 z1!$A#z55f)%-|DdIhI+-+v~@ttn z>E3>FGCpqy%M%5EvL+t3fA?KCitOQM8AG?w$m@u5UBkN%teRv;^Z$Bzj3q3Z2%>Cx z;=}sn12)QWddIH%!0vSoN9Vz9n3GH(93f;ZYrb#QbIhQO`Q+I|K^vv`d-;~#U%{OF z(PUhdF~l&lC+Ar5&sJ@bd7?4Lo-l9O3w!51{(X4RI964`5*`1sZdH4M}UAWDb9-e3LuG1p0;`u zeX=WoXe-X#K=(M>l%47IFqIKCWOiN2r4@~z>biQ?h`Tb_(U+bZ^%%eHrwkcn!5!Pz z-TP|h@V&w&+szaZ+qtRhdwrJ;)4#UZce%@AG9wAr92^@X z{8@6QMF4|C-hfPhf=?L$=aYev;SDR`M!{&)$MK2Zn!2)L+7j*br>+Rirm!yBiR>x_ zEKFx=3!A?mC)#j1(LngKU0C|UNm^!B)AjDU()Aa;uem>84A6d zJs7cF?~hCy5OWs#(`t%N#xF1oA%YT2-i1>IoKO0~$e#6ZRT^%hV2X6>`l!H3+=n zNIgCBDiPA^6Ws<~RMA34hRT{;$)#-gDS3;Kd@`~wT@6}ZL+;9+Ws8b|SQ+&-^Bc>^ zBpPX%ZCs5eICXqx1EY>H9)ygfLE&4wEZ69EE?QZ=A-^Jwjz^P`ns-Hm9j#qIb^RqX zoeg^baXmf7iV+#5KpW5+Gup`tW*^`8$5ApfqZcy8Q;boKqe3HJz)b7!0p)q2+klk= zrDCO-u`)F42Hqt?l0@5p2a`lgWRuy7r(|-MpXyaD7uoM3>!(t05q~@5xW}7wtjYdA z?RdlYEaJA5wApB$F+xon&#&aTNt(jaF{4?ij*A<6nt2QuT6x7NZlJ}@>v4U7v1Xrb zkfyrj_8Ea~nQ9J8j;>^Z4r$QS*@nR91HPhBXxpotTT#K76rsc+P;rBl#-k0%&NiK6 zifmJ7+v5OUtDxZ^wWY047ZylQ8ZS{aTbArLgp*^^~#MX9&Olz3~{*-p2N z18Aj&q$94ZVO+7Z5P@R8kQ2`-$=0*6#6l_^Mkd}0_ua1j#9g<*!I%cWnu<~&$2Qca zCE}s@q&0S^8wdk0GQk}%TtYioRd1~GtMpB@#Z_RGGsECft24tSTGXuIgA4eqnIskS zG6BgNsMdngM%FV}9e@9!T+zV>*p-P7-B<-WFs_>5RkR)##B@g=B=NMWFR50qA{!Iq zNN|Ttqj-5(YfN(G$elqk?_pP7+cKzAQYBHZh7O$a0^U1SsxXle4DIof8sXu|H_A@S zFNq45doasw2#|jp+!9oRbSTJ*n!}+1PwS=$g+N(ZauuH(9jvHY_5CqnLG!uM(QJQK ziW{#Db*xLBKcPhHl$m-dNUNsFV0rG!shP{ELAr!=ZfLxYX}wX;^u<@spmrLjPAGor zr(l*wYfp@#)_y8-id-u45N^3+tOlXTm1@W~DOOvuRQr_lSJZ7tWh7-Ue8ARxs&Ai_&Ab0r2(tV65#?|MdTM`_ z-+35<)uN}GscFGlwMe*d{)`GB>Kg{jwSks~VB0eOPlX~vsxG6}Cdyk?>W;VgnXAI! ztCl+q(K}x{`O@YQINc(g0e7TNq?MFOy&pk%Fa^1) zP#hGf-dh_iZZ70qUB%&BqvGeHXzDcY){g19|8P;zxl7E!Cq6zwcIRS*K#El2C~D%)(R#>fc3Rp|ZwSi#UM=aXw>T1u+s7GxK^s6pD8nbMW%x{LrRZ|57c zV)*DffdP(%WD6nOC@AK*#O*j=3&rB+aWsMO)Yu9`zD14|6!jZ(P*+D z+X@kLL(6Yk0TYY^125U z+#WjeVPzmuTHR}SWf=jSM`isC_nEnjv<0i3;TGe5_HyBs;Mj(P9BEHY4ss&I$SEPP z8xIc}g!xuJd{7H2DCr3*_cleEh$ewb?cnPW4&yZ)8S@hrBm`xVfr`^2P-mkKZ8W*y zvLOjc6~QT#aJjuPNmd?q<+W&{m*C384H~4X?dWPH7pdfXOcIsh8e`2h?2Z}-6an^T z7Z#&AX1WEM=;3s;ucps>{?2>hMB#d-B!iRkO8f5%GE_=_bA`w78kg&I zaC{?~n+?G=f!%AnJz|r@KaQ4Yml{yr^vU8t{)C)dVY*E8l`}pjV0u>tR*D}O;Wh!A zm=(oy;#UX+4VULS0c!D2IfRsKe86++L|le8Q#IK(vrc3*A%q(OF3IDFMU>5P;Ed8k z9x5X*W=Wi>lq(*$!6bXRoqq|MyWwNX!j-4m=z=Nh%4r;8)m>61U@j8c*c8kW49X}y zpuFs&ewEku9@Nr`ReI5)jt?XxX?dcJe3PO?mc2c&$0k10Rxg;!`dJn!zl9BPlzy&8 z13s&dgy43|HW6hHU$K$MAyKfzfqmi+QQ~z8w{*TCO9wuQd4>5>k5nw3H0o7z~&ax3|W6QHE=5^$)%SV@rN4_ZBQ$d3TM zdbkZZ-QC@(Nlzd5;!x#ZA3XE9(tOBwLrzTsaqjU7L7emEA4=c<=nqDpR40z+9+{PD z7a>~?inww(<>gLfk3&xdGZJ(wFpefZt{|UOa_=v}oPh7f$gIB|+#(26j|y7t-HS(8 z0D7e>UfS~8!b!i&UvucGn@Y~to&ZK|`HPt2Y&o=J%fTw3rYu@5vX=|IrXa=f>F0bA z;#+hp0m!u&a&Uw0bbl#*|BG$&1t8Z#-U9FhWD|H*R+H=1Xi2@awBoEUI(r~LkdTjn z(*@Mh!s*&5MfOPtYv<+F{+n7?XbYz+n7mhyK3ehKp~__kCth7TTV!WO=Q}sIs7Iao zqn|(Hpc;Moi&jWOf(|?ezWeAGOX-c`ss+|3*n_{-{6}Mm;f>=x2=XcBG>l%PMkj$n zs4BKkdgOUE|Nq{bBG0dQg2~^r^QQ^{X4zkJLNA?jLuPbJ{`mRx*SD zn2kfiO)!vcGVtwE-WD$;+HKDP1co`K`J+D&;J+;u4}TbhzvH$p6)O(||BWdo2lF3| zPaj<2Ub!zjA}5m$p8k;@Wn%j4wHAxa1L-bn|Yi33W_QRkdOW>CKw z9h$PN;>RyR2FjF-bB^)VMd0QRwF~^>D?(B_2o>;MRjTqVR4;J4t|=V<`Qx@6ob*!Z z%(s^2B;+AVhtV(HVsq>Kk~ja+r$!%3ojCdw&FFR!VjoL6u;Ftrk&5HdQ+*|fbD*bQ z@wkvrDgj#uX9B((BeVYYV*;l;DnPTN7iC7*{g~WZ@$zlI8u-lfL+_pxoUfegPV4@v zx85pvSO-!$ZFS1fpHg$5nCtVw-EeyF0gbz+xN0vfDFYn=s@4i*0BT~iL$E_iuJ zt1<2cg2@Zq9>(tkPIqIXAXct<8)U&Ljv1^Y=gMzES=7bhUi0yLyj;YzygeNVpXiR4^usf;M2zZKDToJ6Kyw zkv{qxfPK9!3;uOi#f!(?a^vu%YvFNio+v97?=gNye027@wkw}%qt+oUM}I>~dZqZn z>$EN1VM_=1b&Bo}jmFilaTKrGw5-RIPyTo?fAvK-rzd*V*%r8+vNep=D>jRnO6a# zTZANShq4ffGA0v~$d=OK^shbHbSNRm&rK2~+%=_14D-*gT&BEhj~0?}A1onfOz#)f zNx$oC$g7)X7H%F#erZ8v?U4HAlKKZ^3P8APOD8C2iJBs%gQ9e}X`J!npn6+@FSdH$ z|6)aJ#raGB@A>HdHhr=YAsb)mLD593>+SPbag#bp(AS}@!1m~if z?!2nhCr>EZ^JLRxO9u^{F6&ASsft!H{+vCk@=YGCn42M2od4YHB?qfdd&!)nTmSwW z&O!CvcRc*@x$csKiht`tXU@a4>?)s}Jt2v*`mFo6$F8!`On%YT=L6O2)$aY~{Lx>I zzT8xKv*gf=|61G;-FhGk~=;W@LlOyjLGI~<|fK`*Y+%GpAc+i9tE1qlcZ-+ai)|G zv~A#{*$J60#5-%Y85{t|`?WdW6!U zQF<2cmP4n5R%J@-oi_9w6wk2Xsk3QFC0dg(mEj^1G+q1Bm zoVeheyVOgUsL@vMTF7HU#>45(Klq*bC@6ZzvRi+*Y+kuY7T+ z;>_#nUpAA3o1Vpjy=Oa1+%u$SQA^L_CNaJt#u7dZzDv)-{e;L)-TzYV;flA_{*sYR z^|qzAYq&c$j`v_}4&=7$Uru>sh?j#&&u{tpukPRFt9O6r`q8{i<3@`mY~6xqDmFg< z;1+e>{d*^r#@B&x@}GL-C9PcM!~g1_`o^gLFOR34y2YaksNUS!6>mN8YX<~bKFf8r|waw?s2WFDn>^iyk7mylP`mWYcY_$uiYPeknF3KzyKQ* zJm^IyeCPg`zBT%CN9E{(mmT$B`fa~ndfE^c#CZZWZ73@!JqrpEwPHo~&h6O|8N8|8 zb@21QGd2gsysyL7JASn-9bO-l-5-v@(t-p!hAaBM82D7ccRx6e49e>ZV3>kg`n57Q z*q>hke|FnBKivA<_y6S`Yu??5-Zy^eQM$ZhZRCe8DVz zDFIb|Rk+bJk$ocoxqo}#Ggn{p#O}3cJoDoZZhPEE_~`-N-g3RG{&DtJB+!&g0V z&Usrg=Od>*x&FDmPk-`*Pi#H^OZ#5<)f4Bu^H=})!gU8ueq!t2fA{In-TdVJ=dZo@ zhadbt0J(TD0m!}QLr?zC^S|^yLGb;ztylc;y6>I_kS{>9Xmb9SUOM!i|9#FkpMMU# z-?#n1g71Flh3lZ4_)`$lf~`Nd~Mm2_nrSgpE>Zu=jVTO>l2vsq4Ums{K=pE z_T*omdD7>;`L&myJm=rQ5ymO?yWddq8$Bo z;_vlSpZVQ&+d9wR`tf9^S-+4|14TW+}N@oR2F;p@I8G4JK>BeFdxyFWzs{M%R(}yl(C(mH@Hl%o_*2n5qu(QBv4zuZ zvACkcHp+J4PEd9&_-;&&2COEXqS*}=DYV6L|E{CnytNVpS>-l$2NckgM~0gmcTxJ> z$g2+2R6k$!Sg=fE&Tzq+^MKdg`kLlsfZG*p+j&uU0In!#wVro!=hUVCl-^)T>=VZo zxY6k%i?6KNsnVFMjGQ;5IBx}+wV|>*babwPX{+ub!Eu$Vgz;q%N&K7Id|1zsbz-)uME5)LvQ0xoMvS@64&1`tBLR=JmIWIbk~5 z9OSy0(CD6_Qe7Rj{p}>noW$Q6y=Pzt+#c&nfrMMfO@9_%8YGz|w?qZ>SPJbyJiG(3rn0; zS2~|?O=W$R;!Q*Jo|!7-+CW6I=Irny(Cadg0h-N$AvMbh6#%)l5rC2Pvn|?Eu5D>F zTp4OW)r^^mD|kgL>_Wr=y7j?o-)SiGm1?)IcC)g~zfM3ybW7f`>f_WD|FX z3Qbj{l+%yw5Vr$$#!V==5N?|cv%$gw`sjf?Gw$IhDuC)W#s0Q@T6tk@40YBWf+;oP zVP2bmu~iV5KoI^~Yk_y=-3Z7X2qj7vU@Av6>%~<#5_3eh%B&vd6@|8eLC11K7VupZ zZsbwu@cWbs72FO{=nMr&s8x$tX`B`?yj0XwW5A#7YcwiT)iI(>_;;7(0n}=2$!FYr zG*dN$lPfrH)r++N=mG6@S-m$>8TJ?Qef6eLjgT3joaL*5Hyc(=r3xT%$ii%CXE10{ zDb~u_Y|uOZWrU!9IvvdfQBea2b#7=b6ZkhL*Ou>y5f!U4QDa3D3P<&Q;1v6BC&@_$ zd@>Vr;5OFES7zj1UVs+aK=+FAXrh}km*q*zu>XOwtI#ZD>DdlR9UP0MtMMSOJPDb* z#Y%P^)B3^|qLj=gWKt(QPW;{1lFIG60Pf0JT>vT94>ac%=R3qT8n&p}k-G=W`DAc7 zc>q=T38GL{1l`^&1^`rIg?8l?fHv)?N?LI%8~gGNiq-krlV$gLl}p^hZ86ZSA-q zQgsy?P1~JRdwq{T;snZ&w~ROn=Ol`G(GZK;F_I5~Tf7aBk+^HhxrDwXOM<8cA6EF8~D z(YhvJyaAPjYy#vV(byd~Pv>An&0xz*X#_ok*wLCp4@hw#dHmW3Ost3UysXNLIT#!V z;T;zY7spOLZYF97TK*Z-aFjB9i4Iv@_Et`{s7An+Nck-sxZJ~=3~X372o6G^T)PMS zi@n32D=*Z&Rg2z3hIgv6S}tVjh5r>Q**lQPK2}XTAK|3V1W;Vj@zp~d<*Q%&Q>gEm zG_LJH`S?cwasFAk<2r#NICPq8$f{BqxKfv~95iLE*QnHqWNa|tr8rl;AvmrT^-}Y# zUf>&6EPzZEc!W74B4nY|0stZMwULzaEh0qCp;6$bdO}iq#_5t2{b|5pK{%DdU#d@@ z(bWN2-9{OLo+?6)qaq&=gwtB;1TUHccRG)P&aUNt6jVx`U}z2Sq(KhBf`F~jl4%Wa zbs((-Gt)^4)l3OAuFwh!ijnjLMjk)Ssm5qEcKvD z=glltz&R`^9aN(bnyC^|mgdxyRMp^MixZ?~P$3fK19?}O#%k>>P>-IXg4*SR<2tJ! zq(*0u_snZte-I%PFU&v8TLaaX&&BvTlyz=?=P9Z%Q#(6&Gj+9O#m{G{*pE}StAN+7 z^49=UIT7g2?vL7J!0o2F|GVUGs&1RhXXbFMc{p_B`EqJkw=U}7OK21lMA_1*sxesX z_tvr;lpqg-Q%r-;Up>cG&4adTrr`v)pK4S#i60=*9uS|X)aMbxjXKHUq#i?qCg<=y591O9Pml zG($1vSdm-CWG|$pJ0`nd$bG{^Ngjcmv6#HY3@E#GBcW-kiNSYizq{m5o?z)K@OrFE z&NE@>VipwZD;mv%9~G%>I?@KbTA0v#n0Ke!>Y@PIbRk4G?F>m?$m~?uPf6qTQsDbj z)y`t7kXlSr+k{<`tBo}tgzgQR+L7m`rR6(GMiZaR5mycHgj!em{X7|zQ;`#U(22=( zg+NPnHL0GJD4RVx1!?k#D9}dY1MF)Qg&jg@xPwlsrR(F|bph2%G<-{VhyGY!irTRT z&A%8{Tu|-$eb$_`n3KDzzD=)NvEixOnpsiNw9eEYGmtk_o!-_Fg?H(U+ub`;OJ6~Y zIV?x*4m5whP+gNZn-u^zZm&||qHi5U@0IB7PWjJwm>?srGEU}7qEzALf?MUUE>apS z%m|sjn5&uu!M8@OlZWpTHK@#Rbz5Fgb|>bg>D|a8GI&URG;G0m=XS|e1#*!))3_jC zuM1a@mIZ9irmFHIr<%~vSyWyTpYTzFlXCD$6cQyKLvImc%MuLm$ePl#pi^MURrp)E zgFLF?qAUGDRVAsBuE)(fRqT{}(juCG!TTy1*{hu1M-R95Y6%e>CE^>^af>D%uxeyhnb5-K{PROUpQAZQK$vMTQtcbB-1Pk zat^obEVtDphpR-0GkRlcr{=2q6U1J)vI=wVt+GOJ zwJtXW<Cg_(- zPK{6W-`&YwlwI?Q|-?sh8Zp0ML1+;2P)63mt6zv?2|FY+w}uU{KtbS2)N zIyx0`9*H zqHwePi}cLwk!p!XarAUlV6fG6S5G-LZai?`LR0q-b7nESP0fKn&KOlPbLYrb}i=VlHsJ8=D)O+T6QlbvGx zUW@v=vjp`>-ane_n%6fa-k@+&>lBci(YeSqS8^klouhVEnxQ z5R~2hoBJWh=6vlA{C&gE?egxMhgl{a*{nwL&!8HwZ|&;BN{iqNTCL#ye)5x35OUR3 z7oM~1XR++h3f^y=PYzhe&K#EY*6$QxUm@RaJ!pljSh;D_#tS!IgTHr!4ty0W_>W7p z^90*gth^rdfz2~T?>p~2BuKb1u?3&)Pg+xKj|5w|(+s7T6criGrV%hvXX~ z1iep~x9rBUY}V$n#@9T1)m~ino8jr=!QNG0PyGFJu!L_qaL@i_`%rMBm=kMaT@?!< z(KbH3Z5uv0`JR|OjPa*!y6^ozdE|F@Ja%532g{lqPWjle<2?8(vi~UVq06(jbjZmE zq`e^@k;WjOe=rbd3}V}1zbie~LhLf^f)Tr`80KaS~TAKlnj-;jF$+PP?A7j5^7!614M z_odI)>9r|U7!th)Z<$Gy{#5z;Tzs;`nlm%HwPm>^TJO5a(~tYxsh5fneo?f!Xwy>G zxVaD@TNZAyQAq zShRdjsteh@S68E;KlqjOh9wL6xVz}&GJM3`-4cxSx%bJMz_|-&Z|KT0&Mum)AQ`~y zt4eRkbxh3w7-SKAx3`2CU$+kKnuTU=&H;b65PIEWB{Q8TAm8|L&1xWjOSL>t`nrD>ffPQ~z9yTq}*r-mP`y12e~ce>$kI$HhQ$~if$!)Uve zeMFAc$OTAQj0a`c*Jhr>mwj`5@f{Q)rY0Hc`jpy zy~xJI2lm`KHzvDYV&IWX(&!g2)T$a$R5@XCUHhuV9y$dfJ0hhP8PdF!VD&bxy1>zk zy>8u|km&^|It#+>S#If{)7#N>S=@qSN%nrre;3;t*E8O(idrLGo*K+V1GpXj`E0gU z#kXh`h)lpTybhE~A*&aZv49X8k0nS~Cnx7lAVyWidP+1%mq`pA zC>uCW(kz5=NNL;VA5tzKcN6Hu5y(4QdIeTWwL5?uOLf)cS+07pQde1mupSj8WsEvQ zh064s%HXM?>VZ_n3|*_7J;Ez#^ST5#`*GWL&`OF$rKAv5E=5;fNfq>$B~J%8S71`d zYqF;*J=IOKI!1oU*)=6+C7E#WqspLnD|6zM#45!?^XT392bz<@N+*T!I%0v6dOtnY zCrT>A)4!-@g-zYr0_&|zRUesJ{SO_%OkEvj#Z?T1h^w4&uBuBsSe34K(WFcCUK#2c zkSmqo&ss!TY9=wK;6h%gz3x8$43Jr;rLNW1N+I9su{?-dr`_~iYy664?S#eX_Pj*t zi%$y3rjEqQeNmsvMN}+Kk6)KV@2+!=N)KU^jR{#~tK5ppoN5h+2DM^so_cVKA$zGsHW?C4Sea#p zJR~;C^E}L%$c(aJ3A-~gi}FUy`MwLeR~u<#KA29cMc3+FYQ?a26l?x#wd2;QV^3O~ zmR2^c(J72Wu@sQ1&CPVso0f*Bb^tN#E9=cz^%l-^QjKloxWxsK9k^$d;FjYK7~ONT zZU8qTv>@T8JU?He>OP!0UO9EzEw|h#vOng%c{24eQRb>twx|LCTPG+ds2Y@Nf1p!R z_b2B%flA%ZLKv=^iX8@S-V9lhHG$s#@t(d`RZBJmrY6trY9F^uufAPc4^{2T$+?-l zX7y@7$c&mOFD@kcB;&WMAXu=9Tk7zjV!3EBr{@AT?t)^q@;+K8WYN18b2N{6dpiVY zA}tL+SlX%>=7T&twla%POf0)LwMWqm%ZjdIrR#W%8K&y%J8~@UoYjFc3z|LShD4cB z7GGHfi?Xm(SBh*;VW8)0a!EPS%9?Q1r?CL|F3LKzQ;vl+8WVR-O1avL z(_QAF}@5{TGK4Gxq~lYJ1%ffP5cTk$Rqjb`IiSu(c}T-hvM#DpWuo<*8EnPV<|5zCZvWGn=8z!_8yNEh+dgUnz_aI@8ZTa#h}C*(e?(o}qe zF*W4m&lWhG3ON z+aLSm%z5@m>De6E6`Ve|K?B=e|{}D$lU}?W<%0Vd?IzZ@$Tn=&!n0fKSVi zw|1TQOJ*~=e1s-3EG^Rl2{~S%{INb3R=?+akc)Oz`Jdjmsu|hwV)Kl3@4ql!Z2mq0 z-#rpkbp?avF@onj?yYal+SAl}dO8XWGw_z;G1n*bOIk2||ax5E7))3|KkO5W2 zgB!WD@n7v)?%SRh?o$$!-OWS^s`0byTP^vBmEXCve%^ceb^i8}d91SkER!}HpGAnE z16!Ynm8-rWvUlHy7uU^u^jXnF$SiwrxvdZ@du(;~RV1$^Ulf}oLag2_A1_fpKOQ*X zC1z&6&TIk8$KzNqd08ZX`IgRa!uj>(EFC{P=0?GCGK}|$C2tWAvSPhxVKq5r?^u+N z{56+8Ex8K0ROioQ=<}wVHI^v-hjGsTb}$5hADwX!7TMTw^PTzIux-9i%f8#j9=~8;mV_ zEp}mb;L$S*JSy^u?PELqk>k0K}ZzRaf#vVf_(Hj`a(Ty>6im=^|>gS=VTlU^n` zZ@Y?ND#e2EV*q-GHIV`B#7erFqF^U-0ab$z;5u?Z!sNMi~nk@4-+U>qnnuDg#X3Trsd|xg(Sbo0z*Q zmc6U!L{S*hv-Rz$IGS_;U%Uj|TyIveV-1eIC0(QG^mZ0x;-e_sRyM9$()RJ1+%FnM zwh{8C3z1zBWAYZm+T6b8=+RSJqn-{>cA3U#BPs9+zX(l4$PQVLl_-}Ki>a37pNot$ zD_SoKcEIh+a=Ty&591RCUUcH;h&hC@C}kcY zmaRR*f#H;(iHM)8LeE?8tHny&_<0|Ri-A2>6|f`C9T*eFHKh(aR&(YoRqa9@7lgfh zOG(t>7tqUBIzpo7ahx`gC7Bs9h$Hhjfzda1O-J}$1A$*)=Nk%ikhq+0DHu9Bj;4jK zs#1QX4(UNC8)QJ=Bqu2o)6`t{L415zZyROH*oA~O-kH{N=Rt`q-qfV?z$MKlU_Tb( z`3g=ea9Ks1G`fBqPl;=quXpC6uuTQ!l9=>)k4(lffpXw-i=*z6Bh$ptki8fvH9TPK zAtt^)q5ln!vTGq&%MFlOk?L@yb^=a!pdC<_OnYNw7Bxn6c5ny^p$v zI^ngND}u5sLMV2Nv*NjZSzp|DxWl6CBAaF2!yRVdQ+-t(A2U@p$_%(TWMpd9Shmz4 zskq#p3wN0;LXzTqF7-_m?R%vrb5+4Hk*+A!yCAblRUw*$Q@(sv*zw9I5a7o-o7`}Lh>!PEh81^J^Yr+pBx7uF$&I> z)X9`$b9$pd6tq~v)*N}4LRvA(iAr^Tf7N7QY3&S$=p98wYxPD8j86eEoDx?-W*&zo zob?HM$3CGlCyDFD-?82}w$4QV0bGt-XADi1g>Fh0f=n(%2=Z-)D5pF^vSHFFi(!Bl zO6x1mK3>8tO^Su-1{!5piGpPzLiUNyzSVJ9HuDPTt&5Hb>Vq2vD{M<+A=wkzq|gp; zWjN@jk7}jIQN~H!zHn}}4*i_dtW2R|s1I{I$FD&)sZ%ySHpePxWgn#=mkzt?kLLD& z`haaa1hyyf%M9yts&0^w@cFE^o#YoIp}U(Q+QwfQ0@k z!5c@@_Jr)t1eh!S*R~z0gK8~@p%=gqDXm@F|KsjU4x3~zN|b{Yr^{!ry4Mb5Z>A^M zw@GNFMUCpHubFG1lu}u_63Ip$LoEkK2Yoatrl3VE+e#1xVNJMYXSHN6&1KzF=>`=8 zfsBs3a$jtYuq8qmXHV{MV-GBLGHH-YQT5p zNQ+KZpd~BAUmW6djuS7)6Q$*Tk!w}WN|&(JawH0KY-J@3oHp?rAE*jk9oXB=VY%dO4JcBzeYya1mOy-HqGW+hgStYQn+=d!&_Tyk?m?eT{(gDOIr5393C;dxq|A zkX5Rkx{q5lzt=0&#%MQolvYMk#|>zg2XFt9R!-lL3lCs3xd@yQLH2Yz+V#rxmCW!X1x+_>g`My@}D zCZCB1oy!C9@fdnMjJ#LI{>P>SVh_FwM(hnB$|geI|J<_QuH1MYBkl}le_;3eZ8D(E zT*xbgl%pIEc^fAh4iN4Ghwf!S`(BholLjDfNz4DfW!aDIK)j5hU&IgD4Ge!<**{`R zfG8i6c?CXBSh|nthW+2Y^E{?WegLBE8d30ja9cRtjk~uX=zDYHC~ZEm6E?fSwK;_=M4XqI@^gJACo6#e(lbmZ=QjV>|7fMez&o*?-~2XFp9c zCup_zAbazwEuEWh79qpCw~COnB#B=XA&lnR$qAWP7>ApC;Lt|Vgy^1MBgV&b7BM#{ zyWczO`Q2O3>U_@X{aTL2gEGUhg;cjzUR_RxICXY^`m7Jc9+ZcKDHzF`%kTUF8Dh;p zWB6g&*7)@eR*1C{bG|8hbB9ghIm-_4dB^@$_w$1+dY=sUHc5F%q9BtK;1qvl?(@g5 zyTOwG*QzZy?T}PivR&5U*;JK{A*xR>k;`xo;` z3Yrk*o1$oTCifQl@I}bWXIcc`@`!uvlm3)+kHh9iH}zZ?^$lbezXkL_3l=vky4CiY z0it7UV8PT4iwd8Uq=;?}nX{P8a0KKl+eG$2ZhIK5SrzW!l~qz7a{7*&h_VK~W8;~# zNKfk|N*!i5v>>E!dpOeP&$5Fqm&Ndg3^EweyNVw9+pPy1a@pn$xnkpvz7?SYs&`M{ zS^-0BNI9hCia@L!!QWorx(!_nb>M5XDMEJm5Cnfu&IFPSVXz@pr1LM)3Yl?-*!T@V zBa4uzDh>YPWbl=1{9GXU1lc6qPPo^tRjHqnAym-cC7dV-@E`upEEd_KV74*pDGc9= z5E)=d*_5}Sf}~~hl<%eMyupr4Zbmw@s2JXH+=^7RZdJ3oQ#7%z5)Tq3%7Nb;r;eYy zZ0)7!-Cx~R>Gc$wJ(aFjC%DUUZ^184WtlrR=O`h^imX~2-?%*sT62WJZsQFv+VkP8 zkw%|Ut7(pwRD0?_gVYI!8(gi*c?tx|kLRTw=*excj|;KTaNVZL&7GA2ov;hWgNXI3Hx zfn3zCMhhK4i2LFkB%vmbthRh!-dC9NQOYfGST7BHzAiUxX^HmZB>D0ksj{gk*{y6? zh)Tj9TC1LFb*9MdfS+n^gS+IYgK`al#2QEGx?*#yqz5#PrIoAfYycm`J8hBgm>PUH zO}hI5U2R@R&I^ZVWh$*#MKi5qiH?YK(y~>&RSlJp6_*jL?0^FSDg=hVKn0SE2oPcbik}F=RO%J&nw5C zT&N9BQfMhmPs3C1ZAEBXPqP8`eu736 z!->vzdu+PhmR&_mHS}p%UB1N%*8W(DWhu>KLCu5FN2>Jc#s0;?ZJx!{gQUA5r`^^g z*2nmB$ayK*1E8~P<@Nw)9j6OZQpE0DB!C zz1#p-P0a(hV)xjNOa1WSq54AYzlggTLyGNoEF77e)d$6JY9RRIelOs&5y0tMc5EB| zvH-r@FED)ykai==UxHx;4^*fPNCI8KDuTh0doBVH1CFa;zq+PxUlaa8|T~)W0bTUY6hfxB=6nfcWA(k?+_8h( z7;4l>xIrii$KwEQ7FIQw)TpAp*F(k{bRB)LE+!YPWaG?JDL(zzglx|6r6AbUlU0r5 zQG<#25|E2cTjga!Gx=POl}QpbRN(bz{F-!<5jgct8Nump(Fr(IAumb2sDG0ofm7ke zrED2j*lR8g*RWU(NLG$dP;W-U&~H&eUK>~3hARDAXNA3o^s>~5p6%#K@U}Y1*gcDW^ z!SUcyJ^~>c#3LEyM%q$P1Zs^NccgQN?r_Ptdr**$J=?nOXJPq%wtTbAN0;pbtk8D`55u<;B3#ht5Vv#k+Et59@7VDWw|^}bRjCFk!qT0B1If` z;PH^8a(V1L6eAkNAGq=bPPnTZVWD z3Z#Kk;IzBo3aNrzT|pX?X-pwTJ%}`wsi(BvCH-<~=9oU;;MM_dl2}26SxP}2+2cnd z_fY6G&MN-6>F^$v6)IQU%>K5a=eZrZ$qyuw1^8~=>v@34dfRjB9#6%d+!vqrCHMDDCPw})ac&zsH|a@kOQGe89DZ5SS2xZ2*s20yy2}$^8%1ZC=WOj0rd>A_Gph zgDpRBIUur@@=P38mJ5VQfG}+)0qH>SuJb!s-O>0J31#x*(a8*I6hR09IBLt2t)vOb zo+?3XmnVUR1vp(mHUY{6WYYV{gxtx@yD#KBBu;SvaywCWT}m+m4lxO+tXm zC503uFZ0|sQkejhUFPXT@C9%kvIHhCAxtJelvzr10Dk#mkN1~I@F+(UK=ncs0wiuf zOn}5~`+-(lCn1LSu^w%=W^Fmbaz8#N`A-09ZAre7Jh*mp@{;84UUf$Z!IvQDp5Bs3 z;r$+VvxFx5fbiF6^5bhKvtD((y%W+tOGT3E(S}r9t0N(ONH#Rt-RlnW;PMV_)$C2r zCSPxRuj(TP0A%lFu8~wss%8r0urc4Vn1LBr@r6E4l3*C}Pj<%@}KSOeT%f>@6az``o1`KW!|j2RcT{j}^?hn0%wa*uASs7t7V&;vg@KE+o< zdFhgGaO*%OOT1~qTBC5^fjn4J0 zi7@FP;Ude?PL|2T65&splLYecg&%xB1xvf8f-reqK;rf!0TQU9?iR z4xc=bJUaU5(kqgm&~tF`0cX-`>8Cax~&5wpoq^KHuAxd?4KPg zukOgiS0?e)IuHi({I(7hamDU+6=L{?WZ=L}|M(ph!+gelCu$ue%5KREAIuLdT=nbgqgYJzzBC6 zjfogLfG7cPQ#5IfXXs0wqub}(Y88*<1;uJDdb zc)dQ)28LCNG}(oYb+~7G|BMF(j+Ms>DPMi+(`-X7jU8ZR689uau7yFJ+=n|PSsC_j z^tKK%=V&d2WQSASFSD%@PPctC0TLe!om-cvOAy5HNY>i1vPbd=%Xxg_M@L2+s-O}pYHejB|xc@W+YP%lY-t&D|;jeNcp6h>?#LA zm9fcG^7wbrz>W)&M~u^dUm?y;CW{YLKfMLW?i()|hSG7TBWVrXh{g(ntmL_mE-9XI zVR2%pW-`}Cj%))b??;}%5`p!(SzLzDEAE+!j zI(f6_rdheU9o|_-D-Ijy03de*0pyOcuvwW5Cn$mxxr3)>7G?#oeSP*!L+pRu1C-s0 z(KnCu-16JpRjk)rKOS~w)uZbh&p*m?CiA!UD3j8%(=e&P&Aslhhvn|iE!XEJ9I|># z=F9>Zu}J33EcCWFuRF4I`N7cA2WpqrZC(Z~mW-3AuMTeR@(&+c^)_Y}p4;ACn*@$4 zS_f6mEP#Z&*~#2J61*!g;OlmwZs$#W9IeCYG_!EYm|4tE;Ksqgose*e?4ayAV6Y%d zy>q=4%zI#e-#X?3U3G8*JcyaaME2df z-Q>Yzf>&>Q8-oCcDyJtNIkU)3?ndh%r#{-tH*8u_>3{pZsv~F}$b;^)N~YeK@W`1( z@Aq!g4y)(R-gE9uP2ww~&ylSIRfw~a@g+w#|8n={$Ay1#+m#2P=8aSNARwB_Zp7SFGf&v}w(R~&8nj_@a+(k56Y4SM+>j@1di~d8_^J9lEXH z;tNmOdw9>uvo}6l_bXu8{t^++DsJ8Sd|iAeWCOEz?~6Ad zI&UA3*QT;7%TN z^nPQ{v$t|E~GiSUw`{7qsKff0c!#A{_d*rfz{uhkD zAb$OCDa+gT9x5O1yXvDouV4Qnk)QkCp&$Nnx6ClJj_YD=G?LmptdeLaA*Ba0>l>eA}u88qSZR3 z^ZK6MH*Edr5}+Q>J~F%g{&x=T-!}+nu<}$l%Ts30N9o*hXw>8k52h=`PcBB5Qud;g zOepl$?D>nXe{t6BRA^iFo_|C8s>zS;c@gl%58l56n!pa?u1hY0w3LvWAG&1gZ-BD< zpZEM}MeZX@R?co8wK+;CyMw@eMOWj$s{2w_h=VhK6G|d<0M}KEn$a%AHIdCCdgF?Ezst4FFOWA z)S#x5&>&CX$5Plh?1ii`$QFk7z>XmKWLYsGwIa)?2m<~=`@fLgy{m{VYFR39a-A$0 z&f~S%jX=8(k0FCK)iqkQ6#Eq$HnI$jGpeH|!0-H8qox!F`-St>W|NB=gDa*YWR{8< z^keI#s5zb!oUWM-v|2l^DNqnE;w$Ar&Mqy!yA-nhT9fSow;x$b1t-))HVLUFAwd2B z8Q<{~HXb=>!^lw`)#VQNHUh7McREW6NNb1WS9F)?A2ZiG6^w+h9&VDPm~% zl}@*r-y4mSY%jRW3B=ZrSt1JvdCd>pTqO*0Bgo@}LH~fL;xYC6z4uguO|@A5o#u26 z#X`oXtt=(If2?t)0U*~3)M$%azzq=F)9x!>&%t)*AO+{$l;w6JOAuRt@A{fkr6XlG zTp+Oj08W-~(4FB&Y%l z3qrY`l^0VWBuk001_A;DmakRH3F-@30wI|p%X?ss+G6S9oSeoMi<|-DW6fyrSRugo zV9o^lznJwsD3}izF@Os4CJS3eR{_CSu}Xm!=vGa?V?1jrgMu~XP|)(Ja23dvwQ!`g zlFUICve3pIQz3F3axb@Z3c=PwsR2Y6SZL{?Qb9sgLfOj)5FoheDwU2Sn~YD%rpi`M zWeGNv&Qv&dD0|N8>2NDlt74jnwn4)m-aRhZMUGY~V0=kiZ8bb$zC zaYCZ?<2eOq5Tvjydfi6~mX~n=YEn96nIyZM&bgH4TtP~r<5ehA65@>Jgq-3k9w*?z za|5D{Mm$3lT|6#$syaEGn95a|kt11Qrhq&Saq_Y$C2)3<5)`BZ?2nKkdV=1=ae|2- z-DH{vNUqqm74IbxU1t!*c(z)J6AdIa3-=wpn!yl()r>^}ItQ7J8XFC7;uQi@VI0Y@ zg=#q^mO^kOS#H3tI{DZnmhn(uW@NJji$YzzpBP&xzi8Qczg*e!?8D#u||! z6wble2Yk{uq`nNo`7!B7V|pKf>rBvDI%t)+@;QU)@=+y_L1P$0;jAyyamz4B(ttf_}cvaN*R9 zu>;yiG`T@Ed>PoEUoD#F=g%^N9t4`XK=PJS>O+k}3y1y&JB^{UB?wk(a?$vw;YQ1( zIrWY?&k=cwfh=iA0qn&RuQixjdBQ_A)EsRHy3fHH#t!D)qggBZgz+FlD4KI{gIo%3 zXY4?F6Uwf172zW~S^5oDlJ?*|qXFLz+33p%WN|h1e3oL41Ghxkb!5vP8~wWv-yWep zL6gxW3$m%JD8ad{p%a^ed!^p(K_akHjveS6Lk5vX{bbM z4RDb9+R$^*v|);&qVuKJ064|MM1S7G;>~*1a8GB>8lZYS zsQ;g?lg2*<**(K(ChQsaq1!i}u)v0Pi;3vme}`1|MA_nPxJvK)=vCMnPYi);r z;K9awr&5;vd&99lwOl$@8V{df?0_w-tzZIBl1ej`&K!H#XzL!e-1hY*{7HW~dgzqD zOVj=)NK)!mWy$B%grGMSafWQtgbIxuNre`4I&!Z?WZ)D_a%)PqM*VxLECCisqXEc9 zkG%%PfbO)*-H?(^vSdYuY${?ppQ}L=BFiz4YS^V@CCQFJ*3jBkD@O_9+{NV0w^Itr zPEnZHR3br+g@Wa(PUAqIs!4ohDI}d`$|sKOFO4icSb5*Csdd;-PQ{%7@4?r|9)oN8 zfn&Z>@;I4gDd2QdS=LjQ5@i>oI*?(l8rUW#pH=*oW4bq$lgk0YLIU;i+M_?rrHe}}@XO>mQxvAz01#iWoGBgWp>_9c@ zrX1R*j1n=tgJ}VS`|ltpQalYXxE0l~-3-)~stLAL6;Y9; z8pBiwvg_k8N5%ckEqwnVm|f}^Z#q7Su#$$Vyck<(>I8WkQ-a%Ir9kW8)FGwxuK@;g z9*W@2OWUiJj8pS~?lGhmTa~faFrc}s@E;tzAlGN3bX24GS;0={Mgzzg zo2cp%G`k>UQot}S-T;G2$6z;R%%&t6jRwd~Ow6QO1&?70q4uS+#2wABe~gYTPE2aN z1mo~`A-d4HEN(SmqQsi01Hc4CR!y{8h)6(eUUiZ^)U2>P%)(h_Vf&dXlOTj+M|Gsc~Zy z8LfkCz8pIv9j4z&)Xpw0_0KegC&4QEOtYH+AWek;h?m{6CUSZ^sL zLlc~Tij|+q4HfjN$Id-=X2DUiUp%D8N1tB=KLIOlEgDtfvV&)9pw1webYO{u{Govt zCKec7hA{zmgB)1XfD?gBn!qvOkmV>C8u&&l0XM1RbPb(gLI2J7gVpH2#lfY7{b#TM)2q>JQ!xEbcVp?Q6Mt1H;FQ8 zHPWwK;H6?k2qbhkMFMjOVd4_&gE*h-hb0&jw=y=`7bd+-Y4OFx!A#0g=bQlwJD*Oo z6dW!^bIMq2C2lH}C%CHXxgN9>geh)n%mlZlHzGd`-?HG1W*yuLHcL`jZ@-_b?8o{! z7%{Ka1u{-37y{t-PCz?;I=%TkoFgAY<**;xfGi#3^_di-d^JzPAtQN|2^QkWM8SY? z4=!s#nP?QTYQxJ(fJ_MGA=dRiqL_>%RL=3934)ME92)5@Hww@y6-;tZXOPZ;jm~cu zPBwwqpb3JP4lXn@MVTwuiQ||`&rF!8@id(xPHoFc(G*PgC_NYv$OSUEI#PvKOwyQ3 zG7e}sDT<S$c{}eJ;1o;QD<|#VNr0_S$g;fWp{93Vsn|7r47PZ01}5)~uRK+M(|c_P|4Mpa zd+kJ0@I~z9@>B~U%05K02Se{10wkWWbKg@EX!e5kdfEXV2gB{J=v2n}ulw~Fi z@S(dOhmbQV%XKSP_I(WqzT~Ri%YI8fd7fmuR$>ntkhf1D(BS5oOXfM`9nw_|E;=wa zK{r z+@v7E08^IpfDSwkh4$-omfdRdB(`{wvK^4-oxgo@((bMm;>;^nqAUs3xNAL;#!F3} zf=^x>LCC`+cHcfZAg!DM6lst*bZdOKnq)ZE@pq<`RK#vEdj zy^c98^ci@~apttp7p^Y(hmj#I_gWEr!JXd{Td)Z@uBZ4SCJ=l}S_y(xZJbaX%O7&x z<*Jd5EV;6?<$>c}#Up^kD`Ru-@@rCdm7zlmp12v?rOXJEV_Tr0emOn{j#$$<1F-Mu zVY_AM#3y459Awr?0L@0MXcYLfK!s~r3I(eS`dC+IkX>&n_!2t*316Q;72y1SII{@c z%x)s+SR?;=n8RxoTX$TGE8Wa69|adOL4|H&$>D z9|JhQuvJSt*56xZVRL_D;XG)9&3}WDl3+D}10I+GFr5YP35r3zoD}37JJvi<7S?Qk zKggHGpht$(CWctp_C72Ua8(xczOr8+WO;*nq{MEWL7KpW4mVkeKf4~rFNII$`A3YU zvV6ZxPf(Vp7p(v+)W7H|fvP_f% zEn4{0=BSBF!Yf!I*5Vnq{p4c391aT5J4dPV%o%|?BUd~{p$JyYI3@jn;`4NfNp zOQ_`(QRtwX@<$=zaxZrxIze8*`n|#nX~d~83tE^K$1K5NaGql*Ir3B>hvGyWSLQLP zDdjHnVR!Oo#E}>NOihE_>S|u9S(W%u`&+7AeYRjjh#Q$eOAk}jwCW}+l@lP!-W+X~ z&eg{23MmHugoZiTf@po7igX}wKg*E-xeDvaS)>`}0Gp4N|I0~w0)Il$=Q1`E8_%fn z0;s|S&|aJ#x#ZF(na~kaB&p2+BtQ@{Ga2oAP&nB1zN*JGMRgAEAy_=0hW8Ln60~xP zLB(`PX^|#$MrdCJSkAD%Ac=XT2(Lyw!EnV5pe- zI(2VcF+HueUft+rHOi{`6qrNhUMuf)zT%~h%uiP@DwaOX$is`ay|Lfp67wBYZsws~H^ zK8j~SU_78>vBe9|8)Cg$zZ%uY@M-AYc!*H$6;6)DU7(4)P&R~IsgQzrCW@g-vnDn} zHZ%c@5C^wqTJVWCq@`S?hXUw>i{L8D?t!&eSv67e8C4SxEQoA)08$fD^@fNgd{z-; z(?~!95(h&N9xaa~WzuGH#LmI`(GlG{ueW&~x)H4G2Cxzk)tSa7Jt zt}Qe1lvmN9cfD<#ZQ{9oZHDpP)WI+LcFnu|a@jh34X~Y+j4=gIu~Ath1ncL?vidk~ zP@;YNRS$ggzO&sq05aU>z(S+?q@X4yhC*PeR4g34ww-|9)P1QlF&uEQRx{11MRH~IrD~2)E+E;&O`6aV>ZFYK zLH25)H;e`Tyjq@z z4y6)GN$xO!X4Bl?3oBSF^lIqjiu3b8Z-kXlyAsi!UTr)wolvee&S?z!8>`ex&ZaX| z#Kjtx&sjA_40&A8s~32N;O(n1W-{^#(>GPaKjhfJ5bxPqPTsf9Hqh5^x-`MmZ$(DO zy-*z7tam=F?`71mFq;ErY?dKi#lQ_sI7e?h8s@OdUYcNR5GCPI5bt?Zas@cI+7noD zEJneYiduy#rsGvv%QV^5W)sHmC=h0d5L4k=oHPpj%3!*` zpZWvA@^+^8i*Qd=F|CwgMqpl0$y$^Uib_#XQOr`CrU`}M#u546fg4!eAoGIAp(T|!f?9>URI1QW#fcz;qh=MEqok&H?j@#nW}#S6S)?w2+souM zGY;8WV~T$4Z$BdBs2oR#GDC{V8M+gs(Lwt9f->we**Z+b8s`53lwGXr?!2k~yE{K{ zfM%Z^F>%9PBi`CM=|+(EPQtd}F68Z-|4nlqzz*sY3jlfhqO^%%kR}~z%co9|20+71E7fS`N7%@?9WPjt5x!;l7-b+n( zTrv2nPuxxNfjkV(iEWUZ;i?RrVpl;&c4jfWLB>mjfRx@6G#FN>MUtm7(_u%=vQ2 zPU*yN?k!sfnuO8b;+Rq$hu`%-Nyc`kV=!fl&`#t~5c9s6e^b+3JhNbZbPSW(oYKCn z!t_S_HHNfUzk=WzxmZrSexA^ra2G2%WYb!-Z50tJoWP3vwOn0^2Os+Q%BfkxG~){w zYy$r5mAYDB%$Zfr##WYS@Co)rcU^_KFoa;TE^O1QrOBjsvmU%A;_B^iSCN7i!#=V$ zkXf-GIuPfuZ+;cH!}xk4qSyjtS4e5vcm+aCkd1n9j;m5pU?qXEGoW{bxFz;o8kQCU zh}*`l^4PxCMd5RbSjq;X9*NmLux*FbLlbPn&IrCZ zt%+6Qwef(0PmP73LRD$v&5B5L4LXZaoW2^j& zu}a~?pDl)1i8~3686{IyLx$_qEvr6Xx+)J@cCA$|8A8$ug7h2|yvs1O7-kMtuLs6f z;uRQ>Gw%i}G{+|?vasRrF|tDRABP7Yc6qZsXMrsF+A)4#ZMfNii?&Kyy3`Z5eoIXZ zdoK1GuJV&9dQh>Nnv{~(CO=~f8zsVw1>6Ph#T3v*ktRxSDVH+p7)*=N^4 zBf4s{hF+<|WY8VL<>%|HGAMfb%T*H>lyXW#W8lvWjsSnYfT(B{jocE9UT85mKG?u8 z6`$cjMx!uPCVDHqEY^VG9l~*X5%FpKX(q+eK_JG{XB08z878J;JWXZf43(&)iNZEO zbe4ya7RLuYCq;`p3RF7dTTmUn!_IZbC`|s{%rK$(7Os#b2#x_V+K7Zo4$aB)3KNh~ zU95)`LDI^>XRKxu zEGJ-<1!Hxb#_|0CX4kGWHT520(mIJD7&NO&6hc)~{ztlQ$JDS?8e3Woj#4RI?N3o2 zH#05Gk$Qq8gV+6aex!gd6nUb$zBTdf7gL3)m>G^co;^i@nx-4)DH?vGunJR(P9wbW zjH|~+3ptrpl_En0@}5ZGeM!3TxfDqy{{Q-2vrx7UUwvaFn?<*?{lYDp6fy)mvxZYKHl_I7M$->*+BhNbn3{lmvaBIu!-H$cI4z5R6@X-_ z0D==9GTawwzRO;H9o~%SGdC6(pl@`zgWF9zx;+Aa70pqDgXyZC|dN)Pf z8zo0MoIYtigjED#ZnqS84o&RaP9B$->o01R7I0&gpd)1TtP>f<_)>JhMP%^nwm26hcb43QEQ} z@pi*aRkRy2QiDt-pwmY9Dm;f^qqGz1aE3);$o4BjQa?izO4!v^Ae=DEZn@d^iQnj% z1u5Mnz&wEph-Vh8QQ=;-IM|mJg9EoK0;&o#=|KTUxB^CmMyK|6Ccr&YjTeVFgs2=0LB+%o zA+3>fddyK~C=b~_$1P;xea9v$^=z_8O8G1Q=?*jh7wX1O?G)Vj2yzS z&#dJ690$|o!NVGug~bmlBw^H4%V#`p@qG?C|Gz9B{6@A8w65!}7pE7ULHdS}-<6uI zbQS#75Ac9u<4ZTav$5ZZ0~;2NcuTHx4|@;q&^z$1?O#xD$X#AL153y+-&6v*zkvhp z*uCX^yeJP4+hHXeSM9?}{^0#>FOPWZJ*-8$tIM>Y{kKK8cP{epb5`6_%ipweeMJWM zjqm(ni&Ss|>Am}=`49wv+*yQH3x7X!l{AM|uIm6DOHUz2yn{~}9OGw!48vch2OqAL zxRhF2|0SM8xa8{~o0jb*7_3|s2mUPZc>e_MsmrgTM*`8CTHbqO#H$cA=?}?5GJev| zeFtb&{9h#G0iXk4L9)}U`FKnsD+I}Qj4x>e7;NuFBxGgn&Xa~t+F7$4f*hRUJ4uMt zd%_NP?f;i@*&aexN^?HhN9OF^2))T1C`jb1mR+_KpGhovE#<*Qc<_Ns8U7}2{G}X= zEPplcWjtt-Pi6*B`p54^T($EbWDb?(@{L;vW%qQHW%oid$r1@SQ}(18H6%n9!^Mw+J1xo9sBuKLP(`FhaLyW$=x(e*}BMf)&^4KSwJ_P zIwZcX&v_1azw+SfvS0Y#FVbR>Wmw7oo)#bav*5HjtahsPi|J*S=m%G2b(K9GBupH& zyK>IM;|zes$t)klt9N#+B<)x}%^058Su`McO^FyZt4!P}@zQ*NcMSxuImY+}f#5nR zgdQT;v1=?X=Kmg6D!}b$!5Jm6Qn7*ei$?;^GYc{&+zfIV^tS5fr?G?s5oY{V5$h#rW6#@O(z@^&a_nL#c-~k7JDzcd7MoHMozss{ z;Rd)q`9&}?s|C2ZwotR74Vgm^ndXiSm$crcz~@FbK?TYckf~UXh!izRRO4s5lqFK6 zYwVgnM(|eu3*%^_UI{l@iq>yI|0cU;u|!lffm0m8iV&rcg;vlV;@ncQ(tq+eLN$(9 zA|{RR&tmBG$+4C6SPBB!%1RVNq$ERjpmYqlJ1ZNO3(m7oyR0C18Xjd-S`Aca zYNVu<(3oQ~%M>?(G=p@qJ#PU6>S4J)1e#bt*`4WaS>r#2%>nT4pf0jqbG|A7J>&g7 z-}sq@H)DK+p(tj)CL52{1w0l6Osj$KV|BffDZ;~$=x7X*IsVrb`Kitqh8Ru;Y;fMK?Y1BABN9fo^V)BVD9HLTGlZPAM)t zsL~QFn4oi-TBm|h4ojhpJde9n!$XVtOyEyd8sG~ryg_GCwq~XCq9hjW9ffpe;?*ejt!=pRFk&XMPeR_oE}ixA z=~)S+mCOM-mR6 z*=dCVG1F>XzrFd@*sP*fyT<8nrhU$~^^{b*%^`by*orL;Ul)y;-xIz2pku)svLH9m zLV3i*r-c0*eic}dHLZ{qo$qtUCC9bf=v3~qsDB?TAGEugK=+gf(PO7t`SmG(&qc># z*T5VjkWE>Jy>^y`6x6Zc8%mJDxfn{;!+6CGW!u`NO4T4dK%lM}{p2Gwrt$;wRt+>z zmO!hWJ6dVYidgw`S%cI>j$)J-poxgZu~^OuTm=`8k3{g8q^REhhh?w3aS=M(K=6hD z^^v3?WeM2>X|a6#v;ta$Z&)1JULTvLG(2LQZ3Cpf_V1?#ejLeL+%QXt*;S?XJoN<$ zzDt@!Fp81JH@DcVL6AAu$~OV-4MvniY?h*V{CCGN;9C!FPT02K&RUH>7@q|Jb@-bJ zE2R)bcdv)>25S5<9HBOv(*VG$ z6*Cr&s;t^JTF{YT+0d_qDt+i3h2Xf~ARo^xx=NS51cV?6{K>}E(xTOAqE4@RJPXc;rB2hOPAEPqzo3%(7eow_+lB zX%2@Z(U);j=j#mT{I6;q@B|D1xhQNM-{`=1Oqd!*+vb8MhTDe7I&P}83sWV4YV)Z< zUZ;xBooXSnakFJtar$8fGBRat(S0fykgcB*2#OvP#4+bMB@$0_ON|WY2^lWXX-Y{% zognaIc19@%)4B!&RSv3kH4Fy0ft!fnRB|~P$cX@`Ad#g^FAjl8F}UjC_%5uvo}E^W z;V;LvQhZ+m4ym`yJA^p0a8e+7I_lXgw;#Q-c%YJL0Fm1}h1ip@$gR3Q+ffc$~2TeoJ_u0{n|R4J(7f|lh6Th`Y7 zX;t#?O>NQJ-W8rvJvQFX1|B~D<+oTx$GdIYAcQ^6ApxLy1`wiP4&bOZvt;zaPlRVz zR^7kY!2M^T&^TL_+(fl3Y4ia6+F?HMz;a-dRBZc?e@#7nF#7N(qQ_wl9M6FV0Hej~ zT3JP_0_3V>sK*gt>rNaOS6|*Vxq|h+ksm(D9^Byh;4wBi#7GGAURRL>cR+FjEtd~` zUy`Bsn*)}!hd1>V0_#BebV^c1Is&DvM$l1?#(4hple;eh!mVQ`G9xw0XD6MJjm1;DG$Qn zbda660DzB6tIf_dslKh z8jXQTn=(tXTxuQAxDa>6w%U?M4|}8GEYXtyciCCMJE-9-~e$U(h) z6_Md@$vtgVqTi~2m&5px^E;&R74Jj8cUPfxSo8fP5byf`2sifOX?5@4v;2MbuQBkT z!t@y~KwR3ph^Ug5d&kuFmw3gj+~h239a?z;tpk*SPmVJ7SpUYwSW>)tP}oVCS@M~( zWc;l6S6z^S$d9g&&Pf6{$1|2y3^uBra)Gr&}0=v z^*IU!KOr(&hfQq0B%_h`e1z7)tq?L<=AqYysjp7w!~W}@D=%elQtOax(Cd<(kM@)7 zuQl9UEL#WlYt8fvXQsYONv}fd5bCqZPx#Xp1CmjcRZc>PF({r0xNe1wGO$m zbx3ZzvhtB%FNube0ut~aYT!S#lL|r;puZ*$_q`**e#yP4upMkz0~_`rt0-qD$6X;6 z)H*nN6I$%Z4D#wePq6bkw2Mu)n(hN?9d@wdeadJb%l*A0+vWBo&R?5QObs^(2|>$F z+zaaxC953cfA-Q!Z6Eq~w8pIUM~QPQ*3>ot^wYDJ6fBwF;e9;L`tphm2^iUt@*v{r zAZfXG+`siDan_Bw$s2v|GVNx5QVwf)L29ywjd-ko_F}dsKCNz>lS*U566y5EM$YyA`Xchk&*!Gyw@iEBc6NIFR1I7;J>#22U+g4Yx4Fm*@dG^pR%t4br ztZ3~HDEKgulO4l0v9XPGk(J}K7>%`=^5pn~Z_oYzY7)bq8Fyt38_v}B)kp5v^d-JO zY-5+KKc4%mp~#y@761NUHFju&FLKa`wukZzEw`g1`NM>$SewXUAO4?9Ka47qSF;?g zSJSH~8SFUl8GbA3Y#o?=wSk$12Qv#rA7u5~+UWb1_Vx{lZ~w=gI}6!uFZSB>lIJDm zl*t`v9iFO7RBTOjE1PB(wNL*DnY#fqi(zY4z0*i2w{i>&y05ipWb2Gk3s)t#FOf5g z9;3YNXdU*@%;G0c%hn;p9^^E3O@N=q^Gu~7Z8G}sgCgtkth%G=nZ?U>n<%TK;n@jT zT%LPCj{dhzn?3c&R39H86^)pqT}eGYg=_CXeuTmUV21M4PLNnAIehAO?i+kP6bKB%p&Q|EGlkb=iWT}&4cVkY8|Em3A~`T z-zU@APs3NHS_eF?geE5laFuT}JDt3mFJ~6}lDWx$SF}A*fhhzT@60ShxQ=1iz>jHW zag#Ydxh*BnX&qn=>R`n`)*gX^zogb-*vDv-PM2ghG9Q&qX(J)s1x@gC1ln+T(sJ^vhG~9_t1yu+uvWYWA$Uheh6q@+=q%s+(no z?7v-~1h#6yqkDfcyM1=sitYO<4xQS*;`)aHvfBQuA4yQvA1yk0@1gB0Pienv@1Z?E zpFMEJd(SRkHM{+lwuMNv`;O#_oyk3)OoM{Y0%f=T=--g&hC?^(`)Kd)2vK&$-?T$@?dR@n-*Gastc&kCH2W_{3BmWaL#IBRf<}gr7iWJgvn0J&yu){# zU*~92Coy6V-LiWGU};g75JFkr4oGLzi<=K#e9?-%ukZNJpZx6r)$dz>iR(^9{^*@8 z4v6ie?;NUod-nVl@9dsf{U4vlI5=-*q892zz7VaPr@>J-S{`N=sbt>5h3LWTCLOCH_3a`rFJT>b3M z<3WP~?CWacLiMgVDUL#Gzr7v6zO#PZd;W^O2lkviO4eAE<#F7|@{5rFc>F!@cyQ+R z86;~g;>4z1IINFNOYI_wMI5h)cVMJT&uWpQ&cgn(?{iH(W5Q5-EC$5CkYg$md-8<_W*{GjSdSYKj(}lE-RK?V-1jEQ@LQvDpaizPLh;Y}DA$vHl42MTd{G`I!9rLBOx@ z=QmX2btk_SAWdvu2wB*O6{xQulMuGuf`UeVv=o{Y6gO0R%<26sBhYM)w>iL$3-hGj z)l3ZzO&1!}Uu~{8w0oqL`F(iQWOi`GFO(8Rhiuz6<3R&}#mJHalXtbH6v8UN>9Qc@ z4YE`63R_R5qm)sF$hwcnC*vv*lWU+LwhjY1gIggX6MCYM%+4YvyPT3ASD?GHRVl8+TGsr!8-t^4}zlFJ<{QI;~x?0Cu?Tu0ip-k&Pui`~U=G_3UII<12s6dk;fYY{dW zeiS;lH3G!>pCgaOhG>>0@v2gq0C&t$5O)^g`AEH01JF_#ddD=|3rs0Lp_FBiQ|55j z%2u+nCT*o3+`t_R*%oAv0|*nz_5=P6LiC@Rw#m#=4(`TIpD+f~j zf$NsY4wDd5>J9Q5e56WHz5UgYT>^Dr4g;fS@T>>IYSig^8!4^O%SS#u(~^CVXs85LrdS96avQ7H|((1C%H&+%pVmcDYj2Fb05T z^ArJ8IHr-m!$u3NWI0BYCa9$GDK5xCU}HH|bF<7cq$&<{BH{mm?P(k2hN?!G1LUmr zIe```OY%2T!&R^vvN^nuw@IrSTQt-g$c9H{J$a%`p>y~fKTi{!u8*&;3e6=1U$xN| zjOnDOL2ze`;I|-~ZDhc!A`iyO^l)$r65Uxe1IFgZSdL1viw1{-5DIfhHnum{tDtwR zq%`D^oh+fknvmabmjRO(IC~|e$qy$6;M;CIYicE3O=HhnYk{JhLHyNADau89<&u+jE zUCzP}GBnr%BB+%NRTyU`xbZpwr}vJ@7dS`Ybbxejm~)xJDvNPyYKCSCff)TUHP7Is z$WBpA$kA98W7S5Uu13|$1ZLEgB+1aMhyZW`P<3^PA&GP_G~f6fAXnxH&0^d}`v*$| zh9#t675+>NG)%!XFJ%SLKNWWd;|y;}AcTt*54O!QG&K)IdLLYOzS1Uhz=W(QAJa!s z0h(ILf>n6u%!D5d4qsFuBNMyQz`?4C?3gb7U`gj`IG2HhFeojh$?Opq`0EVC3acia z-JpP-Bd#%s0=<~&b9m=vx{x{}HdYCKV@@;ka!#egDJOx5u2QyO4{P-9ja0pwDT)cP zO{`JlY6vfOQ{FN=#{R4bVy86Hn0PsU3r#xf5*)EENvZJ6)W!lu8Ibf3HO@2j-=#|u$b&*YtC;&6j8Ca~mC$f~>jo4<5`WxIkXE?5M8tBd} zE#*wHSRc;X3vtm`XE8C9KP$_&VJ7uPUVp+!wY5_!Syb z2urDX!NcNZp)dy2bA~YXtu=v-Ok6`l3UuVYa48G6cGf7|$R74jbfLNe1(=yV zg(|W12}ikAqA?d|K*1W|RxUO%97dKANA?g+5#Y|RFGEhU{xN-uYSWX}8mwSALi*z; zgm%mxVq<181XUiDmQ_sGmT!lhbvUpev zm#Tg13_~yt=*g>?9l@jkbB8z-${7(eFw74HvZ8i`M_5+=(j2^?J4LZsqD21} z<_h2@yx;nWC|9PPvrvKT%kov0r8IIYvyO@4d=#^OcnH za_kXjm2P8MApvd-U+Ni6tMbtb!Livn{r_1;g_ehwr@8c^a#fXOnPu3s*l7)D3Ry!| zU`(K@9Cs|ENtq=u^+CzQ(KMOSA}DzxLs}KMscj*>i=c_xG#8rqrm(ovzM|1k0z4QB zQK7lqgiG!hR-iJ#IBFFfjo}dd&(oF7u=8BmNG7ZOdDLAXOAKb9XO)0I`{po>S~Yz1 zVQGal!878dP?aEA)YwJTqAK(;dW>>bk=ljRHKg7l8$$Bj`4h=bXX$X0l^J9~iB!xQ zL52z`bC8!KyDRsXNS3a-?a^=6%mP&@J;0;5DR8K!m_i4HatzG+I8ovlz=id%Skt-3V$Yj>EK*+Mal0ZR5qAS!#sgwp)R0{ z7cu!pw}}^+5oa9w!8asSH6T>i;tUz${sQ0@$Nr5bW(~AKAxEAkVJQ@w5Y53${49@N z1n$AMgIhqG91XK+4DI`z#F%L|T*@?|-Oq){98ko_*R8=i|8j2@| z2l0_7TZ^5i1Z-C2ECi!)XoMT_ea3>O=T+paoXU{BJ~P1z5kXXV?t$V2?2C3}IQZ!O z3uU}{{|36OLN!yqyT}Akc0;U3A^~#lU375-{yfDNj>=^MM?&>=183V9UiKDdir~10 zgdrIC;}q!{U_JtB585+2P=H5Zc9+nT(n2r#Mi$peZ-kJHSK_Er(J4?_+d zeRQAz4HPGK%rQ=LN;E(?pk=`^n`(1H3dfnFyNrBk2Wd*T($@Szwho_-xOpN-(Ekze zU2G!qGoZe%81XRxL=Sgjt$r@J(I-CzSM(LvoW2|HEI)YdqTxU|{q2BHfQJeY+j%Qr z&mX@c6P)y2a5p=ksw;O5#%3J5m)(s`N~Ej)Mu4pg3wB)#@~?j_vwWl;J9?}P^u-<^ z2d}*xTbe9ecGb=sCHHGjIq1Nivz}TC z+3Qv=co|!~?+8Q4ZUWz(_4vO?$TJc*_u$__-suP-9M_Et8XlOp@b+EPueg2Ejxu2K zzW>x|OGYo*`0Jn7lY&wciOKtzEV&U`F8&R;W%bzsS3PzU zJb2@hjjt?z^WsH0uU&hRtM{xYB@D2{h&_MT?8zV_OX(_f~d6>|FTO5BQ%L_-0geHf9;M;QAJ_uP1INcGuZm)14h9w#^tX#PO zdXL!6fwKD^8GqYTOP7(W9(n-#&%cZ6^Hz6`CA}qlv0Lp6R?NGzeAlkarGn#AdcX3} z1MecsX{7f~Y0gswc8rq$0ihZ@#;1UNiHv<>c9jx*@sqz!)swV2B!m<^7JRn=>RwHSY zUF@|E1^WcXhYE5ox}`*)KC7gqK4K99n*3lF+%ScJW=~`eo`R&UOv?u3EjDdm8p@Bw zY68K_u|xaLl3M*1v-RHQqGjs}CfEy~3H{{z?9%K?Y$7gY(-yE3@vUva*pv`#u7Y*G zwCoy*vK!>cj$4Wyt7(Li{ezFX+y0{9No_F{(?f~8gc{l|x- z5Q5yZ?Z-+WWB^PG=r#S$gAlIowCWYoWI-b?1;&r*M?%O-`_*M2`}<;xngG9aD9m{@ z$P<=*Z%+ker?Q0vX+pStwg%&4OvA_S<-96JUqY8ckX>&!OCfWx1#Ha%aF+$?^L2n7 zYcYS5osX@2UpI{Dvxa%!(y~dxc?*!`>%)Tuj`5#*r(KB@&t2GD#1{I`o;%Oa#@1jndw#|^ zkh{qKR3TsZE;b%r{>__Nqy{;?Q<3g|O)PLT47G#*kGih`jH0^szcV{oCNaWf39zJC zXG5X^TMS?;rIg%+hzn9#0Sj6xSx_F-)`#_jK5OA_n1tw~m?B^HwXcZ{idsLOt)f`V zDk()p;rUT~PZ4Ofe^HTC}C@_{I>K$X6~FhbM86k-o2kQ_je9@xI%Z1 z&dM;-y-X;}#3*7My+_BLVV|+w>1#-lbS17M!pL>Zv7#IhhSV9Pj$b+RC2=ek^D^Ra zgGUND=^&YtI;zZr5d-?=n1R|e@YbGGiJ#z=^X+fJS*azc5= z92*mztTHr7?Vk`L>|T!iE0J3Ey|2S(TM$AlA=(G zfZdG8wVA>$N^02!)kss(4Frfq@4Q5Wl@y{BH)ygxEFD1~k4ij13R1v{twq##qr!C) z-Gaw>;lo87e@!r`FQTv#9+dPSoUj}VQ9&%>NRjI_vMgBGJxF0Hrr~K}G;-9GIFV!U z5JrdvwQ7`*gF$m$0pHV2Y#d1v-Eu?&B1yEYU<<`pT>kI`Y4>3Qb~mNmfGVU7l7ZJh z%yXXxk2i#C2Psg=)nNu6l_;j|xIl8< zH22ZWVPw{{m1sRWWrqqaGX6ptt%*mfCqPKfSP2lEYJhVWh;7va=3I$NDV}x}ejnJv z4I_Fjq}f}H2`}G+GS*;6=j6yr^c(uwXeOX{XjoXzlW0K-z9rB!lVgL+rjR+~V&^oV zofKrHhjN4#8?r}5V?uQ**hO!&9@2<3dv%o(+pHBjp}tWWv&5V~sGu2X1__9=PCtxY z!*+FD10msxh@lpXBozE289(Q0K~~N*211jh!lo_dIWvnMipoN2;%Z3~D@=}c?Al@2 zvEGVKh#MM z;JdDrX!1RmWEVIgBAZLFX-mv7BF|%<6WtZZ4FN@mg>dfF=Sbo%6w3(2R#1{s7?G#p zL90HDngv4eIa z@0y50Hq?16`*^>!PB>j-Q;rZN=uEn1F*I@Q=pX}m$+C~s`%@)57mVQA0cf76Ybq;o zFmk8@{G|AtpNf#W?wVXs8E{EKRGwDfNd=_1`kj=T^80L_v-K+!&vPEv? zIaanyiEc%qQEZ7NF{vyq8{{ZnqbQ8w+tkNmB8hh zP6_!0uG)n*Jr3hVpbzO9?0XiG=RJ<2wvv1ztUizj?ix&GMyk=5Ff0$x2Vp8EDv>0% z>XL{Cas*Cnyd>mev!rqyImWVtQGO0V-WrrUH>=9nY2+#-X;}k8qP#9FlZYcpsEkqt z+}y%Kv&=efv6q#|)?BOB)k)e`2(qO;ZJguET+3=8;ZTa_BZHzcf*A0Xkw6aqfSo{@ z=37acXuZX9CQJCl=vD^1a5K^~S{{@WAPJ1Yy$cKrg^i5I^7_$bf7)a*!#QzB7N&wz zjuo8m_BW%p7$jZVn!H=!?CD1Pz)NHpvQXjRT#AE`@=~j@L|Q1LRRhsIHL6TU;0Gln z-K9Xkfb3uhLv~*4yOJqXbOaT7sa0bt?%=1PgUj4>X_^V*CNS(Nn(Cj$ufst2~N8u=aUTL1E!QwL+J_h=BTAB}u{TF44)A-|}63hfgQ{MjAYf<5*` z@0MrYn@_vSr+u=8xH0|R9r$!^T9f!1Oi`z~S(CZM4YDuf@)tUHIq%}{a&XgL?gTUo z?qS(NrZ5?|R-|PYvFtrpJ>Y9{0P;0-Y+MVW{npc(Y^NkMd(eaPK+jbpI~Y{;$~8Nh zuUzvs_RfDhdr$Nq@E-JUfK1%@!=~*>au#Gy)?3pvuv}`I$%c2K;XW3PA5ze>b5f=>6?|bEbBe%~sxZ1o;Ja>@moH969~< z{W{ZrJN&h07JabZ=`zU1A6NF#ljH(%Rnu7godu2LJP&_eao%W3_JktGv0kA!o3DCs zZaHZ&8d^eQF+`6pjY;GKocwb)ZS_umty(SwzOdha15x1)zG#O5PUNj_%I! zJi|?{48^ci0?^>z*v*zT@s@DzusKl)=T@@p7M2arU=|Vz$G~l4t!269UcL&l$7lPr%)tftMaz@zkfPG#(vfL~CvS&VvB%xeYXqt>S z1Me5IA*lX~j#{}aycrV#@HKg`?zqKX>peD9juj5VLTFi1InZhe0V!Y^z;{F8d}sn8 z9{IaIL`tsKjx{uy_U`rZ0JS;x=6ExglVBHeR$l7z?HeDxv~+ok>#kTADrj|q5HL3M z5|TS6H)%pvemL33;bwE3-#>BUjx3JSeUh4HqrYc5Rp^0Yvfscq>(meJ61Wf9h4P^; zbT`&;R35S0xU}##8hUcJU61}_Lbz$c)Vs5J;#m?a1(`1bIz~sAql%8`mvV=fDL5EH zrK9O{4M&u)6mh$_VkU}U=#D_?!Ah;5q`1O`q6lu`1V6=^alvtrRiw}Ro(^PO-FwZZYY=M@90R{*NFH?VCo*mJ=Trr;^Lu1OxW*vaBm{r-`UYD$2Xd8`&17vnn*L_p^5IoaY`{;LyqM%4p=u0h zLRKP4thH$4p^bsJ!Ywt{ENG}jrfmXuyewQ*Q=pc_4XoUhvuvN6`VLE=O%RR^7KB9* zFCVvH9y=*TUKUvaxcK)r=t9l%}%71I=*&y0?`}iG56;1i3}5;U=xC5PFrG$PQWuMbS=w8=R!g z&6jH$u1q(pF7b(kLPKSu*D@hDN^d2Y<+TVnfxAp6zT92M8;o+o8m4Vx{}L5aES^9kwh)j zb4e4`g`2IE$V;Tp-1@$6Wt5(GneHh>eKKNM+?uT56NQDQ5{Y+CL_;2CoU0OJ!AHic zPT9v5qPdTd{SnBI_y4CFS&$=j2ui#pLS@@<8j0f4;gbZNSIAajo?|QI!U(e(Q`GpJ zZfuoO!jb~T-EhS*f+IU`kdUrH;nH9d-9t=u=CDqfZ|Z`sqhL8+K=Bm#F+wTkswzRj z!7Dy|K?jL6v>v(xO*Mf}rotQID;%(vki@CB5HD{?M9gX&49t)tC`rdoz3Hb-p^A?z zoen0^n{Y_rLgA0P!hE7biKJsDXlY!^LG!O5K?kcPO~Q4S5dXH%&QI&O=Fzts`J`}! zJSlO`zMHv96Vt-L_aOtR*@8bqASuN^_VkmA(lix$!k4dImA>EYEb)9d(orvwpAZKS zmqOI{{2@M7%%5AhYbw_co%6;1WO*mTX_wjMe>fSF!g?b9Cx0o$G%wIoM|Q%}rss=C zUc)oM+iAX7bAK4UY2kyo4?Oc<`+xGxhKfI)ziRY%+W!P013?~s_cI%=y8D%tqdQ%- zALMU$#VlRrCrNYv(1uZ8F+ZOsYXvvaY(C-7>NShZDnw>UfQ0PBUsu^|&h69pV4^(R z9L&)}Z_Lr>Jab5c@6uWeWNX}9aAzj@i#j!-bp^uYz3#yI;C{W|Fnm1lYfADJkTsm{ zb!iY=)`W%7Dg@R;NRwHZL&qA9UJ`iWnN>vt_OOEFL99Uh$QJ_6sUu;bCa2MX*L{6@ zh8tgH@CwJs0}~)X&;8#s1?wSc@ZBzXIXv5Vf29Bz$otp8cwSU_eAUPaB;*N766Cb2 z*5Nw~U%@-T`8&s?bpFocSAlFY_J4#GZlzo)7!U?y#946 z5b*cIKnSM1B%ETMHdegc34e=qL@0(H(_9U8?eD{!^Ch+=)UOOfq55Q z8ChPBu`uI~m9LJ@4${c>xaLG?a0k6HPMyu)aDaP|cUwHyyFGM^x-Ik~fYH7Y-DCee z`nR<$wR7FIg+R)yU$!k;GHhCOw^)tYggSE7;@XCGrxTJ7FYA zdiT$tRfRn88@ChX=ZgZjl-SGWy;tz;$74S-BZf;-qxPsD5TL$nI9 zscm3u{jv*MT8l==nLL<=Prw<$UnmTd!ZFSLVl-~}Eg-pphgdb>;NSqS`%!Srk_tn3kxE!Jpr3NWJ&1a==#sl`c(KT6wQtNF zV@ix4^ZACpNiU>O?1KXJ6SIQf(M;mI<`MKVyVy0Pl4iJv+G4V(6l3T+=qdIH3^_6# zVwx-n8%d)0L8T*n##aV9C^~6#$~7f@^t+*=gb6h3z+>!y!ddk+S~ zO#T!Bon@^WR$X9;OEOfFiAWNtk(?Z@zpyvZXHOD~M(d*(0(IjlIDsZU(*ZLSctj%B z)VXYuCqx4%wySWY;D~Yf3d8NW7IzSk$Hxe4vI9quCzk{H!=b{47oDO+(>E}P0{-n! zUE!J@k_2JmwXRX7fO+ygv2%SN@o}o{g{R0C{W2 zFH>kfp*I8j&bh)2PXnTCgWm?Pq(-Y~T6A_8R-$34F}zK95g+Rnb@fF9qJK518G1;| zhTeU3lCTnAPO#pK~8j!Ec*_$VdJ6miw(QodhR3)qh=quQ{;>{PDitkP6BD-x7b&N$imh6kb6%g z?ge#sC3*!r_92@%>8~%ka5{@YS{;32r~(;_HT^vgoPR~KmF{Z$vIvnqZ!$h2r6fb) z@MYkZ1HD@GF@uz8PWkwM35~$(EbyQ&+t&n{#7PwuQcf_bUc{C&Qgi@@r`Y!Fi-6v|1HkJtuC! zgS!iB8!rMk(3sOnriGA#Y~@jfd@^q5f=5o=zC*VfPT`v8;cPJh$hY~jw-cx`u+>S1 zkLvZ09ZYE$78-qQl#nYk(|I}}m6cw09AqgBms&{O zW+0LkT!`XJ`sz>5pz$SIzlcye>LziPmA-lY$-js83OD^g&5=UuVn~4x5u{5=Up@<*4DVd6sKj3a5B2~m6&s$73U&t3$&-zmv( zWJTatzLL@>#^|ghX$&Q}h(K3M7%r}b9l-jNz%xyBrA$yvEkSESy^YSm`JpetNkQKR zMjEGTpa{Som;%?)lrAFvTPUEq^Z-2}InwP=*6kXXEb~Y*Q6$!lR1U2|*#aNHDv4K9 zkXpi0+QOqh_32WL6L4>+4`tzC^fN7Fhn35(zAJhNd4;n2VZ)I|bEbuL}1QJ+crQ3HNN6eJhhPHXX< z1qx3iW|V_bK#mQO47DmrgJ%#^Z-j?5qt~Z5`ml^ZBYyh(>$_J3fv~yrvswL z2rLbXa!@$x0TY?esdYYi0Y$TaQxcXe1MLHXOZT-qr9#vwF(tjsh3bFt4i*W+7rkCm})Bo-tKS_N~NkGJ9X%C2uXn)+>nF^0pb}>i7_eSzA1I!CK9r# zB8eo6Ql}Gl>T2`4roiyFKK~|i_y4c2NrpSf+z?VkvWW~pE0UdpIV^jIv9nEVy*fW7 za$-dGWsCFn0Y=N`u1GztK_(^RfXDOE zht6L3*4YaW{tmEtNhh@>v0qHwI=|NzqheIY*YDF=tn+dVWrLQgg`l26UXJOYyo3ky zlSi#Mvh_fDBT&ObVuTVinnWO3@q{C$nwNFq`3x_b9z20-2eLa|7`pplaB@B290G1J z&|w}?JK%TI{edpmM?Apo4N6ZQu~j4qVfAl;O``&T9MS9FW4$`I4WE^Cht#M?^oM{{ zbWTR?kY91QHjvbkk)-6WWrB;9WT2am*RgNU3$u_OxD4rAzd0~E@h zXSYN4i1!YKH;9eolK=oKd$rkMtw@%$>@2bt!sOGLTy0*T6m7};_eE~9?Ks@ova^Kb z8Q7bCRJ9n{Bzy&rmgM889YzgFj7sHfdnfpO;3j}9!GkFnGh!oEUyn`hn7KA^QyU<6 zdvb*YSAh)2qKZKsnD!tUFT}67c5qTaxTM-)3~GnAM6XQkVE187P&-_BXCfwYs_x8@ z^cXyN%KlCH@BMx22FOM*rqJ<-Fpvw-(l&aVUWl)y-o53_)Tw5^ADru zfxlB*ZW$$BZCih7Tj1u6Ppp#2VpO}xOUV8URixeI>YN|g0pkf+_yYsi4m-EgfbP^L z-pt7;Wo2L~8!V)E4}1j&!vCF&$IsBOjnWrTkYtkdleZyK@rKby5(OchWLmZ{d`n`u zu(q!6k;b{D>`l_ons9D~d8z0<`t^SE#CO`!@}Z_<@+jQt#l;rCoBX7>xLfTYPD$4e zZS=cY1WiyoymRuI?VIzHXLTGZ*#Lk?LbT=D0V&ddEjydcLWrD4+=qG_ze|dDukUk# z$o=o*ad(xS5mKD&RVGFaH(o{+BXsq3v?Le4_Fq&xtZ8jypHS^U+&FF_=kLN5*q0%^& zWrG~cOI#@aFl8{Z0iowE>l^?2RQ{o>Q>n9d5P@VLC1?!h^MOyC#NDra`Q0^VE_{B& zv&$b%O-hZQG4)WzX1hX(R7GNxu-evJZKB_p&8K42QL(wJzq^XIIOltJ1SY25>9wot zy`x>7ge(sH{rS@JmVq|)Y=tF)@W-f_X2EENmWGpva>a4GDRd znmn?|-$AuQ9{1TnwPChv)b!hx@^WK9k;NH;o?7fm)1y9q+0#-r@Jox;&By2}GFI_vF z+GZ`JkwpR54(Fy0=lpmf4>+KA>&9fky$2F&#Mo7TLXvoF$S!Nc)@>V7&u+c9YAeaf zp4O&o3X-yzmWzj=+n2<-_mB0+qMb$-skcs@@qZg^hpgPPalhzABMbBEzw79Y>W&7F z>AYmU@w6Y1X~;{AHYkfz2hOC*@dH9HX-o+`{H>gdroh1#ab~jOa8hhDX-+f`h z|KPL`h_dVUDuQ=?fE{~?6#kE^U!v1XTLARC?%#mud+p1k|NZPe?e9LaVC(&R$;y|s zm4E?$aPN<r(e3Z{r6iRy!*O^?G;Zx09e{buKm*ktjSY{pZd+- zH?M)-8}{}C9xY+=z6KCmh5^31eaO=fzV^~vca7e@?q3&u9}uixed@KnFTMKGkR1z+myBRA%__P*Z@06MM7l`MPPp0A_0e0vS_-Z|uPpaaii@_TQsAF}hcqv_qLAT)#VxK0B= zAH~WK;Pz2=U$`rUfKKXX4cnO@WYAiRPBufCc0&pscXVX=rFHtrr}nFtR@R^x{N3r*-YOm zH%H*JP!EEo|f zMsT{zyhItuBs&LIMTDIwi+VM;A{z`1G@DZfl-&{5Ps^j8`!x$fe6l+MnutWx9H8u4 zs<^<4_6;^v=ep4%ryNgX-$j@c!F7m|Bq8MgIi%oY#ee~xY$e%v$sUx^tGz_TfH{`u znSduYi91>^L&5-eC6cg=;&g#fvJqKms2V0C37fe&8%$!e8l-?#ZZY!qxG2(t4Y&%j z1%x1*e#+b>zE6-OlWoJb)f{{y6^!r(qZXESLd7>%HM>AHHlb(2wTmn)ths3E;S*OX zxe`7x3_BzqCp$VzEOSFaEnATBkAlk8!@rz0?!gjhjblY+}5K-nd3Xfo6Bl)3|C0VG^tGqHjI{%UL? zsHw*tQ;;TMVYUne=+&e`4=`5wNK&jAm|tU?eY~MfuJ{*1Ujas}7yx70AY-=u37CHyGC?WCDl8}~0&Csk-QELTv;$Av7F0-MP+31Y$< z`E>Gw!r6ux{I~G}fp8*-(C`-oC}0Yp>SCNsAu0I5T#ylAZoE!piQ1D<2MJ-oPSee+ zh7fu&!AU43q|s1afQ_4lAlZ2cU?OD)iJAetesI$fDG>uXmbOygfZo{nQlRz`mN0QF zBo}5L7g<3QE#ZQO-w5A<@r|0r$bP0b;@p3hwA)8nq#46&bHDOHMssQwLjEUc!Q{QLP~~<=JdDV zj%K*WI${u7bhHViphQuj6E!RbE4Um&0L}RW(nO9!Ps1=G`5{#PKM|1ah-4(c5)qGt zRK-Y09?4#gUW{&?O3_r*YcSXt9xo5Y zZY#r2G~g^BXb7ij+pit3`Nr|v@9GUA56O@MReqh>2?1zIh#0SYGIII7a}kUQuovA;nks9yXwyB1`tmh#dxsY`(h zkXme*w}dh+la~;hjf9JwKbeJqNf53kJ63ZGBTC$c+YoZ5Pox!X?9_xj7sbhPO_XedA!^&u_#V3rvXWbT5V;E%jJ8C zYq-!v99QoTTkO+9TDrPQlXc%=y;TLSrTu#`wvWC)XBIEH^ zC%jM-HszW@WzgHf&e~;VV;fI{9Swg4go27dly0%YQw<0~Wd|WmGvO++dPzjNqVggo zl#L<{(?sn6l-=dfOZ1;qP%r8t(V7t;Qx%gJTQXF*tUjUa z#t4r#ECtAy31c<(eG&>APvRF`h_M3nM1@on7@NsU!)xZ>yVneJDM<+|VaJqpk-SO_ z3edrUmE;;aL2t^mOznWm&R{b9$yw|&8|5V`X@CY3nQ8~C7#Y5t{0-UZY~bQE*5Pa* zU|;4&S`ksq=D-g4gt!H%08JWj!Z4tr>a1cdB-RJqIZ^yV?Rwme(XT8j#aN6_)0)ge zm2ScgtzeoAp`E`pf+Gl_jh4@7j9CJp8lBRmZNGyNyuN!)O`nW z0tFNt1j$EzK$i;5ovSZ!q~11OYZgX^f_3&x;G!?`B@tXY=#afiEJ4agmsj7R4jTeX z6{ru=K_=5NVX_Kl)=hm&>&kHhoj%u(>6=+cdR*dfvoLCjT!^=j^9^jduTx{`MAw?| zSF0w@CLIiwha-qmROV?&FI*!faQlIbrod0?X!oPS6O^_P|4oM+OVR1bN1zJ@iNC19 zCyWX$(vE_@>t7i;Qi0YFVx^csX`|7~SO=hO3W|^H7uFJE1Bt>{rpb*=i;-eFF-^7% zp|9Ie;#Ut(nOzP3WNk0Pl^`i?p zm_=r^+V$(}u)PLzU(R@_w-L7O5iqH&_McyWXC1bA8TZ$kPA#8SO^G#t&jme*GZGo9{q7Z0M_zvy$)0u3t?VlJWF3;6KXMAl)}F~eKrYD8 zndv2p`Z`R+)dS`PtW7nGX|H-m7 zdF^yUOlEFMaxlxjtC@0V{jF=TDGWmlk9=eNxnG~2+OsEZWtyBVo6T9-XDey49lO6Y zv#U;fZ8D<+lQ~ClYb!rxa?=ZV>k})QQTp7pE`rZOyTFZ}0A%@X{t7jt$)-?W{$_P* zX!?YRh?Yf4TXQ<~p7YV*Mj5RZTcz(FRIiQ4z9^Hz(W&@4e3m=yqPgS~d~7`F!a6UM zX9w_Q?pFpvljgLm=v(rbF9e@Gdvz{(@Og_qs-2A8PAc7L(aND-T`7_KEUyK5tcpd4 z7EF_FM4c1C$Hu)aHb)C#D=kKS?Zf1AvHN@6)y5BkCZWQ558!-R+;vbApZ0o7Cxs`_ z$JaosrQLD?G)vt4vHMgfoR67t+*(eUyqmBGeGr`2@kTk(d0?v-D);_qjykXEV)`t38p&RKd2?MbbjtFj(vF&apCtT-HkS~GUBO00aah_ShOk3x0ccF zt<$2H8r~l+k9+m8J_!W{CpDJKxLdYaMjDp5F1`#e^n#NNKn#zF4vIuCibt%I2bFsD z%g?fU!Y9v~wEIhRPEDk#&mbeYw6@TqZ14*NzE$_?E;m|(%RDP!hA*0gZ|rM)$3pkc zVo#q^N5>O4$hFHTcd)k5w}{C2gJXSer#uQF$YO$Y&f((YSl%J3fz%w;3S1H0L-z4*sLGO$E=8YdZP)>_PTCf<^a$6|JTWnq|FD?p? zn_K#Mq3mAr|EP9&_p|S&e2OV>zpmI;=&dOs&dHW6voAhuTtW=XL^s}lhI)yL4x2$y zLgicK1txWtG0!hSNQX3k`a0{ZoIWC8i5ezldW5(lv6zfc2i@DHjdTq%SC6Z84QA%51OUZ|@$&Iem~bjI48EZ0qqg)1ij*{-s%ERiRn zl8xO>kd9rZ$^{KSq(G9E!Z+<$^sw=!KN3vhh?8TLaas~~Ia0_bm;2L@2L*Agx~~B@ za*&o?00ohnNgspTA|}>?C?OhFV(~)MU=SjTsmrgDHHapO860@RAxHHPqHH$KirhBG z$yG&3q;4=1w8tGG5|C7d3yja9j}T2H)5;om5D_vV!Hv=yh{6Dm(t#?+3DB?v##pqI zBUwhWT~3&WW1wLT78Coz0AO&j5Iw4SP9S{36gK+wJauZ-{B&{H} zG+_m&#R|=Fs9T};^Pg1jO3@ro8*fmn8WU(I2PMV7MHI;nhQ4Zsz8$v9(N@NdDsolM z5w6-M(>@8GC9sRioLDt+$8#g`LSMl~6SC(<8|<1m32Esg(5gByOgCbad~R!eD-E=h z{UU@`JI|vH$SQ?_?M&<%&K&~Si3#A#G{;zzYM|_f23UF6xP{0yktxC*T3;uy?@GwY zA=yDEM63T&)WFRg~)uv3H?4BOy-F&;UmsJy^SxL#fTq{bkG$l1hg#LDC74OrpU z`hxy0I!)7JIX2L4tioaguB!ag(t--toMg*Oa~hC4h5&aDA(a&>G^((>Tg=MEo@b5` z0f?p0V5LGO zf!$y5n;|l+tOPb+B;qAN4w{xY3Ehd9xHbBiE6G(P#GBNmAS-ZxxzQE3Izjx@i>hP! z`O&#pTL#(B9nJ>wU}s^2f>T(Nd2XIfWONOQyVn1aNYUU6L0&>Z&dZ{?Bm}Ze?D?}o z7@TQ~u;&lRB*cls*~ES9UmBG`sT#1$zBJq#wV1j4800FFJt*^QIGzy7`R?W%JISMR zJI|cSwZo^4&uQdv4T#V9QQ5^Lj+K=-d>nEKCf+)QKrEn4sVypOM56~dU0h_z&dDTc zs4OxgvmR3uG=FI!=b&>StmUB85~8dl#+-vrgd_V@S0~S3?udE+{-Mpeb{JkPV(ybz z6QI>18TTwlU`M)1h{Y-?-EmA#!_pGv9N$%l1H{wS#=AnEgL`az4c62V*P6uQ*nS0$ zCA~uh*!0eUTnC`RV9EUhC0T;D6x%4nCex%O?UTU~Y6xGM)Zv`3 ztfVSQET=w)1G?*sk%%6tAaffz=uM&9Vmgi)inW6Kf^!V)wU+}r^oH|}ueUFR{GDyi z#~_PkH*@U(NhfH)8>ihv6A>J@(6CL~4#QD&{fUCiJni>csa#3}rP`&kDnulQX7?S3 z80k+3OQ>`d9c<#GED`9B)6tapGv-AR>4y@zEochQuE!S!`HMIE84WEc%v%@~!Ly zc&T!rUlDo7EwLe2TI7NfXcEjJ*Ek}ElVlT1NJHV=j6)R-2FyI%Kn;gK3X&|9kRin9*Oe z6iH%T0p#oa&Cl> zxq1EcC#H@Zu;-n7JN8U|?U|p>TJs9;v_E>no~hVbe)4N9TO-Q8MzZHl9f6(MUjt(8 zntg}JN+8N&1OB;_hY=_JZCdf8#fOpPQqp95Q73LLaTmd{!=~?>3cY7C$D0PZtQieS9ak4`NH?6(bKINxh3d_P4*|Dr`+Z znS>n3$i9;N-QoiWT(~7La{5*nPYNE9B*<53sNNOBA!OJAfD%vGGZ|zOGXM5x9;l)u zkI)3=q*HGeatzrYk_>-?vuW{i?mN(E%k%JeZ2T_V>WcRDd2nM=xC+U}v#`N5%I*Xi zawc5q7TIx3tAA2WFdXb+d=-JJM)GZR6um3mra-8%Wobx^JJ-CpvI|O?o?RZBrC@}1 zBEJCpkA?w|i`o20F8(~vZN}t<<{o2;G4tH~8{=Q`tOz#J9VResaHN&AzkP4`8}tA1 z2#{Gb__G-F0@!y_SD*9+cLC>)>~s~)J5Z+0VGp{jNz?R>^@c7dLt#=#Sc{9C36F;WS%+00 zvT|r?)5;K5Ay!@-eH^PkS6-&MBN6YmP$T}rQM_$wRJzoa&r>d-1`43y7h(J)TC?!E zziidxeIGM)k%WTdDkJ&hDoYDh=|DKvQM8mn=3J(^TOyIgLA;JwzYNgGrij)IwB_Fn zuh1RXT5rQA;2evo6!-+I1)divwR+*5#s|%dNeE_E$ctTa9^4?&>I}%GNRou$-7Rb} z8+VW3CQWMa?Mpm@*Gll)$#a$jv287ro9*ajm9^ewtT!9KiDlbs{}%C7d?4gz6r?1( zYf=@$e!#G@a-df&O1;8R4B2oo0Q6!=@(6BU@9siAJ~={Ff%DY+C-leyy)pL~eJBTS z0Z~Vp`o~=Zb>==|kZFFsRNyF_b%$8*f7%p@W~91lUd_>Y>7qLrY|PyCBPvZ`dBVlS zGo#*F`RLvXg-;VGxOCAa5J3tW-GB)JV_fubaj7Vh4sZ~hK*uhD2g}KF&>Z3V9blg! z!)r>>%#UJ-V3>K&=QUv{5OtZ&#rWKnh&GaYZQAkCce6o4i}C&>7BHevkTOW3KVNPN z(c~ncooh94KU|gaZ3PxTIBp_bhgY>Mw@?L1Zwmh6jJ_}=(?}wBpG^xd0J)emVRD%` zO$o!LI6ByhBIs!&U^M_CK?kFfT~$j_x=sg$h$EU{y%a7c6mX#b>A+&r7ujcDJ)F9T z%Vx=nYv<~m*5ve4mMOFND5`n85i^$t-T-ZclWC1ilw(AMAqnR}G{f@QNB$4{gyDUM z&%QE3ZTtbX<%g{3`t~Mh_#amPXRUZ`E}@Mat@8g!mFS2gxoPQ~eX=BeX_E9_jPAtP zZ%vqUWn_e$)vdiLCQjdjB0pm#HYo>rPTW9KLB=!Ctejwk4Rk>4_#)xO0ro;Tt{L21 z5>l_bLg2=dJenbD`Jy#O#>z!_L7-<5$U{fic=N*B4cR0F-K??rOKTG0${)#Uv|5mD zXyNT{PHXZ#iCdBQsD^k!Lmk>PpzOl2B6@yRxaP7!qkb5VC#}a^@19WalH0=J&47-D z07CHfygvt$)+9^x2#3P}-}T$FI`1`V5Fz9TxzS4inX{YFZVc!RIDnu=*`0tSU88(X z+zrwqLcP-)eJb<@io(@U5IgyMUJdC@ttvolaqG&OaywpXDeMzZWa>Mhd8Q=o-4W%* z&j7g+f7D43(RhiU4QV0VcOai^z6N^N1gv0as0w1I{a`UEcPwr^0li&Lk`yFO(vC&$ z*Hd3jlPPy32zpoow6knTtS*DiU#N)@}Rsa26JPm zh~PVxo)Hlj$tZ=GCM!Q1T-@c!S3FA6KsJSR5zo!y23dhbWE~?RxXLF=O#}x_{?maq zarlMhhH|0mjG@YK9r0`x?$y{HHVp(}6?rHMQ|hpA29c#s8Yil8J8XenVH zaVz4sOiK~HE4PFw@DZ83N!%uc-08cjD>qc}I~OLHO-bCDBq`ceHc=EE@X80+x^SzY z5VdrVlEh;!KdP{rA~A)k$vCb1r^tQCWy6~tjS0CeoU&O(TxhqRB8%_cY z=Pa|*z9H|@Bc#a;#m&U@d^%t1-1EyCC>FiqPdX!_Lne|Y@R?Hulk7s(7iKk|={F%V z3|fq)@eLfb5Wb=$-7ehxfXamcyp)8yc+Cdhl=O^a;-Hi$!Aa833Bi|4x3P?A7dnlo z>Li5F4N3MG5xE3WaOB|!1SPpb!z~1Q3_Ma8mSf;TiAo~)WRzn{k%Q%u#0D{iY&Uo@ z0Er0J$Y;qq5m}O+s3H_|xxS*EOk}#`OZ?Uq4Mn54g8D8Kk8+Sqqh!-TrX$BV^U@97 zbC1E$l`Okn9`y><4bzoy@u8qlQgityeeoF||FtLA4tj!hJ55yj6WTlpdLoeZbZl2m zFbRKCJ5Am`@XXCLEB>kJeGkv+K6=$_SSfh#?S7E`f-n0=w?Fgfex9PnxR>%|`9UAVR?mvFf((%BFJ%C#7u^4`A4@PrJ+`hoIQ{kQgD>2( z|1Oq&H=FY-Cl(`>6f3%NeV&spXT#1SJ?F7D?_BSmC9Uyez4EXL< z5ys73y#AUevBCjYO?myQdwI>`(W{yu_l0K`kIW||+_~(*9Dvw1gRHS_Ul^RfXL1+E zF2#CC`=3TblXb7a__xU?X~%A7bNrmopaUaGaPH%5coZL1P+pRi+p)Z(e$>xSBY1yAH zx#_R9Tsy4BV8*NGG00~Lz4eJ?2~g3quSyj++b8ya;B+rz-&HmCYI! zhVcWUHI-g)XhbXxmA9-kM~<64Y4x=D+|_`^^A=w@w6)eb1#>aeqkj$o%CE8k!*7hS z2evE@J?yP4DjGK%O2$i9N9P$JLq6ftiSV?sn9(nDlPX>+;1k6IUcvJvRdK)sV%~vW zRnT9+`ksLapBB1BuO(kO2DGgDp5bqZyK`vLSQivvrsBxKa4RHZtA{X16 zL`Bm)7>~{8^6+3W4I^w`NfFy5y>IofAsXh?ONF-(!`0_F4#pAaoHryzep2)#A+|9P zuV2Bj2u6~QJWdy10-GOTNS@+&8O_!kE+tQ6jB-&8a`Am&ppf=&Lp43?N}C3AF0?V` z&~0#~Iq1?4c)7M8y_F^DIz4DRPF5)5Ou(DF=z-3V^s`ifB=LuWh$9*6AN(YIU7-zJ zonD+l%rR6aCt}?LJ?FCiLD9P$>sAInZ7)}pfb7ounO^Ta`=6%o5YO&A%$bduYqY_i zMxWF@ zkpA_x=rqm76Y=4!$zrrloa8WkBEjnltD`kQW<^_8U%*JX)0m7#i%3XvtlwSX6%J@p z8A$frjZR44u&RfU?_#ZG*U+DHZ=mK3FW9@#^Op4oKrU7a;;Vlc$2%G|v%LD^Q$25z zZIkR8fbXIkwD`)1sPFrQWXsROKb*;LjL@vRx|j84S4HRj01F=_jnUn|^6JxMGM@E* zh_k_kl11c`CDGGD1<`qM>@2rF2n8t{RH#9>!RVA-2~tgDTM!=9D7zE-8)berM7&#d z(@e&v9UFuLyh*vqr6U`l_keMXQ>=Z$Sqx5a%TBUIWDf=HG`xA?XK@hCzRyiS%5dsx zJHAGdzgPO`z_T5nyL1Wl9f-SUcm&C2O(JF1t-d++I!UcyS53zDb!;SA6*0W}E8%lC zCki12N8?V*j&~LE3CT{+FZ|Q>9Z*|h@>Zy`FnPw))yJv*KUU4kZ5tg_wc^^^vy%Br zCa_B>-^vIzbqsA~hJf75N{0g161^#cTco9g7zZh9S&{{1BFIJ1+in7ont|qK>DPCVVa46 zI17D-T+BP#qTF)17p5fL*RLYed(=WS`DVf=bc+I7eJFzfVbayHN+_KamZ1$}_i1cj zyo=2o=!Ia8Gsi_@(glegv>FX`XekjB2sS92$B*-qHFUuX7Mkj^ z<%qjl8{9*Xq%ZEETm;c2&_sH!fH^H@G8JBUBW|ckLH9`&-($j24n`y&s>s27)Hfp~HocN_Bu)?3pDMa0bU#22D0+Q4oLmaXd(NI}b<0$bDA<3dB zhG3Zb3|1jZgs3)UqcB)T#D5sif*^&ZIrWh*42hKuX%$pU*2Nc<&2~gQ*90#HgLLqN z^v`%a9c7C0>0M8{ity_`wxo+*U70(tpeZhtf$e%>h^wh8Bc>NVrI>W7eX1izKq2^^-XeI3A$68S(&n>Tu0@+&`%i5JZo zcwOL-^i#-2>H-Y_SG^9jQEpZw0pLiuPa?SQ+8_{RL4{!8J{4U|P*Za!0>i+{945Ke;JHSXik^Tc8P`J2lF#)*A&1NR845 z>pFmD5};S$PNiZgF{=aoK-mQzWf16A8@4i>ZbjfvYsIK7fp2UxulYaXeq!4rNOJNl z0@UgVjF#fvc|E?X&LB+QX+DDQ^VW})@m%bT{g_eEh_V3RJpG&I&X978GRs*|t*;#IAp@=8C^oKC&b6 zfY06Qy?OA-SAV^&AIuTrpt$_-qhgeC()xGLEEm7)Z~EreYN;V_7j=k%KKL$^H!`_g^h+93M&(RX<~2-yVp{={oV<}xHWo6a3JH~Vb zxSq-=sPSjXgQ>P(9&DO;Qqy<(C$1inc!0QpvfJxW8$k>wt$s;z)jpC9At!A>WtUgc z;lkf3!r=bPhU;g&&a&_8^a)~AJETOf=3Wcl`pUxO)a1}!gt~dWfJ*vr!U2bwr$)48 zY6p7crgAzGoURX~oX*Rh745fuCz*w>Onjo(!HT5GxdSV!?&>h8c7Wb|^XLcbLOJ=N z+#jDy-OOO)TTu;luQ?KK;Z$q(m<7U3lT?A@_YDCAOYI zE9oAO340o_>6<6G-i*+97|!pf^R&LoxBHIK4}Cq<@fU-5@0Qm-FcA z8|XU>MeT64Xl;83@UHYrh2T_Dl&Tr~#mZ6E)?wBb(Qm=)r?%y4AziJc2hxbLxU+@o zK+y2N&-EmVD-9wd5C%7$v*geXCThsr`6;o3m;oJ2&5%aI-6#kN*LZAVxp;bN&c(tk zWq{mGyU@U;4AhH(Lu2q`7j2_N2Yr~3!OcB12GFHq<8=Esv>K5icMK2YCv##0ddw6B z`E6gQ#)1S1$xiY$HDjyTvNAEZr3*PNggQ5(SBE(D{qIfe+}f?0k#BQ|7NeA z@AN8C->68ANgAjf*lWaf)Bu?ENqobo5G8-(RMUJDn<$3v%9ghi^F_|iVL2}oVlw4r zd((H4#&9AtzA{(R_6-$CFNsI217gwPwN!VDcP7S2$T5Ohd*6jm=FGqF`K{|$ZC{vE zF>~U(S0aCVNYbO%F&_F9$$GFiZ^a4RchD+k^|`hAgtaQp+&1GOac%{?l^)W40^bmh zYg)FT;X*Ie4!;o<51r9*J&!D||2n#azf1bH!y!tQ0zho}>>n_)81zfjRZwtSDp`P$ z#UtX}t)n%{E^*VyV*J6a7th_;E0yZiVVq61gYG+ISxHqjBg$@~-@C%dB6l@pQU?U& zm5ZKL5@m!+E{!Z0Ww#Bk(!(6IP6)tpMcC!V~sJg|StDQ}?f z05TM0VBh%xq}}m+k1LX=yb$}s+hT?onCdw1cUi!`|2zKRevB;4l@|dwHx0gvkp-Z7 zA!MM&pY6!0_`jcDzkk>DjLDl?W84OAku&2}7{6ibvw6zgDa?K1jKhyoPvhXbXU42Y zXY5+23Jx#C@BO?KMizlHQ}3QhwS)Evc|^Q*(xC-s?t1sk8Oh)Edp?y6qz*pDt^yO^ zKjIJ&Cr>eAG_u$r&A$zXf*fd-_)PTds5Z_UPD6;3ccxB$IZ$yJd1>;>k?|#CzUAOmtF43|tBTU}A zR{_`cl?Oq7>5`p?0ZaR4b^DiJesJ&Px4v*@3IMs^`}TKtjDG6Dz264-?oLA4eVDk1 z0ml{KyT3oAv4r<%wAydJ^L;?|j(+M_PZQ)Vd;;<{JO1Cq`=9==il0O8eW^B(Z{Pde z_cmO&;P&(HKLjD8pZd+A{eZmP*>B%-Z#@Ek&bWW?!wa4s{nYJS+TTqL`JZ)rw!hUr z`jrP3t~>PRf)|FYg0a^;(sS#&N1xqu#^D)I5I))Q)p2L&Gv-^2D>jsNx~;v*5mGsU)|ll@E4Sc zhr49)*KfmB6X!6@fzVyd+?>`H&4|c2_drkWl zJ0IwZR=a5B-geex?_+=gehGOAA>r`E_q@Mg#(Uph^XmHxesRUBMX$AA zv;LBax9|Pao~stj;8LX()J1d8c03Ke@pQVJtiR+h>%e_E$lqSGryZ!q0h0Z-^I_GI z+*~w2ZL*KD`;8|G)0Ghoa)HhKxzJz3# zP+Ar+dBr$@!RA9 zYOTa+1tJ;p;MkJrGU-5}@m|s?Net^FE(%#7LpJ8;poy0Rw`+(kOm^q|ECM!=rK&AbYSV9P07Y2w;HeAwztVH6f^8EQa`w6$$c*_P0$q z#o&he5R!DP2JE>M)#e}@dXqUOdl0U2T*qp5e@IU!;CQ5bqjdvL5P~Zv55}TNS~hkr z1UV}&8?4&1u$dX&)N^4x%qhkYf^vtFWZ5Ld)3Q4iOv~mZt%j0ZLENrELVn;SY7AuB zvA8odqD_sq%33#_8me*POW zXV027Yp=ETp8cHJ-%0g^C->WCH-q7}QT= z<;|W$+*251Kq^C$b|VuOcCT0fOexETY!h%$1>Cr>!z)%kA>&zd++@8|pn@RGKEsYV z3_H{S6>e*}H)Sr+fjiQ`9+O);U=DV6iNm}aM&@-h*@Yhnseytp9^g^-h443o)OS#c z9SiP6P>_ou6x^K9tymaWQQMHKbg?Io0pSmrh;UVX2l6t{v0w{wM+w1ZGY}FdGOR?B zN|S`2my&zc2GGTv?&4N*Y-2^bs#t7qY+8c?(FBF4#KIih?VB_FN!y-a-lsxt+yppZ znozewEDdaoT|wZo7w%1~?w*ZX^_XC01|1~hd> zzCGR@4wt%FH$H?d%e3#EOpcfCW3uBs!(tA`Y)N)$oXR;D! z0YBanU^BUc)dLh^1hQHnDaC>2ovHVou%16~i|rAam06}_mT(H`<$@UQ26s6#9IqNl z2nSzbl5FioN)69k1TRN1+<^KI^1wz118f}-^hupKDA?80vbq?mlL)F42*HaI;L8w- zQ?Y3XM^+1x4WA%!7Av#pM5P4OT|0xlK|!Vj+h9yb3%%xsrgQQi$#zlDaN)S9%h}Sf+3NlZyBhbimI17a7F?t zSP}c40zjx+32c4-*UkWi@8t zF0>J&>s^y)Wpp@tY5`&0f=seiQvsi#K_qNj)Y}7?yguHqTf7xyG(+@|6jPg!!Fz%R zFG6KsmevYdfnyo;-qooaEo%7+spZpHt3Z848&S_pv$Mv|py`m*kVAHv*M$a=%qbGW zj`mhr0GdsBj+ZJjfytYuB+ZUT3#dWd#n6ec&0W|bZQ57?)XhGSKUtr++w#~k=$9DX zQ9Scz`J*Q?4!GAJQ;Urx(RV1$*x{Qtx~#UGKrJO&I`jrI?tEd}PPYL~>Q|%1#!tdz z-61r#K*F8II*Yy(4Wp3S^-qXea03kz3`m zj6Hp3H@YjCj005NP&@Eu*&1cMLN#7^A<$^SZ33p!BUD|$7aJN&80|axJLf?dkIqeZ zEh$K@f;sR>UO}D=GHW5S8D-$!4oy&eh@04aG-hFMjZ$x*sNM&$jY0_eCG*iqa|^&m zvgs!%5a$iCj- zh7iW35(4f(wh49fH5p_jg!&2|6|y$R`y$m2ull|wZwX2VeOLOSP82Ns>yT@qNr$gV z>o83$>HtGQJbViHbP$}bLp^lrlT(ke-jT|j@?qw53D<@%Nw%rcQv>6R>LW__635SV z@`+Vld?C04lwH6g)7SwJtc=Jg1@#Ufa8V7|bdyQAt{$_fb|ALEv78C&7lWHXY+a9P z2RvSQZu|=EK1XcG$uWV4n`}!dW3?gGypLiS!Ku??-BR}sJisLueu!_mHI_Ds!>aVs+cz7 z_FM^WY;-McHQ>OLfZVtl##Y)%ROxHGZ0OCpd9l))>Oe9Mj$Ke5rZG;8>ckXAIrAn_ z?zF*!!p}?io2${5Oc9yxG)DBE#8dWKzLf~(e#g;VM<~e2zZr2LxBz=Da)HX*h zLZJ-_JU+RDlA^TU=k5I>q-wjm~s81@H z5u+;w(lGGA=#TUGfOM1hXz@}hgwt=N!b(+z8Pe5Yar$F9dlAAekM z-X& zSdcevTGD;ab48sh9*}9Sxk=A zyK{zmQ)`8jw{6}GGH?QX@s`8qG3yLu%y%JI$l!Tz3AK3N%0OukqOv& zBO3o)(ec>*Md@9Tr#IoxUg9nldz3l&@b#VQ?FB*_%L&95yPU-1mrU_mjT&#AV=3d$ zuCU`|>_;J(A6DdxRx9DRIIQIOBXT?)h zO-(V^TdT;H+DJs><~D)56#%)tY5Hy&Le9c2XR)ZxoiF3N=}4J0SI>@*SKG^KvO;|& z9vjA{+}iEWfO|>`9~zf6 zr^nBXpX(&*V^!tP;`4QHnSpP~BR8g3&sv&jJPvTWb`x|4&};_WVSL*xwpL*G7q_-A zIn66rdOHd6it%xDBi3D^Ni5}hJ+%-LIUZS2zVK_#H%C)}be3>l^J@mp;Cppz_ie z0+YN}{I#rJ1Z_z6OtSLXJs@{MZ=f1)#Plp_5`&PKYc&HP*TXt=%W7v~B!Ue9cp#g& z@t0Fj$##{M&8s4Id{@|Rno=W#>#kbs^7M5Ol3qO$_b5V+2H9Eoi4jK@A4tFDT_-Y5 z;#N)_KN3+yhruD!pw9~ilMi=h=uX>EmXPQSNH%(eLANuY>E9y5u0g+1om4VbK?rK> zWNbGcbQLw5e?&qF`og#pv(m+Mmw6pyk}gIiPDu}}3UG!IIJnx_FSQjWNYwo-l7eoc zz!~%V!^Ntz$uUGgphHOFz}Q4OU^{xq7NzK_nobu{h&zJ;0RoZ$S#l7^fo`z-nOZ?$ zF#$S*VwMO4AM1WmI^PjckF!vl0S&JOcSFuX#mpJr--f(d5yTu8y`PLRY19z~b5w8s z4<3ZO8EeLmL0xE$2vWj$1+wWD72s|nx=i30B9c1b7yIHf*A+wpw-C%E8z^uLN}%!Z zP>B=_qs3_7Ct!a8Qg&z9MS@Tav0)GijN-fIjS7>Dn2o97P!jQe+k7pP30si zstZWpcM$x7Q)tzKU*YjJ_;+RA!QDrZ<=7vq+CjkGUMmA`$X^J=IS9)1{T0%chJw@^ zU;u25Cz-wUA{3F4^zIV(cm3t&5Rg1%qy5G38jUz)r@Ypr0C^3gCa!_ehH@%NJg~%# zR}+YvEi`zbiItX%6PnzHjlzWCy46D*Yp2{;DJT3{fmTm>Z~>B}W{Ax3P$1IIB9e`D z#bQ)4c!ofhwoC(mmPIfftGE+6(ib_^tvpa^83ha-)$K3+Gyz23 zQZ9BWp9I-Jx#M#yO-fxub}^P|$Ft+Wc(`i8o|+u@svs{#;ezE=Ir2b~Iddp4y+F35 z$POvUENsH#hzPp14mQJsf~G-0%Fw%G5j3gz7s_HE*mo|GbLI?^O?rpJ|11(#Ta%0Z zs9j+4TIAS4=8&s~l&ZkrN0IDIxlz>)hlLxB#o$t-0J;BOVLOkCkg){@X#z0V=ygX? z@3{k3=Ae2dBits(m^K&2Ti8$n&45nwtO0KH6wqcnX9=*xVg@!4V7QMpVAhR|gojEU z3m_WdK#s-kB>;@xJDCilC1%iIlBbfK0=NaMgfNoC5oHiU9}K1^a~`$ zl-^Pa;VG?P8MQu|JA@>|^FfYlykF@3&zKWTh&B_Npb;)CC~z!xLRrMAWjF2;Xp@Z? zW(=`UN=3amsjSF_CRi@OW7!~Ce99P)@$vKUL@>K!7gDdB4+d6Py@oXy;^e>_!<-vK zV~mYr;FW?hi$fyx<|gE$u`W1S!b{2}Pi)AxT>q$@xSB68mI;28TF{gIQcxkVZFzxCKCLL18hh5V1l7G2~Yn)KnhS zNsdrr=OP1qvIc-|QbJ-5lZ9g_s?Bi{3~!*sVw}m?TuYip!-NP^0J+H_<^-YC1%m3uhBChK!?-Eu^NP=?dL!opy;XrYyp_bw&QvR!{S^JE1U0SAcqFH< z%*BET6$1(=mhU{M;xU|ECr5M+ah+EI2Fh=W|*ATIgAcU0)r$<1c9sLumi9=j12goWPGB9yNScD z)_j~+UO?nHEu-2Y2xN{NI1R|mk|GyJgxDB&A;iSZv^10#xQatMg0e@&4u61nQ;Ib_xYv>U!cea+kD z{A%nfKNVy+c1HJ(0%!x-%1Uh4f9HPk_xH~ry{|v*HC+0F*1HQxxDN)KwnH22H3=Zj=e5Wkht-u%z2A8gvVNog3~=?m^psYgdpO65S$QlCjt8+ zIW6R|Gu98w9pvR}X(I0i_%3#Se-X)P+2=se;$J~XZnOD3y@@;m67IRPt_}RHlT<>W z36^*C9`p}f=z9>3eXIh?-M9D+B62)9J?Jw?O;iG$7Wz?Ivx;(yht6g|` zK6j}Wf_V*gM-c|p-Qb4ogm)ceZ_D#HCgalxLC zrsWy06|Aqop8OE9$MuRy$aF`hXOy=oAzg~QTgzUvE>banj#*y3oE60{De$}*;9iX+ zooG`%mP(dG2$CbczuoA_jS+=b3xC-5Mbp~7D%T4~oGqp~Ic|=O*{70pl_uJFUqNL& zLG`x#WSMRROduSP)C#JiS)|KJy+R&l@o6>Pa$3k6V>(_7<{j#>1uRM|gm?pRdqF{l zm4@`DxV?A-O|GkKnm^BBm|B8g{JiXNyou1F9-Hu|s&ZKz9tq8kaC3c4a(XMD&>9hT zp7oy{dp^l_3Btf>{v9?I9)Rj?_pksL2pRUIIhN-%#kW>C3zBXTq3o`4Y4rqi532*& zIR!@*@|QhQ!?}^g(0j&CRXcp3{gwBcSSR|;)UPyEw+rw43=47?8_^%64k}(_smJ^m=jd+YZ)mX5TU0kneWjdLN@j=wHgqYG zdaWXGh(zy};uN7?tOIUIQI3xFkpmRsb}Lp0Bo+;r7;=N*pBuu;U^2w5vQTdn{*?cuA#T>4S>`vm+5{3Ynrqr}412`0`msw@LO z3=$81iB;WDpd#u(t{56DK9rJoUP|%&yIP50=c&9iy_pj~DL4US1z9lwDPC&y0^D>=3lrLFGTFmY+E?c@*x$kXsdwYuIlpRD(EE zrb>r&v#|b-iGHO?5?HH-w+b!D=PGEwb!y8bTE4bHwY)KT0V?O9uhs&&gIbUf)!VA4 zF)!k*%z!i5%^dkd%C>z$IxPb ztb8fB`%>=A_=RXy_qgrb94DQ2O5<}P3#nDb-u#}IfeqTZUxk<+2!S7Bx;h)@gNsoQ@dYY9u$03WRUGA`cP*WMlZ7qnBZLvJp_d zk>eY{P38pI04wA3%))#j;arjfj!kkamzUEA$Ph$&Q$G-xJ|v``x+_V;n}>Jd;S+lv z0Cs>5+=d>h0^c1$wy!tdbP)}!ods^!@$p!fWi5BF&m{@@qg#nfgUxww+)n*uRXcE1 z0ZBUQT3=VFoCr+P;X_0gm^@N*KimDbYnXyj(inP&N7oyd2Ded?2qRcYwY_@z6^)IQ zEFQ?t<95(oaHWex%f|G4sqaAnzF{5=fs4o%4)v-%X;TEQjuP!H_cb9Yeq$x+BF%ga z(HkphB1G5F&QRd$m|=!wAv(c~99`f{;3)B@MBp9kkD)iA%%Rt`A;A=7tb^TxsXIG9 z6*e)}iMBWr>O|MU`IwBFofbFg1z(Rj#rEgMS|4lVdV&#T@Ml;NvqRD_JhqVT_BD|d zAqAyY5atMVh65QRb??t{IZlwI$%zb=)tu&l5Qa}PC@3o-q?fqy$-ELmWN4Pht;rJH z5@bUVH&kSiI6hqfF9(7C)OFJcWLr=wM^>7ELnRq-8zA`Hik{;R-jq<(BnKa=2gn0A zc~if@0dAWnFCigF64wIR6eaS>G>saqCNgf8xGoRFJw!p=e>mCioSQv!%SUfy0prQ% z^U3D|k@qj~Ks2R?nQ4FkmkU>OwC3lh^8DJ4aR*%8}4HQ97kzOOP(4~#mVrg>{u`&yNWwV}{ae9WaHUO1H z1Kci1Z(C@a^!E$6B*BC3jvsVH1gC?4iHsG@yg-yAXDAdUM!bq;aZZjzKtjP+3OY@x z$b$}Co#FH9Qb&9qac|I7oaj3T`CAO-8Sy-yE0T92bKHY7EJq$SVq%pj2#8_fs8fh{ z;G5u{qxIYN2UR<~Mw7Q{zSy64%}pbJ7|CzaJokQoo_iz(A6up1UGwImafg}}ABp>& z8vk!f$k%;W=_zV_Jb<}qB&UV^?)0X;Gd5y|8JN7ICHc&b-Qd3Uv}^b6p0#)%=Fqo* z6WlK;A)6KxbSw~M)f6=)nFE8}g^+^RSAhF; ze@Ou3vlJ#TOnF9`gWL}$;y(pJ>#v5Dn-)KJ4pt#9`oZMqm4Xj|{0neHc2sL}^*uXo zR+Ic%6MXov_o6$leeo(74GQ-`dB|uI(-H823QN9QPz|Rncr8 z47N=PN!EDRO)vGLNa9w zO^p0;wNtpvC>NK&_-UtXyFSv?n_k@%|8t~Vrre*Bf?=g#X?MC&EoBr#!8m=a;SLx- zFJdk7Tl!n=*8BZ@ZIsTyUxhxvhR%2jsllFD)$AhsB+14x;s?Ue@?E-A9% zlkdd~+>Mkw*GDF`c88k85@)j5LrD^ppR$rn%9YqHHN8NqbylJHtcxT_@A$H+4YaNh zUhY~ndEsGRU=zV?UGc+qi$b|7_8c4YXceJbq_iAUpUwm+B z4K(R&X(Td01sf}TA?->*BIhZnlGJ*yn^C^-1hXlYa&MV`;k1@jsj6Ntf~=@GKW9!J z_b5V+0@?Y`^FDebiw=$+Y%`mWSNt%LM2~A&(4EA9JkU!ED8qk8;t^mAWr&xn*LfTa z7#SBtP{B`yvozxtQ8KE7E7FL%bgouALev>&dGa|lpK>PhglU=$77)1_@hXy;k@(ej7Be18u&6P|%3P-|>K)H(Db6`ZFFn+ZXhCt= zL>a+8?5(EFE~kr1h*Q|itYUF8&ciowB8QT|9Ts1(CjV1=Tz%q>$#oJUaAZXoEl5#K zQQlAHWpD!vjiFy*7Z4pGK?hh{Kp#0UjuW$O7h(OG5^)N&!xDnPAI51Riu(cYB(fw) zhRF_R?TAQMlPw2axGEBvA)&jYf&NFav;-t61D75%*i6JWSXW?&H zK)N}aW>Du`fGTrWd__73IMg}?F&#OOGD;zmj3t)hTBw4_2!sqBKA3Rc(;tz(1Lo2F zc9N>xnep>c?Jf^$`Jqk4<2JQ5bcb$lMyhhR<=5MWm)-;JiGFpi7AJzHVvX1MEx(q> z;=}-sE2h2iDFa$3$0Qq=~B$pM7=ig*5( zV8Yx;ZHVu|T1Xe>{?TG9AbDWhCQG-YE0hjn$${1tEOaTpWX=SsEuJL3_n?pA)kLGEaOt4woU%>7d~G*Mp-Z9B{{RL+M3_~HS`TR2vADXew2I6-|d zsWWY`zbPBy%Z##c65OnCdm5iWEaqCNwT3yki~3%av+OI!Ek;#QQT$e$b2a1 z_e(VQZ7J8mQiF%T1t;JVlC&?uyADVa-9sp;%~6=V(1H|HSi-)LTtQx?d{SQCbOLLm z6^++9W-@%IEZFMGC13oi+_f;sh zJwd?p7DWADnLD?TQeLo{^G<@MB|0Ds8cC}2>DAtHZb?K(4r-%CeaY5aoN$~8P0>en z0?{@aL%hWbl zW}9J^E`@U`J%<%YOyN@>s7b^-mjcFyH0iT`*kNLC*S52`(5ex)^cPy}wnkDFe5(1A zDncg%A%m!;PSs4PiWYG7)2YZf2#P>MP7SqYCJLQ#kdZHv<6;6fl9~8pAdecL5swyh zI#NP8Vn**x4t984C1VJ>He&E!-k13o)x@eLNI@+(S701MAH`cUSY9)@6o{f9$P9_d z;e(*dG1mMkfoWfKrlu%%sg57);a_?_O0|Q^e*KW3gRM%*i0)F)NbX8r(aO<=Mh5c? zx?iBHOj%C>5rjdPah_i)JMvE@iU(K!>9!yk^r18YhdlfZ4_X=ZT%$+@s!7erJA;SC zX8?JSLY!;H{G(68k+l11Y)42*#4-Gg@)D`-gO{yzQVogNXC4-FcO(G_rt}{U0 z#Brprobk&$Bu-c-)>!bF2}M~(_w%hjNKcVQIKVsv zx>en-?9l@sNK`2V5-35~J$im&3v-{aqOmX)e^ThpZe>C)b<`_{i0KTh)ymEQ*>muxf?*hIU5SaJnfPFKO zo-Dw+7JTVo(Z$3)HDTRP3Ib)fafXteJ)|JAtSq6`0#jdeh<7aO_E&+dMPC_;?Bg@Xr zK7Y)$MqT#qQ~Is!+xNdSU|+}`bH&QnFYnv=+Zj(j{Llqw?7OP?*9%Jmc*8tBK7goM zIpL$!cTgTF=|_ImJ$B(aZDugZHOx~FD*(q~sg z0q}3Ee+#BGRcW1B@)9s3ucHF&qwJo(-78i=vrLoqjro|oid#QUT1d1c0o>|`Hv`m? zKx_%EmYxJM2V`=UBBOSAmX(!eN;2&DkRq2T?*8y^SmC4W>^@ehxDPEd%4O)3MDeri zn0)YECFGUMLw{spU$%hcK}pW+$wHGqe(B(a7ZVch4T*74ssk1Hu96J^K~iwsLLaU6 zrh{bV;M!qkG2F3o>*hCdwZojZJLhDgeN;OjRE);XL_ZDDoK$i5y1C`&xnclg}&Q`f)l93#7~w||q>A3C=F z*^l=hb80r8$3PIQ3%7z0&1R;EYD82a#xLrwl78#c`>|uIehg5y2ZF}rrRUuztHxFp zd1rhd2M*}I!H~C^Jb+vUzWeIJ(&@5z%;hDOs2#|IhbGgbx_>rm2m8j$6Md|JTH4UT zI<}REPFY;MRhB^$m89}FaldkH=vfx_WkVGxqn>w#;G01W00qs9XPaw?T!f_*dM2wO z1VF+NlAW!&7Y1mx+ML;BeNcCkPuLrwE3Pg02I?AnLVq!8hi?d$oxxOR5?I2+LTE`xVUVcpyE#LUSr|ET&%eFp&K`n{OaY%yww z|Jy%(On(Tq1EK70mzaRTV$3=0(Yoi>UK>he?>eXdm^p9Xd0ys}3*PGSZycsyKH;9P zKDcbp7xRJI~2@D<2GSorsQ$p zxQ-G|92i+>J4fLgLMCk6VWEVTL^Zi6JGC*JKeDj@4Y;|?oF0u(?QjTxC%xKG#qG6o z!khoAen!s%Ray%dX_-pM1hMR{P&IuFailz_BLR&d4IO| zPGP<^F7l)vE137u$ilwwH<4nNH?jySb{bjWSq(-O8hjVPz98GI7$b|Z*`HMf*tTk9 zp|Ne1s}$tzt5+QViY)oRiF01U$b!g+K1L&p{zVvB9RKUY1pxWgaJruhz5O)Xe{$$; zc`TvqHu)&KO2{i$O!$Tf6PaLXX=DL18e0YIn=v0I?xHVkDOy9^QxmX~6jUP%N-}%8 z8d=zCWHCPb5<2b}DB1sqK!bzaF%OP&SpS@>4i&7-emwiegnkPni*E=vBMSuu^&JAA zz@2^=n>}P4T=mI6y?XEKSJu6au0IB0G_rUD7Ci)>W0<-5ZSMz}$bsC*A`k*d=ll?2 zV_*IB)0#3qn}vc6JKwhYLKs;P%I?9Au^EUDoufw1#fNfYllE~zF@=^x!~1( z4_@B)yc$`|z{q0E*3Dz<2lI8YC3*E@Ki`WVLS}t%-+w*(^OK%>U?qX?YE7;SP39a^vgt7~4+oyiM^VE9| zEZJ22LEz>>=z|yh=$R*0zIOV~@4xuO#Sfjd`@ye_|I0Bi*q>=PQ6R>SF1&R_ZDEP&W%Up+8&H_&Qd`0mv^zyH;igVz8z_mNXy zI`H);PIzkbJ->bC_HRD9@r=JqJ#ksTLX;&TufMqanE(FTocmSrA=!uazxveaPkrb6 zzrB0&AAk=0!xs+xeQcI17P1L9=P-D7dC(Ksjh`T{PjM_egdw#;-M3M_xg>$ z{U`ERPRI-Uzy7P5Kb!yrUoz*bxBUY&`MLSvS5`jw*0>8^f9kClCtY?y*64hZ%xQk` z#r-SyU$*>m(e$5#dJh=Bio;~qipzIPr?vp3A{2ese zH15_@4-v|4AmpTPZyvGu?0$gs{^nCZ`pSPG$zT8Ef(Lsc`=*0uCZW_1q`e$}O zJL{WIW&ghC#W%ir{AKGm?|p0erjI@O{e9b>z2@|PeEot4E;+Cgn7pSx^Cp$5Am@ka zt#fBA!2tjMn>QkhmtQ^Zsi1rh2fTiI^HTKmH}ngY>JF| zw!uh}Cak%CsmJD7&9~jew^xkWpgib0ldt1TnOF_=ff`p~wJn5C2&(rw?BOiRt!CWV zgr!)EAuVUTB{^f_>;lCdvD&<57LQZ_y|!8-;f_{f4R%Jvk`2ft!0Aqa2c=kKHCGx2 z+}vitcwpOBgN%sMWY^HAp%L88&`kLo+@%7tI~LA?4fY`-6SnO;P*CAjy$8JqPWzR<*8 z;4~U*rr;|~(`hwWO$)4q-fe-aViy9Fm$`)(!g#oD#1gCgExQS@@Lc7S!Vn1|kD))G z!h4+X7%2$cbGI;PN zT|1zj`_P0D#{T)b$@~)($q~aSTYkswq$kJUK;Q!LKz&j{;x8|7i4&q5 z6hRQ`=rBB!6dd#|h=@H+@M|dP5;0$>bsOEleeMj=%>rQIQG~W$p+$eJ<2!gz4OWkr zsH{*?o5c1ZxJ?TG-DC(5bOVBENOdnWP|-<%VPjkQM2ANyqrRwirrz=D z%|yn~rFRgDplQqiBiSvX1m5bvX{qFJUA20xTYJRPPPQcYh6c?6s>3RAT->T&cfeM? ztXr|_>w*k|Y>Qcfa+FiDxd0CwDGcIQ%<@RLyvysbedO?IGq3M}#)0Zq)L9=Gn&*2+ z64`Q$2nJ%u=Af5+3RxpD;@rAdgQFobLPuXjle> z2nN{%B)=6mu_&u|GC-6KgdoYpk6qoqo4T)-KtqD|25_!g2%7yk-a$-QXr1C{+omXT zyS2tBs+Z6tS}X*x7+niOqaJH%R`|26Zjk{ie>}~g#+Q|O%Zs)G4o|v8;uta0t3g8^ zO8gi_HSuuNq}C`?6moj2pvfHPZZ!L{5_N&ZRw!(Y?JbwQ2soP7nksu+xx*%|n3Rl% zqU|xsr8%>mZJlBN*+#3Hn)-ARb9!e;c1#0X!{iEujM)pHFnfX*V$sQ!|9c0Xzhbk^ zWG(C;MJ<~tlZ+St84$y)>iqf*X4G45W1zssg;a};PcB@09u#~Oxl@Fy0eZtq7#~94 z0goD2y_ht45dKBqVJtQKtyKUdW>GYsWc+AAvlb!Yf*W}$?5UJH97#Y3k^s4z$kc^{ zf^z_N3^rh*I_*{JY4963hfO&YQ@9~?I;f}%n~KG%_UBp&mQdeMGKZ45%@-6`qP zlonZCcoVQ5dNowr^CqQ{X~&&Upuwd!D+Cnp{fyTp*LC1YD8IA`kJB|v6Bt>9Q+P7Y zC%j*7U&>6g;}hS*pkP8`n`@RWJxhe~of-6=N1t37XcJAd^+$I> z$b?meGWB_emK;_B#1`$TWClVVJ{`c!k$aEEt>V-r$+6dyB{AsfeB&i?l5rou(+tUiRBQGHhu*+^6YU9#X$Ka>3Ob*DV ztG*^QC}8%IGRt7@4fV`pty)OFf&<)ndUG4&B_*W8j3{oC^d|EH*?HVYolGfp*h9_Mo>ab$G znBjMbwJ^LjOdJ-9iW*xKOK=Yg2Ho!nNko&mI8v_^J$;o>%*kMui=^15K&@qSfg~7v z8jZi<#0BW#0!oUw9cLGgMhMrq`ji4-ojcMaEGNniexv&J50M}nr9*x9EtZ&;tQ~h=XyzI-Ci8> zu*y4g*WJu6wV)JdnG9#L16G-4{8(%a$tVbQ%t+@KNHRut8%%&$jZ0E8Sp~8q$bZ|< zfSM2!1OpNJQ$O$}Yi6t$b(5kDKhVtx}mu3A6q#LDxq zv&@}8Tm0s!cW-Qkl?s1W8?VrT2{#u>uKuagdntUPj9-2iVF^ES3-n%p^(G+rE?(M< zo$#;v!EL@{A6b7j^v1?5ciwreR`4Fkp0Hzm-6Q0a15@re_yZvLg8LDG*q#v};U2bB z-KXGmD;|LH$TZR$`>lNK-g{f&*iDT5S`)7t2iFN{^iMS<%z zyV!ChQr1Jes6=oHNO_rQ(Y|Ik)xBzUSvPi;nGe0O(@9)Fxz;JzOl0DO?up}z{B2%z zrY-AKnvgm51T9B08pWiEs9otQC%-k*=BjHcpCu%F8mxBGe^;jTh=V5`!5dlSA^ zwrZz&(3_>J%a_(OB-urK(0ixcISt#^I%_G(bN`MMjAzIvpX&5BMkd^-^(N(-%CT3> z+GVNk>m!RimMDK#Z)QVQ_BJo09a;*y>7(&;BiMBo@Wp(J)jTE1U{1H`lw(Du9LW*) zNCkN*$OX&XHBhiUygE9+(X`{PE8;HmS_`o&4rXp!@L_iX-@yk$KIK~1kMK0);r%Ay zmy6sc+i=@{QA-Nyjcyy&2kA|Z$GEk&a7ORC)u-Ug*oycrXLfvVReU=%Sv!SW>sBA( zNdw+*Dh}Y_LFV}7WE3lpGTGUF<%e%%@t%81ey8<_a>Nli1~G7TCRwK?L(~zb-eRqy z2|B6+7PWOB)@Gufah4QnLYq;KmTu9ZQ2lUy;#WWh|~{OL63Qa&}YQ$n35UX6bvUZ9JdsR2HR=38rW?ltyr*(VD1Ey6&7bg z&<{goj!rewE#%A`@28Ku?N3HY9m$2nui;E)Z5AYO2Bc4Pe37PONJB`ooqEA|pMZ2q z;>IGHl=LkrgABYOE*aSAUP{k{HAVta3=hPZvJ(uKwK)|eTR;Ld;gS`xF@>E)3~5o< zJZe#d_Guvz_@eO!I-~T^4X4=Q0;D0>Fs#886xAa?y@`z?;F2Fk=*S0`^hPWusmLt- zg*9SP%fb;aHb6@i;C#v}8Zk)>lXxTsa)=dkax~~#D9>X z<5pEWpopN3VnEc0{1wnVk9v{`<8bEqaJp#A5{%li!y7MhJ|PfW#m&97+Ew-8oRF>L zL62Eu$b+ci#qwe_afXPwZaYSz5Q4%1?nSIE9z&8W6_$&LJb|&ssILhXerqj8-RTI* zPfoTdB-w__oe%-Z2teMZP+-vc$jh{)Ndb5mGAHF-5AJP|$tZtViV&pte=+X1wsO&W z??k*!^+mMp!Y)tUc_I4@>CK_ZMAEwX_!Y;tjUFFgYz|knA|S z9I|A;@8;F~kZdG?HOLV6rV}yxKTNYUc`VN$7 zkG8U1mKU*1a_l?EOU&jWcfdYVal7OyfY>5;T2!$|-vL>y$aoqO%o^!w;3NcdIVwpR zPoiooa3y9SO0nO+QC~sO$aYiGO0z=20a(`(~-y;mC-ahMIwSf6zSNvFt(Ewp2lnqIY=$ zkKbr;qjTxnVUx_E?2?caH8pdo)($Ih(lTneoS49o4fcsN%vcOmEt{!|o)pBKn^cuL(*+N1l&9w}?N~L@z)Wi_irAr6k2Dn!KN6cH@u#7DpCvCi3yH!I3DI zhviTg@yG>C!InZcJ-gM4%qbrcIQ|6wShc~?i=_E!JH$+mry?#vR)Kc3LNL|Q5O{1& zls*&>bv1WTmzj`ET}9Jrp>_f{Gr)_doK`SuIUe;O@F0R;|7I%HQ6gIs339Y%jMbZh zWE7waUZj%b&{?1YFkI+QvMtsT!8^N49IV)?;Y26yr?d`E(`C+m~4o%<~>hrrb*zBsA@C<6jLE>3s6 zklhAC1yPlf4qKw*G}N$|g<1!6{3E>`OX5*CBPto6ZP*XlLCg%*oh2bfo#fbH@33I$ z6o%0i0b?@?O04#QAxwxSi+y7yE^@@N_>9D8py1FzR0xm_T%*Y7!C{4_z-3D(0bLMu z5un%y6W)4U)eh%i#&bAy>^p!2eSbhchk@9BWHgx$)Yli)F6V1s?m1)KX+tr2w-H+H z`dR1f#1`!PG3!qI#P2z~r|%R+ehi!0FMghOeh2x@{Q(&4V`}qrtQPEDare+2)PLX$ zp)&+Z2l0Dxf1mB;{f$Rz@K26V_cf;Z> zD>rNIEpBL4t_mJNcX zB@cqT4zk~A8HC=8a=Z8|#N^ko$2}CBdaX}>M7v5QNx%W4%Jz4DhflDpJRA$0V&c|h zoei(;gzSC0;crFm#AfqdFl9IXuHAdybR>CLy;V7xIrzDRQ}eU9z^b4|K?o{L>j^DxaW!jixi*OM;I{VUwurks@q zxaWJ}3Yz~f2jX1$*cjJBAP8esMXtyGqqMF7xj;`<~w}uuPKh+Ob}d zldUxgX2t7$ZpgLpmm^Of@Hb?Kkt9%G=lk5-!Aa(40PPuHMyUgkXd0V7I zZALriKBcCIxqRce z)lN$~iq#XfEv~(EkJ_2IWhZ0`YiT2ihrihAwN`Eg8O7|ZcS zYO2QaxIx_@&zlISUfPV-7vhrfXW@@P2}nU-_C>t|c{wwlN~*lLT8ww*g7KAru&W5?XAUt1C#TTws4emnRrw%4Xz`jABQnuFe4f1n+pzW zKw>u-qNB55g2f)?P9}u46?&fsJ$7`_1-qZ|S|MY&tp%kto9qt5E+dhu*Ip%fWMmV2KQI@C4G3W`T`tELUFU)bBSN=wcxq4jTkr)wUxxN_KV8^&4cWj9j>=^d8;=wJNGZ&d9t zwCZ{fwa)ulqa795d#K9Qu(a>4ZapPvokkF1SR^})I{OSXMM-xi+8nY_)EDn zlO*Jnsz=l1P8%gz51`pSU2*X%x7vEzC4k&kH2e0M4xj+0mB&ewJtSLOiDo~ZG-fWm zi1eP;yU{6=s~jgDCIq17nQh6^@b~_d#BTWDM!%sRLeSXS%TsCZX@i8M!;{Lz84k9+ z?}FY&+WVs-rM|;E z?ll5Qhq%cn4d^5oE}(jAD0eI(W3ze49_Txe2ah0|e8S7?T~}HuEH^mXRe9MUm2AVi zEi!olW!e^WCS#;G$)?WJK+c1t$;uotFES~fstSxJO=K9WRU{6%Yg1l9idLL_XKVQwNR zyO?#J%$9QT3)9{LrHf4yb7zg?@O2dv5F(6f$r!V)!we$bMj;wCWr)c+(w1o1UFn3;!U+_C(9(F=NgtKoI33U-K02SJt6*{8QpaeVeH4(lD;#3csN>iVK8v)!% z#W5LoxUf6mIRuG+Du~<g@ z=m^N*3xYsIsi+7rL8=H2h!0#)LTPaV*HKm2&G;ar+UJBc?ng)YF@?k!#1w(^#3+34 zEBU`w-=Y6Cni$TXclGDF|7OV=_4OTCsV0X1GH_CGV|Mvwy;3m9gCGYxj_(aXm&pKrX zeDZAI6Rd~)`;|Ql)=yPMbHG&rI`C^dHmef*`@LV&x$|$hW5D=8@4&GzNxN$QK4pA% zg@Q(&N94z5EzbTntH{Wmo!L4{@(T*@*I$JIc|_S?>)E>gGy)B7+J_a6=lxX(aFYkI zmQx%&QioPpJ2nSGrb3hHTEVmP^3qq(Px8j)J^MyC2igqE`Oy>%l6-gEopUZ!eTNMg z%y?-v8agT%Tkoq748MJBu&#HZw;tTRD+Se3#)bvUYP(xpj1nWItvO8y(2YD;W37*z zB{9h#zc><|pQ_4JP!Ern;Ej9W=3-3QeLdY#_Ia2ybLkQ_LfkNaIqyya05}6k~~RqKORqEbz=|yd|nv? zx81|A{@V@)ysOWje`aLX(w6}iM<{&Y4wI0Iaz2lQ7#m`-n$~tCJ1$b%c3s4t4}86N zsu|j(F!x{Mg;&p{`I5G6(@E|lb`<_FYP@excWwxq<%!E0%WDarj<{1mhSLiE6DBVh zRv{MRlav$4B%0*M>yuy8dM}+@1HBDzRivqJBDx9l9OB*(j~QN?*U0qho7LRFmmE7r z9u!7*3uKoK-~mDtBni1n_RuW0(!zbq>3D$C!_4q<+S|^TzI9KG$c8a+Hl-)U<7Br#x4DvZ9bTDNAO^mAUb*Y-(RjWVK z-5vQaKqK4YWx_~wyVJ{gW=-TXihGGNeu&;1P#`A9ms9bnB8Xvd{wMw_pkVpotsKSp zcSUww{!t!T$Wi)L(NX9`)?Ghz?eaRfhhfjVq{owtwV?y4!q^~!vz#R;&6RpN>6WD5A;I=V;D*7CzG(LGb-A!K_z+4_TglLga zfx+Th#;fTf1<=%Qo=?~rZpI41D+R3nM(9m9APCHQ=sAkV>6DE#9)TiDRUD~smNa8H zp&5n41)(qEt>jL8KSELwIQ|Mc@hX)c&UXc~NI4b!A0$6|Fjx{74TIyz!Xf%(pQ#ZT zerVzZyMA#*X^IJ^hDp4Q#4|Wnf{q{ZfS~B$!0DwokFhc+Dd|#(4s`Da8EpLp_mHaV z{etZrTAjbE8ttzxB<7Z-yHK4gFj(a()x=Q^kH40@_QrJ|58ARY8Wx&#UJ{vP&!v_R zv+XGZy^KkCv7rrQ)h6K0MKnVx&(esp+d(E+TAO6M)PlfxG~$~3@i?t+q*6iC+;nM# z8rz@JXQAmo`Ym?(hNcV0LrAJ^VX8E}ZOd3BS=PJCjKAnGN(#jmOg2;pp4DP$*TksOe|Hk@$WKy~XZM(J- zeFwL7;~q4j%CVEhpZ(@jxK{W=*J@W}C&x|3(_daTs4j)!g@azqtO$B`^s>i>dY8Cs zRmbVJS>#yK*?$^7f$qc+3Y#rH!#CJ-Ygh~XQWiN*b%mfe%-Im1o62c|^{4q46AWZe312ME-eQY zxFyjJ=UOYn%w432L+@Invd48JcYz}&)meyf@LSA9!vU5K5a|WtAV`WNnZ$fxJ*Va( z5P}N=mncw!Gtgae6uu=C(qx^CR4hi+tZ$*2H`a#MNE+x&{HB=gl4Arb{|C$^cGM>s zw+${y1STTU+Eq|`aok}9jUY9BNdSD&$0-eEj@HuQxh=}oK;gKI5QhCRxraTXE(7@JgOHLZeS8cR&XYvA^x;iu>OE=oT9nUp~OfCtB5+?iUp^m20=c+t6>O;dX>jMF)%VAUfKwst^WJFU{wpt+_Z{5*8gDQrgh@^j4G_rtg zs7cFU-;~$=V+KO5g^<~P87k>lLLOyG6H5U9;!uFmXXXcw+WvjD)?rC_Cz14i{n{vz{vGb4^fYS4wIryh8!)61f z@5z$a51L<%*4_F>UG`vhWq&ri|4{bfY&J6n2%cH?@q-}i8x7=a;Ml>UptnXN93QQl zeUPo_Ke)f2?R_O73Ox5K!g{-v*;`SO!v-@5j*b6-7aZ$IvRNQMrs zB!t1kg=2Sij@_KeLhHJRNg?6}l;GiRIr`Ub?E9On9pEVVb>v_uS6czx2R%n*DTSA}CX!6*gBv9=@C}~;~T|0c5AT=2vD5-WBC}?&4cvMMJo#M{o#hf{> z9|U$Mk%@cD!YKRK44c8s%BQV`nNS@ooy|gj7QQCHu{=0trQ&{0)?H`ik+l#!MM$!* z548iky#r8lnp`qfhW4}Bee5&Pi-hDQ>6HG` z{u37N|3tR$<{5n*CDSk7IbF>DCCK_-^Bk&QhsnV^63|=c&Wy{y^YAa(&J)fosSeG) zdG<-rrCSN}&edsywTa!c`65<$%Xqe7euNRktpqiR}eHg=R` zI}pd?q&XDiEUQzs!^eCe!HyfW1q{ge{Cz-KR^ce31?#fV_lWy%J?5;UpbR1JE|Q*J4#73-?d0>g$=&*I6=s&Ccm^_9JIU-vTd!`50$Q zxQgZ$3MKCmGCo5IGf!(JB!-kJK2NM0fy!)Z1*qgzOX%XRrO1oKb^hy zJFix=(_T6AKb?KTs6%D^_CTiP3m=FZmv@GWS$1=V)or~4UrO zcR2bZ3uFUE7N_rO$0lpp#uDmwo|ru(a=C-SOf+)p0T5_pF+X|V|9cIEjC#>3=#j<8 z)yU$TolB^8K!0A)$l|lUjAHAd%^mDOJ;%{iiJ3KW1?TBZ~`GuoG6G@X^Qu3Swk& zrW#o+^fo`Si)G_Po_6A)Lr4$Z0dek_}l9c0_c{ae>k~BdP!Nm29(rg zB^QbtblVq-RsXFop5WvXyOgFFK5A=C+JHq@vGs#4wsO^!VzuB_Ep4quw(I*B6=na* zY7LtA_nb3x@7(0(0|+0s9LU@=&ph*--}9U^=X2&f-Mw9~D$lH^$y>=mEQ%h|Jgp=M`u{!%l^yq!*F8z@_P*=`~6->4s=U$Z-9X$uiyJHaPM9IyOe|6 ztzSwD`IkPp9|iXd%ikrxxl+q_zM1A$1>!HVoV-rto5?2cF8?05_xC9$O``oulw?xvTk|dkly!QbJQRD+XM^upeaNpd=CIKWb z9FgokHaWsaiY)lD60*N{HXN($fwb&i^2{$)-+|n@+RC-2p}~nKcHC*Qqj2(hN{}m4 zNM?o%F(|-UCmgr*hw7WERRZJ#Snx^-uz~DdL+Z>7*!w#w8Y( zCR%h&xv~k7Ri=HLgp4NHPHi0tStPleiM+;8vL$zI%2ahEyHw^p9QhLY3F59ZK(35R zA@)p=T_DjF+40IrT1cPVaUZKBElG%1s@i?rKDjZVd`1}rz-BTmX_ZX~A@PNHNP5d2 zescS;C7GAD$v{t9-pSC?Gwj;4RqY_inT~Rg<$H1>pF5{0IR>XdwsZ=l07`$TY23}H zDsFOS6vQnZ4yADqVDEf#+2ngfg8$h9k2h9t`hqU8VA z;UHfISG^U|ZJh#G=PrVl(~C)+%EzCPvY!Ext{h>HhyOW{3nOzNq=Ot&0U*f^5jVV9 z`DE+gpe2&*B=Yn$?p2DcxJmg>D(_a3+?tR=i0l-QmhMpP-HRI@)>@BTh(gjUNpkJw zXM<;0nQ0#G3aMpU9myTQP5%FYgdF=GfjYy){gJ(@c3>Zppk_;s4y+jBZCC|hL*=zI zWLj9B&ZJ~q_D+sw3fPeMT6iND6Q2m44AYyNAd(D(opE*9rY{=t-w&P)d5Q@>Kh$^; zx>aX*7Xn%!a13;bppHndI0w^h*#E`lOjEn2X!Pa;PAF8dN*r}VFoS!UL_f+L;ao(y zNw<5iJbTFtPy(S!#%)DGlAdgjIZalv<9IxlV%8g$Wr*ISp`H7;*qXX zc?Smf)4*K-kFrcOn2%4^^-jlZF!k`N3Cu2$Nyg2{WSu1@twP@c{(gmB@;G%V;w^BP zsi{sv(K@u)`H{;Ydwf3p<}!n1=Y`x3Qiu8u<15kfQSM-esaCgI*yEC<4!Egl?jR(s z1^`O8w8BwcAxTD;LfS-GuqxH(QRG&sj%-!EY*5^3veMEMazx}-Xqm0Bb*0E~q&#p# z?y2MFj^wWBmBo_^l3u2PO#*xZS}Mc($`e_WT6*gA?l>L2mMY1h>?{_{`~HWbc1UG! z^HA)O{h9Rou6RIH76iI)y$S=TE^R7F#qHM)B2`9$NZ=1uj5lkGrR;OM5k+e|JNd$6cfdWWEgzUIWB$W}!Zi`ofd|5Mb*J=_VIk9!yiEP2`FFfID zB%56WA)OA~>4N-s;GQw|`-EhH?6`+PE^7WU4Nu^c7tXgED9L#EC5$W*3x!=!TSa5D zuQ_T~>1Ed~3rj7rXB_%1WD~B+UnR8kEl6bl zxoIGBoPiCk-w@Su&||y;GWLc6 z+1tHc4KwmVWyUL5SJd&|GcE!p1>z=cysohZ=UfO?bif0GV74L|a|B0J;17Xht1lMm zjQZS`ilmOpV5!rT^Z+Fphz}GEin3FTWPkyK9t0={c+g(nk-qhll)JP8zaKP~^rPyR z2TnbcF zfJCYD3*sIn$x59GQXO!wz}Mlu)M;*ZoE8$Y35xqj7Q*GNOcF@y!i_#T9w>wOPF<4Y zB%6e&qB$xp#OGE?a>*S47{u)a?A;sS$tdRxj+Trct>4^M|NlEt- z2Ua}YdGz^gKjQ!P?i~B{^lx7wscVDa=tnkDK*km_LlUC6u{%p9w@+3=I{YjaGkPbvuO++k%^)Y>AeWR^>co8^ z$6S0!_JBgND6fzNY%-{j<50eH2f-ak5-x@Z(=xz)GM`K9(i~Yk4CI{D^Zl7iO;lf( zpeKQR@u`BOX&96@w6u_hbT$}6kX;<($dc-693TV}Rw{QCIqi_R6lBa~lI)P=mPu;1 zaW>rj5}Aj1bv2qbLZaEei{TnjE~`ct0WO9OytX)y6I)7BvwbAMlgUj&NXwLLE);G9 zvQxOz$}8>+A!&6o$o(b>3^K_R#oeFs3irjmN-XR>G=P~|+{ds(6EdJ-2SUQG-X&`X zBy&0(2MgHQW%fhP&fJ?yC2eS-pWc6vY-dQ~8E1V@>@+DTL{SC(_~c-pTCKWpx||Lo z9nBi+XLde=S0$p7RQz_v^^<=hh84n4Y%;6~zWAQ39WJLWu$5~~>@ALcuGJ>ur^!cW zlf?lGt{vR4vte(nM8Ns(@Mgopjo7t*XtK9at`C^=DS%gHvB?{L@maWWPI?b|sm|uP zFhE-N>Z8;0iVPvcZ>luF<0aeouMGJgmM5+K*;i%lK$8rvi!qMxDKvtiPh2`xJ*ni0 zwEuTsB$&3(Mh54wlkMy_A##aws@f~bJkQr^q;#yA&4SX=EbOg`toGJ?d$%P*8+>&j z$R{I*0$O%tCp&JJhdYl<1uGH~>=WVjl6DccX|>!Pfoxbb0lVRMQ1NM|MU_ZtI7$wQ;~fcTiG>d`)rMJ-(1zMY z6MVn9vaszSbubw(0bPfl$c@ zsIq|ol9ndO_@iu)%4g(dz}{q&656^}sRM4<1a|~v_u}@G9A5J7b$`Pn3w~_fupxHz zL@Je3Ofc>dC8-}lelOi)?fZj0Q3eTW6oMC!Qk=Go3A#_spyP;D2%AoGirJhKluc7c4DEbTpRaRgZ&Vhq3Xkf16dv(kdSvyc=M}A3AKPjgyGh}6S(#fca zhl~WP>PNsWYvLix?!(>Sh&+8@Q5W873BhjB*bcb?9fAg;;Xmz}4j9;1A2_2n`VFIO!O@Jb zfG-&qGA&`~rVYBJU}H>*>9nV^W;zCo=Ga0~$h|$OgX?xFQCyZMa7I_Xt?4AtB*2r<*$k{i)XD@{{itG~v)g+lD|C0RmFWEkoxcNYL_>3p+7xo}WT`(qP zv&?D<5_w6c!k@s;z#(PBA#o2Z>*%gtc!{hX4$(}i?-G>exsQ+APUR2vv~1~u_4wF( zEy?bcm-qxD?XVS}NMy~1&6(skdJFkFgnX^9Y?PI>Y%ESl*_U`(e2FjQhAsFQk1quh69+X_Lv~a3LrxpEEineN z@lCr5CepH{1r-vS{vnrmJJaf5PGhXQiMZj6ZjrO0)=x4t79c^c^KrL%Nh-1e zhys_18+Rz#B14@NxgYKmMQ()h1kj8-{Q|`DQC`~7qNh$Kz&^^;I!-z-`?E==kYlrn zl{fCgII=jpe$q*~OMR6flkU~Y?{%7qu8Q^yx^+WyLAR>^Bq`E}h z?JDa1R(WSBvVZRVM!mkYtE%?mprww*&7x4BB@HHlKd`9;nWP&3=GPXwcXW)KsX31+CKnxY6W~T`7fN{F}8aT+!hT9rT zU5c8km6mYh+fS>S0Fr)%WF~nunI>fiai0Vs=75<-%J%`NY;qF%4*#jV-JD7l*53#g z?oU=_(N{IJ!4^Kqq}dENBdDW{sza)24$%B4S}~3|SF(xI5RzoHAR1M!JBeg8O=R>F zm7Lfv87mw3!;UfdYoG}AGEDq2TD6ejKj~-)9J~-^wjWi$su87R1!n3}J~Gp2JoaE? znQKpY8A&+5)F7 zaJqIlT?S5D;Isv@Ti|rS+&%RekX+xdIxh^SWzXx-`eCX3@3Y%v@1uKfIM6KterbJg zQ(f166L7z<>4rCYdd5h@etGZKeTw@)_siQL^3AvI?Y{nn4|YF%p!>S+<-gy0;E@9l zeEEf~JzIa>z5LgYJ_eDC9{JSXtv!3B?3+Jxp!@pY-}kM%4s2Wg$nqEe=fHahUc2s` z2U5ASyZi0${tQVbU%7C3&sLR})1DghrS6Y+Pu{)t!0dn7cKw|XU;2~H5OUFzTVFMarZ3$-H2PZ9_U^&Wdwvg`#PmO|A99j`Cso(eRA~8-Cz9fr}k|9wdDQ` zxL;WQ;*_VhlaNpC{mlMnU*B@o-f2?EAEl7%y8mwP0|&Zazir&!t=HcFrGJ0p#Yg{j z-LKy||BbvZ zDjQzB>e;OaUYm3KReQJY+w(|yUjoB^zWo(&=aIdG+$n(X zcfY-D`BPip{LL$0pE|#1{zfSK$4?>WyKj1AM$gv$dt`w~arYzSn8`|=C13eJJqQOG z4;f8%lN}8iD-el>OgfL!`N<=TVMa1js^c`-Go_ZL(U4THua<03gaf~%IoTakn*kuV}V z8fyp{E~J^ESg1`HwRHElRg%IWSZ7mBe`qA&6;eShm2MdqB~W^O?IuxW5yGuMIh(7hIYP`z0U#nD5DAFS3^b%*Y1v5 zj?Es!>y$j?KBk1UM6`nFsL~MIY)ARdQH*f6go-jbu65hx{gMUXI%s55$mLpXOb(^O(W zV59I>OMbFr`FUa2vF!o~!5hp-DUZn{!CfKXKAXrJqtemr_(F0W+vcEJ(Ji+SUUnDU z&$Nx>Av=$eI+bPQPRbKz_|inRJGgQN~w5J@Wk z%0X_ni9Do-!s`h43}k~A-*7by>*j8VSUD`SR0W>2!s=)PxO1K80`&lL_&i@lLZ}oS zho^xI+eb_CC2aDBI#oNcR1m4y(L{o8jvmeZ!+1}hS5d_<3TI}rUum#`B^auDm0(`4 z-Ax3MIsTZp0Tg2fo#4e~162wIPEk?Fl5|3$lxa583>&G&=-d-6qu5o%`)ol#q9QTc z!bMa2PCAysk`Ck6nT&%x%YqkN+!GA)i%IWRaBBkGtTK;;=!T(TCrR$J<6{eu&1azB z84fg)&e;DIl-~YH_y4Mz@3@(+5zA10hp*t=?KPuN7OK;!Ec zwrSK|xv~q~)zi^8&?*Xm#6X)IEV1!iIv=nghx;wx0)4e8?sl593kmccV8K)tt0YNz z&#+0@02X9X0A#Sig*s3j>R+SjIE;vKt2g%Q6<}uqZXVOJ|@rymq^e(cFU>%ZmomJa6|+7 zG8!vTHgL2dt`@Bg>nwpMFMol>98tk)tpot#@gabfyfR|#ppJX(SajT-`pAtDCVtG~ zBkPKqaT8-xh`fQmsT|!<*}(J-;sI`(Gd|l4a`bBSi<_PAHJG}Vh@;Y2_-#B+?dBO_ zUB_b|)AHEUR9deX{R?mx%m5OBn#W|91AuMLu2K~e8hbbX%_PW)d<>4D4l0e70;`mB zr}DD=I?IqF3-y2iH#ZiV)%jEm(I?VTeI=a2$04Mm1BQk2<7M>)rsvW2<0r%x-MYwrp?7<38_VIr%$=;DakM2FP;IcFw z?EyWZ4@1zhgKWr7&PFZd)bY#`s6$PGo@yNph97J{Ql%of>cxJR;b3G5!h#Q{xaNUiF~uPGVnm8G`~k z+G=LACG;J3(Mt<({CI9V*ID5R9qC#q_%>tjf<0$aGRZX4KsTi9KPD5|fEFvPoGzwU zSGeJG1T;mhO=LN%z(YEMLQq$E9R>IavH7I-$ceBkaC2>!r<-~|G0 z?kj9X5ctt)r(J_Zye#)~UNHoZNscoO|G-XBim5!LlM@m6Q%}m;;ni#AVlTqYo3SzA zeVaC72f%H&uf-;Ke{1BWO8L9LKSbpdFxBclrrM+|ShDt#byHrEhW*QaW!SH6Tz$9M z48vX%u=oGjcmwRcfs*{yHDmm2xSNFRmaM9x@Ys8jtQRfe;_SieT9TPa!>vK zGO5n$ZQELRwM{wqn$HnHCHo;&*k-KtxEHslarY{NlkU4u70ri8_IY#9t$(fKm3jM? z-bYAwZ7ZQ2E>E2j`_wfzczHSZzD=EF-Xm4=>NSxm^R6AAGHkXdjcjuF?dKpHJaulp zX#WlOZTh!m8+Y_6Y{&C)ZEsWlETXhYPP0RM=M>AWY3k%IJ|~x~y#LG|ZX|YE%YJ0f z4v`O{zRF{x$28e+L;V5l%mZfr8?*i~DWr349D5;!MoYuaUPrP`_-}CC@pj7I|2VoH z`6Z{b z`8>y6R}x#jZV~{7N@ji4*~v6J(L7$ubwjys*QoHU*%L2{#_f9Z&(4Zw39!f=0VL1f zZ<&pDBYEY@9q))$$`@8NHZdH149mROyb9b<{(P}I6rTC{bz~~Wmjd8;XXonHV&RUq zqB%O@o=JusmV)d$>2fm2(?CcZrz`W>;YrOg)XUS=Fqjw zu#-`rpRvhwubp{~&eS3W#X?OTOdxgqv56*25)h6df<}oNw3dKDh+($WHv*HM-)fI4 zZY>ZlH#AmH*OE34Ihr^8;X-rG5{6mI%3K5E3kq_b#D*+jwtj>aMqM=JXsu9!u1+?8 zEils}Gy^`oJ21G&OA-}+w^~|6sb%m2_5*MlF4O5>f&P+~)HqlK0P;LUXk$&mY>IKl zniv}gZyPX`u7+!zH-M}OB#v#W+{w6^mItJD@q5ApU}pv$r@{t!ofQEV<*dPMuuZ3k zXk|Q>d4qqZHqNq{%}8c7bgC4H`df4QY$xLP(~Yur;0#quGbZ9t|1lj^SBy~;cXG+& ztmA{k>{rXtLsj0bHe7e&I2!;P`Cn5FDVz=17iV$tJ{&Z?YJ;#~GoI0ibSjEzY6Nsx}nkA0&*9 z5Zq(Mj23V&GCMlD3S;1gz0nv(leVZI?^rhBHkjLrG6>ncj*yh6%HZlXmMjoUNK0o< zsqF}q*tQkx#&HHARkE>V(0+Id@=72X!qc!w0&Hu>v}s~=P2kyyGR<+n$yisSb&jQ% zl;p?Qxai_s4#ReyEnIh1|4$k+EgHicb8%-M>u}sAX8a;yon42HWOd)d7DzH>sv+R+ zReVrl`#$s5L;qXW4pxjK6RS~CF)bIZ2{VeR7Cy;jG(5)v$;BK}gIX33r2J)BZK5yT zhc?T}ZYoA(y|lPWSrAQQbv2rf9F%;<%Fidm7NwHR%g;49X$dN`EJRr~-tOVkn{Ax? z*-(RK#6hFO@^f)u#+nkQ*Z0nym{CkASTVe5G&;Xp=PdsW!HSGLnU~L8#sw>_=0#F@ z-UO7zR-x<0>hv{MHJ{$vcI#P&u(c8Ax6(F~G3c$waR_UVQl6O(EW>6^HN}=VVb3LdLz`65-B+fyMJ6_*BCh<7= z;3G;tEBS`SzR1?_2;&UJ6d_5)_aiuCtby0yLBgrcj8R0!J-UsB2a3v|mxB$|81}2J z26t;Y5=Y9mAQY5@?0d6n0eM1i#W@9VtBCw*ZIJ>)ip1$htFbQwA_^9PZQ>Lio{&aA zh&(VtJD$m9M{$lTt&(ihF<;=Ia~nsE6$<=h4W<-jtK`Y5TD9aO3!d2U=mJ?gT#o79 zd9PuHdH=F|@wagoO`EQt^8Cpq!)?>X)h7-Kk{ek0rD>a7QBs(lyMF)Dl#t!)&s7%O zGo`oeH+*|9RF0`g%XOZ;uaMaQLC6MjW3^2Rxku*Cmiomvz$UoVR{A_9wY^N+IBx&4 zj@@T3tJrnh)INFH+xOT~B#C8`Z_6wO_tbg&=Tnj|%bfprBByX;g+r;ccG=4)3`+K% zDe!D1g}gzs*PVO$t}#=_y)xG$gG2xW#b+@IS-5fa+GV*QpN+p8Q;ydrZTwX*i}y^K zcfijEa=(>X1|K8Y1W=Lxa&k-TT8Tv3WWSH(D?Y$~+O@C9m-%pRZ zaNc696TPdxmgEU48=MLw59=Z8LSs|TpW%8-2?=lqa%Z&Fl?cUZABXIHG+58zep1R_+MC=s zz0Qn2R%S+*R&8`Iuse5;Vx3z;UbW3=bp-(gIBV7FP<=8d6wa@9%$@rx zI$g~cZ5iAFfJ#d}bWvm64o6`Rx1s{pvy9biCeIvJbq25ra3je(pZ^;kSsZ;gO=r|^ z>?g=xFpUDJx2b0`a$EKfMekc}Nswfnv35-I7mku=l8%F>a~yGvf=!3V@h2#fBGZMK z>f$O!iG%=fb&F({hbm?hi=km0U^a!hVU_9{rVudkFMG5?a^aAVzCDJz8skE@&((B% zK;+^+Tv16#K8yVrzebv5OR}iGk=SP7Od{a|r*sLow|Iy!mO`>L8o@;sbnZpc@*sf2 z3x*OSuL{o!IV8In(<`=5mcJ+MK$elg&;))h9RnqpA25*zn1Z3}SkKB;Ygdvp>w$av zHNx~V*rJ#hL&%8?5B`s=9RgLCYT`~*9fj&Ev&s!3!Ak;RbJ&sW>n}+(h65`>>**vLw8_zUk?S_t#*C1LMSS#2 zAgI9!?}V=^uLC0Ttgv|rT2_!%t2%)!*oD;szC+0dNY^DTM~!Mpl!O~qk|xk3+&`n) zA3X}mh8r#^!P^n>5%phOh?XyI=%dfiP1J>F(hCT_miy2&1E65x`VlOgjQ?6X>Oe`{<+n4x1n1B<*SGszHvfP!j0Q!BuYj#5t2wrxISJv z^~U%=uUr-1Cv9>IxK$QIdChh6fn6U({StJ_EcnS+*()Pa_oEI#yt zfW&P>h~$=JDMWJnLXMPNlFl88q$8chkPF<6@eV1wGmynf9V_}($8f95V2`5WM94Hh zCwm&>2)nV==~@+{jPsT618_&^r|7~da)W~>p~*d?e{PjzA0fv`wr;pU)(*C;kpvx8 zlOXGQuX_J0BPWkROT2Sz)9p;4G3aF~Y83=%1}w-KD_~lPMQ!bT#04&S+*rj&u<@5C z1dbj#!`{?d=+uVW9@(b$MvybAK<+evitB zteK`@4J~FIyEWK_E&mGkJS@!Hs(I!%xH0jFwofIg7d~#6)o5Rzm~>Zwtl@&3b~R^d zrA$=Xx{-9+b0Y#JglO+?K!+Dt{2{l#dDCunA~NWuVn*Lr*0Ew zG-bORR40qQ8A1|wum~mK`5mS640~q?p=-c|FhnvRgu9609R`{u#hV=f5edkyWXT#< zC>Xny=_~L`242fxO_i+D76t&~Z!jcasjx|^v?#a>3^7#PgUNB~rq0CSChCMd$`fM*Y@B%F@E zY>G-UibN~=_ocWXsHB*>WjU-!^GXfzQGj4tJ;9nB1sh`#c|Z4rct~_MlUZD6x!|B6 z$!6fhA*!Ay=m#|pW1Wl^a!DcuPz-#@jT25uYMz8@x+ZD<+&|7#lEB638bYi3p`(15 z(x)dT2DDxPs6em`VN~Voat((?0lQUkD#g8ptN?%iQP0Z#GaRQY^M564heMbjO--=U znnmwD(trJ?Xn#TYQvKO-lQL2ot(04Ekg1M5=_oDGh-?-+y3C1R= zPPi?BMUSh`3`e!`EtPSn(_M;h3gmm9w+Dp?#LqDV;jzn6Lo84$m|CCRQerKN3K11N0xXw(V#*((`>}>uB1FlvW%s> z#yC~oPvKn<7QRDZ>=WLpO`~Ms2I7k)$)vZ6GK17c2bI7ZLa$br3$Ta***h6qLIg!t zhBa~v9jo9aNfvJmSUA~8pP0{ca1Vk_DXPgC;?4+; zJj2Ht2%P@wtkfRIZqXtjloOT6r$T_q$;k8(N)0SWsui*&1}Df&14S$8_d5#>875#8 zn}_9%H06T3sQ5(icOt_b9j9*Jp`RMB-f)&0OwCJZ7&iFG^mc|D8BNxQDYNm zo*PT^fIGecA7r{`;4=yI9h%&FGynYQ;rfQMo%qDk{Q;>oD%_O7qRN*)26Z~#1;Fx6 zKXmZE!%X`AlV3g2ipCja$Mbf?9cI^kb|ttQulX3XjQ{9H`errWLERuX&l-oG2Gp@z z$R;agcSRbOl*gI{a3i@m=Kt52^4n5rLG7m|&Ezl05hFsMbrZ~zT?)rhoif?-9YZ>Nz4I7-Ub$Mg)nM8f`pF`tj#+~oc_qK`ZN_=NA z2tboB)s*tE%V^16)h`kxn+@Qxk*yooow|L86In|hXE_nmk4j1h@>rD%mR8C%IL#*^fY? zFpf}YXx_yFOxsVywo>_Q1v71Vv61D6RZPAQuF?-;~I-}eqhk*`u?g4MQ}At}iIJheE5Q$_0U-eINhd+cwvcJQ93qcbPRBN3b|4@#k) zBQgWY)3cBI8eV4z~@7!+uD4NHELol$f_)i6LxL7q1*Oflb-O^OQ%%

b-W#5(YX{7 zproQa73(jeH0keZKlFibq7`L~q$o(V`CP`;0fUc00#9AXdJY2Z4t8S0|K38i!`mk| z(V?aW+w0qtL**Vi-^fFQ@FFt`dIsdtw){_LSwlYnCFp5?=;^rqO+Q@6qA9>KYr6;94XT<%joOh>9P_RiN!-9a9RPdY-_rBn5F+L#w?Cbne~4XvC}($N&eH)Q z$#73kxaV^H(I2s?KRe^5gE>`@y<>Y4>R5kb3qN=)2kIO;_-PABehVRo-eZTRu{(dt zz6T)(w?LiUPzT)l1gZQ7KZBMdUNYFU9n+E)djq&+(AZfA*)w_D_t$}&5kSvWJ%=>Q zSohr!Y;q-$0h+5@j`c{nGm`SH|Dg4}*z^d?d-M9d*V#vY{=0LM0oE;%?a!Uj^Zc}) zf4kuQ=XyT4f6p7w_PqDR{1-3ndF`y5y4d#ESNDFdV{za zD?6O?t)Bn>*1-|edXm$Ulcf-Kg^mTW60W0mxad<&7ct}Dwlk7BBT=Fb7M^`GxHDu+ zG6{JinbXcLkFlvXtE$SKmo%0n^`D9ymIm07m2 zk7Q$#+MHtj6D&7Ym?D3`{(Lw&{csNJSt6JuKatEWV7Z0t4vT$H2^lf%9RfJA$E;$1 z?m0Z&OA@sM$bs5HKB(4+Y5QjoxyS4wZmN0q9>i?t=m)@GbvYRp7EGJvm}9AY%Pwi4 z+F_Mm)%ABhqgs15uYG^S^ba=8ef_f|-}}z|XZ~y2tBY=a?#u_Qv$D%XW@5_^Wbtg& zyr>;o79P&IWjoal7fm&?6t@1P3D?6Em5 z?Cepw^RZ9KO?gkVq5#02!%|3o4um|v1hvEExyj$&uknBo#eI2lOqDk0PR(l1jVXYv zyN7kNXUA6YahU%oe z2_Z7bzJtZ4?I&)i-ox~NggW|J@Z$zsUqnnJJquENn8Kxgt)5-pgr42#tNn8K)H9yW zIcL(&bCN-}q~3D&oSazC-IpIcYwqFkbCVa0d~f4?_T02r*C%tZYaiA1>GpmA77*whyk~YLHe=T7Q9o>}jC2@cPBq z9@anomT}%e^OnaG0p-o7(RVoX>4OC{C`jt>5Vs7nV$W-(k;U_uJwm1RbGxrU&ABL<^Y(RlJ*XYh0N=ktjx5X- zTVszTpTWptQrJ;QGN{Ba2jCDnlLVk!ELPzV&}&k*pm)N40}?&PDH@lMJx# zp8ngGv*u2tk;UP+_w*dx^Zx7}j4ZZbWO2p^+ec18H!HxcqN%GFg z4}IwmuUvTj?;oE3lLKGB{{8!&-Ma5xc*2F>+~g~hKG^%t%|Cp(d-*vZAg%5>FT8Q* z)&sZge(lk{ThF=W#qJwkc=Uz4{?{YR-b%v@4ECS`(If8;?$?MerXzf z+jeg{@a(@m^61{}l=C-Br>cJe`JH#b{qNWBec;lcK&zSHKJf0ByUTyM_5FZH*%zn& z>fx84Qa*W!+;anDcQ5}ExcC46*S#=x??W#>`}zap_I{@4;R6>gKP;W^HcT1u!aLFp z@C(Wf@Eubx1@7y*KfPz|fxWMMcKQ6fKL5bIZ%uvS(cNQ^@*^YmPI`0Hf$pokEPnC> z$=N)0xXb77|xqYwJQ9y6oakA8vXIj_Dp41 z>9f=|I`G{$+`r=LjnR^tCdaX7!AGpy&Z8xvi9`l>O;dx$X0Dmzx*C$OEaThoR@-QO zv8h7SxxmzBZhJJuEuT|t zwbE*rhw_?B9o7hdHJTP(<5(l|Ws>DhHUN$`T4rcYH1q?@f|FWsV{?Kc?RRy;$ZaZb z#Fn#$M(WHi{tmv|;yRSqDjTKWTpJ#IL!I#8tJT8!*9_nuRRn-`o3@pty!Gf?!lg$H$ zJ+czpVw_nSEwQCx$==PR{2F78(Nyjid%^Q+ntq_Mf|5MQ1`{cOcQ}767T7F81k}b8grEIvQ-8y*Ef+ISfc4nl! zzA7h7F}O)(rQ@YDBk3qf?5-Vlw@OD z1-PlR$yuDyB88CWEN<#m5JKM8It`)0*=~qSM@gJKvugPZ;1y0RGV5A(o>yT;HP(u} zB!5a$Hj;$w0+PLoWP=+?MyFTltTSGaH1%Q#vGF|?$cqZebu3)4@q9Mfoq#0ah>D4h zXaoS89c(xaHV5VXiiw>do70)DwNI$zW`X5y=5|S*WtTWS$w;ft z9;{F$wjxksTTx;?^7sH*dD)F(UDgvq>cHKZ^56@-QpFw?jf6wYfb!8u@v5(SLWD-# zVDlalvWaI{_y=>Hd@3hx{<9+=DZKB6?P1Ir8%TD`0`ju!w2<>%B#{gz2{w?WY?DeA z3=h*3Cy)fHV1^{Iu9X59;O zBDOjc>IW@FB@f|4#cvQjmA>R84x4fMkq3hW88tWvd`fLITOgVvujv}12o~f~h;lmG z%(Udo$x-5^jamxTGB|xgY_RbU((BmYzze$;mnrMHbQgr!tp>^Ac&K?16*99%0|5(x zpsKMsh&EpE)$lSEHjcH5>;e=}vq6(^=w^kPB6vMYW7VE+ItL|Rpg5Nr2Hf^J_)=73 zqoSx8bcXMMbIJYT9q|Nao1FC|IUmGao zZIX(Egh(fx4p{@;A%jd3W=RD_z^Uz%IDt&bObO-w^}&DD%?93QBk2Y!#W5rya{{8- z$_$96a3QXOfv>zN-vmxM{;=^+;aC!K;=_U;2Ko+EGiP-jdveICu|*|{3L1?XegXhB zYJ7ua$!%xnR<2A}^%gQdJ2%zanX(^?;v8gZ$cy2(osgjNuZ}K&M_I)^P6C`*^-a|B zGZ%n6r|L{_qd_G9v(nl7%oy4i#ci}rf{>D!;k5909eesbQm0CB$Iy^d>!e#N&`wrD zh*3<9lx{SGj25NS;WmRQ$fN9x)(}hLrK6b(V{PF6hE7S^W?hWj(rO${bz2#pyhyAQ zrL7=Rg^C2Ns%cW+fx%5JCE4;gDh_uMRUDJ4rx8Z?gm5pg^cd7h&Wzl@s)^c3>N_-` zyP|8qb}S%i&0ETm771xGYoR5)?DDf}W5Qt-EK*fytSB>oOJq%T63T?vcc8q}W3U*6 zASDf2j@2wz1Gx^pFLZ7Ip*@$J2*cjQjW6E7ExqjKko|=;EO5hA)zQc^zF~W3ao@Zo z!&2WNUnwt>glq@_8A;;DSb-lHwrxC<gl<%DTZE^RZ|;$pCJSp%!u15_gjnqKh(kex<&HLK5H(;yy}pS%&gTh*XE?!~?Yh z;E*bW8Q}3l8bg(nMb1actUn~w&8L2H3LtT7X>KkZWxtCpkx~g=50`E+qk9)-l&XWP#;ctyHigyd5QK z<^s*_FfbaB?z1;U(ByV@z%@6z`Do8%3H;%p2&VVCdJd=@-u-0kx1k3XG z9X*_Az^H{6q5Q#pZa9LMro#>S5i~u*)*~f^gw?VLgHVC@o<*G4iijM(n)=1sh6X(E zZrrfh3@XGJyf8iDIzNh=d24qxFaiwjj>+lcwI*j6z(g(_6k;J-!*zn;m?N zMaqhD-0k8S#!VQ9*er$thv}epm_bN#qt{sM3+^OXkcvE$<0qL7RR3!5?~UP!oi;4S zI8ArYTtvfLz?ODzlq5Hu)nTO;u1w`ESQ zVYaNxEZarmI;$Zk6`F42oo&qAG4N0_GC*1(aD|dI=wLR{fQRA;IdaELTb}9T1mWZi zQ#2H;5Dc43cT-SVjEF8zaS(o_&#%PWhnhhk2-$*bd(`4=SA#SjJPE{wZOb!(qx7v4nElS9qo%T@&+Q*uF8dCrj&sse7|Gp1udn;Dbw|p>>FV`U zzOew?nY?z-t@HNJzHd_p_80l-?Pp^*l2_qTmfRQLq_{hto>H{>tZ)hTng&GX&TZd~@mUE98YCkc7= za>%~7ect9tcTkFg>@7cT=vd{fE{LijdxErrozira=J)B!+G%QrmbYj2k7%-jE!EpXL)VAr-aYu~tY z%H8CA_nv!y4%wSrQvUXosp_xo7*9#wb=O<>?z)}){Z4FLIOg1WPi=;&mPzNk($VZK zQ|>04T)TPmRp7p_a}v3wRc?Sm_8dSbNcK;ZY$RFJ9rPufO48>BK+m_W@2z$-Ap!Xz zndCZnHJ(2)3-@c+%sqGBwH@GIn95?<`_*g4q>yCD-jkJl?c56?d;inO%S|iaN+Y>> zTb3j$xOZeQP@ zCxa|M*zL~~F24GWJAH8X!`;V~X&-fdF}U)x!a{t8&|ir*5sK3WBGS==DQ<^eMSY|!c(mA#D>b<+9n&d=d%=tWMnevwnW7F)n3 zLKk4C^LaC8!+q>0%jiR9H@A$oVkuq$A9eg$>;n=ni<%8(M)UXz>@QN>ZeSBjcn3BY zX^lwMb;iSwYv}CGyepApNw^kBD&rI2H#d&mUyAUBICg&-U0=IM&|a;Cx&Z(6AJ%&Q7*4vT$XS*<122x zIFB}rC7Ud^qxDNr2FE)Zv|QyDm(&OH($zE+%VLp-EoACekYS@{wc|E9jsYRK6s{dS zi~aJw0$cUNL0|3CvS|Iq6`c@*{Z>*10-NNUe39d>wH-lTjj>y9`e}f(V{lVm1|E`E zpnMiGKz6R}Sn3*~InClCILNIF$Exj__0=5)C7B2rK_QfBN{9u+VuK$z4}e4BWHok` zVeC1f8`xb&A~ECm;xomafn=D;)~laU^A3GF6{iBB|1M89bh^k!9Y&g+Aq(9<;FA!k zCn(fKmwvpCuIwN#!8v;MDF(0GnB<@|;VyyetQ37_$&I*;CxPPVOL7{b=wAPsrUn>m zX9lq=n#~MBeNU~jz*e6*a=)`}G(&`_G_b6p+j8bmi+0vTq$*a>!hytMRt|56d|k`cuwVja8boL| zMircdkQ8XEmz#`#)(pWmNgj>XnfWB$G-R$}3?gu&Ax%f(p+*%pUZn5ORlsc&xM>%g z1?ZL|L9CD1tf`gAM;ge!xr7vk5d@I1_}PY3IHY5nOIE-^PGKOP%uu3ENu1FRS($;; zsmTG|AR!z-HjJ(@0Y!02){@Q9BunTyTQ&eT;@N|2l=wK1@FukFmz9G1 zi#2G1IvTXk#&>*^n~D1@mGg60)Le*a3Eb`+)|4oMZ(H;Rc#Fy7^YEKa1Xh}!jYd| zQh?>7!tg5RzYnC?i+uI}@Y7*QapZoL4x!;G#z&klNa z24xy51LZs!Lwqe1G@rc-DL0wuSU8>#ELaAyh;Hw)3jV>Gvj=k$MgytNn+@nRI&5nK@0Gov2A8uR?StCr2LSI zra=I8t)_S^OhvLSc5yZC^vidVO-UlHVu;rCYBH=}>?whar(tvz2v$AEar$(T7D9{? zx2Z{WC`owPMKxVW$Y73%?)LoHxBL4;BVD)OS^yWRJoTs zX54F~k66!%?Og0zp7zO`=BDk;@0EMG2RzCur>b(t`dx7EddXT{CV3ADS-9mY_|1Le z=XD!*tvvUTGSx%~Q9GzBAw;f!4XYeP-VDR4E#5B&`KJA2CWC}M=x2NOE>S}EC?tQu zQbt?V#@aC#UcPHPHk+4ZiDWmBVBrJY+dT3Xta2#Xp3~s{bEnZ({W34xst)ZYFS}!~ zQXqu@;0+shA0i={?sT7Amg#(#v|M&CocnIv@en|+pE4JJ^Y&fQ$9*x8m8nwBj(f{~ z%>$@%=caBYTLP4(+V=eFbubm-_Wk|#1xQ*-<(22*l$TwQr4Xgg{@H#ubng23q>a07 zBYy%|%Gd*SX7>Yd%e?o&sd9(R1uaVm9PX&NBp`bbEeYUJb1QY)SG+B22O8k@b^-mfiUY2XedDK>?|l{yQ5{yKc%6L;2#G9uqEH$R_&p}h~VoOZ0YR_(x229SVU7MZot!S=G&Hv~rek7L~e+)plvcdl}<-zz?wSOrq#w#k?Q0XH_s zcaS9BV#2&eEMnaO6jDf+4R!E-&$`ftoqDJ~`pF6gA95}uZs1P9RC)M|$0c{6Br|sf zUgc6YfxBMV1b;ravS48}{>e^CavhdnNXQHlqTJRg?f^NnAg{v0M+8XXRSY0I+ekKY zCnW^j`zIjDDr~t+vI5LiLq;UHJ2h<1G5p z1u#`LY?7HIPm2<)FW4%${jT0xj z-j>PjXj8-{c`7lypoEJ!^@3KLj(a4C)oc~dV>+jp?4NsG<{V!XsD5YI#vBOx?pVtx zj({C~TAOa;bnpVayj4Mv?$Rm(79PMZL%Yo?5Wxg0$B%&UXYlKXc0@9J&pE6^)7c1u zlv_|J0RWK-?MPBkR1T?hlZH#SO$0A+`ZYCu#QRFpRnzSG$<3%2v$)JX>C;zjWkGo7Z71Zo2*{W&Y z2Mbz7*ycUVWiup&G`n#dZ$>nAF*v}HtKQCJ%AKw_gpg-eycscr-brYXWZ7buShNxW zKdxc^-yMVmzHJ?M2HLV8D%mx0DP*OK$@oNQ)JkxBeV)nDi=D3AU(jarlOP`sa_OxO z^7rdBy9)qtG)#pgFP&&IB*_}Ia+h$E%bX%B8p*Tx64gmiFDxKVG(p@Z6Cu;)Aldlyb4P;kkVv{f znns8g)5zm(1NBvKv<2>{kbW=WkIH>D2Ao@`?V4yYAC9Zou-L)55Yf_;%!fg_1aEGOT_ z(F~(;<%pRqDv+$P0L%J~CT!WYBBLlFc5m*KY{F*a4>ysj8A%GdxCUEchzQz$sH1vBx@gm3VD69Z{%aXoQ0@#@kOlHsg~jAF zGq(GHv;a6M+u|f$QaRH(RRn=xQ=TRBL)8(P!TA=~Y>!)b^XNF2MVK*;SX#aoNQo}! z9I~lO@d8eLZgk8|>Y*FDYa6KSLJ}vJNb)l+fFcO8{&7}n2rSERoLNXn6tNM+50Yj> zt{1>f?0z6bNS`3kTR{d!4Is7qmotCMiQ5oNk7Uy28Lp9RKLpb7NkjToy3GWyp=Xyt zAP`#BPwJ>;=Vl_sp&}{)S;NA<*q#B4Zyc9eHJc1$2z>V5k0^%VU6QF&BLsGYB15Du z(fNr8FaJc=4uL7^pr_E2OTJ4^BYTs#axR*tdcBGGJ1`~ddm$zA4FhubBjnh~*}Uv- zi%Ec3ued|5aF7N6cn<(}6Yklmx#&yQmtf|)1QYz8quCoaCIag0Q(Er$`LgB=EoW2i z+y!^Kp7Nd(TM~kGg`bbwxEew(S&wOZ-&9}slYAb6=DmhLOvK|;hK-ke2cKZ9hs#@# z-raNIyv=iiIll-lb^i%M{)qq{m~_{!JHFltPN?&>e}X$*Nv2uxpT9*D@h{`j95si2 z-&Ny5CO5#3PPzP7l;oZ%hxadglal?@#)VsV-8oSv3FWZ@aUaME;C7Nd6@GJ77BBwF zM$d09$RN?*vb*NJ@{Xs@*NB^BkMj$}5#;4ROCkSf{gk(##)6IVflL5B*@VQ$y*&#V zq=2c&4e*73B-{sr`>*!O+F=6?sSe>5 zz??wb!3gmpnzoN#U9e$i!{!a)j~t8Iub zc8vStPTMVTOS?LBW%bpeDd7GojmQ%Y#_uFVw`N|A8IXM#2XoDJ;j=Fh`T{-)Fn5An z$l5nR_KHNj;=x-&%9S66nOOM1Fc#c0i^C8E555r6;QY*tSBPD9nb8(HOD=qpt3}_R-~<6>%vW(Jfe#jk*`{JO=>{VD%xsM+?EHXl(rPJ7NxDVNW1JB0YNQm z%h&t=&YgM5%O??qwOub{-prXZ=bn4+oqNyynERVG_3gqXX1K69!AD^<-)df}kE($RYWVdw)gs zUW0N6P`zm(m?F6ox3(inW_%`7HYKTDleBCF16-_xEGJDcHUI@7fb?#zjd3RrwhXcH0h>y ze}q_<4*lr%ER=`Ed-0%L?=9n|;?B7K@J}&#+Z*lz4`XKoI0tb#iCZvX?&^XcS z=`tO%sh{5h^jqpxKIu_Q06|AH3PND{9Uf&_+Mfrssv!Ze-%0Ze9PTy7F!JDW^7K&o z08__`M-30*zZzqJ?;e08hDm}FB6)7I#F>YrpjJe&t2BHSu?MTGq&bP$S&=Stn24bx zpq0@zqBXFfI1fr0^{rr$qbL%PZS)a@q2);Nx{3)@W@MwQqPh{dA_4_No#|0_sj#$2 z{S?eeuq}ctrsJ;>e|~`jt0_U6B%SGI>>uej9W+U25R8E51U(6-+rYUcBvIc-KpL=+ z%T`bD&GaMGd8Y$cR}>@ZsDiS0`ojJ7E1fXGqJqE?NlOPr!gNeCTlf|DD|hXHWn-|O za1#S^Pk}mMrCP0I+a%6Z#Il7%i=Mbo8!hjVkGSuUQ4{xB|MgZA_fkQh61hvgtq-q9 z3u2;{M|ECb6^}a!PR)?pg3JM0ZA@D&VkO3RF-$FqJS3Oe1T^B?aLWmx!3_W-mVjpe z5Dc-nwi;x`Z3KreH>~`Mxdoxf+l6k!vd4DTSCZbjXjO+t!X@>QaFeri4n;YFzW+4Q zR18;b0@@pUG|ik~s$@jureJ9GY!{PvF*W9-i5~hjxaWbpD#q(-n?N2m0<#ck`G$={ z^QoHs!0R>?9PTU)nY21$W?aKMjjT^5n&OFobuMN(>dSA-9~S{P{+z{V_F)fr1g>9l zGx#nSKZ4y>tFI?AS_`n%s;La28WE0b z{Q}jP;tY+kpAPursvYDKrxc`g+eMQFb4(ig(n|~>IIG3iIu|Prb--*ne(8B?&|b$8 zta!RHJwVAM(>M)-O$AvLNE}sAUV{6a0|Lj>7AtV|zSO6t*Flj5E(;c0fXN`)j*3C! z!kt5T>R*a!5EEl;2#YZguVXwC^ZdlB0zHUOgVh>L;)Et%C~)FfW@@x1yg?r$TVssw zNYF-*AtA|#bmzlZEoCCC zQP%xtX9^^^ffCpyaJWTz5CYdw_)O6t)IOmaFLe~7XR9s`;Sz?xe*{-IjVuKjQAMJ| znG5F6Kdv1<6l-%TO-u?L=)?=?B5)>%k|w(4iL3h}U8YREqmU|YQ&Raa^Q7R1t5Yo0 zH*|r*qly+M@3=_SEpBlFk5qhgLbBV*IL9OSFL37RVn7uXJx~n2Mi(O`HzY$KO*t17 zrNF5dur(s9VHE;zG1oYVCU%BoY@XhLUJiCl1WACZ>!dhy6Gq>gQP5R|1KRa;4}BO+ zd8iwxYl_r~!6{lzX!tP%NJtirbe0iZX|8NiPX&_rK7%CwWf>2-+GG&i`qmXq_UJv( zqiQeTbYCTt6`8>Os3N5#wjh0Hkq-BIHcDT2{C0{jThI-Y4@JFcNL=)wDsh~i@crjL z(zV0HoqZ(RgGuV(=##sH?Uc`uGwl#r#f=a{1$838qwvFA+}!o4!^KerV*A^fsnk`e z6d-R0ym$;iNuP)s>>O*Qbh#B6ETPqoj2RQRx2}-DwvDFPfYh7*>1^nAj|`3GP1w{5 ztkqPij1Z9se788&y#5edbfWQ^q&DM7&2WVq;(P?bz@88tJ`06&-s*e(s;ew4bxX?cBiRg^(=->^m3)Hq<l2LeHq0rqOsiPnk0yduM@fK&oB7_xUh zNqS$Ess^?#8TNC(9&#wn)W2VYeX4bn2&Oib9ZxA_LV66bW(1+#4pd`y)}JoyO!g7h zU`EhV#}ukDu&`KHEG+mxJAD&h9{l%Dp6jCQ9#bg0?<$mCjUci*$C0V*A9fW+N%jr0nA$pV@3X1Y@Kg$rx5m52hP<$iYKKeNFzIqDFjxYbC0N>b z*-+tP+YUH3EU=vAN2~IqITL=o^;5}ln~sNeqjoq}sAS)Bh%MS2o5!>@{+dQYlr5y% z;m}};a?8ZM&y9WS+qbg+d~xIDhm`DC&ctJ|A(=|y&q6^&3Gm%^B;3^HY%{)Lp6;K) zG|MB;?aG}49L=3<@S|)77C3NL?h1*rEm8|p?cgU(dXVjs*|~?Ru#-u3I94r^gL9bA zr)mdJlL=IpK*7*@_T7Jn1qneE5W{0nv`l2Ksg#|m4pb1sTT2OIcz9~1zf~D_%Nyr< z8PVS#)DBxGigCv_h5D!+W}zZwXT5!QGE*wDp=<3hSfLufV;o}0;&VH3W5`)Q-^vkB zKIo$CzVRHP?0%O}c5n9)_-=2t!=Q=pB!IH(FGVH2=iFm+QpdBt+H~hvQ9EoM+$Dxg z?M}n_Iqpho*JnEo@;{)+K5B=|mc@&uPwo$>N&4}0l|(zRs(?rp4dn*^2mhr3cj)DN zMW#|(>d}@%?-=jCKt%_I;S;DIJZ={%dhj7%DVw-G>4Ok@Cx3ckWkCA!v#ZWM)I2m* zaf!V6y`x3D(G1bccV+4wyx5D{P&1roF0O2H-Oyo&666~o!9urqnE7oPO?Y4avR5!gSVHCeUy#8Jeiloqybei zhjVhz$ChS?N|Wr9$!^r_k*2ereZ?WHps0c^2(M7=g5&Aln9YKNjt?7sbE zK|j?F1IHfQmXl;Jq>YSU)PaXcLBvOfT?s5<|F6b+hRxtR>8Zg!`!j&p9)GXs;E?2% z5|cy}Zq9s8=$GDy+QIkEm93d%<~!uuo=F&;a#4*@JNUZQ4nluK6PVg*oDm&(&53oB zI#703+)m)T*JVn|JRdmmg)Aso`UjxwwvMg8FO|yr>XwS?e=Oegv!TgM#?yH2cB;Nq z^bz(QfVJ9F&m!H^Ohr4Hrx)%{Jg0h*jXr3#0bTS$ihY4< z2fgv!KNTjkC%%ZD1!@O{vWuPt0CLd=D+Qr9qP#r3s6qSPM3##B4=M27WWl4$ETH$a zR5h@K1N(oe4BOvaO^;iAw)NkW!Q=0h9lS2Q=vnP|c!Sx1F15ptfNjeL|LId*YlqKo z&umEhLfNGy)Y{d6({23p%s=fq@np(H*>!ssKJ+Zk?XI>f8~rC_T5#eYV8KZ0&ht}8 z0}nQ@UAa7e(asIX%QF~Gd{5O5A5oOu{y~BgsA?Mc^yC1d-MLc$$h~dX382@$`qiTo zc5nE~s*{3~?mn^mvxKrsF|RuCJbobL`Gv2I|LG42I`*P#HXPrw?YV{5ZMbp+@Mm`~ zgzSCS9=+(R&~yKv!F}C^wj*g!)uR($O#`1^`GdAsZ~D#me!k+s(SJC4Uc2l?8;ZVj zJHTMa{_@DJM}Pm?|5P8{|NIRv9=`6$14nN?`r{o?_xht3zXrVD-#xZ)*M=+KY5UHi z<3GOZmIFuU9=YHe$lm|_qSt;n_`3&QTuA7t$B!z2+=IWmed9NFZ@BW7UmiX2+W60J zIDX=5M=$uos=cfBKff^bKfulX%Rl^O!Y`g7*`y#L%0B1<qo!#-i6RR1Aq4TgkQY9-;L5EU-|umK$N}oXYVOf?H;@TSNqr9 z_WQ*E_Wka{FP*q+?HB$+__Le#?>g}OqTTNSkQivSWM~fwclW_d5T&p2?#Cwla^dc$ z9=~z^kqNlF>!g|X+QK{TOAG0pd(rNPeT~(x-gNw;JDxf4>ep|}ab@4O%f(mzQidkI z2uZ#%9)Qo2nm40B+_b6?soZ$&JsbAE()P`ZcCY&THE%tql5_mX*N-gxj;r^B?bywf z%8fT&w_(pqZ9c^G_iL*G1ANoJZ20BTe|~NZge>?%2|$9c16wDX^rFcrlRcE(XRk~l z9CDFDYY4rw)}Wtm*q?vHWC9ee;Tk1g_A~LeP26=(4Ukz~yk8}xz}D)Q8LJ&XkXgA^ zB(bV!-bkm$);Pd*mpigK64ZnGPk3aUiV}vdM3fY>Nh(wsBHyU9HMTjJ2P9lT-j)nA z{39dNzru*DM=Hy=8F!Ry!*-U&okbN=;Av?CmNu_O=^YCWge(WUs7cM%t!0xPgFUUF zdJ&T&%EY~;8tF+%Qvz;$2c_pSDCjKlLw#YH z;8x{iWNr;0FwF9-=7?p7AnS`j*)?hkhO!6?tQK0))FI5uyO&P|cX>@gE)ZsmR4PFx zJ)PJXaObi&f`s>r#M=aUYdT^YAmy^~5MvN3$gt8jV`)>Ri>6hX)8uKwp!->3;8VIACe1{ja%1r|L^PkTc8k(^WEcFW1yue!AZvLOxf zi5>nNTcc~oP?$Re+~whl{%w*KKyFY_Dl4#02@(%5v%V8QX1YNzRxY^$ z08*v7uu4Q`*x7m%$dG*@<3O`>7>g59p4MP9!B~}3Tn94Y>cIv#wZChbq?RnX+q;LcVEe@Na| z`kt{2I~G{+NX*oeY^>yGA(c#Gfk7y!*TqAz$ZY2Dj>0AgH&>OxD3Vhw$}Gv`och_J zF?p2ANJch*t=+I?t_AVq6=X7vfZ@roy=Czvf+Q=hr&I!;PDIkV;|XckBty1>^24a= zlgT1x+-w;%g~~ZS4zd)GO3&iYPyemu%#3P`$Q1AiCT&oO6T_meHQq?9wuXT8eS@Ye z5T$^?G6{GEAJgzM7_1DH5f&(8$#2;pmgR&^HgCFA354FIOw$AwFwlZvl5Ieoz}sqO zA)O2lX&Ob!*i!sqmL99EO(8iM2L}p>lIIM>VsXjxG+HZU8ChAeEE0kQ>RQOeA|ho6 z#SUVIg_HnAFH(AU-13-v`+*&yp4vjEH!xGR{sOslc!U!%}uEgAHj8 z**svW^b4l@B}9Qj;Je`J8jK{OW)@Gvun~p=gMj?SF0_&|mDBYZ35NXYiy6K{B~?Zr z0&M4*7t-=UqZ9;N+m{eD&3$tffo7@gyEJsAfwrU}`s1i-!tymk^xE;Oq~WWRl>_N!&%A$@bG>)$c3Q*-h?|@ zDIl(kG?Mji^kVB5*V*_&K@Tml4MN>@$fC-yO=NE>I_W4`8P~%S9%Fqi+)PnmP6;~Z zQg#mBgmHl;Ch9Bm&?FbZtq;IMha*p^u8$I6uI3bkqC~dkWLjq#eU{xn;w_ zJql>Fy8a}+*nrAzVq6mh)q7#RKzoK%;eAg!g^UA?mud&9G(au^*>b>*RJ%fMhFd}0 zsHY0qdGMl_LleAJjMc@@gH7Oi6F0yrRKE{T6E~h#6%YxTzr^c8B+c0k85VR6+s>Uf zY=|nPA}@cdx*-ZN6*ggOl>80v_^oEhLMt4je7FdfvH@UC2P zvNV0um4erT2!amgNO~BZ5}Bk+EG~d*Il2i>VcL(dq}8D4EK&|_)N{t`QF>Twgaeul z++6t3fV>qh8_>3~`kCT2$EqkS5ksG&mK8C$zMt?>j{w3stKk-tY=9>5Rv=33WF$&h zpJL}7nSSC6(;6r6l3Q-)A1h_5Q5-KtX+@vVu=$del4LHK+F(7OEP57S$ySB5Sy4gnEYJ#zp!ULU0B4j-bwE$Mpw4GgLvLDA9QmrzFqd z^ceM&m|0J!rHynH5(iCJ$#HFf4Pn#`%AX!169sfXon%WrCBWTb5%>P-IGW;%M^x?5 z3FNa^aMBK>&}*=<#kw?R>E8qyAhrbW>fz6>z&;v%*`&>dcYOk;0=8`iHvn?K^~CpU zzP0A94xm|p@4{5K460RzC7@X)yUMd52}z@;&QpeMsyZVR{mC5z#{c4miQ}ig`P{nc>mcN*Z@JjE zEAnsn!`bQL^XUJ$i64sOWP;fK5PGL=asxEUENrCrN^nn8I1P$=uS|)+-Qk1}-#V#uNOXIj=hZfs$ zO1L4B4ZyY~{8?~Es_->&4Q&`}`LXXxC`7=%d+Z4C??{M|(1Xpxf}!Z;W5!Lf?RlqjWane6 z6f-iq@OvxHV)W^{OsvdFRt~Ac{x;iw4ZVK?*@@htb!5S^VYC%XiTOGbp9S)mJWA!1 zX{F<)#JRHf8k6PFxO?FFV-s!Hfe6JS63dpdI&Ky76pi{ zz$H)^^B{SdjB4syCqY7A*2J3FUAZ+=YD5vq=1FMi`nqt~Ni*PP5?SEXS4(OVbS@M4 z3OuZ_N3;@&q0@4MSpjIo9)U8ZPdFTWLzS)usIXkn|Q8$<5|g(KYi?TkBlnB+xg zO6^KRW`4gx;(-A$fkcKdjNjl=;E!vJ)K@lAKN45zpnE4AU*{t0B#UWG8^T$VAq%C3 zDQfHz#G#e1~1_Ny`m~lUF@A8AwLbU z*D=4y3X~>FHn^KtFam>}#;L`QvJx~wWeVGtgjfpLR|pmnaTFmtPI;MATZ{KKm>s&9 z)tfOptg=`b4Yk3GwIRAS58Tis1_c){X42WJ=Ut`4ohY~J=fy`)4;G>!XHjgf0@ynw z=S#-C2mo@era4O%GvNe)h*^fje@&PW!b*9(PKvM$=)-dLK&Yy`9JLeb28~LUT#^Xn za$xfQV-)RSJU*T9e*GAFg9W*=i4u~l6m$%k$S0KDyO7EVLEe&0GEFrdxf8Qlnax42 z@h=@inydzHE@{$prge}Ns&U_093z-e?hJH{mc%mD62TE3V)Dskt9J)G-c_+M+XKTg zo62BIqG39KbMv7fgG&*HP1a)H4$65$4-V{J*x)w=ttq)IXUZExlj34zLqao_4zpxX zw;;PdqVaxd(swFPZYt#b{aBihwUUJ9iN+y}gXX8Ish^mof1BKXU_PS8@6#rel&K_{ zYB~-q7nzUE-mXV`7?KW(*Pvmv=}H60nhXn&!~Q%FjIWXGhMr|hkTo_bD$%<5i@@hc z!9q(6vJFI8oQC~4NPnKjC0cnw6p*>E&ImAb@Wr@htD{L1EmfqJHDp~zjtKHnXv}Iw z`y<0){CwhWg9*u|*Kl|zSTJIJD~QMOIfFT?XJ>yyw1WQKa@-m0j% zr4ms}rVY(8BrAFn3PzS+l|)37!Xas#Rc8pvi>41@%T1)xD6lqPrZX#Rs3Cc7(ej1} zW+|drLE*cKWNUGVhU}mOPpiQBq%Z`c404S2lf5)6ibJQOshq8L3mWyrU7+=+#3BL@ z+qRD^))>1=Xo80))nL*EZv!WrK$Tt!ghDmWR8WWBQQfTK_z8z4lbZ(`OjioJz`op~ zOjCHjl=v>PY1cSB3NpBr3l%Ph-X;DE0I5z+MX#FlqTnf$+1QUV$}a41CIS`>AxIUK zdsL@=9W=WUw@s&^6O*oUTY1Y4$jfFk-Q*FMf#b(?km-1DE%lIaZ_v@`I7Rc{9*n5O zxvBy1dU(OiBO(Q%j_HHb04W8vbeZurzm7l)yYI)3lLb z)(z}c2_eD8_9t!EGEkUX0iz6GGMY6S^J~MA41-A=tbl-Ujz3%yx1qWg`be&$jB6r` z`HGY{$6ygNIxq%ip>!_>S<5;8B;0X2HKgn2`zw--7q(rnv9mPu; zbYtt8po@l{Z*-z!!iGn)iXc@WrSCh$7@OzUIG(fUfFS6g)}qlQPC6hJJq68xB_(>H zI!AVKR4iDPzbuMmep^~N()`t#31fW9cbI|E)RTde()QY?4BL4+Awf^C?2Q=b?g0-q zALH|n6QbUK83Xkz*fRqRT!}u7QD8*-iO_60QW!LO+t1&Gk?wR z+wo`5>%ip2Etd=-q@dap{R-O1-reP#^73{>S=IKo60+3Y4Zo`GY})yK&8%N6cv$T! zzbgOm))mP4UALu$cnZ3j>>_R?e%hKH_l`xBK-pcjajM#H-QBMLiXZ*cnwwURKfHAl z32`^zr*g7lzJJH6b7tH_rD|8cin49NLt9t93%O$sFI{z88k3i9b=q(JvtE=SXBzgJ z&SW6?68`MIU9ic53uo==o4ehDorUyDKD^`X@l*G`f^2vfi67mkCOrsQ0c{=}KLZMm z_~R}_`R@7=ol|*xM$UICJ}Q;&{`UWll6Bv>H2T2w>IEdEDe)srAN3GrAEr7bZVzR5 z!K12npe~!^ZfD*Z?dzjURx<@OOnn;kR>St)WdofRo6V~z(HBtsG-Twk#X>vv9FVb1jP7wCdIdL)&Ol#V&LeoNp&qE>mSNIyqRX z414`3H;eN#i)Iev{zeGFP)9Dd)Ne<^RW^bA&W3d}t-oXz8FiuKXaL|1{s*uIJIkH} z*<&&_=_VUCshD4!V~+Mqr_{!d^%l?vq9r0Yu42AzZrkh6aWH)p2nXQaj10R9)Q~nH2o(Rn@8t)*1axPB#T7s{+v{*(M8G`ZiTNoEb;u zuO^n0x^B8kN)KMACUHlv#X6t@c-aB1!HA|)t+)k;Bh3uSdJmYffHz5l7U>}A#ETOB z0>(KJBpp1mI#r|?PJKi+I0gh*ZautIc0t5rV0k7R$t0vl$)$IL)S0goUa-1Ka{5sR z>hEK~9D3uwX2HM3ost59BSk>}I7%(2D>W)l^mOo(qI#(i)LUVGOlh!27R?zXSS!RK zO2O#{VqkfcG=V=i=&U4t+VM+#(4r&URWm-X1S_^cX=L4E@8IinM$<<93M80kbF$ygu0M}6dF$1<9sv`e9(Ez|1IkQ1V;3Dj5B#33mTwW>PsXF(oR8Jc1%Y+Hi7-HDnQ zwXxS!@ILK52M&mZ1qj$t{t6aKl3x--lz_aQY?uv9UCz8;IUiCN03EoK>?WA1Pv4;&yY26>b0hQ5NA48D z-nlJn(>ZU4Ziuf_7|5P;L$b@eq>?awE-x5&Ss3L6*=xjY%x?Hv5`hs~fQ^G}%Kc2e z`;~alf*qQiREYJh-z(q2t&SvBI#lJQ>uIjeB^^H|du@*b+v9xmw!{Dy>zfV3ICcDW_%6k_etA zf+DfOO|#_?pkruIsf!6!i}hwb~T{m#OobpG!K zlqz*UQ%_n~gQC*QbD6SGGeCkaGHIFToo+}s!`;&6^$;k2d{2!lNRnXp z^q|s&*$FBk>IJhT-4WozG=_Qz3|O-z=_fOoJX~Uql5qQZ7!s9wIJnNbDsO_=Aq2q> zNe12rLhn`qxLN|-K~@&WtE{CXa=A%W)&cC>VKGiO+#u5@=|}PU(2Rf~!QSyiJJ$y} z!S@}8gi_pnjZQ(7M%6e+bqI4;;(1Sy_GO&Qa*3mxa-8%KEEtaQFZwl(4joPd8l(Eb zVr6)`QWGGZnQ-DA6HEPbH9o}{0tbHrT z<6{jM?|0LJHQPE1nGPge7dke-yJ2Y-VxvdS$3XnUv#K#z-Y(l6pI_(6{?US_?W@M^ zRWlqkDt=4*IQNbfu7YhZgM90!s;cMg-SIh$p=0EF(5mrOZGfgd0BqX>AVKyOt8P9ad8x zBtd_@;dh-*M6S~!dr7~OQ-2DHx5-o?^s__r&~bzSPe?JyBb-_w%1+i>gLl4zQs_T=(2%_vl&MfxV0|{3v zZmG44n};m}3Loj+MfR8;Wp@Y}Q4xj^8-)RS>%pw%#pBHSkpL~U?QcgGlsEy(I4_m| zMY6TkUMdxNad3qBUq$09syS>@+#Hx+ERjkq4$~^i@tIDw2c1ZEac$B~!4HRQedqCy z=PX>mG)c}H9Kn7CpCC4Ppzr`Wog%OJdrH7LqrQ46^A;YPa z2FYWM)MceW%VQ8BTy_MEbbzXHoFdquyK!LG`E-Eq-VkKy$2i!F1Y$Ybr*rg-K_QxY zluo&%ZpD*W5^4E_@MB$=)En@f1wJCT9>uo;QgKR#MT7_jYlMnP#OIq-PXrYPx<69= zKnXAKOVtT=(0C5Z;s%o-U>XFft2=!iqKdgM4!TEw3z{6I z8-4ZXUsG3FiA2cZ`Y<>&}lmP zl{;tAsp?9gHtSkjemIrUNvhobRQ~<0Efc7>PhZ_imB0Q8YRFC3RL`o)U$qH+m^xtAnjnA-l#aCs4?8s?&zn?u}gGc?S_ z5}t}Ex5e#WXSO#9OitWL&5-?P6LaK=I7R}k;WD5PuNpZd^i6PsoJd?|V}Rey1~mI< zv=p3ff@2DXAaC_h)m#=5F#-)9UALGlm<#Y-Kmf;vg+kXqXR0XoP(!Y~7%?|QQLc`} zM>~t{CJFG}B?0(6Q2R}hAsd|Z=a#{FbJenw2jEt;B;thz&}t?54*#VR-<7*dL2gmL z12~}x(Gn za%Bh}Qo}c|zaGhntK`tsQCHbWr9;k*ZjtXGP#6M8{PN&9KQ;!8{{!5Lp7rh}IUj^< z{qXFMeTNUL;*}&wH3!s)sn$+i5g7sJ@=Oa&4o>I^i9cN7 z!ouhj{ep`V2}>hf77U|d{LghWY+|9{ARaaT=eZUXt;9pM*ED7a${<{Y+4O0q!M!U8 zA$LV!aAs8#yHzHL{A#f69F11GbGLg$AQTZM&vP?icf|{Gc!gs zw0%Ira>9m#U75{dGihM>9e#}@-61GKiqMECF)LJI_e5Rwc<{EFEXiwtFQNolPM@;KAr*!UGoEW!!1qI@ng%CG z(o(3U27!_DXD0l3$;Y+BsaRUjLtRRZ1O<7EvTCq$Ve)c}-Ivn)te88vi|E-NL%NuA z?~wq?g!{_l#ZymcUdeE;+Jz`hK+~}bKfShJ-K04>Hz?V@FkRq<#dM^5hYO-e>As;j zH54Pq8IyuobxMy~p+r+Lj59-|lcer|MvpW=Lz8Jr6YMtyqOdHQo&mSi@g!6!0y&qJ z3RP#}oMgK}7v4$wN4h6zi_jFG8-)5XOYvKdKd$~m63+V@(xQ6jM}m=gcqb8*1?Yr= zy5XMobyQZMVt`-A7oUxvum*4;pEDv zMSt+$dw}*xcozSsgJ6t6RT)5-ko!QlI=M9n#8#oczM`O}qUt4pkikHSI%MQ8dS%mZ zrvNv%noyzyGCXT}nmag?0X!=MkCCFB=tVh);Gi`A@}U7Y8*3NsBr+j>U731*;P2SP z6Z_9i0w@VlTENviLhzb^djx>oVBpUt^-Toq3qY+osbe=D(*}Jtc|ogj_O9d|0x*?k ziWR1gO-gO|A8GW@i24Y+Go-iys0D69w;~YRtpt$UAq3=mh`eRfdtxl&nFy3!eZO{( zB2Oeu(%i>^=-Gkb`$F>Y_t>Wo>zm#HH_3jt^z0LI;5Erxk7Z!;rlU-~l=5JJZ#=eH z0cO%mI6xrr_|3ExU7{|6g4O4za(p?O7R6@WSPaT03U#l~1Hd@{^r09aux&%wwr!L6)B!1*;B=2UsS{7`dSP1X$P3pER4BVHJEij8 zWagvlJh176y!W@2iw;hyCY<8)Q(s%VIyv~@H&!fa*_8Tq>N?jd-5xs)gm*skaqU26 zb>CLJXb9<7mCkz$nP{~rtu7m|E`hQOFxcWvF3PU8lKnAtOw|te-s550@)=aN>; zaym+oAw>QHwZov1i&_-Iq{Rp~_xj)Y?windZgLPI_$CRH_aM~{lRQ}3?1@QT0s8{v zE)98`lq~1$gIj#`I^mxp=dkt6H?}nA7|T&YI=ByY0^e;Hk|wu^8Qa;|(hQW{oDQg7 z_QHwID7)K}e$)nmZq+=jx5IF@OJVY=ytkxfEs|I7K0l>8?`7oA?eCCUfk&a z9UC&?4M6p(caj}_fxS!b4OJ)PJFt<0P24L{X{<~`-X3BCZ`mcAeUgMIlwJLi0s3w5 z9cB$(Krb%1djsE13rV4NP*J{6Is@_eH&3l+4|Ga=k3wF)d0LW9izd&GdEAF*<-Nf2 zc9x=ch<1~GtV0uk4-Y4HQmq3^ z*)Mj9&sJX93g2OKI(JU;So`f#_9(jw8}gP6olbDN(La4*QYVz%RmpZ%O_%NG>65I9 zJ2dTvrzgHuw36Ldo*Z)Q_Z2rjIp9QF*4E@_w?|VcP92TY9Nhkk{y!i44rJ)_Rr>^Z z*M9aam~zE|`r6*JP(t*_pMM!(u=iiTa#P#PYSpuN_g@7%lG)Q;{8=gVL)5cS@ws&} zMVT&tg$ii)Q|te}W)FI3}fjsxN}?aIp`SO43wah%`X%;q`}f)w4KH zk(Cfa!oA0h@~6?kz~DX{zVcc2fUbHLy6RbEyWA(xvj8_7CkTN}TBp6prd?OI3AKX@ zr+aZ;iskKUMbF~8c1+%Ml&LKTJs98{kEc}6f(oZ57(0kRKn&B7f?H-`lbP$+-1ugd z)~r*{BAUZWm8q~4ji-i_?^H|hWRuc~(V8$;P8+}v;c=Hz&&Cr2UOed5K>b|AAp z50OgtuYp#3z=Mu;g&h3B-XjZtckLZO+5N`Ga~=d@?;AIQ`>Txy{``&KTy)=tuRMF} z(Su3%(10+y&SE5-7U|o{P1Uf#5slz^e-tnPhuVy6M=yPF z@qwcUURwBX&s@2-t!m)|7yS#8^UTp3UfXc+)%`;j?tb{-4;}|v?M1Hw@AuQsPuR8U zz;3`9?@BFN^`Dy-?*H7sOjNmZ-G;|^^#f%W3InPanjG(i`=z$OpS*j+S&ave-bC28 z1dxkVer3ghOJGP?_~^oyC%pRUwu7!=GlYC;;e)FbobKQa2VQFXrwIVaEpCBfFIqL$ zEho<$cu6VviH8n6r@)T)9#LS&9`dk~orxvXbNpYQ4q)_(Zp^$1FdS}4ta-fhdo8Dk( z1r?R`Dmi8ZUoQ|DFsX*bLp*3S@hTxKq1hya841oK*gBdLuk6imLfm)QksJmXRsJQA zi)xgR^3}!LfZ%H@+0M4ftj6}ZC8FRBMk9|P%JK$1&XITZ12l@#gbLM?5?`Q|;b)n$ zcQpx_;)v3snj%{Rknig9JNT4gwlJh#0f5}RngR<@U`G99Qh#Kn@iS}$9Z49QZJSph z3d0B4jF51VoJ!-~f?WQlWk|$DWY$Coz7!=;c7yF?iW%f`g45jw1|MJ0l)2A~!mSsAt`O$thjnmBX71|iu1 zX*NRxWG78H9y@KXl&kkAuXAe$N#HtIG>eTqutAAqYYOJaP?$S^g=7~ux5wlJgMe9j zAE^{Z7j74uiIJ%kP?BpZ7qTg+gB$@+m18qr0z)#{1bY%36r!9RYO#GBpiE1QOnXb< zKxu@ZgbiBl5(19`j9M%!R^?o%6#~W@3_}A_o@*0xavp?`LJT;2FqLdr4%o2avrCt-#FulDOxNl-U;+WCH`f zaAO6{pm4`|)ED)7PQ-Nskvcbti;$QFzgpv(Y0spVto5rjW z5CSwDBtD?QR3a%*iq6z~>6X~yxLZ50&f)ZTX*$PuN>}ofp6dOfbg#AxhtcJ_&r8>E z_2ckL=nStsUINB6##snZv-qJgG>N4EILQncj_@vd2N@9$VJwQN@p$<_%m-I^q&S^c8frSiZSXf0A zFBk$_PHVO|;e@_gkKl;p(1jPUKCA{p;Ia{Uco2rM4dLGG9=-R_hy8P7 zL5A-@RdC+`qJFCN+u=t4YPm-MUp({+Twx{$8l%x4lq>rlRly zI0Tp5H+D&47CRkXp);QrZ^2FFKHUga1| zN+Q6YEp>{|if#K(<`nvT**e;rT5fK!f~Cgj^F&S|H7q_^*02^RkU3@86FG^(Nxnld zS|<^efWXWytFN@0OUUO@fUOLPN0bU-G6}?Z6j=v8JZ!SU$ZI^SEDu=1a6n9bXd(_b zV0P6Kwmc53KAmj^>MQvUa4FDEE=7{eks!AaR4=}`8chviDdFZu%B*a%Nfi4)IC06d zC+8(pD#4wH!e+wru(jfvNm0gD7M@imv-K8WbVl?BN7D$c7P<2jRbgyNF*0omWU=Cs zGUgaVZ^m6%)Q^T-XWPkwWzjBq8SMdoRz$WSm6@5AQS9+1!mvz^g}g=|oO#F}!2 zfQ5-Dbn9;WtZ-zK2awzTHjwWiP~k#BhL1`Br%Mm%rV^6f;Mj&;0()BykVugn;)YYU z9TvoO7rmh%Gy&NFiZMYWe=n^`FM5CIWLLqPU#HsPPhN&WE9eZZTyNB&G$1K|m1IHr z+*@)`nop8Sc+p-Avj${re@9I5Tc`aBKtw6xG2}_h+D66G~_yp9lapXggM~b zHoL*GbvxS=BDfCl?VOmYqdNj20iaWRuWB>l<_2UUW^nBB=H&h)3(aX6T-uNjR}@m^KU9#@7!TZSm3i6+6bb-m6&qxFIn%*)e4QKE+936+`T*@BIRklh!e_A1++4?cIu_DHWl`z>BFMS*mq>0-)}*#l17fdAWl~j* zUMd@rcq|`JxHh4(O?R1$jo4BeV1}{@OQ4E5uMDPuMPVxKX{usyZR^F~p4|#B+SVsS z_8OSV)HS?L=!E6fnGRRnP#}zx7J6@H<8>No*OyrZR&gyTl?nh|bGdISX!FBROUGae$w0=`q+BdgmEE2*JW~B^x=fsj4wxxdoyDu*;O{ zCAbg0DS1@wqSOG}z?F@=be%42b}g=~2C84N(I3cgvy|+fx$$&+iOmpl%4B7lUmWkT zXQ3g7KJr1FvW6z7w;H}2f}n#6>azX9{lXx9+4)yJEjrUOuc#*u$*S&9yy~VVIDQQ& zaiqZUmxE{oDFTZpiO{YPp3{~vKVD%Uju^jV5yL1%^KL6_U5B1ve2HL$J5|ao2_^&H zH)OJ6^d^iV$pscJYe}Xv=3t4nC;PHe#%hLOhY^r3qbkD6S;9DfJ;UA*Q4O3AcZFB z7mv6BLU1w_PgCODP%6^g6p4oPD7r4uqbWi)D{`O*5{i(w1@|;}J-FnG)b*hENY+GG zzE0QaXDr4ejNVC8YV8b&(n5|=kYvwpVKiqpk}h#WgZ*H^2s}5kk`f}8leNm|hD#`= z1fsga5g9?`&H?>Xu}5+|AueEReuurk^@Iz) z+YSc%g@fywjuD8h!k-1UEdjR9K$Ha43r!&V=ZF$}fZR13n*4Fyowv8W`@~j?@=;I7 zt>dS+JyO!H_mA%%x?|OOH>8nSt4Rnpo4H?c1AOcZ_GP;!mPGC zAE^RvE|7;G-*NQ*mv=mK-s?)pfp34QZGJNpJiK&czV+g&OWr(h64}I6@L_0j7i6F9 zg28%-vINz;_0eTN*}7`n^EYL1ubH-H$M;twl^fr<0UN^Xd+zZO%2c4dO zkM|6#a{jTNqil1@*inAt>IP2;0V!V!3nrSzQk1Ja@(3k+!9^;I$A2fy-EKk3`5&t& zkIbVeA6utvvKQp{lgbaw-Pq#p4HdzMo=%-jpUIw4B;3;!WmZOVniKd;w!gTdy(L}= znTc)K<7rUUChXig{b>VVE5D>Z29Ag@ELhznYnSch0g!}_FO_{jhO?WEEIXMPb1{Ti zB1qg^Z3qv5TnF|B`O>t4W_*-$_l4IR2}}E)gZ&vqb`Ca#XY6Kf)RfpYfo$S-g~S@G zu0@n9M%m5x1oIl#2D7KW6oBkekw|g4p=BLpTW)f$rd{Q=lF0SgsZ>ST03jh!HjK0| zlY4E)U)=;vhSsfxa%C?KpBj(PgOWx~HG~YyYhF7xR1u}^WlO56=6%|ztFCqum3hs9 zVAU6<3=0jMQ&=(&8`&$_!-927^K&Avu+Y@%;!w!O)(E7@xh^2_)q1F+I$kCA*e%QF zY1n)}w|Us4(7bO1?nNp?p|Es*GODm;G1&wkF;}AD#mpLgzFt>NAB`J`a&_eDKz7-J znyN@l!?a?tvle9R&EFrCU6pv+o+iH=ZtfV*RMG5+&8s7qv8PsyiswFI8IBCjbaSWJ zeMv^ejYQCakj|xQR2<)WlMpKs#JBrl1`FDTM%>E`XG<`YtQbo%@59?{3Lanplnd zd+b@95x?c58VS7D_Mi*de(s*g67>s5QP6;LI!NXB37hfqdZKN~#eEeF?9l3-k@u*G zcsLy_>fd74PX&&nP%>{e^nek7|8O?8kND^kbTm4duc zU8n;M-oY;w(IPC2(-)Nb zgGh{KS&+eK%w5xH%0o9WQ=#cx28H*bwGZ4$0REa_!`cSj5K`bml0$tlJ1$*^USsvQJFWl@LjsX_`x{S@sNX6Z+jd-I2w9NL(TP+vWppx3HQ zWuU1jXILUqfnGQEJQF-^LG0LChF!=b0%W`~cA--zVOYFIfna`EVel(-2| zRh2Y$&=mJisOX?QE*xo;Ohc8ihD9Rh4cvtK-)&DWf}fxw3bixjMiN^II*`p!lL$dU>?{*0H@_J!6xwKREWSAD1cD=SAv-b)FLz9f7eH@6Gt%}hZ$+(R7sK9# zer3U+0mxg7(wdA+rP+4#P$rfHa;F1j_pW}T>|$R(64Gn=7D{%uZL{Uj8?s@+)sfcp z+Yoo^1Tzw76?zfk(^c*OPFJwecH;_EgNfSd*y5qoM2&*nNxx|TJIG@|L=vqA`3-4u zI`I)qI$@tVVa~QCatDQt<-)N2PGv@k?Ll@rIVXANt${mL?QqIKoYd%`HUuOn>hh?- zF&xI>Zp=B`0~z)&oW05Rm1pTqj6UbxH$_?5-i)M_Spr4H97!tO=T*} zFvx<#qxA8AG%V2Y`~*@gc5ybyxp+@BU4ROd-3}pEl%pA(LFBArYKk3{Wvo4jCZ#Vk z8;Yir^8ig=*I5|#6&na48aJj#(Iiw|&KsF)9wplCA3D?T}S^?1Z0(JZV|?=ONB?5}7=p2TpebGPw&zqv0X9z@zgpdVIc3B=yGc-xdKBtlkKn%MIaspDuKxqF{ z3EACKnU>up@x77Rv-oi5Z*PAG6u}QG$PPhx^Exi@IwDWuR-?dl1c?PPwSq}dN{A|I zP{5f~yPY(!a>Y%>vLkS2$o4Dj29I;{mvy7TK{u1>5fGA$ah2IPXLQ2A0Um)Xl4h#m z@lkq35Em?@if@>Nq@$wWNCghMMn}~LF=_oFUJZ$Z#*48(lpBeg$PyvItl8j2eJyv43#yM9QlaZ$Qg&49I=Kw z$u~jnH5hss?&abStJ+aSq{bO5Q!wpb->1anWD!(zFji17*O>U}5;U1bMXQub0r!{a zvfd$6o!OudRkgzmjHZ5!vYXy-y*JEK+Ew1g&2778B8I5f%sP%?WN>2_@|W8!IREik z>xew97~99c{1*0!PlKvfV@lweo6|xv?frW70lw>zZ|uP2O>bw8z2dKS z(Q2`=Iyj#hLs6>a+(@#gt)Z0C*7P^6n&75#7v@A3tifONj^&s5ckH@^qMYvTL9e)% z)_rU5?^jI#IlpS(E2Ifz-%3J^9S@GZZ2Q@_jqrA?C)q!L4)THbOL}j*O93x$_&|QQ!RLS$9=Hi+2d!W zc9AA-;-6XYFlF&ut8P=<-dB6v-GsPX({EbfcfpRwjM(>6B?KGJr#JUksjR*RoBQ8d zd@Vo^wyywpkD5S1W!PE!c9Re#drP+{U7LK^WDjNc;)tppsMC7}ptsbX=%)$R>&(iw zxs#Y?Iko7*Zp9zQ{*?v4e7^BRtb`d*nI9>`R;n?OV`0ZBJ|BJTD0=0X5}>hvAfWYR z?@uLKOZhMBbV6@4PYd&Aw0$gOhHldoQim%Bkj>N?m#=@lVMGz ziH0col0r(6ZOEdw4TUYX0y;()C~lu8KXP6DcIyo5Tv2}Q27wTbi z5-7Xd;&%4WufMSQX{~AL**dnc4gNY(hHg*1SP7AKF?##p#`H@W#!Iee=G3@&($KJp z?lR2*Xz=?eRWNFA++|~;74w_4tXOfg^cVXtKHqBo?y&5N$(U8bq>7uI;=Duk;!UEIuAv9WR)b5_1IK8D=y!i^_-O%4jgDRSGSiM4r=svXX(!}2#3o93oQsvls~ zq3|mQnJa=K*J8DjHx%#srQS1&qsxJAk%JDe627QSX99jI5y_|bLLR(tO_CQ|KzB+^ z*ZZaiz0frZi6=ZSmO2p`vWU}1H(54AKY=SDQY)t~MG(NbljbFK&KY{XL~`Yn+49TO zafljWB9R#NfW>NXT4RBb#EA+f^W#^*oh~uKRHwvx_tHO@nJ|_>jLu?Mizucu&hA3u zB;D7UA=NWpXM<%qkkWvG0rm%cwPMOBnED$stkm@pO+o>wv0=D@0mTBwrQe}GK{{U0 z>2X2#$tqm~*#{jubRIBogTPHzAX#j!s2QYK$x0N6kE^4k%bo&F-2`9>i72zZ_`^Lh zo<7>{F{*ZOt3|i!Iz5%qU$^Y~M6TEDH<76b#1<`IuJRpFL8C==Ef~|JF&SPA<^@%~ zJ$f9t6DbsQu|JTt9O z60VRNHPsY7nB?juc4cOvPOVA(X`?EC@~V0?K#jvBf4$ z$}wa0wjHY9bAF3+v+dl2Y>?5k@!hzsck)#2z*YSt>8R>|RjX0$MnTfyW3sY|kzo_JZPg+HvJa;NTc$^| zm8oi63yM2^A;~z|tasiXiFWqk~!vzyzmr8qZhu24}>=n3$hOu?-oPIYX4n z2I+brXnQoQ+7;l_2hk!8`fHb6k5gSmrVfHjQb|h0t%L-@Es0xaKA8lwOC}~Oy{Ov( z)vOJH)S!e!N{LTBX6V{L_GEm7smY33MW(N~AVg-fDQtDEu5o;!MHCssK}E@c)PnWk z6ahCRs2pU0Pr)G(hPBXYTMfhtm52`Iz~c(R8HV@Jm=bIZgmN5U6FSwIhFeNO^#n*D zlN^NiM^>`+BF+)Lgr1JB;d>U{^s*SP1<17+P9)L2%2wG^z=+#HrkOft7(TA2M?3{V z=Isj7UHl)}S;ZlAr^w1=9sRz!^Q+?5d0M%2`4(GwcZl3%LDs z)bZs4IztkboD@XJ45%RycN8^|kR9}NNmgnp1*tPdQ9@mbyZBFU&xaE<%)N3=t6@e1 z<(CD)hUg;N)1(X4yDXyLpi*&47z*Nw<4?j?bWTbm80x5|j@&bs>`~n$(* zM_PijVZviLV?USPtZD}}lA1A$oF34|Xlg&fNx}JlOUN-AM&7sJzq^97&ryj&$`5zaouWD;2e|_l+CFI(+Z(-#68=2g-kmq2W8{__pTal+@QiU*cX^j4@f5qRf z@k+>1XyOWan7Ah+i!sA7t8GU9b!`hCBZy((<~{?tdtU^nDn1@Y?A;46XEFZkOEK31 zk=W=evoYzn6p{DTm(z zxnD0yBLp1A6N1*t0ol7NZCFyUNllYCI=HAT?*U#ut{UU-F0>~b?y@M_tyuB*Mr{mrds zYD^|~80c-wvTCp*uLNkd+hX==6JH=C>_*S9rpKM3pz7IHv`}! zYW;0Q2Qs2_mSPBH^0NH$NyX1j!MMQUJ?j9sXSFqN7ex0uOLV zsATrCQfRRRx;L-n5MmBrlDIlFWm0e)rbzxHQXN~qIBw^y^krd8V+6qBUX6r{p-G|h z3X-#OxtpBHdR0ZtZrB!MOV%Pu>Ew*;Po@z!4BI0<9g-Be9QpY+e*lPfTRsyn&I?%I zHfjM#JeP(#6s{hyn~U+)1hDVGJ-4SQk;OwsM7VuFW`1M>8CM$|-r@wSG;l-i>PQ%K zPjP!^VvLtN5HecHP8VdAJ9CH3oU-S_hKgA6nw7C)CXs2wmPLDxvKLK0Fxk4{$&Y&$ zeL8B%j=QDm)IGLS;Us%O;#awhdQzI%?ic_ZN(3x%Q=QO`+_GmdPdx~ zJSQIBHsER79a0O}wRB0Ea715@Urm4sk8MLQRdvTD{CLlS1s`YZb#S@z%mM1ONF6!4 z__`jZbH(1ML_@abw`zr#AgK~567@-Jo{2S365IomoRto(hp+4yNi_~2BvO(ES6o7K z8>0a?gAD@_EGNlS$AaZy;bSXnjlgXOD@!uSMXWmF6!6BSV1q31b4s}i8g(2ROIabb zbcbjfaTmeV1!Ber2o!`?5>O|naENw##&ha*i{OCSlEGvLd~P8SRazxzWD8}1PEUFl z7zn~>5hVxXD5Gh>i8_>AXSGPGAhI{_gHB5$WDAgc47jgh?gNIZ8<0oQ6}W6ZmLyZ0 znINaB+JTy)bk&9W^#j*B9o3>+<(^^L|DU~Y0gR$L_dhc`SvN6~$r5ovDzhQ+2JIt& zR*ICIgveb|uEu~Gtx6UYL9Y}a)&4CdCvg&EFNG9C09!AK4Jca0tG8l7Wd+JbMWOZC zDn(kYpgf{TRS4Pt?>lE^AIXM?JnpUaKxSvY`ObH~?>lGCbLRY>`aqxQ>;BDCVy?Xz$GyVPX zQuhK(-Phf}H7LBj`_|VZx!W+Ce^cv%DO-Iuntcc`(Qyj+uJ>uxaL9W!q6@pAlYMLq8p4#9U6`|+D!N!n=ml|%;gtxJ; zeV1txcNK)d94R|1reLD%*`)Vf{>spGCUp|-3*Cfn)^ttuK~EzK-`he+625XET@cFd znfSY)z%5l!&e0n%gTdf0p*xb)?D-(y??2Ifhui-3tG>fQ>tWRVvA~`7RT4CvX3!D> z$2q~^a%yD^3(b&~P4mqhtsUAP!8A*@9dywxaEF4o0VqYaIIdb2#ZGMu{bBKg({z@` zsu<&PcHAdGMKsmAakRPIkZ9V3{1f!rE zqL6+KEH((NnULZ*avaH~c1FT*+e1qvni)9Dz$@4I?gETN_7-YvFp@8;xuykDK$3s> zLD0|w7Vq<8B6_0(!Lk@M)i{!lQ2O|9QDpq503}FW94*f%0Y4c!QEBE7L8HU5 z2tg8M85#^?RYD3U*>s73By~FU95`;J1rfobPMzjkOB$0k5yuc97em-;_y|PeHk=#8 zmk=!V=_)9NI?s{IBs}5vi8$S|^{d+93;UV!UFLmE0$p(({XyipEKjs;6SL?(#~&55 zLj8qi&}qZ#jvn4^F+AyA#e-G>5nlU`#21ySfgckt#?9!Cv9mX@e{R5d~D^^(Q%kyZkC8O24# zR!)&bBN{QuvO3Ox;xj5H zMQc?|gTSk(%w&BQ9s15yd){FiYxex7IOzcJZ?CvwJm9FL5F}YK71*;Rq-Y}viCz|A z)vsz%z)`W24vblHIfM}R^gk3uL9STn>YW8ZD+VEwT+X8ANga^Q2YdwZDptKtl7W_M zQj#6juYE#7Ms1qC3PQ@Ex2Kb=%y_VGbOEcbSQRz3D7Y{A_#O7Q9jCnzN$+R}Sqix# z8oli12ib%-vooiu42KxFVMIF?Yxv+;gCM%U&co^v8c#6@4|w zlM&6#YG)@u&Dd$vtu2rpVFLtvj4y)mg9#X`zgYHpQ?$B$*Qd91MAxh=nsX~-f1B=P z!=Zoh#VP!qI+*qTw?P+hX zjub_oYwIjq{DZ8&U;e$_F;uV^+wnZn$Nc)s+IJ|595cs79Z9BJDj+*rQ`Ic=<}@L{ zMg+qu)DEjFW$h5{2>+sk7kyO!gO3<6aig~9K;Q>aGi%7?-M8@(&;J6o1444`07BO1 z(JX6Pmc>TYjQCHH)iEjBaqWtWf!iyEto1|#s2!w`>JAbTosT3z2I3v+obZxLZZhI- zUt1IP$Q~eSI^?2#1e3`C!yAn#vZs@*%&6>x z4c4QjQZ~5Lbkq)3PDUghBMd29a_a*l>}u}Gj8N?mC#xiLP&=Grj>Dj22$$UE$pjo) z9sLMNZXoWpr+OG_hgU&m?7Qt^LxdbVAmS-BQ9JlHa8Cz6ht2tWc*~wMr+s?e?hOl3 zI~-Z#cCgxE_*1|a|7g=YUY4h!x|~e4gXMXeC)5s}-GS@fav;4MKSUd|R!^?}+mBbJ zFN`kS)p6!^o!9MYU%2S=MXhgpK6;v^$qMFagPk5h@oCQzY-E}kiP~WRlLaC!h|Y$K zIeXeaBcH7P{>yGyPah7ww=cM%Y3BSi-qJp1#006g0v-rcvcUfWJzrD})uDyG4D ztF|obvxi=6nRZf@XGG0YQQ_I<>HIAJr{(mh_T%TT#Ir_&eko+=%Os?ICe!fftYOhr zc$l;$4?-SsXHqpl+^7j;$da`KG?8T70nRs)1R2~e8MT8XkN91SBI}%il`4(Sv?W)t z!pc62K4VX;FFLSZl0CgF_HHR_Z%N09Ixa;2Rw4V3mh62kY%(0;Bx$;f42wLuXX4bMn8^5(pk}(Z%3`Hg;~3|nYkUpWT(hSo1%Tje!)(D zER}$TEm^CZtN+$ilyQ0V-qMai*L6-E)xLPqq88Mj=S7&+LXn=vmlxc#xTY#gWcHzI zxA3z8St)9V0h=zk--`B%j2xwZe_!4eQFcn(++CYeC3`$*YIO7ayf1Cv;n<8U$n|ay zov~%Aj1-~IO(P5ML*DCRwS&ouUcVN7hsEjl90+YZz_a$A>5MEkvHdi%@W_$H89S(U z;3)NL-E18g-ASie9hcl*b=CeBZDY;tQK9|hhR)BgD3c?L{N_h@(a0hTwF3zm`ezan z9f2fYWoxiS2fF8DmMnz~8Warmqnl6MuHI^7QS`bb)5yXjM;2LfWKo394Y+A!;h~Ym zjNi495N1Bpk^?wf8WePTCr@Sr9_)+Sq52Q2IyXt~ahL93@83FNOG`THobzZfBe^SX zdr;rqlKplhJ=zF|ta8ZZB>TXO??2|*FN$s!|I&%tfn=jA;5l&1#@`{yso(m;s*cwV ze2Re*x;iK0f$8;0f%rW;e-kUh{}3KX&vP`g@QG#n+Ex|O$l{q@&#jF92Qp>dbk~`O z@q6<7@AA}a{rj(7c3|)BADQ;2YYyzL_*kU*|)L(PYX%7#YF9(p?1Kmq^upVF&;6UM9lm5JyWKELj{=1h;IKeuCA8Z8J67T+3IN^7DG+>r z1rXI=CV=n0vi%ppTD{@^oo`Ls+&Kr4ez1S!qE87X^_EwbSA+YGOQg`r`_8&<`ObT{ zDU{s@ki?^ZeBiy#AFcr0s|&%pcn1`CcEYzGx#92oXI!;>_o!_j&D`?oMNjN}>bA|D zFW$Lx-|hP!{WD-_cdnSZ<%tQ86U6YNyO+PT3Lv(dpS+h2VjPSHF)8{`V~pcv{}u-%0SUFYP&J z<;b^|zqDrCTX$?(e%WJC@V;-p_{7c+Z{2?-eDcc9(;?*l0nQj$!Z63l9XJ+bNFy@X zj+Xp(ubSnL{P@=MOCgJ7zqS0LRmg@{XU+kHb2pS-C&_&?zrTFv>q~z$5-7Wi-ytiT z?|~*Ot_JvSqJlq|zkF-wtt+mG-nA={tn}VDa?7W?o45bCXi@b0i?@F^^T0H4M>|#$ zPO;+N@&q(FCRX+!#6j6TZ)OysT>_t4HOCRQ^B+`pu*MqWLYeygzMosbpCy3SF6IP7 z*e=+pVG5ko(BMeFUjsLh32GMqPnM7C zGQiRr5F$u+Fo-={(`-Ww3;6+hrwtBae^;gr!mB!Sjix#+FXYcYBM(9ZpKJU)SL28z zO0|NJ|8Bpr)B>C?6Ey|dta7g1P?~0y09ensyLebA#PVu_`RACG1K0A>{jFxsLhEu)__OzCld;0khJ|`VK_qE&(-3F6D7(-E<>Y!DiOj-kR=}#*+^;xF)T^2o_E`O*4%Ftwb651_yTqInIEx8X1tyX3l$qxA&RFagJ zxy7tUA*BLqut3>mIw^<|P)#nn6uN;|#z6MP6@-u~%%Y&2U@0h0MuC8AIF^LKv4&6Z zMl2<1+7!jjb>eQ3#pf7VDU(!ICTb#$7xnk3+CdOd55BX-rWM$r#13k7Z5z1$itPHt z$|De_%z3GOB0Y$`9l@ugxUEX6z;}XfvZ8iU(nk{@u@(Xuz+Z6e=$JW~z?eeOrGF%#uQ#5w@+b1bVBdA!im@Ss}?#$t5QxIT2(}FoYi{NZfr%$oh%}4)+Gd zT|wofIK)lcN3t8;C(Tc;k~!}Q;uTUm2RRQR0m2fNrOJ6OWfmXL$5j>N_B&MVz`h&- zRrPTVCZwmOOaTvKaxnE;A~3WyYxiH4EW+beg_<@Z zu^jwGEQYri(&UTHv`suNkw%^d!6s@36-Ki#!!7zb zi{s$UFOWXSLy}e4x*h53yior(OEWwrwHB!a6<$-o4@`|?6DRd&KUrlOl zumXz-c_WY@lhWgQwzdtKSBg^zVc8G@vdl=jjlW|UNOa1u_Zta)hYD1D3V+sV;v}x9 z`HxEm(tWY4hk=mZ~h8yW_=wkh%uW|2IMWWFf>uDwa|j( z4gqxMAmBRoW3#Be!R|qWwZafY8cl;k69W?Rw%At!oLqxsqqZ6B8&x>Ulz^=sRzFDq z#J2pujV1tOn$pS$^&Nt+a<#dZHE9j&3jIt_Cjon(p35z(q;MA6ca%|&?i~m?-IL2_ z4`c=3C?Wh$)m^D0lwGgXJF{dM$b)^81G?4*Jjw!A4s#fp!`Mw^B}1P^wUcM}bA%dBxPoNo$Uk%x)IKXXWlB*JO=Zj}?59J$4GHS6K-7GfhHDAei z7%(tBWvLqIloQ5gfr;T|rq$RQA_6P$(Yie_Q9<3u20`{fbJoyAas~Arlx${^m8>?H zK|;tC=m*Y{g{?6WllQq0*pkX%59S;hnf&eJ10GGN9bgk_LCGQKNI6_nukIg*%#-xS zgWS~|;J6-{IkN96ePT$IU2`6aDfRjiW&2%MNvFy_ppnOF;lUQ_Ard#5of0bIh=yVp zckJ)c2--pDJrUd@+yH+Ux3$W`V7D?Wqa<4}Dwbx+c!8o5SSNr#JCI~C+hSEf>~3uJ zT^yq9G*pQaTA+$L@@RZfngL!D^j#XP!xP-UJfNFxVURP*4Tkb}J>YcBYteA=%j<(I zoX!AZYv+f?nRTp@u}cFbT60iT`IxZ7+$uN;-6k=p01C4D!B9{iUl$ZKJb~;q^uQVV z+?61s5@r_pfQi-+akpS#shRDYg(OYA%1M$G#C1YmHlVOU zZ!I_mdK!y^4d4#cLBTQ_StM~QD-k=HY}#RI4stfAV@yLiKMGco@xV3h#;uG$9%QLt z#_M0Ikwwb>Q4;Z>JwwGANs5qkVRh|Vilp1I3oLNE!R-ywwQz~<|FvmEIoO{kYf$qPyzBaa+`E#Vo0RZ2%e2Z)Y)ERQkHY6Xfs z1si{;Pgwz7a0o*%@F#b5k#~>DL4D96Q+DK`;K;m!&6IwM5GQlGi`hgRofqmY z6)9$=AzyDVR6AY4wXqf;b{Tf{=nB4@O7G3sw`7}yrA^?zR{^$u5EpWP-7Rgq zR%E1MpN=lsu&gWrRP}?MTe0iAbQK}tVjGrSB>RJtGle8j=z#WpYC#(wJ$ z-$6;X0yh_EwU2-sFu=Q-r%bL!*9Z<_+WZy=xCbciHZx#oQM;8KaNEMb?C4Jba>UrO2VaQ_=`dGyi6 zx3s)4JQ2YcvRx}5{|yP*F=`|V+lL@8ThfNzU)*el>t^KkIdQ>|c~;qPO6oBFZuHwQvf zzY(ge8f4E8JDTX`*xnEnF7#27b%Q+E*EHwJdhGu4y~03wcu)aDlE&0)p|{xvGOxgv zr{Q3wO!C2a7GF%5yg|;l1`Q$cXHT{3a{^_T;REbzgCTsXZP!iF>{-3>@i;bCALs9z z3B9KjTWgyNXutJrG2h=mRMO83ZD(YTZJ!MV&Fs2*mOTc*zM)C^Z-(**{km@IV(eJo zXO5O8uJP?0|>r`J!C=X4}SA|#lcXi zv@+OMKlf7N9&_p3O6VQpTL(7U;b2p}IiAQaPBCsBvx3~V`VZsrS${xb@@gU9V90I> z8cu;oounD6=)*$ASo#hJjhb+Ht&LS{`czv2M+)f#UeE8%Tlg+Pf|0f$+97Q+GVrpvHm)H~RB2Oe< zYgNXS$O1*liBBBn68D+ z`e2fay0xYh!g1yR`=&WUi6SiS^o>1O*ao3cFDH6AGg{WB;Up*1kYq^rFhRX}zhP9- zS_XCNhnXN)=xU>nj5DTsyI8I7$E-9_h)#D+X|1HE31JR4%^{6eF;6egJX>Q`57JnI z`ma9B=kt~-GDD-pYGsnLe{G@1UMPsj_}9;@72q#`jZ6>)2IXWjQUQUCvgm~2gGzWc z!AMCxb_Z;&n?tVQN@R`HP9Azr!zT^3U`cN#&C#KtE@DDt%sP#JAgNJaCLLV)w7!EN zFS%Upk|UcW-s6064?Z@*+fba^n>vj0P)9Kx6;U~(_U0>c9W!D+DPLb1oKup17S!wuP&RAL3`Y3xT==SFfw0VzFilzCFGurY|GL|_7!$U1 zQxzX<+;WC>GPYT}l=-lc{d5+b+WfOyeL$jDrK$=IqN^Y!Y4&HiEHH&2hT$qG2pA0` z$L^QoV|{=qYp~D-8aIOBdMcW=Kfi>*N*)po5ORT;CQB^LIZh>3V`;Eb2NG`L(~xGc z90JHa=tE+LrUJ=$aIkG2SvhySflNyV$StnB(|-=~GD)`k0On$szH-AiW@`Zon`O5$ zww8*|DF2mZC-k{A&%RRD4lbG}>LLyHqf{Iw5a&M;w|S=HlMwtar0tOSQwfx4H&VEog<(R3|fE&LCj#MEXIw?i%v) zK*6jw+GTVGQApITAH>etoy2XH&@nMsxS-xALIk~Ut2do7ArOO>6<7fl2AE*^c$g3j zL7a+*I1mAUK0LapKxA1;r$IrjMw(<$FBktoK%k?AKbB$GIO-6J0$GED2Y;l2fgk*p zGP&&Fa4XfYKtW8jqm)ijuw@Go%u1%A&>{xI0w9&)9AO&1LcCGTv~s8nCa+n`ylten z#wkun>7$VlVd1FbkELmHC4*F8q5%gTz}gfY`Xit!V1}S`i=I2qiis)%$vh50(=1jh zST1KOSV$DX`BAi#N6It}+U5~=A+f0O6P!sPbj3v8qE`1rDHnw_#lNIAKCq0OW~?|2PRc*!~PyjA{^H? zLT0@XTaLR(xFBEXcyM-tzn4mG+FSe${9PsWzIj}xW(GW`V>JKlwy?nbAiJ4Xi9P6NtL@B{f|wbnz1+e5@-uOiU1z`bs|oVf;fC%?$fREr_d3YNX7kvw z{*Eg@Bq6sfBS>9G$ZNBS`wft9$Nu)?lAvRi?8R4Ne*VvOh%tZoCA2%c@`=>s1*yp$ zwC%mZNk6SMeb$Z&@~jjCcGkUG+vk zkPlj7$+7cjpZFnTKm7eLpc*U#8uM>d&a2{c!)xc0>?<2?S-j!g1amHju3){67I%zBx8X03 z5V>cnC0!*PSFMAEiB@8ih)wMAhxz%_uMCAP8rln&8)P4Z@I;^By_sHSpnyi8;UHS! zG}E^7F(Q|6x}h}{Kl|PV7Hwi`+d<6F`!V+bI9+`RfM!V(Y&IVb)0BZqQtd&HB#Apt zmaJg&f_M$cTD#7b4c)_l0e;Te-yZ8Bvm1wR z7}W<`z$%|mlHNY1QE!e2>6pNnI*^67T1#eO*1aBGYV3boRnjkTeYh1q(eOIMKti%Z(;~M#zha07~+&q={vF5B`vp_=oWb@-m!n+ZIrE{idkRzA}(lPAppZD7SmT z_;oTHNPf}-SmGy?Jor0kiy(2EZCU<%L*CTfiL#H0PlB@eeDLX4Ba6fBk6Fa12_|GO zoGwxaA$!Lbz@V5R(79xZA^8e-u>2rgrW$WmPD5gMQ=eYrMkcc6bhE37NWDz-v1LzF z^+kzF0e50T=!8Z((3v7IOUG9a7#+ZR~JT22$vc81%_dAwzT#+>;vgjQ7nATQGhM$ouR;AlpJ}~ zFxAh=uNE%T7_&H1cR~yOl20v0zL(Jp=|lxNEOIZ7_E+wN=?bY;Cjn&|`GZ2TuN6!3 zQ3&0FKLo21n1aZaimS~%lljz@9DAg=5tOt%I+j@Q8|ytnK2 z-$BYY?REaZSf}s6(7Cb0)K2yW8g5|0=zamLwFGz&dRGMvuiqnu5cectgOx$(wH@KBK-4%pMq<0}D87gkAYY7iDD9PH2 zV`NVEB%w_T+2+OHqT1mTOIAsOj)@hdMFd%2e?@j14e1j(38*HCWLu&MNoImt3^W#K zJ?X_|=8qfRFBc$5BB{0+-3_5fEKFDWcm!0#V?lt{ zPauW?Ayv2!GSj)P(TgDg!_2V!n8QrRVgsVe)F32>an)E#*}8m#1m9pFrX?8TF})!> zL=CyHa7(jKPmi~2sUzlkhZ3vp-Tw1KXrISG2lWeeQHAGig_=iU%fbr5c1 z6m)Pkp>vB4Ux<8l{Z}Ik>Aao}JbuGar#eU~r{lMh&m<$4xgyK46VYV?Eh$(kD;14+ zZTz7O%3#rnWZ;U>^(e`T8&4@kmlS!xMJzbA0VVEm%Uc+4SacHC!O^zSG19q|%s4by z?iowhE3FH$0%ECpPjD%?jhR1ZbvomQFSA6mSRTiqK`nE*F)f)SrKmK~SU^KP0?07S za(KG>NE+6tZc68OV{jrK<&VjDTmnpQq=8r0Ai5H|U1uOCGB`bwI@t;c3|u4yL9)B} zHi?1ODab`s*ajuvIbm1dnj&ilOt3n0(Mc1-Uy*4deyZz}n-*i%T7lStoH&P`fSbGR zmQ|S12OjFA;I7kR2&X{8jUmd?Ty&DZfBgQ--<31hpMX5}!#B=?><93-c!OG3klfpm zLrAi6J3dSxa&x}~A>fX!Li{i;J0VGMH@jK<2(3a~c%NFFczKmehU}Xc&;HH0#rPb6 zWJ43&8oG-#iNm}0bAe`W-Tq@D*StJ`3@j4_q z8RV}5cxl?IENsisVXLsfEF-`UY?6`9G>yYm*2FXk#yS~;6! zQ#QOd5+KUZd(vHT$EFr?=kQ9(1`@LV$89n0ds1^BOq1ji8@E!*PU*pxbJec13ux?A zPk$~@7sern4=^_QOUtds!3w-=B2dtR2M1lN3bx&;r(=R)ggMzi3`-5ZP(Fs3;o#bO zf|i4ul&cyN{9W4wXxEA4K|F#G{sLcd(4LK{`*r=G3FaJ< z{SEF)HE_(KOp~(LVKzZx+hP@B@{kx=Wici%umYikU}PIKYLWBn0Jn!Zjk3{*ft+6- z4z_{2ZPt+VSxW$iH`*U4s~WV>_Yn=f2RALLXk0tnUpc0#->?w>1_AWh_BE8G`McTv z{{IG)T^?@TaCx}*;>&_sQ*+~BX*`gJLshLbZ6L@eOEUUXl2fkhU0F7W9BZv5O`1>! zYbylwuEcwo3(DY=`fz?5$dKQ^44Pp2XMu0(AQFNV4hLF}$Oq3I;y$eMU3M)!4Fg|& z)W5K;HjYo{yUCKS66QgZyhi^TPJYND)UDCA57I`l0yLKz^l+p|$LEqJQr8 zy;-mY9Mq}C+lx3NkUq|=KpmYrp`3m_5$7t_c_o7L3HQnJUVp_wbj++Xk4Te*OeD_A zNw$Tp5iL^(YG0u{O93jjri$PP;|jd^$%i!HwA^YTN`wxqrG{tdIpcp+Vy_qFIfCgq zVp5f3yeS>{nB>FZP9ZHRWP+8K0v*s`wHRwaV_j;5Ar=&~PC#7k&olS$wDH~ zC)%k3;>n=l$kU}JwbEQkwdC4swh3RJ1$o`pWb{r?ivcZvk zodi+_zA`>~Ek?&l?`zoTc0%?P*|}*Y+2~6Fleb$xP$@W^^hWQiU_lvPP`N)`uy&6B zJ7SI=C_59$N+C+YLz{Cn+-A;w|8#u^w@yCddN-lIkHs}a>{OkU${BUE9YW8OjXXz% zkIG-&O?`|Bwun*b=aC%+fkxC4%(7S^HR&pF`pHfbxe2W@1tE{_Y#+6&Xv2J7YJRLK za(cYV@37F16N_$}QrQyxdg%^k)z))FHiS-n1i=udL!8wp3Xlp&X^0RJ<*d*V0tSIs z%*2;7y)uAVzqE$@`!k6=1*~vle8;V+;gQwTJj%OgGc04~6+j1fztG8Yj&n zq>km6<`~ZGDm+z890v*UWDdgA!z}^2$D`hW<}sc^Ly&TQQmadl_Bk}38I)ZhX-J8D z;~YLo3VJJD$|=hvdi=sE6gfhXs9Q;|xS!DQn}5FA;VAseDXxibp3L_Yg3z7BZmn{F zfV+5_5L6M9r#QrF0+*nkQ5t@zGsXlxLQddF2@8AZqvD?mvD7VxfM1nTqHF;znC5WM z4?Qb}5~9e8+X9&@vZF2tDiE7x8HwCL$n^E$pJ?s;H7@V5q zNzfR6azi53rNw?V+@;8QjFvw+&Qhom=JHSBxQ z12=R~9)F`9Wkg>U$&mPU;2sDpI0{D58A)Y`OVQ9#>y0V6f<3Lag< zrecfYrM{vAz>8YeZbbJ#wi!TuyPoS{lR6+oV_AD8_v@=7P_R78fG&k3=McBID1sz? zGRa9&lV$B}4#ZjOi<2^-%+9n853c`af_b2(~ zlKiJDqRm=#>PZ`KJ85IbfbYI}dbv?FO$S2WNsJwUIUUgCl>I{6q_5h8Cl6p*<#^|y zNIEv74Hg}r@U7hh;P>pRh%5f0jYU}-SkZ`%-aREr)j;(Jy`%fqOjv(W^utqTwiZQy zeQu`_?R@Nwm>BSAxj(UUryCD{Zq_G~aR-5f6h*S5pRFlkW2^s~*`W=MZWtQ<;=HS8~|I`9x9{7i}|iWIo$mTv8k>Dj$HlY#7uj`&qHQ?K;Qq1vGrp5U|YrP`qx zbCcsIt%;(jf;@KrlB*)$8M^D|9qdj5p@f1Tc?vV-^F9o4cT_ucjOu`pkLI-dP@IY) zmP~R@w8PV(!lRLrf$$CZeB$59b-7pbkXtI|XHwz|fhfF4A zOY)vVPbSMeg_kxk%x?}d*{d6X*sE>ok|bo$`Lz9~EwD0D^xlKfsp-+FM*9k5Pefl8^MegfWv|%k{WF@|&UIWKs4~C29#PTO)rhH+dK4&9phkYZX5m&^! z?H^y$?zv~p^8;K;ieJ4t`kkzO3n%P*x9EdwtM@cUm(J_lHL7#TWic^`~% zab+3FYDeEhKJWOXj^xneq+djJ391JAFOvkYE+T+NWZ4W!ZqjZ9Fgzn&$i%H>jaZ#5 z`<0D5?wLVYzFB)wCopYP;kl(44m+|b$&T#xA}6Ok`!DQknUS9gjc-i5k`@;dlT?~S z+cU}~`Mt*GE3|0y?>4PS`@?+H4g*lD{e|>K+Em$%fH}QB6YyElYaB=D4I_tkpdMmr z<XHi5l+o{jab34u^0qjVzS2GBg@lq%ZicJF-yZSbWqDr$7DKfWpifpH@{z z^xJorEMzBFqXX;#%h~PVPBpUV~%CEBJS@+cb+^$hbD9O zju;wc-@Rzlxx3iy;FhwH1{p0jk@G%4%TW6$2Be_x-&X$%aaF?7C=?!-@9}F^cDSp zwfd)D|F&0*`!G>oZ+K_fN}#8{_`uHnx88fkmgR(23*=RmJCAP6hwR}HD#3T7%O^as zGdkms-+b|z?VY#npM2HwowX0H1b6kSWzeK^CltDN|Hv)Nx4r?G+AToY-MRk<2S#pO zeqjH5K-pb>;FTT!)p^yb36DSW`OK@HopaZFBzyC!onqV2>gcN7%in`0BcaI@AmRS` z)9APzD<=bJ_VJzjX1p}=#iDChy$c}^Y=7h3{hgORaM9ztKAX9D_zOVr1t#y7J9oaa z?{_r_a zcAqR;ybhX7n_mk}R$Q^$RnXDozU2o#>`92!`?+oB-}Y84$@vhnGWV`7LcXXu-SzJ3 zDhFlv+rNw=v;*4IsIh7Y zG4~GWSWM9q5-tOoR5++(^X@0va~mYqD))WcsL9n>6@(ZyW(ZrU(OsE4fM;ZWZ;PSj zhUQ}XrMs;%kn?J=c2?ZTa!wIQ$;|mis|8@+amI6&f=M+r#C}lETu4Z`5Sj;o+*-TA z&-O3l0RCjt?vXAkP#QH>dZr{Hf!dSi_fTd@HLir}D-4Srpd zsINNVCxKjU8$$DynZyR}2vDJz#qKm`<;=E#tA)if%1h$bfN&{=gb0|*OJux;m@k2S zn=-*R5o814e88`2YUPlP*C*z+W)Qd1gpy>eq!5~DA&w5P5yYkqx|z>3t6=nFO?Vo7 z*PA+lM@2wxBuyqZf*kghJccB*Gr6xMhoMd-b21H}L={#LcQK(x1xZsvlm$6QlEH1Z z1zGUQOUZ-zfV@>jGf-7&4^A@*5DDfrq$-+%A+FA)-bm|+3o@X+WTvf7${ne<%m&9N zp&n#cAxU80O@ukN1_di9(~3i*kvm8BND&H}w35tWZIs2e$PHJBgb74i$Ln!bIe*u3 zRXZT_|G9&CEX>#N*pi%dPDh_6=;ug+FPAg<8g4@=6G;&bpVz_$^h7kA8yxtv#El0+ z3*bd#oM0M{W4~m{fOG7{;_#YyLmO}C3}Pi9+xQUJHcW%vS!i&R8*DoFXD~d`Mt}+R zQi7RgF^;if0Yr=boCusq0TUTiIC zLIAk%h7^7v))Lrgn$*Eu0hs_P${dgwtI=4gbe*YQ5Jv)NJ*x!Wlgy~lnBlt{gly)6 zK<+a*NGSuUa9o0NtRp(OWLnUj&x+|CkU>*7AwwV8^bYuRHl*dIP&&wFxnw z_{-NyS3#DRk2b7C6s`f^=A60OS%)Gh!nz{}n$Pgb+Zk z7FtILlz?{)={d6oOChriQA|me*AZqU02@(B_;~he!V-=Y>KD)YVLw1gnoF*g+`!Fk zg)5vc1vBel{8=R^f`T9~v1`OYUlpDX()$#tw+47kT{o!Z^Jg2R3Doz_DnOD$3dvPt zCeo7*16g%RQgn=+oo%OE3ed$33P!~nK1nr3As(sDd#*flXSPF!+a$f3%2 zCL*Qa&cvrMuoCULY{-j4J;|(p zFwOGexiD>sR$F0fzG49TN^?*<5TdLOSX!Ao_>zH=jQbmGzTyIA5!nFQ#HeL;%!4FE z<<0^PkxESCcogJV?a;tUGdD?SM*fz1mzaXc6=oedgM6Y2L;`oKuY~$VJt{~(lg4u< zYX=e{W!D33-LX>1c9ZNulcOTbBwzSvL)m4=Dxmzkfzyd5uMFAcE3|NtCJ8AIbQ|S{ z?x6#Y5E68BgC+ZsG}htxqvcRWFkIvD%vIr3m)u_2okVh+v10i@f_oV#Q5v%{$KqVz z4z{ir0-R;^9mQbu3{()zY=8zPu&MB#c7I8njs)d~R?Mm=LP3EA^;nAqMYRaHF*k<~ zR5eiXA3?|-v?#l{suQ78#?+LB$;kn_~Tj?ju0Z?mOl9Q)MUMUf@CN%9W^Kf z%cTc}@(z(9U6)*tA}l1OLd+KD+WnEN^1xlvk^I8URKY+8$IatZ_v17Tr)xzf@(rRe ztdJXK$^sGRA>A=kiQpJUry7gUPa=sfC7V3q3E#9NTOZ>gzGE1knV7Bls$VzpbiRtMpinq zpQI#L-8=6>${pffdN=lRd1y&K$j{#U+RO8Y|K%)jZ(rI9Ox}l=NI2aEq{#;$m*22- z>G2Ki^nQ1U5reLg`97L0!l9i6}+i8au zM>d4GWGW}e^Vw;6>btUb2;oCdyDmsyxE@c~&J!xzPB3Sj{P0y-IWTTzA~}JZkm17J zF-v>k9)*2T!h_$G9@OZIVgp}V2P*vc_74?fr89hZj-5+M23W9QZQYv0a0Y456(He~@%XYB-%Q^^d(a0teXX1}Rl^1)Id-$Z-{qI}F)PE?l!8gnI~_vI zOGuM)YzhNzN*2Jr8ic6rkNOounzTVabwF2wc5Z>MaenqXXEbUt5!KK*#W^VSp`ne4u zf3pvUS5{h@ofZs2c2cQg;el=Q!X8ntgNzTPd&tW#LLLJJ?Ye8Bp#L2FrIHOyUZCvy zvqQxUdbb)H6tqplUIL%^rK^-VnuC~}QivlP`}VqUx*AB7^Q^CM*U*vFnU%nIF`XA^g>sC5 zu6gOd;*>h!J|n{Eo<=kHm1hd{VmUh_yB_iL4Av*EA2G?mS%$`1a7r7JP!E>6wGrA_ zpZS6<7`hm&AUy+9?moj8p__L~A(;`{xWuFnku646>aw?ME;%bNAYp}~XOXbtrx-74Ok~5HMpjM*f?UJnanxWjex33x-KCm> z;$p6vg|cyiLCXmWx_!RvJ8*`Is19pg#|^Xe#LK=5?O{rDjMU^FxMO5q&d_KDgkP=NdA1gZ**Sa54vocoYquxObyE2!CZTq%ea8&JI#_C(>uK`jT!84C+D9J@vr5Fk-54Hib6aA8l_0iOP z#vH3)Y5n7w9}1RQ0Y267H}bN1?y3G0pd3L`w}J7E9YYN>Zc;Q88uDa%6Lm@2;cv(m2PDRtDtU z7GFF`9Z6zgqp_T#2f2o~Bhriz_La$|?f?m4EPOFDgk~6HDR=N*gg&g?LX#IR)-1s3 z8W5V7V+J%cLgrvro>?rj4pWUzUS^k<3dTyq9%iLWy*&g5D@?pO1=+>0on2DezsX=4mLhW$T4;g?yunrqUQnzR3he?fT4v?JMyYq)N{6gTGELL6lk1MW zumQdpG0pKD$8NICA93^sBpI({furZVw0s8XZ2^&30A&~45@nZUr`9_K+3#}0CpbU( zXQW!sNxIxA^giq)rSWlY_Sz&_J3#c8FKDSvQj^80?;SohK{)x8i4u;ZJY^xAQ3pJE zj39{zKQ!p_zJa1=FaZ(jTCP6mLAobUFCbLV0Z$4-y8LOP(#lx5595pw>1J3sC?&Cm zfpgA@px9`_+-I3WfCQPEj>jA)BwLp+GyCLlsW#UFL8yDIk%OZIY`P{5G+?b^$u*aT zVl2|t%H+UKiRa>I3aaOd>!so>1LrV@LnlF+k#2@B0}CTQvjh|;NDmt5Of!~?+KYZE zNEw+quoeFWQG_&z&bkH*?{Z3-I(EJNg|D?S9C7oNIR}%1_qA{v?L0&MK#YdY=_ej? z53QON=eC@3g(coN@kE^cvahTiF2O{o3)qT@P#0J9wJ#;PA1pj5Olk^n(y3j2k}RZo zIN{G$-tZdc=*MB_cj6ug=-6Sd2eC)|p_A-Kn7lI*(1F*@!}j#^M>@H42Vu|7xEr$P z;m-l~RopwT+`dPWvD_emeG`PtR^|}4t=d@qrbosvg#g<2e*W;^;qS^DE*nld0}OWY z3sUy^QtunyfR*D?YzR+V>Pzwq|0RX&eRRqk9unWmBi4#LNe zd?CGMUZ!+aDk~+ogR(pSeOWuufZDe4mkI>zD{^;Ww5~oGL}Pf{wu#<{MtU+-@6JIhJF0*fcBM7alBT;nq4A&<#`fVFy|+D6_bs9(&tM*$$c3aeA}* zTAg5khp8l6Va`Cqs}?8L6ZmeDkVdR61g1bpImr04?VyHL0^sH%IgqPB#{7V71dB0C zVb%~C3lv1AWfHlfWMcRo1JIM)l8l!&u&5F$>2rQa3kC{^dunjj?78OJrXg2`bpOJs zt|qvZTw?o{Jr`_JvSl{#gZf)}u$zz`$m$`%Zn^b-Sc;tKL3W8gEEJKB6*d+pX7@G) zcFXO;J*$4mK}(gBJGAQ4G#C!>n?_T`#a)DSF}?@AzX&-h){vMq?Et4*gW3C9h$Ll7zAd>4yca108ggWfeyrt6lpLZ19yH|Azp$~ zYsPYhLw7e{#>=^nqpwX^%JL`ohm1QDPq?`|aBIjlh5!2phUo6OA6VZ}Li zOdmfe`wm~EdO6%G_kUBi*KIzj4R%`WtyJ+JwBGL4CiF(@mt6T%JDDJ)irUGbFv27^ zyIyiri!Iyt`dFt7?WC05n%XB}h8Tuy`IKz5d<4}Cz*fz+BWOYN?1p-C7@@jLGP1y~ zBE_W+At7&*AchlUA6d?KeXwiJm``A{HbFWV@ zq$4xU@=*?k3PGT_nan_IZjnd|RuvruC4>Jy=JzoylhAt%l8l2CLfrSeNeWO&2uvd( z0QRACbZih}+&H(0K#4P-h7_6GCwi z0YT8=Xt<5_%n&qfdr%?i%<7AcETo4UJ0bw zA-Rb~(BYs8#xywuH(R}0)(#}_tI(qdv~G8JH%baZ2v6)01&jv_#<+@uvsmCLKloGi zOtE571%Q?zgsI^sXL6k)7AJOsx0X@`k`Ke=OHtfma0e_(wx#Ku6ORSGAjEyq%jH&) zVpnuxYCeH_65Oc4Ob(^+9}-{}-U&jIG-9A2sJttVsFUYhu%hH{SgRwmIc#wX& zQaiO#Nc;aluyhVB1pc6p1=aC>+EE0GESO=!pC>k)_H|i1?3FXX3A4*;%JeI;LO7L> zw=slMIkg_2i0{c&fRDXTLdW(D!P-^G54&f>10W$A&Y!W}&7J52FCW0H`g@`A_qVq!-Zg)?n`GtGTe7bF@UM@Mm2*}N-}^!n zRv~B!=9VSnw}&MruhfJvVlV5ew?rPEdKs-NDB1TxK?#rxZddOZ46sD2mE@ZeV1S3y znuS7?9jBH_#D}W45q^n|@fOJUm zRVlg8f1Aj=<4JxY*>RurpoxSpUL2Kr?^E2jFQbK~3lFLHA!YZFWGZsYx*yBhfd)!; zy&T^h6a;Lt9A^IC<}OP_5*yOZ95tlsMm{p*Nys`toh|VP)=%z@uMY+{z=KUBq!!;+ z*ZX}1llkePDb|s>YE}qPxf)Lm2`d|G3x>PP8P?A8yH3G-c46z~W4Nsj?t+?I7D*9BlLY zuQLAIZ$3Y1NFaS``T`#`NfM%p=6aB82t<%wb5TyG9wvvtOwdK4_HS(1 zV-cZeG9i$nx`pHrHqk*xA{=ojd>wxtLXl<0bIgKUfXR!&gjzCb;dBw9LlzV-XPHWh z92&?wN_HV}Ab4Ee-BANRfL3?ZuZ|5T7&o|uH;uS+BQrMmkOWT4ASy|R;fwt6u8~~5 zyV6r$dk`J}Da%A5efEy`$9(37L|(dms9;Nm_`(-#m%~ebsEEhz{;Z{6y1oNe(_>XG zfx%K+cGOkwx*$ZOQWU&)Al}orZ-TTR`rz2-Q2G)EtBxu{e?bjpiI)cRdsIGDdVR=6CMuR^#ip! zYRKzB2AnRwbp$u$hOJFrzyRC*O#U}LFy$r-O>?VV-&#YBxLxlJ@ayr>qy)$XIUKCP z_n-Cj1_Km~NZF8!Ir{qE$^J&afjT#`EyEWAH&c=Xkee^4X>RV*UurT@k*9?7>+7Lp zvmZ%fl7F&4yOR12q)Blwr`~@ugOv;*wh+?bqYeUuB(#%IaDlHlXnS*$$dn}hNbf}M zFG9AA`ynKC-ytUZI)7ihrm!IN!+g6QsL6eh^LNPtA&oyAO~|p>q?d$LX-aRi@7j8N ztO0+25xu{d@#g)neKjk27&F<65rwo zmVE~_!eF3Air6B!^5M3D3n!X|F9UVCRDhL&1no!*26hU0j9F+XaTiqA7lr_XCEQD} zjZJKSiCzVM2uTczJ%LfTND(ema2S1Mbiys?vfB@C2tm{?vWavA!Er#B80wAl1gjG6 zC!|LnM38)|H_3A@kBNs+;zg=+DMUI$vI>}#TB}r%j|puc;L>Qv`me%KdcaDKk4xX& z!z-Z)rK-^B?n9PS4s>6-Tg=r%!LdDoz#i#VCeE|_z< zN=n^l8porDJ6P2ud9EHR0n5T2_r5$;2Uj&j^N?6e4I!K)MNC_W#7#OR1^Na(DJbJe zk`p+hamGur?!gVH1wkOG&^5A4S7y0aNAxSYa!bXD$mwuM$x3r5CM@E|_c9?IV?reD zkcVQ);WzbHzPz;qWnxx)3Re-j)scpxSG&ZB3o%)gU}*^sN-OHz zao%(WB;0D|9~=cr)DeZLN(hiKfrPuN!>o>Idp6eWVcy*%y|2#$0@Z+yLLm4~i$1q! zbIt8kR0now0|&Is`Fk@kd5hX3Yog^-Sy}VEjBChvVDh45u8II#uADKT>;ialS-T_` z0rEBwjaCBPYAE4RdZK$RlT~-NT*9>p`)VezQPP|_(RPCBjlNz)+D^Gcw!BqnyiW@jvc$5;zdQtRMR`e%8 z;+-oU=-G@Ptxpp)Sp`g9$KRtm${`Q}kvX;GH8mQ38Wn9K@dI5 z)gMcgU6|vd?6!AD*##eR;-H}@S~CL+vnPM9m16 zw&`Q<>+^w|yJ$}Y2)KkJ#f{4NT!6>L4TT~T&P6O}tX>SSdm*ADAD zi~cxzXpij2<;JiMSv$xs%W+BIe_3dX+973?aY!}0m9#|3ROCGpI=1)7ZKq9VWB0dI zNAiY5Re%4GvSSR*5TaL-f{wi2iF`~4WtCfB?S|pdR^Sy!y+3SSERkoPMf(6c$6_A7^WwaS<$BG7DlZxbbp(U z=~dtU6EDg#H)O^9P2}X@`FD6wS=lYmk~xp;3bg~l0B`afqIPgmb{V1U&KQ~+WtWn^ z=-_<^Gb@T-VD>tKz0DtzR18vJ5 z`AyN^e>r2%J2Te&e&t40I{;<(TP<7X{Qcg0uX_ENE6S%v%NMc?j4Ya{zL&SrSm+U z_|b2h`{aAgbJ|xAZO2Fsu0oRXuA2>X!96z?w-J^wX%dmQkHNvjxF$;3;N78}{>+;L zx(imCP+jJr?8=eFj3PqWl_QH8iE4OoLD_JSU=F3)VYI3pzWe5YjUTUSXZJ)7664t4 zy5r}v@95{GauECU0Cm0h&zy zX64K+=Uw>tOZ%!1jC}rq_oAQd|K>05djFLjAI_X`&y9~gvw!3tJEQ+{07$ss z?3~y6%8r#YHa>f&1-VwZGX; z7|2ja!7fkes^F2Cb7*b{Wq0QAC_=kJ^QFx|9tMmeyDr8>1V-&~O*c4dRN>y^Ef#J1KZa!eCk;Zx0i=w}ld@6yy@>qo?{$<>1YpS|}1tfIQ|$M4LWJSH)~ z={-HOF+eQHXhMP*y9w%Q_X-39+3idK<7`F+m0^Il&5fQW&%v==gO=G-&qo^$S< z`*-GkW@5W*H34KNGWubXO+s9Odz)(;49)FM2e$;ats6IetDwC-9w~838!7;K`Wx3OkjL(pf;T>BHV{XK>{2M1NfDqB( z{#(2|Vy!q4Lh8bPZPWO8#A_id3BgyH_z0p7nuzN9h!+iiryArk=v^YVMeuQbECPsg0jUK1sQxH+&#EU?uQ=li!g&0^8Nm<80w}vpPzl_+ z!~%l&W>@Nh%tD$gh9MS-fQi@+KIaE;Q#SOGO-at^RS;LCnT5q*ASN?++>Z+wACr`_ zr4ZZ9ny8xPARC1sH(SHn^Q`m(1)EE66s&ilJDnU0*<`FPRa!Qrft+W&&9aA(%pO!N z5nVd~v1c&MQpViD{vQDD!ImS~--FnE>o0|DeJH(K7|e)_k6l2JO0g;uli(0ynB-j8<#d=FWWhdjmi*|Yi z$!Lw(Xkm{zQqY2Iyw$+SS<|AO;BF?ea)E?vI$fV`DhEdFvQm}0qox{c<8Aqv5KUwb zkZ(&zy99b=`W<+i!<_90aC<^k-T_Tan8&hD!v2yo$^g!Xmo5~@<&-2egMxLADsFXg zHK_!~6S>nB?lDq0g!d~2K@4MtVJ?2M{_cnqdLYaJcMCZdLVT-#i3QG94HDGGn@uDe zuX0QbE`SV3@?wvuBt?DBNfoI;x;d_l6K zWNfz_4170XZ=iBAPt1i7MNqwfBqMW7kU5JF!kiELxaxv@qTU-y^aj;?dI)F6A>4B~ zZ^<8$4w{ZTD78P)MY-z}oa6Ag=m3*taEi7gdxp;xO`O;2>ni+klxGnEF(@v10dbm; zBtEI|j*(Pu9)pEO$r7Nq^Q*$+eF({lU>lWW?%wqAJ+~cGw7DhIu-P>DfP^a&tfdU$ z6<cc@= zzP}K1qz>xmx7dT}O%?R}S}CVrh8ClMoPq7oxnW6AQ!yQPgN*7O4GT4K)O^}8V)Ia5 zsEKPeK%R68S`3Yw%RG}u^iz@z z=u1>X2sMbNS&Xl@@a(sG_`U$00+E@BX5JJVh#YdT{cQPhb=AUJS`HzRQ;Nx)aEmAp z8pKQi^rXInEeq#O%KE$1pGe%*#i>5cXV!z{Z^ZlFFt7NLzU_Nq4Z3JB9zxP<>nokwIEvSEM_X^)3FhNqyn z)!G0d08%Dy6S<>Zb#Qw3deDQA5B6~DzQe7HsCIZO=rlnqXaTJ}K?13#OUnJFk|pfW z<{*m>$s7s5R^I>^tXc1iz$Yt6R!ssu-B@859~`qP|gF7C~ACrg)lXo;bh z)0~PWAR@7wEWKUTsJKB-jq8NbeeDRK>9U{)UvSTondDF7|J@46?0>c!G;Y`<8{9aHpbY z&=l<{kt`ygU`S!IPiPz>@BpA}qZci_W)xCKl*DUFxmJVh78nmJsTZw9=dC`rl&RBpsgviHZmA0d6ot^H*8PcomI6w*mLeiA8< zN6O7o)v)nelL8bMd@e$IOHz;&CI#bcPKqjNAaq;hMf06Bq&1T{UBqoV8;8Q2yd;q_ zDcskC+8p-Svz5B z%Ng+u=@?QHLZ%tQ-UK?*5?dXi9GsZdbZHwP5g9@8k&Uu&E6hW(Ak)@KfhH~y=l~lt z!k{Y(-E^QaN#EcKt1rQD`(1NT9wd`$9oXCc$KN6a zU%71DlsOC6Hei2ySb6U15$l=!@?31%a@X2VU{4fqV+Zv+myafM0wi2m+4=1Pn8RSO zWyD?o$`2=K?yEXC+{b#~Nzkz`-*^``o4NDbv^&eMzd{@2cN2NrHi7~EZYLr5-g;}n z_O>Z^lI&Ni$jW;O!FT%)%bF-j;sz2f$ZJ^0owt%Xmwl4B?*RE+!0Bc+8HgzR-mTvR zH)}E&TJ1I1on`I+$9i{?ko7CDLB95Pe@xyZLMF#D@^Ap``!ZPx#N=Iz?n&Q0(8`6# zohFcfTsAtr60pnHYi?v(C&-T+CUoGz{oVe}7OoxQ_$<_K^5_fKKA5FP3%Nf)?wA8w zskv?Jz7hCjbZaYiA{oVB&&FxL^)7>4g#hjE@?L+RyPUqvWiobW$CuDr!E^5;a(#Eq^Bcr>{Ni&# zt~<^y`pgeysw6IwUT4z;ud|UeZB_jIFz|lM>+8X703dgz18&R!jv0gPY20Z27Hr&7 zSdNWbj%%Xb@XzPXXl)x`S^E#bDlYal3H-_(TWx&vC2~0MI+Pnyj?NmI;2-FB7Zs^0-e7qXRw;C()Nsw9%q)${V-f zml*|=9L6arAtw3S47bUJd{Y@n7xSPr$l!s71dm~0^nxv69S8>*k*=~p2em*rBbb#E zG+e|4d&-znP;k)&4}x|LcE;7$eMV%0HrLgnVYnN3F?*-J0}~+>N+cFnu%cNl659MM z*TMWIdYSqTOUT;&21PL@N9XtyYh#rL)^H@{3<5@q6ux3e{>?v}pm1eWNgVOA2nEi| zQY&Qqoby4a!ZC;UP&}6V4pMliqcDYvYEhV|pN0^e^iL+++P^tt4#LVz;1e`K7~rGt zz&=5_gR4+#RC-LA16Ro_h25O;W`q2P5=&ZXJRWAG7%v9d@mOVzNshH796N>`JB^^* zy+M7F1R33lunTT1334{bnek+K%t6!qRTYL1!de|00~#JSZ4tsNr%baNmt&^{>==@W z(f;uIzbOHq5P~m?50HoLln3Am z^fHu9db=Rovl%1SF|a#Jfnj5pmg6)gFX0q>83Ro|m%%ZP)7Y;J8Ww~A*cW66Qy~34 zh<)Z{A$;OV!$6;hjE|&62;6m?qzv+sg^+@WTE0tf=7*$KyDDF{n^Q2sS)4+Wohuai zU@tiIf1zI9b4F>WjkmgmM0{d<`|NU3r&U)>>B4J zph>|Q!YU38x3CWjuvT45sZG>~5VtBEPK~B@CG{DcM6EI;$d)AIO^><#mP9Rt%!(C= zusymI^+pA2(y~dC5EnWHt~%MF9ssya$2b#lu+olIUs9TY;tp{`2&UD=aA;Oweu>OE zd3KErEa6$u;TX0uM6Zg9hJ=Lm4})76qfx^OPSRYJkcO?Xge6(2pdcbk{zr-e_e|!t zd=NBQmqGUo0~BZi@(Apv#vr!Zc*{QYajZ4CEDracxn$`Th>92%+gHv!Gc8R&U z8K-U?&V}T@w1+deElEEELQ4ygYLIRS^-KRiDHIl(O!jd^C4!)@`Se2{$i3{D{Yi4P zg0gQss`DIyT+mXHyBy>Mt4S1Sgr?KtVJter0UbtC%#jFB%;ehP^Oyx59JBOjC4cb) zV683!K<>`f=`3I?ZQ6cb*_>_jzKi+j)d7g@=bu~&q*_L+1>`Lzoxx3rvdh@9;Lb^n4+r61a+%Iidfn;x+G8@ROvtNI9 z{hYN(av_#7SZ_-5T5Jfv{vw9F?cF|}g*=NTheg3Y@hO1VPI+d-%4_Gm@)3|{-Az`~ z7Vpcl+upyk9{#xZI!wkd19?O1iCPm9a@D=qwI1Bqu0Oj^{4?M_pJhL;_gjZ-tcRS> z+_(eW=MpR}6r{oiZrTt&tM`;=HJP|qGdEe8)r5s0cSs16KTq7dn5?v>%H?K``pz} zJu|rRhhk3P??HW{t!%&g2V6VQxX<_T*B5t;CRzW=$sYN{@mn!)4C4<7XzUXvC$P4V zMVzx|Z1Ma~@6%1`G8$?|`=Mpk9R;D_1rm-f~xI^4QNVpG|7srzYzVB+iAtZw& zXQXI|3{5;Et4TM=&>|;f!z2fLu4m0Dgq2H`M}ujM;afC$ZUeXhw>Qyi#n$oNOCrw& z<`g=~35~wzElrmJWw#g*!$uS{6!rq_Aq!2g3gM^?n0KhDi^@xpWX$lFlHR3c&e(Vj z=1h_)J3h}_+6BL4T{Vq;QU*=B{CQ07TqZA^DI(Fw7N&GIFt^@-pB?KJ+vSy=3bL`t zs3*~K5SP< zkqAyL9VL;n0j!FxWr9m;1g~{vNJB^AvV}B)-ZD^4_q!!UWdmZh+F64BrJg-SWnGlf zLo?h%bzp%mIEos?fZawdvJ3c#)uVR)Z25Z-bVYLH1I0HC>a8bkkQq@HB*x9{I0bJp`2LHY3fGln(#~-<$o0uUi046o zZu!n0L;Kr<8}B=KB*ft*e?q%KpGPDORg0);COeioAl=}WsRm`eNZbRnVM|9qexRQG zz2!j7XBRovuhX)rvCVe)Kpsr?2O@Ve_stN*xihHjNzsi+lC0z`2Fh;04Sz>bDhLL+ z0GcR^%Sk^4wcgVr&D6)VJ@}iF1O_sM)Q2h4%5jI$xA+XPm7HY6>Cm&1E>}g0j=Eyf z)s#yFs_*_rh6@Jxq=p=mBU8JoED+d(m^C{N=heiaJs9FsEyQz)O%WyVH#LhvbE%{z zgBAbd!gGlbaO1Qlmt>9{VOG4Qi{$_pt0tI(q&U+SCvr>zvPm_eB;rC5y69^T=J%lq zjsY1`z-<*O%QVf1u+fOQBJQ%fn@AAee}-PVZFAd&1PNr0XCaX05RnVFHE%)xyojxJXhm2To92 z`O#A(gg7`xzbA&}3&d#!HJMVA@I)RBr!i0m3z|C@#fvj>0hv?YC?u(n7)tjc) z@xew=AogMr0ZA@vyOzLr>$lC~#fh_F{C1GfCNgPq?%lj*@q=@F*Ay$`G5m}Ea<$Bv<>NbxdKQve)lITJ{PhFS zOL~pzmvUr#exxjJ5LldRSrZG@lE~N%OF)`uMjyuzHiOY8GOcT6q|jdj<>mtcwL*Nq+lP)DRp(8=Y@{5-3k|r9HcRuDF7BZY}*D{Yh=wOut()k-uBoMj*}olRO?^$5edqnGWTAwY7mVuC5SCIv_{mvHnTEuihkp z{tnK{9Je$1Q%CQ}BEK_s2Wh>3h@(0e`Lbona5KZ?%#IF?^_gk2mXw33=eta-u3IbJ-nB)v!! z!34n^cV^c7Jht~cHP!oZ1o7I&{>%y*nkLT#7#s&>@`I}{FalHg}O1>@~WQCOLJV8J}2X-NmjW2ocA{V5pVER%*GX4%J+ z-T@l@yRek4+z^Q}ChuHrygd&+3@m&M3)-^FnEV`O^wUeWkd?>N3P*mc8sz2Ee&m$+ z$0B8Mulxa)P4asi#O_oIdY4<|lO>Vih_d5X=p+Z>1_|5{&{xQZB^%tn7Z6TyrN04P zp)rwTp$YdisGEf~D3wmyuyCyUwk|$Fl1-#Z7(ETY1|Ae8Ml88X#tOpYlUXapWhcO# zSgus%8~Mli>UpuCF|a}7HwicnR~)O`GuIW8TUQ+Wgph7T z>E1p;H-?&o7q%77=#R+MIN2dR1?TGbk4*_0@ZRwfgu)pGQ(_szkWp%a3+<#L-KtoI zpdj(dmcT~U6ioqJI6}5m!33d;O)=!u&F0cxL zki>@>d@t?f5@tS0bRBq^1gA2%rbMtUC0cptfHXyNfKQkcY=eYSMKiMT0WnFq%Z0m? zV^BXw7F&wj?*WX5n?Pm35>g@^%b>*Yg&h4*pc6?a2qE+Ua}kE6eT&N51B&u9ijGki( z`q^`My(3E_y4FN1D~Z5K_I*+dghf%V=0yq|=t?I+LU4zsqZns}X#PO9%CXx97D@Rj z1_|DgoTOGU`zeddRw7=D#bppA{Aki$bRFCnM9~kD40OVUtE*Naao#^@-TG4x>N=aV z@}RhLOnsD%F*)BsIX-=2YU5~lM8U;s6`$as=%m+5x;m`k!TYIpc_OS zj5Y$_y?0mZN!37!dKxcIGQu5;qEd5HAIK)82if-$3S<~aPK2@xEUcbkPhD;8T}^1U zfYW`sWyRuOGXfPrY(H0Qj7SQ1^B<@7bS(z>E--m}2$T0|1He=x0m8KVzTad*J=-7N z0~lZpkV^=@gjO4%?9R*L=2DUXD(mb{Jyx+c)j7fM$2P(kQzGzPoP) zP<8?AJGJMLhcab7Jy8PRWdvWs!h&oF0lADZd0{;8KXsA>)w_B|7La)4d!69sBq19@ zU=9#`HN-H>W+!QPfgC^#U$>DUhNsel&)#AtIv_oxXEj_k?1|2C|L?g`J4D&yrx`>P zU|kS$@ZZdy7m;a`H$uTY&|u_c4w&mK0s=EWyLivk9oBCscLt~i zpFDkCX4KB79^ENMJ~h=s8SHs?RJ9;{<%|JvG%6%VBAAOmfAsbp@{w>4(V2j$9JvGc z7UMx3qqGpC;B=W>%;=SbZJR|09!YhH zCWHHH*AV3GSvx|iAZw-U<=)puLU#S*)Msk4xVeuWSawzje6rdJ0CGbk-=1~T4)`R8 z_sc>k)3TbdzjL)i05N>dWbz4R3INENBRIgZg*_L-Ro0vJqaN8hPFR%>b#d*0n&-oZ z_o*Eyf!lV7#Uadu8hfTfL3l8WB}{pl&E@~=A#&SwkKIx|;v&kO0jj|#8?MXz-D#(N z?KJU+9dDkM0Wu-g4ur2P3;?V4F?+!6Lr7Tm5w0DMU~gpzm49DR{A9l`*8vz%5oj61 zl{iraj8r>_@IRvvXE9=_cKGSOm0=Y^?J#af;iodjsTt?>nJymnHUhCNemqf}A#U8+ zx2T>2Aw<=IMN^XakMsy-7ti~SF4PYD>PP;urSO)_)Mw;`>oOW37nr=QqF{%R@3lkr z;+MZin7qslz3~L2E!*9GQO3AtJCHF$2Z@AhOy1sbjVRc>e%KzfrZ@v3kbQhcWRna* z6UctMed=xn)pO)*lKi~ym;8CyAA0tIP_-z$rCQ7GD+H$J$mEoJ1J{h)0f^y?M!FYO zeh;++J5hTph!^51^c`0IWYe408(*i|VTWCLtDKBjsslfKK<)6;r%oTXF*I&+_qfcU z^2Y5bo=LUCMAQyF0cbE_m(itwiTKaqnRnK&cp-HDb&sE0J^Z5GYyU%Pa^7+H)Sm8h zghpStcDy-kPw1SUcaK%YTssi#@)4*V^Z--p+96N3qv`a6!|C?3K0C6ozuI1UrwSrN zd!-O3{PWwupA9f#X}ECKzB>!9GcdA18M&867G}@P_?4oFMiyrIl-cFg;2vybQS?wI z5FGTC2_qI=iWL&ILkZw?caA&@-Q~S&?%j3igl|m2$YSkvkMqa^K(jMiL!a6q+^60{ zzoh4xS%k^kL);8``-vSkk1R%U?eOscAQ#)3?ZN(1_k9jviN(h9U6ys z5qb9}=0*>lux)s$qo4Z1g4Fq2eG0Y0GTXgLJ^4sJ7*m}jz7q9sBEQ~DpdC!h4bWtwa ziP~Wy!O}kY4;WdD+hLVm+KM_^5DYMfLvI5y;eguVEUF!hai_gINbNwfF;-YiBa0G@ zEP7~c1}x#J`%pVzSdkl9{QWx&F`OM)^r;=Rf+otzo=k{G7Uw)Q6}1CK79Y9N;*kZx zE+2u~K|8Gb4DLG|ohZ9NB@G~016#0}&>i)&;uRVDEZ|(v4!RsG99e}vM*#5&SHus$M-w%EH`ps7pENze^z+r!LKVVXK zF-Gh^?Yt1Smb@%nZy8mJby=LzxpIW!&-Af;w`uzQ`ZF=?C(>JfX;@kHSGV9kr z`%k;)PZ{y`B@3VLUU&88ht65I?Rh}nKELkL+W@Eg)I(b~zy9F$PrY+C0sD^o?$4Si z$YASBzWNbN{vnuiw1=SKr@8khhzc z#BOCaIGPRN$<_y@A{utPrZKJl5yV#obg`Dt=<2+ z{)^{)cFTsXS6x4H-534~+)se~>h6Di?~Cx~JFoux^-p~Ng4O>ESlTO^u6Y%j{1`WF z2HS^3*=;-Ma`L6!_W+a`putG;qNzyvSJ(GMUV9K$0)zLLPwf8U#kYUq2d`4jx2=2W zJ(#ok`vYYIeD~RPue}N5k4HKA1DSKv_g|voGqP>lPq)5F=8*9Nizd7qg+uCdL#rxR~;HtcDW|7XZ21c!6t1eXVG4^Yqr_b||Q@Dluae=Jpk23uhRZngK}H zFy3$lCD{NbZ@CF_Mf^gLZw6Vk`b}T8;Mvq zy4MkRg9|Go#mX`&W<(ORt$FSYUoO9d;B*%V!p$8o%v98BxNoK}Cy5xyH{#3lS|jNj zo?fx#!UiE_mS0c>*SO>ps4|yjcaxPyRopb3Vug8na4XR;9v*BCtM)i1@vU|dPeO&j zgU#$JH{v|(cDG;?s)q3b3R+zST(Ajr+g@+`1#OZ_d- zM1BePHd_YB73W@pSk(<#<2?b{H!|4DWAPav{a}B-5(Cb)S`xfp7j9Q*!8M4XpI~Y95cY&)1L?j5Y8Ud7~2ob4n ztO5cRzW?*RkcftyR)#AIz>K-syZOVB>dU< zV;8nUHn_2^O-ms#yXs~KIIfo0?w%MP>z9sRTfd=nk|c6>xTL8g1R>6v(iZP4XTZwN zRF$-gKHuq`=|;oYYFl~7o)I<8POm6qi-c7PpPY5UYN0ypBv#vE}*{r;uVDO6*1XWz~oH|_at%^t^pq9K<{LCT^WogpkKnii`GPY zJt&OvwkQMQmjSCL8Sr3|v%#peNyq?ylm8Er+&Nk2{6Pq@8!6`**mra@B@wwmn?rEA zhC-4{$4qF3zbWTq5}V=}17+uxs?ljSM<5$w@t;EojEAc%nAf-Xd;oK@1^IyH=<@xY zO}cgve+vig0Rx=HbP&SAfHWHvo&yRt{;CLqwgT_G%20z8hHw?dP}rn@a4VjmTtNRL zkmQ0phEJw_(R>Ll+K-naE}FrJy&nxzD15+-7n97wi~^08{}Jd`JhDNMxI~1BFGDMU zQY9qlQb@cbVH;?L&>G<_Cc{i9&_lQw?_#fK3)|?|l1(yU*|upy3o-s=wA>DX?Yhbk zUL3KU98|P~av?f+ox_w)oe&K|y5K+(&;~n3swin9JiP4b*ro6RE`p#~kO8CGVJNsk zhyFwmQjrj%Fj?P0KBa3#zqr5`;B>}8S)yGCl_08=Ro`Z!40OeXVnY7G&A%9U&WQ~q zYl^$S2%;BoB;#L^KFT!4D+O9cLW_v$#_-ikiD$tlcxy}eE}|&9Z?O0hu9JWnBcD)k zNVY3Y+}f-uN8*r&{RPV*)=U?Xzz2#tj*va#Ia>8qys`~(8}7eZd`jnx+k(QK%Qhb1j<B}DK}HQhvf%>}^c?^T+5!(6=$AZ>9+2S*^c8^MTlGjH$Q?A0 z<(iuuJ2y(?XmaE4!5u0`V~js819$x@LgSGnBSC^ssjXxx){Gq}b8(5y?b4h99XRY(iy1ojN-E<=SY1?~nw zF5*)*xJ$t871dx5WfY|_{N04Ec>D}pVPs*JqzjcnWc24(CGompI)&zCKIKjaL0+Tv zjn2Z8kPcbni|A4H-RRs>VB3~AqbuGbQli34qLXO5eHajM5R=D^o+)IiCTMhvb5%c7ON^1H#dd7+JgESCBD<*ezXR!VgqS7f!e`O zHHxY_2k6wRv5THAQ8qpSh4Er8JqaDh&4u2uBV!XzuHZo!t}!U!id4ks*Atlv8#Lj< zW^oy0ZZ3l=MdS$iJ6@N-1LDQuI0#{G&Ia7AWy3MV4WKS?H-qhi7vvcqrr0+(B1sEF zpAz4;4ONdV&mr4P zaRYz?ahRV=F5kDz`rF9j(E4a-5)lUPg=U8dTC%P@UPN9CLrEb{(;F(~UcuH}d;}}H zlm?&2&?BW&vkA0nR2@>eN!(5}JOvai`oO_$r_<_?(MaSG1|}&nRuIy7x`aNmpb)by z6Ay1q3yLe%U#bBwerKRPaC1%Oc5PKH&=sbQMKTysK-Y=5*%B%RGQJKaa{-oxv?p1( zhxG)YH?9>f;(YCf&~p|`aSaY4;{|d|N(f1}(KS9U=o_?p!i3`ZqQu1%WN$oZ>^WQY z(lozRf~i>zI#;%qt&jQvf#4w zPo48}Hc9Lg|9&v3>jA+^*tU1Bq1{>5|9Zt&+NNAfdcU&vhjX@0zVmj1)BW}XD}LK{ z?PY{k`^wt8=4`trK=36H+Y>Ll>>~uHd&itzwk$+IG#IYgUsc zt5%TSmwjY?J)x_uUmap=i}?Y^$fD%PUOz)%h#@*z3p6N12XMD$=`rohEEof-upp%o_EjfaO{Tl zzg_XLtEZt{~Y?s|te~@UAwjv|&!y$4Q9uZT2^SeUlya*ty1Py4-6`JF`2}>Xi5+0{iDo zc&?kxp$*}kPH@ZfoU$3Q%5Esx`PB)uvrH*=QgPxy%bSss@%n{;+neBl8$L0Qi=W5) z(A0z50$HU?t#;A`WP2g|`|y@;tqH!%E|Ya*%Hj(`3l_uxatBR((}I!!JYZB~QqXT& zdno}DuVkM@q6u9fg1mH=Ql`~SipJlVNLg%kuxtym+4yM6rQ#ECAI=BRxjmS7$aN-; z?h|=P=Jn}%8CnK+5G~9X3gKeFfDWK6C>DiG7IXvk(S=(DZY!cRnJA~wVL))QUnn95 zy>GAsJqln>Zi{jtUn!7W^iiudrj(0ClNYl>Sazw_5nWXYx(k|YkJ7w3g=#uvBnzhQ zZ4p*91p2nrv9&PSg(N*XWkMCsz$rmi2FMly)PaJ_B3<@0&K5f$%?LY2zvDf zxg{Ggj!?plx)ORKF{SCpvN?HdS@y&DOKO`+IIwe-l#)||Q}{R0Le5Z0P(x%NsKh=n z)G#TYjZokUGRwvU5$=PHM7J$uFO2tgH)|_cRA<8wPkj^K9#DN1ablDi*!lpcrGW6=n5H&N-4$$vO=Yl3Zt-M5W>-Z38q6TJ zl`$8Zum>Zhn6o@Zmss{m=VD@?03di2;cX(wht0^4Y>fuWn8OtTf2V zr3}05lsN<%-2X`{i!ydI7P8|D4e5D+>a|eV90-Y&#hAN5lI+0v!}z#58}PXd<{gF} zZj`FU0RW;#!Cx@hWph+XA_LP>25RsU>{8ZX)S^On%xFSNfU2G;EER*5n9%zSH5pEQ z(lkXZhEo_1>j(IxA@B(}l1_r!4d%8Biosm~I9)>-35h0Y)&*GDEM&CWKnOMagy0Ll zPk^}^$gBpEoJC}!0w8y(DI%YlJ<%0*;(wSd4Pf>Dgfyu*8Cqs;PDs4BfM!mK!X}zs z{N%}`_syH6Fk)xKO3)g!f)%AiR#=)qr(g>XhDDg6#ibH-Y#xDXD<*|-4FjTub+w~} zXr5)b(Bw=I3iE(w&BP|?8N)JPrli2C5aBM~QLlv^n{|~WS4EJzlYms4$qne8nMQ4C zHBFb2PjZgMwaE%Hx5M0V%LNBqrQ9QivP-rL3$wb&HF!`f$OS?f6|>o~&^u(DF%NOa zG=V)jpgw4!twNb2y71206gwwNB}4JX;BYL;VyBbu8Bo5dGH>GQ;mqyTUh5*awcxS8> z6^UjkL_;tYj_C-ahOsgr8wnZA2c4AdMx-gl^k#ZsT_Rh}E%bv+eGP6G=c1*B?vivW zY9tQajSUl{jTfVc!m)NUPJ)qzYLUSmWF10tk!g!Y2ShCG5`i_bTA+#;&uQ8Uj79+s z9E9DCgIhloA%W~j<(}`zE4y&TC z+$`~k{VHL^(RdUl`X=qTG$x2omr%roL3m-Vm5%*`8O8b(59P8k@K;`tbj}AlIjrH~ zMy?$`kICV`0+d~#oDPkZ+s>bgb%oV)_F`5%Ksep_Y#@7H6Gi2AtY7&)aJsx{`!W&& zY}@TXX4MF%v^zVnXYtoo^fu>C+lkm9pSd^aBsCey5_uswd&vQU?kVz zqxu;Zaz5|nJ{y{x9c;snt?%>ml0At1?Wbk;&JXZ@^Y_kYA^QQy9TM`rT0uYzuNbha z{3AbFOj)cqvj1gS{kD1c*7s&wzLi7{a_8;9gUFeCXD`J1lQuq&dr0&iAS6K9z4kt? z9caAj`}o7mHH{LpfNn=OxqBKc#m?^swi0v2`99IE@?5ydX=;o_KUagjO$oeg3q0u2 zHtamko{m8;xiv;H-ZwT)d#gip?wcbmINp?bWCq00{ zlHL$vIu_0Sv+NY6J6zuanUbW%i5j_z%+a#T0b-lzN}CtP%}4^vB(|HH2$bDI?3oJL z#2pz6bBc2c7CBvM%(!cx2wL?~Ri5AG2neY^X4>SjEPLLkGbn=!Tk2!(AsRm;Vo%3{ z4Wc@^XgZDxV+8_25-Hb~WPB=DVrS^QgiiuxR-)E4j|?3l$*yr zBzg}Nq7_WN^4B!7IHYb^pKcv`z7a$- z?tJ<|3p*6X|K7^A!{M)tPzMfC1wF8$J6PFhZ~C+e3kKBN2QM3a2cV}mMxr$vBDAg$ z#XAqWy}_HrRLj$>JIJycE8bfUWPB4E+XWhb_7pn_Y}-EO6vwx?r%*GL6{0Zr4{TeJ zZ4f=kHbmsCht%xn%O*=dln`V=kZG(SWIM<-AP^vTtW-&}?;7c8WuqG4!GZmfQ3|dibD#z8 zK<*?Be;U5M52Hk(D`d03Kb(Rr`+co+-Wx-;!&@%bEQ*f0qSwufO9Wj39!2ChY#I`C z8reNOduF;^p(~mvuVLrqdoV+c{gPDLv9=ke6L4cLs#+K>t`H@NOwBdgotR6f!dLik z8-0SXz^u^N%Rk%_?pLS4H3&n=LyWU$AI zwewLQv`yL>l1PJ@wO?{xb_U)h5r;Ya5 z6>r?LY{2QBkL8ScH)V5Ydsa5j{qsrz&vUPw@=}l_PBF)g#)byo5XI zw?RS3z927)iTujbApgVdEIYUG@gCMJ0!>gLz6n7(Ndn(}Vb@g;f%_$%L;ofviS-IC zd(MPKtA9&EFm2zv9zI3z)Y0`2X_2 zan(01}yCo&a`7`l7Hp~B6|;zY(H5Eu+|57v_Bt7>Mtk!wCkx2WsLAwGnXeucxrtZ zDTpL#;bU546+WowW+6nTnS@EvDpn9!!j#-1Pc=pgJJWbcbQj;I9klUPDi@X+m zQR0t*1Hujg2s2prC0(IFHRT$q7!DCxQoU%LdcByMUY*t^`<*|arKbo zkdvXHh@T*$cI;BTDQh6ppb3%;J9d!s?C&sZvWli70-xk*k~N<45@gKrfV(j=7SlAq zUu0KB5yj-gYmQ_7;;(3AF(mykg&u7X?xSCH`S9}1G5FZl&Bb7vpFXJId4MY64wJzR z_|g4KdewfAAepA9%ZU*<^}JhTNfGZSg`v2cyXz$8%R3C`b1-1;B111=H45>M*y4f7 z$KjK1LH{wW0Yb(ZPCtPrK~Fc6BUI5%F8;tQ=|>0Z^Xm}^ew6MwTMf>~AxdHJ9Fn3z z5r-KgkDNq94Mnm8d-ab_4AP+@C@#O|gpnBucX5Rvp`b9>bB^##w+J*P`F6fL5JB2u zT7d#jmmo<@gz&Y&$DFT(;p%T*0`lShq2!H7b5-xk5N9>sx$jNcP;{6~KQq zcz_@>NSo8ge?k$*5eUEguG*g?93y|L#;j<=Ip?D`4T$}u-Cp~-S^gWPRHK>GKP zAK|`3J$eIJ#sC>YJR~W~NR#*#W1=#ddN-al{9&mS7F5QmG}c4FjgK|Rg9_$Y=sQ?` zO*Mho&e$>$J&g{P?m$%JqqGVk=SOKNqq`o;E!jfmKtY%@pVwO86W2lqq}eYU>n{`0 z1*G6yZ>ya&RC+GBgKpOR$d%{`kO%YH$$A0}#w!-j*;~qibWgJT^mgskYUW-^Nx~c? z2?70t98U6ajI!&w&t>%<132BVQ!lTWM17ujn@MNNG*f5V00jdww&a1{_(FFn`DCR@ z9jAI5+%WzKnd8i*$^m;)|1BJp2Xy@}i5IH|2-t%Wr(P13y(@8Txi}!mpdsUqg(d|@ zZs4-*TW>3nZCO;n2C>v=QX`^+i%TWO>kBO&ByMbjNk)2;SQ3c?P43Zug=U!uIMA=c zAKD{c5rGLVT_Py_AxTmWQVjecxJEM;7#b~o)#u3Dpy>Vt5_K)`$gHmPBCx4HMm1O> z7CRst@!0+bC#EA3=vT=YdI(5NSlFos_qsUMfwbU63k#*4(H?*$n4e@RtRJZeSp$P* zDIx}L!wVK#+6@GKWqN zcPOd+TkY_{eC+mRr)<;tWx6;oY^BoZSP9JZQ=m8g9YtrH$#imX!4OGeTrLVN;o=03 zP_|sqx(KA%dCya}sF5Zv)e92eeq|M-&$+VUVd69`h1+c|aW2J3#XodP@h*RYjB`l@ zlBw$rn8N1;Tx2uYtCq{KqB{^8@MoGR&k(|la7b?yXM&XPVjhV7N1y)%E6 zES?G>zXUvz0ZgZ_$zgkI+V;w_*(7^v7l|Z*S}|-dFlr@|+;g&6yy2mqE@P>D@EVZM z=-DI3y#ekX64GUWyC*ShFJN&&CQSm_^*ucozq3b^@9lYmxjD%kw>Aete%A_ouBXzs z0CeiwslV=ID>nd(%jkiSaU?`1NkW*6BtZtsFOmc#M z@KE0rDEKrz?>+RkX=u;l%{xX;t%Y%4`M(Sq3M+d?ybb{1J*R&ET`@V5!w|E?$M>y; z5Y}YJ_IIunJ&!&(RSnxa{rtU>9GmxGYC(tVIBe4J%~QqkFHAjcPu8)IK1eXfBVOH? zEf6{{sX*vV8=y-rFLwc#GtZnnyBIW)rojyQM%U}3p-NQRXd zQ?TYjarzrKJ@f`z>#6&?LVKf&_n|ye?JyNj!W-hDt}*v@p@ZGiLu4JO8W<#u+<__v zwFALE_K0Kl$k3jv!Y!ev-Vgw=>*)&N!Jh9NvnO`>9yw(e%LXstF^PZVo)8|ssXK1k zGg0(B0tG|G&V#Rmj7Jp+ZX@x$v);rF5I5o$pJKSqfb8`2j0DgobKlgnV<0yP*&&Gg zr3JwDJnhEho7S7xP6bphtn8JY69Vq65E!3F-nDV0d(N;_=V=WOeCx(5E=h6ikmDx5 zz#gg{roR5-$f?O4QWRxthmn)!zkanm?Xj<;cG$RqT*bi><@@eHH9(r|JmHFWE(vY? z8fu4;dmvj9$Kd|vdx!P_1z&tqPTF?MqmKod22Fm`0y1g`T_AFK+2e5T$N?H?GPrk% zKIY^ZkHVH2aNp1qCLjwj@9<~Piy3;`29r1hPMwMe1kYr{!mt{#vfu{f`kUwMeG_Bn zsr$M^JrzCsTzvS9CJ<<`c$_YjV{XVm6Fif-fNEf{z+@*oq9`%)?e?Imi_bpTZ+X&pT`Kc1{Rn2V}(b z%I+!J*~{HC2sfESb$uzuz}m>?p`ruxI4tULiYKh|y#91Or?>9 zm>Dnb0XOyMN7BgRZ4%N&9(s2Ht#Eb%DR&jq|S}tk;P;lS+J0uQ-8^1Bw4nTM;0T|pW3tVnj}UR5OPh=($B0Y zJii*Y--MBcP7)W~&BDmyskaJyT0bVmH`%3#Ko1=wi;uoawZmz>BMYDt@4EoeuoC^G zo_DS^wm(d@!`{!0!~@2YK{y_X5Sl!E^Mj&z&u9PRIWgfp%Czk?vKZFGwL{K>xgi@3 z2M6e-M;6FS#7(7`!*07iP{dn0~x{}HlsF+28o!sNZ3lKhX&-w(BKd;W?G?pgBCYhS;lXIb0*|D5^5 z$FD!W?edP-d#?EE=?}NP{zKw!drcu5#$~hN(c8D|{^R=NpIP_%FSdXDy4(ICbl-P( z?K}RSCF}nE`9JK0>@AdOJz4G>*8S7YD^In1)-AmCj%%;K?VWRWZ2R){-za+Y_MTny z*GKk3$g8JZaq*JSeUE)%@!szaTld87FFilv;*TUVuTHw`;)kHg^Yef8+25~wVpsCm z5zjyL(ye!VVc%^%=iGPq8>jV_lOFaUl5Bb6-Nk$FNk6o3_glM1d|}B;&}8v|;`7dH zo48bsY`=T=^?OD<^4V=WufFG_n|DH!Q?I}6|3WSI0weaGhKF8z_e&3rcIRo|X*t>i2-cPozd%fqa-Dd+uH;?-p1G$IV_|`vg?LaV|4X%dP zv<#qkV%ojI#Xg`%DY?Qw5>_?=U2dQUi^;LrzR|}EPTiQ}wi-?ln6<#RZ_}0enTqCu z689g4>yU!WDI3P;glw`(@hOj*E)vU6y2FwJAhrg!dhN#af9(x-2qhisvG8;um;02L zGa{C<8mfTIs+QSdqt?DqD!l){AmKKfC1R{!C#>d*B4FM64P&sIQN(Snz46=VQAWaI zyi~Hz7oOoh(gNWPp7h-o?AjKe7LQFlAfiws)=BE#c-48P--&Sv3~_8 zNd?E&J7SgP-xqjr|J+0TxPJL(x^~dWLJWYD1$ZKao}-^!cLc0#VU(!2ZML*}!mXMH z@F<30H3i__EYeG~Oiu)LT>%4q(unTRY<5wa{va;nngr77XI9pi^ui_fuPc;Kp39mma z?YQH`LVSE~C_vsuHV9y|)d;WY4y@BvI1SPhmbqIv8g4+0;zZra&WmlUnIkvAkoR(_aL$liM;I`T|0=QHORpYGlJ0+#!+*lpl#0Gm~#rBSS(Q68{q8|isJ3@ww@ddh}>}tFV0iq-kWR${R z)&}fnsU?esmg*|JF0BeMCy<@={=Boc|rC{&!c|$ zQ^O@0mcro>f*R}|i@X63lEV?mJ?JyiasNR{V3G?b6cs>3lU4g?JPa|GI=SSpwC@nd zt|n9yAD+HLOP^Z4Z@o>pxk7Q9AS~4KaSN3_Du}tdO_+g~?OK6klkGc9i-OzZRy9*; zC-FG}fM$ss?c_wrLaUmJ%B(po8{Cv6A>oGDN}z70Ej6#X=04Ndfc71)4Xvy++>@vm zqYQK*@QRYRAQ?ApG~CtVbCI;jgzUN15hw`!&!QIpQgHmd#J7|p*=DwNnt*pHYf1p@ z%gw$4a}o>e*=#(U)6vYDP?Dx@-$Az2r&m!Y0no4#b&=m;xD(JxFttxipwnjLFp#qy z5Hg1~$!{mEz=J-!3bKuAQ4_SPef^EQwFm!9$Z!=j>C){xP>s>&sa{H1{7Ciwntgj| zIm!vJg(vrN2ae76$&mMXR_{K_YX^YA@&nShj0l2YMe`PPfP=?rkPaF3bO#~l6|~e~ zLRx%JLN@Rk=)ul$Gjy!39ST=rE0@x=i{{lFtvXay@p*VL*_wPUv=eDqGpSa35%j> zM%odTB8;AkpTw(+z~C-~l{}a+2shVhLR)W}2~;uJYB%0%JZD2yjbk~gvYE)~6e^8b zAe-)S`UwU(RD$OwW(#;wxZn;vSkpfn0AFnPkqvW(W@M2~vTx3x;qVjOCrMbDQ?M_0 zA98L)glgCq{sgUeiaf|ZIgpS7KssY&0iUF7)R^$efw=qoLE>gBk3b#b;5x@?$qb%BzSK0`ZFX!0W_*Pp@uLSB;xy{OXW~e+ z7!P~`5fEn>=$`63rJ2_UcTYn~mPis4M^oap_>&lkNGWJ{Da5ck77a_paMGew(sz#~ zq8NKkouS|V79xYY3gHd8=?=)UL_1j#a$RVGp#)@6U`axMDSBq1P)r=`K%*3riS$V) z9I1nCSPDli1pNslBS}Hw(qVsrd*;1Kv7P(l{Qz`&7aBq51eD1JrS;8T9{5-8Kbq{P zZ!vVxCz5^)Ap@fRsivR6DoHva^VloQt$7aX(Uv#Gxpp{0u$@DXo2!9lfmzCct?vV> zy7feCXY$HjYmeJ@)d5-1WemEmtk;T>T4Z@~zt*UpM7N;O4&Y%C@_%Ly{+GO@=?Y zWXj7$*G!r7y2iHcui&G1-!sg!kqnr!Ooy;XeTV;dw_)dgN>7JEqJ``0V;zp zT)q}bzM+kOF97zv7~B&$iy2OL{jJ}8a$O(%*+x?E!LO{qHZT1PGOQ%W_9q`<@LlaH zWbv~nZvEu>r_VfifZWHQJ(VGbw;oLS9%?Jwi_hoUAx@v0HhJ_t?BRs%{FBR$v6WUj z@@ao5KJ5hN<2~Lz<4R)lhwu|%a2%1OYyOLT^M9hzj0fo)!;1z zcWK%;qtnkDQypJe89y7av3cc0){O$>t+4y?vY8S9R zR=FdVSBckGmym@Bcqn|2Nn132GRud4u|B0%7{_8^s5VezY$Nn!hYyZe?-|aE?R4QlZwqqee68509kC;SWA$X zqagd2#*JI&wI?PT4c1qmmZg5ARE7cUTWTd`tSV9Kc~*lJD@B2@qjh3NJwSs~UZT

nS*1%OrN#}|5Qd9Ss=x8^dSzfAxEfL3v9w7{vu=uf+6hMrQP_l? zDz7UsJZreLx|Xz@Y`IYI|7Y)g;H#+4yzw)WNu0z8lS{;F>aJ&!;0F7)ZvfjOS~-bG zFO+JGSZRy(Ua2m&zjaYt?Q2~)!AVSgDW(*Jy6u{DiGTV>+;$bKYq=upQeT8_TkCGE z1$Ni1RME7S`X}i9eV^yd+&edaAYg)S<$RL4XP)!SbDrlpXU?BFGv`|{XG=Q_jH>2* ztgSZr8Fnmz2G6J#wFz(W{DSeN0~L%s`1aNBiOJ_$uqSoh->1CY4Z&A5V17n)OI4N0 zptrOB=PMJ(m(n=!Cj~3V{&w{i-p{SWXybEQrQAV;z^4ig9Rr`f?c_9TqJ@yCZ|HOm zs-QY_y+c@9mhBGdSm5)1F>NaXgRR!*x1%zP(ur7Q5K~(k(7T?k6#Nx=5WSxfa(0P! zwdHIPDN*`CKzFZBE=bp+i+x0)>nxp!YmgC` z$3j$^n8~Y$R3q3F+DVh~%lP%mHsOX5=1Rh=PwE5^pyEO>K!N#d0!6rLr zb!l4(1WU|W4s%-Ap7lhD0&#P&MM8nmuGY3=XWBqZ_yReKGKgwwmRRs~!5}_kmr9{) zHEBYxEUARoeXqooP)FFuwqr!EYpX4^1LYdzDBx;DY2aTgG^Ql@7pq8!LL@x0cF0o_ z#l`$Ycr>Igf%*{L)eeGdD~C?4Q$UhE0LUu2RcpRN(KQr$N$W7~QB?)&zPgo&fXq+B5!i$)yYTyxhC}Nm#n}?X~i{XUX4>9e>SzSU|WCPu&;pz35ch z`23*7aK?r6@`ZLEmK=Jwg@r~!qO#lz3)#w=3%9=#k{9)2r~Q!o)C;%&?9_!1Uj!sv zWckuHy^pWCru|%Kf@OjEdoDWl=XkG`p}~s>;f4c-^!H(syN}z=i!tz%{6^jN=L+ zsXczMT2c{XY7cx^;O3?>i!8>#H})z^j%E`|^iAIYPJVhk4DSZ}bNx??{O@25cCf<;S3E)U_NWF;6ffXq*g^8c!=~)VE#(tB+a)?z{pb^rzzgZLMVo)gZHL11S>j4*kaZ4 z?15aM1u{~|P|^52AxboU#QFG_!pBjUU|k2AKuct%X?+6$djEtYAR#VjxkQLl=4)4y z5Yde@7{b?K?^Q)SF^?los|*y(`$k$JTH7Y<1(;&pOTt(+LF7WHhEBS0%DcTI!?;g5 z+2|N&d?&@B9oEboQO;}fjqkhhO$c?kQZhhZM~A3^q|=eW_yNkz zjw{%(rJ(m0zX)y!(d!3uFV18jI|Bh#0d@Pc6DMKmJc(sX7(WeJHqpQdS>Bt zZb>5iDUs8WIkjY1*!M_|YdVgG@lGg2k$8VN*%F5*uAL@sQLX8S=Y#m|q$qVUBm_si4S_Ry+ggiKNd%$K@5DX|#6%q!1_!=S!jc+E z&T{nmLTsJ4A|dAC;iZOxX%K4JP2k2_grsAorlXAukr7=2Y2CttIZ)c@y_MM|rG=Vs zl&O~FxTY&xX`ry&rN?v-f zBqn5#CPwxVCEF5fv#{Bg0?S4ehE~vEu+-FK-s@bLj9Q9 zsRl}y0|~ev5G?$glIBw!G)E+klt#5e2t|jYfF(N zfrScwuPIDd^lK8$kFxP-TtXn zniMiM{=~Ut{bK$s@E{fySzL$$-Nr$SBN;w^KDQ40=xHZ_Pw`xo(L5PF^@yQ)9>FYU z?$5!>+{+vIL3}Z8?${d-gk8?^`h72c&G@_jm0K3>1x)Y57d*TDmOTFK@R|^|?R_ld zrt9~e`*$-IzWmgTdC$Lm%L00!4cX@oEVOA%er)Y~_WhdiXU|&r+AHw)Z=OAuxHlrp zo4)fm1bMsXn*R+=h#SxTYxiy6IAh_4r)XUP++Xbdb%Z4h?+vIYFRrKRr3qnu=1MT9ZxYCLTXS1;GT9Tk%{~9wbw8F4#@`@%OsB#gqSQZ z0QXg)5M;U5XhPh3uGtp}iOBE7ihSpbKpyOWnH_s% zt?Zug2W}nKVMY{m(0?)R*q<1AuGQcyhm~1aNwc`iX{Ke3mhlX}Tks$+AgptdU0IQ1 zDZ6#4tK=5=dy7t#2it2&ljDxPLVi8fR9Cigk;GGLz`wN)0Ca2EvOIBm5ZDWvPqE!k zrXi$hlCv`7uV23E6TsvJK3xkvpAXHUXa5kgsu9R-c<(B`jwb4-WF&-qLI(ucTH)e_ z%XRpAVvD`V7lFq@=3VZcKIe)_kX`58nvuZ01DYM4Ih2&FhXByT_}leE1wp>aF4rBmdFsC>x-N=g zt|&p4zPQ!?1eFeC*N_l^#hI!J2ts;YkP0mllA(_^AiKrj&yr8b*CtDT903KPMd*_w zT)&Gd#N5mAXvu;mUy#)wgkukIr`^%Ij=&Jfv3RsZj&%e|9^ikb#vUniJW=^>npx1A z#mIviIqLyAhsxC6>U1@T1`OH5fY%_!X(H>)2RDY4Dx$}JT|C9WXjEf=1>%vSHcO&i zZx@cc%s5pd8V?Pc&NMwF7$+2s<)uYJmaMGRLP?xA>n4!#tp#$$OGzorbH_P2mROds z8hKVR3413%C0pT{LrJ5Bi=wyv%@cQx^Xa6Ng?W!9ZCplYxP%7w6p$n49ysOMgQ1-| z3qpnXn56GTXjbr3JiF+|_vAjP5=vuvLzN>gO6g#NiXzc3Bu7CFxu76*`}FK-H9&;EAbI2X>w_#x8c4C z0xnNKC%XsB)m3%c4s3dhmJ?)}6P@?PrM zo9NW^7-8q@Xd<+oD!S2sIP^L)J&{Zb2D|m7fDruQ0}02{AWJYSHq+5rblM1zyTwIM zY&SM)7VXj{0Osw)gUVMFm2ytGYnZ5yjDg0dCn@}j|C z3S^;4M_mw3w0BBSaYfjoZgQ-K#s^Z^qW7i|jALif$U&mKnRa9kfBXEqsdX^E&DTzI zI#zBsaut13FjSz|h!iTmG}S;jT5wSHbUO@Hdu&Z2Ew94WbkB65(m(qs}RQvIzsjG7iqLA%B=wIP%vw5Wbkqm79G&O&}E=mIAWtm92Nd4 zNMpn-MBUDMI^rL!Fhk*j@Kl1-f`1NHGIb1caMS48IXxAl;PPAO6kjyXsOeSj7pu}8=jd5|kcKe;5tvlxBJqbgAkE$yw4 zP2GXqw<3hq!Y6}Xkq~@M1nCDSw^n{|H7C6OWk_*W}=2WBec?BP5&agk>5wK8ux3 zoXlzF){W~OBM-wJW>NStLWbdv>wQ4kX=*LQ)~+C17;=m-Hqqa=@z_DKbWKNNm1+AW z5lkt+jpQ_RkoX^3MU~;FI_VH~r9KI_lEWI7<8) zc!rRDAaY|G*APRo?8aRnNco4Gi6A+n&>SML z3K5wIQH7v*$bCe~lv%NrM38hCMY6c8c?OgKA|s9 zQNN+6^J<>pot{P9`f#4$j9WtS^YVm9$h~<=DCD_3Arx|Yo)8K-H&2L!EV1SL0ms-U zp8E*v05qm3ghDL@`O-GqR$p@iW{sxb!HR0 z)_FkanfhdLgE!u5CT{C}`D;QU9~ExNt5)AJkBHwnk`fd54is`%{+dt|>?uEf*D=|X zosunPfHQZHkR=0!tQ)Awq=7=_o>;sT$;y zFEw_TXaQ{S$E)6?ORPWJ<`xV2$h1l^9U#5gGkZ?nMJ!c=>d9gva|ks#F*=Wmcy^u; znp4Gfkf~u2FUYfmLXOW9!qPb>Pl$vJswb&|j>QwCFoZ&8MduxnzG274OE&H4zt%W*S^rs=yb}65w{h=7OP~4C zm#hA&|GL-TzjNbXCH8*l%AFf?_fs*Pvi8os{ntH6D5w1|d~WIO_nXprbH~QLuV4H6 z|9S+4_Ci0npa1>~{bxOM&n>v<^ZnOC$j%oYTDtSjOE$hQ$7T6fATQl{)83sg0nl;d zU;kiFZt2bkcfPXc`DOjr{d(Wt+zT&X|J26Med~n>u08QbH*S36q04_y_@6&};h|-> z|K_~!LzCM#J-v2!?!RBV=cSD=JoTHM5OUrRo_KBP6HDKC_=)}x^)r ziCldyi`A;XCb-9E?$I#k^ADX0n|D6ZLoUeYE!p$bNI@{VV#f>tA{T{C(H&_QT(YSCOARth-??%-b23 z&eB`f?tP8*-t*MnGnW1$cQTA$_QukmL7^|aKJNC7dw=@ew52EKKKhSuJQUq&ug}PB z{2p~Wkt1N$k>8gei23_4j1Q4WKRrE%(1-m#oHp48v_EG#B7ibv&_6mq zNC9){bqB?C&l|r(O1U;~YW$_AN0v z;RFuY5)C-7PpK3NrPh*wk_?CKy^CSc8kRNz`QhpcRuFlQAM5^_$$=|uH{kc88dPQho+S7n==mvB0W4c~)MDqY?ReO?G%eenA2hgpt{J8ihTiMwp@ zy@Qv?6M{;Q%d$rb4b8O8vO68C6MB1&7UW78$7Jo43gQ-4XnZa3Rs}g6S;UQJ?!j4( z3K=M;(DP^QrgdPP41D{JPxbLhJdlM5OC)e`4jiJR3i;8!ZQOa)UcDG1%Fi&72L6fz0?j6BtpWokBQG6j%~b2-aSkO@TwSvC@R>*GM6 zDy_G05tiLLv7Sh&3JVQ^FGK@zYafVHcB25Uu%Mdo2%d2&FW$c+;fCN$8m=TKi~dBUd%zRrY@OOpWPg)FyX9lE&g z$%~!ykszWWp*x>@=#(;!0HMOckU|4`N zX9b~ZH#T+y9P-C!Bh{+2AlqXMIp$WW%^>INVDj>HS7R8E%-}LEG>jd>s+n|<<<^Tx z$h4c0TDg)vxWGZqb1rQJ`2*x{;+~uLcU(3cn^!QFr6Ip>z}-M2yH*dbDcmG2<|gSP zAr%psAn^v>I~GPvE$cCMKx%?V11ZDnJg4aL~j4?hpYDGn<=G8mJ5{fdKhZ4D-7zFqI|ogDC`r*fhRxM|%(! zS_3V6v{Rwk$*t@bTKG4TCLL78 z6A}ufJH_$Z*Ff}43aVA1l`Ui?DTp6WTgl6lw$&AZ?}~cS+K9?FIeBU1lTUik1l(0* zC1zI%T%E6)70m$l%^MH$f3Qz(#$5#uVm8%U-A#9J3z$m%<;b##n>2xDLy;-V#9(eB zlMqvA)cWFFhvu+sYJwsK6>)Rvz_B5@s>4EsAjcx-%Q072@8bng+td@Az>a-n5J|-ABSURXgrI^RwGk} zJ1B6_+r&I!h?rQ2BT6vIz&wJB$inI~*tX(~&s}BZ7s+IFT^4Ue^R*slnoc8Sf^f2! z8YrIXoKz`XSElh*R!NDr#Jq5l105iOv?#;FG#Vh=!j;e*WYkjxD^NmB1&f8xiYjUN z+X&#Agp~!S!2JO28qG9w{#D9Iv86V5F5{DW|Y~fH~Gl~I2Of4UKW-n5-UQurBP_y62*r5wPAxAE^&8Hy8zSXzaz20}29UapC_w?o)sJ+qm8r^qy;Q9Us5+grUtL@?Cc?*t6)K z$9k{1bNho2uK6{iK)z1+pV-IX!47Ob4HU?B><17pwB@}MdP3Q+ldFDP?C)(~i*w&` zNAH(`Fzx#&^AGrXio7eTO{B}*4 z<%0|N^f325z1Wl5nDg9cp*OPp^cB~9dwu)Wz0J@Y3f@EH;R>ziE@b)bjvj2}z**LO zHeSRahq8^8dl*M^AatjZ{oOmiN8EphENeoakZkB3`MVCS@hq=L z=@`AgeJAigS3X!nu8P-_Z?$8iZ+7gdq24_UuXtN

?Lxphe4!>^#-r|-;SD3EbX zy`$ujV;HXQz$c0=Q)xHH)K+$^1;;w;v5~WzNF<-jNEcsT8h=lmxV~dTa_a@Sf?yMg zEUhiTl)@DisR_%8Or>KxvIl!8&ZkWa)CH?*@bSMXG-=_Z#%lc$d%9baIGuznkbZmn zWJ^EBLe`}&ScR__%MJHr`qr^JC_{D^eK3jr9Tu}D@6AB27o4(c#uqPWNxqsXO{q+J zOZ#Mh{qj}E8QGfCtof;z5U{1+{pnE!>j0&tz!BS zKkVeEl6^|}Xj?IzL}Mguv{5a9F36xt93>x?PtfqUid1TMNi#gy-tKYFXWNOIIW5!& zPiieV_IPCjZ`$}f<-y+ps#M4FQh-CAh?kOF^wvs9^fi`3>3F3moy9GRFd?{f{#j(X z(D2b@YKg*bPg8`Gfe=TucTDv>$ezWral6V`5ktWRxOXx=^KBw40knkbW4Yv0P|y;V zFRR<}h30au5YycY@n|CBw^_v9;s8<{8%v^|oX_<{OR6VjG!pQt5)+dPW-%EnAh9g- zwd&F;-wJMW$gxlm0}xiwidQxw$LrutjyqJYQ&X=TjlbABO-kBG`TD;oAn-8ziY;z+Sd&O^cT7(-u zQ13ClUA@!q;<3XK0_L+yseL@6Sb%R&mWr%|slLH=M5RT40po3}l<*Oqf&P{tqr+g} zNn0sBPFE4PsOJ%aAvZy&XgWtYZNfQCd0G&8f;y)Oy^Cc@bT>|Q3p(uwh);;g=$tGk zK#Vl8y1bTIh&M%=2nlXn`I8o62HCc-j{~Bmr$NE`u9hs5HNLSt$8l`yv}y|k{Zo|& zw?eeM6bEc1<#`QaH4E`SigV-dQ&{iS7i2*xWC_@D>Jz&@i9<;_sZ-L1Lp`#@PaJjA zq7hZBp4jRf5C)ee?;U0R8Mu{GeG*u_pQO3nFSfs6?X3XRMmFJX@l91WRV6~VC5g$5QB3c~jTWFxWQ*te+naI(dDQ;yCo zs2Ii|D9cu;wHC^sgEl{Ww3W)A>3j^ep+H8Jmgw)1h<_!}nZaA`5COJBWV^yZQZJ^=;<^-g*u!)?c?Hq`v!;B~jx<#5;K4MtTL~gud zA~D$jrDQ4&j(b-?sWuM7g(l+0SO>xE;8592aq}@6#VpQ?jEO=UEpZGNpqoc7NYaD{ zq5c#LpA#v%@!na1n}H&gpt@uNCV1J%XGZP3!I-F-YdX!(5j_6uE!;X>h{vW2pU2bG zJ=c5>|GnGq!~fbDPaY*7woe}J4?TFsr=P#*!Hcm+@`63r_1?@IE!Pa^*l}xTd?G9c z-evl}Yf7mYw&hF5>`ct1GxbRzSW=+@y^;%U+i-<;)xTijBbH@M$c%lDLCEK@u{DGc z4Cb~EU`y!&cV%I#YBFcv_FIeVNnzjU`LvxhX>vEJ&chb~^3lj1T&wm${``o11s>bq zca@P%n`U1G+?-JMP*wA~&>RT)+KhcG*(X?7`O^0mK=#e|Wx`@8);liyq9ao6 zS5lU@#*4w+X$23)Z@_b73hrSA4UT4v9C>gkpS;z(*ksv#!+vfZXzKI!V+HX8?LQSw zRN};sUQy@GeRdKYD`}36|D~aYR%vS zvPPgl;tInZ)hb$R38B5pfsCmGf0DBnvO6Xw-Pu@jxD0@qc?F9>uZYa>nghr^B)c67 z;@>EU)suO<{XqK-*q9Rjo&bL%DFY0z1IR|wP{nYWAKcM8AWLXM+*5}p9}>=1S;)-_ zhJ|J{p>>=h6f~a{q{lSHLtrK$sDf z#Pi09Qo#}13xCq2`-Ea57Qc}!Uv$1)l2ibgTU z-=zBx9y~&1@4NrWt%KxtNz>7^S*8n+L=c(|N6GQdXe2(d8!gLjzzYtwBjF|=qsO3r zPHQb2BY&eqWHJ>4xI}Z@FD@+=#M1MU6IAP zQz8@+unMmTWCEDp^rnN5%`BJtI}Au9<(EaAgg`==C5WtvW<5f(l0;<%o#mWU$juKV zcTrkN88I+fOGM36N)m#zkQ-$1C>%gWC?ew=LmUct8ywn8p%B-qjov1pFpzr~Aw!a_ z;j$c(8;?b8OAnu=88JvOgdQ|y87FH>3(Z01>;)V(Mc=wPhb%<=uj->S3-bL~1kajf zplsH3_0MZFsNmbW5Xxz=E?Q5+tt7Auj&0Y z9>hPk`uc^>qbS04g~4cwcM|tDBjhqJOMcEBJ{1Ri=h_*sF!`yxIlulUElU;fDEC}* z2d!D0OUn%#^SF+`DmMNL5OOi$I>yLY^nl)2Zea2~Na{V8Ws{IoV>p_T@lUQ?J7d8B zA&`4Gb#N^-De@pK!Q^G%jqfbrlgDp8EZN0Y9;Si?At5X%d2rz#Cf|v#C!*ECkr@9x zxJ?m{gqxN!-XeGRKizjg^0yI1%4Md;?xwA33%R z@9iN=eBD43_2nU%p61WXYmx~%(vyPa)#X9QDsN7R0_iTM(&-60DyrHDUh~?i3sSpf zq_=a&)N*`R(2}Z+n}ZK3JYZd7iR5x%C|x0M!{d&kBEFQldEo<^Y$0^3;631;O3DGU zDH8zH65x$oA&#S`6zQG^kVHb9n-bIU03&*a{s0yzu&#ilia#TBl2@|qe?yi!G9F|y zK9!k5@SQ<$75?d2j4%ry=^iqtV-PptXhN>vgBdwAv4IxKvJIJ%YLfhjhRKr&!;2K$ zLinHX_twj&;`58cs>oQgh#`KlPbfS3H$E}u99Xtr;GRvZRfZejrx22)|FGmK$;kGY z9IjcAPeSZ5R0w={6B?iA9=Rr*<)Pi&-s3xt&MbaBv4X`h-M#jmIW1w z_lA78;X7?xxLV?$zI~oA6lTOFSg%-u(LP==0z1CKz`uhfjGJwI&j6tc?^a?alEs{Y zWaA26HSnr&64}DhK?j<@2yrYOBppmJ3VLQP9uetR>G)YbPE_|ezKTDkZea(38cC2~ zcsqj%Gl%yi&ls4%g@`hwa3&u$ANgPsWr0wL8TS;<$2xZE>+j;R!x*>3XHr+OHRG=c z`x~rTETAl{jQ?uB@h1dnEcahtOPx5n3JYTgYnS%WRkQ+&5^qR=tkNJ;*PM6{^_2wB zOtSMr{`^Ed|2Hty8NV}6jye`_H|4okggu|){vG?CMx7SGI6EdzPhAfA#CF{1C!0TpCnMO!?bMWnn@t`SU zN`g6MC(R!Gq=~wer;gd~5(1z+-I7pE=p=(pb76u(f=+`wlNs7}2u^?mdK~c?O2ayL8 zxXT?(&Qr{X3i;C@j8$ty6rJQvr<0L}xQj-5O)(#a3sHbD9mUCGMSi01Y0L{K_=#N< zT@b2IDfA`DkqmRcd$e^JQ-3#DQVx+pj8;@th}AX}sui>vfL}#-h{k`uriWFANK~UF zN8$&C6We@uK-%yk23`oLQ6BeGLTh5jd`R)E4 z-XX@Gf}*7NJ0<+f1Zo}r@J>hSHt=`aAwkh|EO<6CO zYx$A6#KO<`KIg1W;-3`mF*hd`k+D?XQdV-d2T=pUFT&(o+I*O&wl^W zvBTJSdg23L?@c~>E4L1o82>wLhB&YPj*GLWPkZsJ)H>{66uTK_7D^orfq13wM)Z+0 zH_yyY&pnD;o?C~KTVDI>Eq&u=<{q1wZOr97hK@73kMFBIBNui$Iq{!BmfLUN(kE`| zb6GHMzT(y)PsSbmXl{Sq{*v*z-`(6Y?$k|?J^kw!dMu>whLZ6ces@b><;>jd|4ms! z47j1-Ejf^lCey#2OZF1^>>_fWo9={x0SYd9^HVV)P!O8jSYUB{1Dkx{q%o=VcS>toB zp>>#7B5x?L9EsS||A$+LchYd?NSJ*D@|dK1d&Rahm3b&#LA}Pj{_cyj)^#top&!RR zR=623Ovr0Q9Nu|A7jDM|bd>?=)v*3OsCoaifg0Tad604Gh z$$gY1E6Chu=k~{L`Md%oxEMLs_ejP&WTUV2-*qpe(V;3Ch=y`?KL2^rfBef2{r%~g~AJ5EUceeVR z_*rhhE+}Sk>i}*JcEyHQPtH~@E0N2xA!)3C%uJ|c$2nl%q;p85=xG%SZ(vnqJn1@*h#z< zO$-GR^4`A6?>y?Ppi|5&>S$(RZ=jh)#ilF~#s21;X&p?K5t+yHhI{{Mr?$9vFZ;z0 zv$bFEolBE~A0B%{N!`pXf3aj7W)_>E3C%1**)Q+=E@aaj$L5&@#>I<}CCw}h8Qkc| z>vH>JRmqpxgO*FHJd@^8WVa&-(h$6%t3VCsJ@7JMs#jR_|Rcub& z-_aFE_?OnJQ4(RGxdP^t3WYZ0%)%HyXl7ATv0?v&MrU4b*AZ(SjKY6DgIkBAD7!Iu z*S8Ka{3GC@>gPVRZ0APf*t1@HWp6)#W}mtL0I~sy3v|^lo%PI*ZoUyXt^HT-xPRNN zd#;#x$=dhy+|s}FjrZ@|m;vhRry={Nd;j6rJ73BDU~m8aAD{K~{qy=i^WeEp6S@D| zlQ;eigV+e1_Unfe*YafuFLLcSXzSW zZK)v~*X(m=+;ID*msah)X6chihsf8)U3|x1{p_XJ&%I>Rj_*H9P`%slfA;Aw^iR!g z-23zs&z}XT-Vg2i{aGj7u=0bub02)D{{L0&ef@=hJ!|JHm*DCMI<|l5&P{uNw&$9q zJHK?^9p8QE(>t&Hmwns%m;Ug5gvnb#l%-;L1^~Hl?!0ODPxpLk>961T)O~wjUHZ(G zYrnR)f64d9A#@cBR}v<6$%nkL;g>tQmsy#?>7@hY|sgE{jmyf(JWVX(B`(uIl{Tw0hDYanK%X9 zf)Hi>9-KRYbEDkqB_TwlRBCF_R@>B=P6UDT<@(er&pXDFa{>@hdMeSDD8K$e|O8L~tKS&|(_K}XX$d{Ws%2)-cu<>`t9$QH;bhGOnv3T+U%)*>qh zk&RD=CJ)06O;8giOzLu=pir$RkiX~L>U7S6f;C1#y#RXGLep55{~B3oNjFgnOkSiy zB`^_NX#8e6A51 zYrqZUHU@ZtEFY~A6aqw+yBP|uk-&WtY71l|r`4hpsJh8k(J|R=nqvcgtMoDgcml3? zo9%tvg0X40LkM4BOUK6b4yx1Hjrm%&8bSbTg%$)tys35|Ty>m)CeWJ{WOOTV_qZ)v zH$xNUcS6DHfS`K4B+vrYzX_0|s%bpPHJ0>lBYZIUI}J1|TXn#A10Dr)VzSxaF(Ks0 zyn+SUK7gp~r1p;;ox8#9K$hpE0rdq1Gsx1mN$;3~aBQfF$Y&Y(L||Kb|JbIKO@&5m z1y}j*TZPjd%6L)`h2*Nb&ziA=I2uOH1I|E6=!LMdMT=Vp4a{WEVm^)604i`0*!7hO z{HREAv|95|BOir!+QwWKepwJ|0p<|gS#r83DZlqHT zQH}f{K#6K8L70wzkgyvKeIg2~l9s0+uvCfqG!naLn@hXffy8*opJth=_*iXgL=?S0 zeH^KSVDZsB1mh?vX$gr5yH}#H%?t~&YNciax($!HD&!ZU&BTCJE!-Z8du>7*8Xt?q z$LI%&T5!|I!vS+BHF7jL8h+G6h;7M}Wh@o^2=E5|C_0Qu$d}bX>Ce7qS_icH2Z9@o zmze4>Ing-TY?{hvb*QAXubi@wi*3< zWh2N}dt0dI+l(LKS8i?$aaLsWNI zAhQP*Ha=(Bc4Od7ByNx=p;5ue!`B!sK<}({FC}RN?V)iMb4toDO(t5h$a2ov zNGOC`y+A;c5|I^Rfk6Qm6+2BZ!q+ZBVzR7h}D z-C%ASofXI!>9K;FjlY{xmm)Rn_I2IIsB7K=OwKuA!}sc>HwI47i_w^4niwqM>8;6N ziknSs7Apo+A1L_9NX_ArkI5eF!T4Ql#txG|5o;Z6xD8H)vNYJk56S{RN6Clb6KP7_ z%q;9CI8vY-g>kHo9J@aBw=A86O__q~HC883b}=+hs&df+v|8Trv{CO_RjQbeS zXsyY&*@^aQE!{M3)}Yi)H`vEVx0BF4rsShS@?htn z3bBO9tcix+0~PFSh61+jmWjaCzJd_DJ%jGT_O_vPh}$(jAwBc5O%YdaHXdwpFQt+n zB%7`t12Sv!l?RT_EC!CJM*n=^(9J4jfky@ulo>dN2`+BvFlhR1Ue3w&6vur@V}B3F zqG02FY3DNqk|SnmKErH)f1~davKWbHq^*HxF$(6;RIlqb zWs7_jsff#zu$BnK@rO6RPpA+QVrYDA5nmxRa?~6}R%kl)M1Uih6`dIx$M|63aRj90 zgB2UK`!RZ+0UE^O6mjCRNgrqZFZl&nXlurf?$IK%_So z%lZhX6&+~DE-nbmlTm>b7>cqekPj^ub8zv6U;Hw+4hKaceLFxt#|HRxIMml-SX%7S zF-ktU1o*Sx>A{{Tz_!JP90rzlXxTO2{_F|Zgy(K>U%i32KON)VGmL@^zIzAIYJti7 zckR&o@z0)c>VnVRy$AcEFo-R(yl?wWgUophvR8&ye(r9DrM5=-vI%G`9{Z`ckyrr|(L~61MYQB6~f3J=^+8f$y4AiWYr`D@c>79+*@9@q{Nb{sLqvEUrFI z;J};-iKKVMd(7yD%>OB(7FG1|{OaL1bwb zRGtVoE)h$K3NgeHZUXsck4k0Y9`Vfq;Ep_?P0?X;MRu**PUG!j*st z4%SU-Jf)E$VUMyYGYpBPH-TmcxJ*Hg)Q%PiNmpYgP2=STIxP;;!xTeW%2E|ywlt+x z3UxIL2{mIPM4Ba#F3L&r%)t@M5l0v_rNYBh%_(%qvX@F&xZ~)8OY$5ejg*Meu95N{ zj8B`gQ_Pp;GDch(s)SDvB$bJ#*nohU78fwvq6Q?6?dgqgaOKH6)Ztr78XI?O3_sXNhM9?pp+s@VF6_q58%9(W+?j!lAUrY z=_%*&kf*3t0ce)9sb z)`yye#)syV2H-H5yz7YDzO0btEP#ar3&=ROK#n2!MnW9;G$PN^LY%D>avOyj3utBN zEg5!Mk|v(Xat_(qbLOzEeL9_?D9}6g{Z=bMcg)JpTf>E zQb;i?5{zF;)Ujy}P76Uol&DDCc-6=!YFDR1=hs|d;25&Bh1*EVLjee^N2bP7LmR-) zrz`}UzaX?x%O$E;)3K%n`W;S?WcR3sa?(Uo(@0*30yUUGBH*Hu5i#})!)-bpb#-ES z3=_4?yOI~;v1uIS^C-%00caLauFcNxhlX&v1`8Co&oGYV4a(!#woeZ7_f@^WUi;ub zchG+87tx0Bc?ecO>F#6hrygYT-H?0V)!1x)Fkow_AmQc~HiTb)?i<*+J>td_{XL7m zw*XtEGwSQwiYHeRZtjJIZQHwW_cgtbt+{|??*m9~h~Ue5&yH_?e$n^y3f_l@@vDp` z-wE~pF_dFXY6n?qggglaX(Rg^VC7b5vi;%6975S;aD*ic z3ojrm4cRETZ~MeyH8HX`#P+uz9bDyn6TDkN+ywY`5TX zL~<*U@gG67(R?*y#Y*1Wa%;_d2u@dl483)b#?G*wrwPHgxkf+;xZ8r&{-iCD5Y7_M z2e7@nBmMR=WQkRX6wBs^@kO;^+N=2(rDt4TH%pJsEQT3Y zy=AgKs05YgkQ~!=v@J{0n4N}`islbEL%_h&!cQd)Fr}cW2L~JPKxNG&qgsYE{Ai5( zF$vgZJ9Z0%aSDd0iaFd0T2Nq`&_r=9Nf9j)csa_Ipde^E@NxhSO1O|nL5ngW)@MCl zm*D9EzSdAkuvy2X*zAO|@oR+OHy*SoAr6fG9aq_+*_tIpH!~<4CH_rT6ca7NOnvfH zA`TxkCE2c}3nO<)q{9n%U_loaWF4LubCu#BHjTa{87{z$2%)owV>&|g|1dL)!)aR& zttEaJa#Md`9Lp_nzE8-L;giQ`JcWMp+(z2Be7c|>JA;LGuLO^&lZAe=&}rR-4skY? zGcuEsoe&W0rdHknVx%cK+mj9B)>S@?gU!xbX?H^G7nckHn_QA7Y8^V)|)j+s&ov&H$FTZ++(wX zzEKd`l;MdXni?8uK)F~QoPhBIe1d-;VX^6=lPRKB_i^*0HpvNwYTN&3ols%R8hVIeerUON+W_zw$)jF%Z51-8RZB;Rgn;?5XPJ+%N}s%xyeH&>qLdt5gD4GJ98w? z`C5K~0T(d0>H1X{rIiT0`kdFBL~0_l>^zw${7B5kS_9tFm`#L6{3nT9;gJ}1bVZuj zO+w(>)WixahL#OJlO?}j44)`GGSm((2sM%50GWdJ#zowa2AULEL^P!_x1?(xF z!!3!TwWT96ol}+wnhpofk~l`6`;p%romsGF$Kqf~Dp`D0ph&u=!*IbVB-9I`Fuic| zJEh2Sm-S@bZ--`lYcf*1`KYMm%BxDVfKM_97KlkBl)<-^h z{uieL0vOy_oQSw-xq+)D1N(0OCQMp8;|nW^{EHcvkdWuU^z8OGuIb(JRNkD(C$Ms8 z$HvKL7;Y@6jD-6jH%aOChf&1$LzAb@fZnu56fR{z!P{r-dmKL5Q%ueq&sGj&d|t=^ zZpeSjdUtpIkz0p#G-K)D+0d{+T{P#roMv%(&^63$j&cv@lfT4k^_EC;{ zGyc$nnIZg5pI>0cPq=z@!Mp@;bG;gd#Ivl3d$sX*&Enbi^i($U02LZPNx$e5H?RCRZN^YV(C)Kz|`OU3Ks-+=R_E-y*qV~Q{1A;aoI$qV2%RWfx-NsY=(S-1Ey8FcJMQX;84PJlU$$gX5vDy@Ptk`H{kTYn17zNyI;zb?`{ zUr#P&1*bO{O|ZgIg=K*X!FuPd1UH_~gL8JG2BxgTat35uS~K=tga~l~sj-1ig*IySdjVF*Eb45%);@0#af*yA4lEm7CbRzQ`SEYyu6-uBuo+;q}TA?ls1u~5flmKaH4~*V6 zHf-n;rJBh&bcYc(M@l+_E`lSGsYEOe?4{7EC7je4IY#5u5@oB_8`WYSbF& zv1&vQN5Q|uy22xW0mq`VMK{zD;?E9l{JEbrxVaCYBi9`niPr})c0jKO?m6f_u|NSK z79M?{2#{PNyM}B9$3(L6eEw=XDVQ58^;c1r&>I5;(_=$6^}$R=mcXC&jBHxQSY#RQ z8Zq~jgr_VT#o&=TT>&9Mjkn-Z?gydcIq0ktOwfHH~vJgU1fn zhW&dQpD^r3wyh_60W`TfB$IMjDk)3Ukh_fDbu^yR9Z>St#EC}lB5tEMNXD_9ZW?&M z($ZxZAqBR}V+Zuur1zm!9w9~M#PvS7@o{eUpz+CJlfAz`_2}4Pv|HRzo~Ls-Aqu6`o2IZkBnY4u5(G&#uUk`0 z@`L$qq9M3i5w9b`fm1}KZD~Z543*M?PLTqzST{jmq9W)p(W6%xxFC{NRUttp)!BTOGYMt)>=4SY zY~~Bz2?Pe)`X~eB!kqo55w`7~)=jxz0-UZ_oR@p(xL=8xZ@zGHwv3VT5_Lkn4xs~r zL6klFbCl(VoC5`y=YBp@RIuJLUV|k}Sxyu0eRB<3AISdD5<(MO7Gn#e@HhLGJjmvJ z7(O|cD(8A*#Dk~ z|Lx=~Km~Wr%UZb{{5@;O%<ucbQPYn#Wnx(F# za*GhtE2(urAZ~yaiE#kmJw*Yq)kC8J1m8D5wPMHbZh7rWgPWUs-E=s0e7}$Hi{sD! zCm?T`e0#3%yz%m4VSWD0wmt)=Yx1a^xy?C&Pc5m+!Fy}m&^Zvtc9frJFV|tD-S}{f2H)Kyg^USZ_ zm;3vj`PKne{uf$@#!C46H+{eVOrHVY9a8A+RA|E(Kja0YL7e=5xOK2cL)K9lF%K+z z_8+))*wXjlF@U_?&^K;Zgl!w+ibc6~u)o8Qw|@|41H?8fj?>n(ZEe?y(w!`XS_fJI z!57WbBt@xpz`%m&xF;v8dKN-W#v6;vZ|@ubTKdcy1vxq4Obxm5gDQV9sLnNO;jdsMFweZtxCVCk>K2}_?l zxv$)LDLXz}KMfa{%ZrW-zH2S}hW!~r!X5wG6(zq^XF|b&yxhbPxlfe5NqS5;bL$C9 z2&Z^iwn$)f0xLPg5=QVX+240^U**Nec3&)}ps^b$J-X;Xza?)v;|Vl)RKjstfp&@< zyRYezH_Kkyaq_gSC-c~0nV7P_5(+wf50>p3X6!&J9MJet(XmK~=}jijJ34kKa?4vg zDYUZ7etAC6EIzX1+GFRA* z^tsRU-}_7!vldj#@{0(Q7v!0*FXWj;74&9++_|fbITbS>Usa9K|NhoqpmY;A&nzl7 z{dU!+Ji`etXBLd$yMDut56tYVyz-UwmDwsxln$qL zuzw`R|LzuY`CAFb9MPu4{_F(IEOPtQcy1led=-^^fyD5Rsvy{vyJ0CEXy^=V-85=Ja*a^36WZr}Jfxfjlu zx$}<%r@Qo-_b=c0?CXGzy(B_r{nVs$p1$^rSKjm&0E2z~(>pgld;8wsUAbfJ8$a0l zgPDLyUCThTmu!6DwwGR8y7TsB-+!Wi>EE2SbK}PzTGs!;OE$h_;9X0)pZz6dKfUp< z9$IqSxJz!@`_tV&1P1SydY}m~dG7}%?`uEW33OmOziHVI_Vh3Pw{bUKx3@n%V;oRl zf4O7k(w*O5CZC0ZZ{GBSXZ!c{UrCU+d(K$4a}zX;%!%Q+zVfMF;O0K@>92kW2);i& z|T0 zmMd=r%I>u@j=g0xU}>L;gh21_T=~qoUz=vKypthspNirAJ|9^Le{X!x-*Z{EZQT3n zRo7QQPsMP$Cv>A2LJ->-WgwekxMb7bm(TjuS+~F^H#~IOM>g*L$xF$lw{ILzLVk7D zm%qQa|MUI#+#YFi2{4hDUOdQnkRyM8fP8fLn7pBaPyc%kq3`nq_16rZ3l~Pz~I5w|DWB;c}I9bIo=QXOk@D&bAMt*sWjOxuvfR&EJyoH92QVqk#V z(vJf-R~)lmB+_NacZpORxZ9*fKIpuWeuP*3RViBL)DT%v77mfURVRP7G1Zh>CAKDpA?-R|Ri=77tx1=2EZCM8w} zlZ0$&_Es>u703>O#R*Lqat<)1Dm7u-5+*Omb_*(mY&aW0be;ZUXkr`L6QN1(&ALWc zV!w!1;Jl$00rhoaFxi4RU8`f&C!2x_rdF-5q*^6RWpl|YR5r*q+*7MM$lqlE4F)&IgyZ%dvJ~AifjW@4%}sGR4a02y9G_AR+o{2aNV#sNgdc$RBOKzxO%KN z8t$mjoKV5MIi}<*zv5$C%T|lYWrRFD30DV3h=E;p8D)18awg-;o4XP~Dl{cuH|TbK ztKhrF-`NXH>mZJXx4{GE*@295cCgR9j!qPoC$N-do=Sjd3E##J0kjYuv;3gs&IViFs4p!@Ens*;OFOuIT9j?&hLEndQT*@rYVtG7->E(vJ|Dk9f@5 z(1k=z=d^_-awio=9?W|flC{0r_;=(NADELrvV>AB`v9ySzstc~7QW)QLZ?Sps3;Pb zM2>TfU~`1(Q=^>NnWeU_rvex%m`jFAd26)S{tz2Gw4#L@3?LdIg`&+G4DvZ**v_M} z2fK;^av6d(96Q+Dv9PN!5ukoj3hF^nEYy9L(b$2=VSlqifIPMF5$Zwy1LPZ}ASlV8 zhq%LT2;`Ewc@W~P1_WjZ)eG=l5^^Gs9RT<00d5yUvYY>rxW9`mDp!EJy470`^1KoV zDfOu%cY?;nbK@PksQbSV0$|?;j5HdNb4ycmDfF)E1h>(9P{~)a-i#=#wl(X(vg$C{ z3Aq!Eg3M7+hz20gWcB+}MPmoxgR*QgXOQg3RbEr znM-E{Id-)V)Z(d)Ss$;DWw{tS*?414`LBW7`5%2W<&P|H{9s*?UZM(e1=SRe8F_@fF{El;v!6{~E9C;Z00% zOMK-Kh|+RoVSC+wG0uV}fQI!Oa2I(i05ps7Lx5($t*Gjd=$ioCT`iEMXIDGOvI1F< zo=}LY4+)8CRULV-ipZUGzZ16$Yz#Q|=8(JDo9%(A$^8!uu5G^)*9zT3vPo9hG(rf; z4$UDl&ZE+C$SPZGBeI|oddN*bkT!rD=LaQYj>AG6r(I%n&-16EEQb~XICJ3QHlvYF z3Ad#B8>getn!Wn4h(mK4*IPuc3NW)kYOPTaWsA03%=S9mz#;`L$PyJ$pFL$|-H0)4aTB)?3DV7!gwrj>--9a6XY^6$0vF$dS{9v`DjXmH&ov4mOVN_T zc^JzUD8${&yIU1#h#k6+(5prY^tPlCf{ipmQ=9*P_Pz$Nit5V$&b&#O#7HKOfRCtU zCJ;C1whdq_i!8Y>1bt9eVnj_5*7w8@s2_{c)?H=cCQhEQOEsl@X+I2Yf}&O2T1yMt z@{p8LN{!oUv5E!iYC(;rMkHaiqgx+FMdPT4kv^{+SeeT#{gCRzf6AfYV2L&W367%v`vDlmQe0Y_sHh~d{;sB zDp=abeQqLucNz8o@$>Q=!iarj{u4l}txY3iTaYIokwOa2eQw1BpBuowtBL$9lH9hU z;ITPZV=I<51bO@9lNs1^MQTE_@9I+UZc1|SbIZrQb^cWaGvD0z=*%_qpL|2fJ~GL4 zB;51Q@~nLAu{gN9WP9Y>y)6FjGnXwNH|I;>zIo)Dsbo%V`$yvxk9(6m_~yQm*q48G zd=>VHPwAcJSl@#wSCLQB6@x;&UpRQN9nC(H|+U^ ztQ{isg=?*Y4@mn_c6)#u?G4OQ>li}R#_CrLsfvU%B75=S_19%K0D@INC$gD#eJ?U2 zQHg{bj|V^J4#ci9l>%F_0BAPquvL;99|Z?PUn~=$DKTeG)$LjCvKwN;&0l&yeFi?} zDG0%08M1h=gRh~H#hJkeCs$&#+n61x3XgPaV@`8CIK;g_IFA4JYuFUV#^y5EgMK&) z#1P9_4j~iu5Vm3A^bvDyF*dZp0A~QmiRG9l7|!_~&8?knlWcsN3=h^ob`MCnONa~v z-=fB4(7QP}1iRXfkEG$|W`Nv?B~AK*yJEQs?y9P=R#RKF%3Zx=@G{yBpYR5cl07t1 zDP_yO^I?t=4_*!-EUd^UUA~7#W;^CfFy8$>{YVV*_tCJlUKS4tMZ!*m5R*ki44gzh zCo%)y*vE=|O`21`G;qg?ctn#wKzPu=xBDVeF=Q;rWo8(Tbu^4n)My39p3Nd9!bBr>QWcj$r14i^kEf+c6Mj@;2F~c zHX!mYwWASHNoJ1z@CqLH4nFa$q%S*|&i6Fpw9tR@bSR0sn zT0N`aQV4DFA~hne5~CPG1P3=`Vf-=6rsawRM<=?53G{+#215=95I;spbkR+GZ_U-m zrFx2r9=S}igy9~`gKXT=qKe_zV&(Ikw9>i2k%np^lF(bhmZr%;*T;&qnPi3vDs)-r z5rlVErwld1kQWxu0lu;qswvYD3>Iaqm_}_}NhV@n2c55weFx4QR9%>qLXCz=slI~k z?3djg4^@JyTGSX;Xw;~1%W({u4F%+?v61I#`*^2Zlewc)?r1ui=87}X-V{@&DQw%k z=~f|x{40x~OTkw;Xm4D%*{#gCEGrbn&yQs55aMDB-1un7hLGuux)b4{MNa-Nm`QD! zR*3CVW)im+rUG%V!KgQoK!GslNpckl$%stHrfeC5g4nyH3PPd|*K8yyi_hSXFFp*i zMXkZ=259*iz<}WuBzw3jg9a3=pN^S{(Buk6y`v~;lEDaQc5u+D3{A0=IYd5YiRI{d z#if-}wxeX9O!=M@TeaJ=;gfvGPXCd_dX}w8@(?2pH<$2!eN7Bgt#XXnN6aD1cNodfpS}5hs)7>sn!A@-6}Iq z2-S3;z}Zqdp$Varzljv0B%~xpC5rB#80mDj1P*m&Aaj!mW-RfauvuZ*8TgGeHl2fo zYXTycnoKXdkV>_btP81`H`gxo79NqHCjA@)P~o#^OmJRe@Vb zE>|F_8e)eC1fj_jmq!)Np_Gjx9JJ*sfv%f9#5ibzHis`~E@{6fkn=ZVO(eC0I)T9! zkZh&N>~2{M%-@-7ARBV&kA!SrG2unxE|ugbj&rMJWr@MM##(tv%* zRUkd%p$`DQ`0*X&D#g8wd{Qctgo1zqfCs@T$>Uy^sK&Ho{T*vRH5Z)TCi!H%60(*c z3|c%Z?+5v~_5Ng5`U*nthCMgP+5ux63`+6Wx6oUC3G4O0TXva5volQ$rWea>P(ZVn z$k}B~G9Y&xyEz^d&0B&qBD!9MxdFPf4jcE6h>GFy4w`b*W zG9G^(kg{BsqTIoR4i<#46EDp=#gGjUV6Y-9ZV9X?g(6#I7Aqk~DcFl-skik)ZU4xk zulr}8OupA|G`-P;fnKL403A-O2mrqheNDf|tm8fcE`{IU6|8|sE}aZpQRO%!pGjsx zdqP%_0D(USP+!^{68}ZDuG5Q8vgk~ zCZ;aBi2hAFYY`hq8&WWoQEv#P?4kQKI07LmB!zq=@Q=KTkim-SBm<2GCkakY_JVD!WLkxPbqddmcgfnJ7i*oqtkM3D$=;J{ zSokFh3747**@d%IS12=hE?N*TckHEcEAJOIqeGF*LXd_l@^#K)g&O0-(vHOwvT458 zgJ8XkUTlC6yi4JA4{PH?fU>KPWkjCs)Vfj=K=tOa$YodtWR52UJJ#d_?uy1h)`V&&2gQlo1ISgHq^#^}$Th(6&~Es7b#bgfToSC43X*KR z*#Rrjgd)j8Lhv0c!07@32rV`y`h885@p$6c4Y`5NO;?g6EWToJqZb|Z(k32k_jK{)?pCn|b6ilH10KFN>E^~-%gQTS^IH!v{ zot!45H^^4#E?GO+vO*GcR8^L$|7{}38m^x#TQqpXCYSWKPvjJ$Cp%wI0ySv#C`rl) z8-J7}r|NU}hq3T|rCO}W3l zjU@urI|xk#8zl%9UIGT+N_<&Cnow}$(Iwqfk{}CoB(PknW0Am{+upeb^R!I>}w5p`1DBij^BaUWp z6C@(Ej}&4^CKzB#?GoK&<8d1dp5A3p&(nnZcnE?HIwmkNl}ynid;&r@D~SWF2z^6l zm%r9OvXK5g9S43?qC{~$Y8e$n3bs7rz?nwB53a6lbvo*ZrXM8`57%?LS4~$k$lsQV z+Un#TIi|)D<%S4yX3!vkdv|cMeKnv5u?acYu<#6)+6;qE4%iSl9l)KI4pDjvHi)*= z%Vgo67}9AZ4__gCk`RD0^>~1Pl7~(euZ@J%&p9o{NLn0@_4JqSrWevqPx#uqvUc#N zV=+}t+_fH=RseFr z%(*>h+Fp?N&i~nS-+_Dykh|U&;-S?(^4yAxk>tgg6`w#;>kDWV;yd{B;dFfkK}rG5 z;`58&jhopzf8)%z?tJDQLI?ilxUX!dIdsYW2n0241NW4V65?|M2{&Cf$iK%V|LnC` zK~YJb1)r=qC}qDTtz6foNtY0xJC)?cu&~z)+v}-Jn|(a8<0+qXaql2=q}~d?cz)9# zJ;z?=aj%)b_FvP?`PzzcFL^l+$Nu?#kNce-WT%X$WsCoi&!J!6?$lsvRz6Nd# zMx)LWjF{yx^LmhD4xnj=yXVcr5ILBIF?@F9I>?V{E6o^&^vay-`=^gZl7R99L|c?K z#$&XA@SuUkiQ>Y_yfQgFj=6EiA-VPRmB4j)Us4UGYgW&7@RE0y5og(vFI#ze;f$9e z383UTG-UvDvwHhm6Kx0l!iDq&qiACr)-W zSg5T0I3dh+9rB>$o=n_bn0?5dp{@~-;JcH<4xC%$)$z<=b}EEE4;f|Za-5z|-OVtwJ$*Emp$XXs zEm0JmHE_hN^ZZ9G4FAF5g`AwR=!5H`T0Vo$Xh`Ss7WK?AH-MLEo|iG%{TCF~)wQ^X zQQtKODb_F%P({V zK}0WUxh~;!(d>_OgyxRt-9CXS~!awikHlWB!y=Vp@UZ)l>hgee>HBJ&({_=>dq zQ6SKtf*>*HJY~E|I@6yd_kopCw)Kn8_V*onyT(01d3vFonc{dH?S#OAYu<&DsIk0| z03~Qsd&_k+t*V(#wPv_Mf#4&Hv0@=?P2-_%Ghjk8uza&bMz9(gGBlgy(SPbZa1(Ng z~7z{|z{l}Cg*kQR~2 z3cOgsm=Nd*s4owK9#i*|-?#CpxJHMlmxC?kiJqlO`@3#QKcr}>42pomR$MU8OsJ@4 z6A_&ST!NKn;c_eDN{Fy++z_}v<)QJa{@US`JN;M*QW>}Xo8}1q4T^ieV_7^Zh#+5H z0;_``qYbhkq6(Z&C{uXjM#I++x~;nIM72n=Tw%ZkmKszj#tCok(}e-SbT+xiM%vJ| zA|u9A+6h`hsAquWL5&sWHWI}jf{;gb0LxJ!^)pd-$_ktPM|_RCJyM@a5#*lab>mLE zFtMQ{=__lPH2O(JmGY2!yutR3qX(oaC8*--INjv$NfW?f5s2-jo#P23c6`Z# z52Djvu9%A?lamQh3n-BLNqp%GR2dOK?lHp6$unUN5c`U*TD~!v$W1WT1QTUQhtmy? zNdgXwl1z5OoM9ylN#5#ndJi0N!d=MDd@#E9uaN!h#>5f#Pv%njWNosIto(=oS7|`E zB4}hSH>rF=aJs&6wKcZ^Ff$iLzKKdbg>*RGvD-RDurc_P4nC*+0SDjdyI*%+uj34=d_30SXQ)jmndoy$yZn>3v4Dh zT?D9Dx;>$OWfFWBvgxP#t6NC+>kTvJvX<@oO`X~T;w6K8Ua#g;=A<8in;WA8>dDl01v&D05Q0Jx2gCoOMG(?uXX{ z2DnJ{%yUB2-z7W0*%_Gje%rKTn&!*Z?j+>l0iEbOyf=+%%-y#E@0V%^9ymN;dqAS> z=A6HA_X3X$f1^u5IK>CnKu89^Fu7J65Afah1|+v<3{2!C7;+vyM%XNmeR@y>pD%p1 zzwgkeZvJTDG_pWO03$Xp`4~nPlNLVa*A6*Pmp;ED!Pq+(Ss(x)H}l~i4g2f2<;X%I z;hwn**tP+QZELdgmuzg`MuUR6X6dcd4mF|gdiFCj(G_2mK=&NjwgBISkhN5>4=mnS zkp%witJUpSUfMDNwZms0-p?|>yK&djf*DhiugoZAW~m3hyS6QRV|#ETG@+5j1<6Cx z4n0EM{Lg=zWWUUtmd|KUX5Vz^=Dmaw3j|*|vOqrr0J$<8-SS*;c-aNFfSVP4@u7{$ z-(sniJ;oI?(@PJ(ebKy}SChLJCG;`Sd+h}~esk&J6-e^zrwnP1$9c>X#!s0KRjmE% zLmS_$dB~T0Ozux`Lyjy0Yv2F4kp)Bs?!>qcwL@SnjV!2km?iz~)eahYFkzG?cTe-k z#ifU)b*j8%8#!v&?Bv=&JDb*0Iw09HU>xwk**ooR(-M%K?bQxPJN6UUa$KzMnzDB2 zN7?N~+*}`PwF5w>X~3r^Lhg9`;DlZ47OiVdE-oAIvdkd2r|K#Z7wA&;mdYiq@ZJ;ChkQak!io_I1ztKO^%gmuRgN}khkOSL(22k!@So2Zaw>- zcRaJ}>Hq1t>qYpi3-7lbSES%wFMO){1K{SqGVXzOO`En38n|oS)jxUy=6t@xg^+{e z;F=v|Jo2*X?u9!SJ^+v1eplwD>we>b??TAJo&Q{elY$uj#olpudAaiu#S38a!8Dt4V^T?j;LhU*{RLfqdhiq#E9*RWHbE};^HYaEpIk*Nu zu7i^s8SPfv60jAT63{G}GlfH8j||z-?u9Lkrwx~j2FMPQY(Waz8uW&hn{d~ZJ|VVh z8~0CLi4}M=qPo%g z!EzEpu2JTQ$mprng<-(yW(ZdUlgdlUtvo2Rp&L|hUmCAac4Kps2yF_X2m4U~D=1Qi zlSVi>t|8%a`pKuxCxDtt2Rmj8Wmiyc1PhTH5wns5D2cvTbcMo{0#*|NyBAb=Qn3?> zXeaVe|1%%q0U_@hbVL-p79$E|x_b5E40G7|bc+km^C*an+05X?ZE>CB8G+xdY2hU# zrh);;Op4j~v#_B(-q58ntjoRzL5R?w408L*a{r3a6bVElr3^|@;K_i7bPNd*g)ch| z9XEwUnMM5tIX1J;>=Fk-q#pfPx&q9hz~vDKn@5>lCK$`i*Ez1~k7*@zG{`2wDe|!a zT)hwsktT%em?5%RK&76#!&yTblZ;w8!b(mT2iKy-2Aj(SAxs9x5_$4N{BIBoH!YumW(JJd!|@rWKiJ!VDqX$&wtB z{bq@5;vkDKVtc^0Rb(F-6PhSk+I(dWR4}9b*Ccy5k|dN}2pKJ#&j{=wZU9Z5y5dt$ zNy2rmQ3LZVyiYoc0CMwP^2uhllr-Ti?Y9G;(AM6_G>)G*^?6K|=N5zeS&6dCo~;iS zCHS=4vfK3pTH-Z*7L5033cpQzlq z<`$|Q-dD*XL&+34q8GqP`-PArsZdjYzVXByi^7s71XmR~A{w_1ouIk%WN#E8w+_?L z9LK4&5{NBohf4tO+KeRc%Y*_Mff+h}PG8T8l;z_->M8cyie^WjT}QG-9+H?sU0QBt zTk$A_prk`cq|7R-atl#f=^+8xb~6*Jz{v1|iZ2lB_~Pl_e#KQcM@(Gj%^pA>BzwUVW?(0DyEogVx^*0%vkP^vJeKa3!6G ztD^!ZJD`dG+Ij*U*h-f8Y&vjdYOsWM;NpH_%2nmWKu=0Cmsj#Y!#Q}s=kB`EmodJ- zLDmi*kGOdpD5U*D`T+Q}7Q2Obj(rrndOZ2Pri%c+yK?YhBA@P;C3!{DWfH{pt}l@$kCE&cvG&675lCapEUf(mu2k`p$}hc z9r`5HLkg8&iuRLFpHCX&$O5^`IaVt6VDHhJkvmrYdGSiXsAYzjMmVnFn%Yl;yku~r zQ3?FnAr|tH(DFp>s@T%|gBLc%S?CLu1tta)GB2w~YRF>i$t{I|rInk)%mKiYv23oE zzdAUiF*83Dx$5&F9xkfdiUQ%z1&o@NFEy!zHem;Fx_|-x^lamvAjp_h3kE||V}R-f z_p%E{N$yN++EU4K%#rvG4CDbLWvNOtr-}+&#$4NQgM(M4aC2i)!HgJ@^PBF+PA8QF zG3Px@t_xCc~^)E1DSu?8G- za1JrUwpcVZGC+4;Q$2O7fMJAQj!(A*^=r|EwV9oT$EZ*1;G}w{e*ct%^8D7qJQ2*x zke^DIt1$w%HF`jk(;48QKE3>MTMb15br#E%se9A%+HMZeyG&M1yetn-a#o{p&4v!y z5R(cPf$~zv8zIaDjvRj~*zyFGh0X>-!;nYk5SFb=&M1*M9ZpL~hy>iCX>2!MaR`fg zfx(t?WhSEO0Rs*#;SGg&HtuLfgAlZkYiV~3oc^LJ^oaw;i zb!!a{a*!?2Lnv+pOUw1)j`4M7Vq43M?BU|eJd z^9KR-)x45pix(#vbeyFRxZuu%5HxWWMi_Y+MmcG&Wd?N;P)-VfgbPiIq-@s&xgbAN z79Sf(;LcK6sxbX1g`|}#n+hAxj|b1PGlNz-Zm!D-e>Tq!Vz>IsrGoRA?TCzRCbmjR z_sOX!RlW!PUxT^o0;BdiCUSz92GGZrSr+wsUfb78WIurLM52I@ADSoYF|fBz#fs$3i0Yv1vf3GL6TAZPX@;pBm?tC z;Hl?18pw&0AXb}14Wxl-Guy+6ojj4qkd1lHd~_Ac|3T{QltTwi%OtWP^c=RN0(|MN z%8(ebdBn}7mD&Io!qaeb^(dv5RfL0%*E-PCs3%kHa9BW62BJrU!KQn#FN@|_izE41 z*fPj?+khrP7(z+f=~jA3xK#;ohr>3xD$}$~WP`v;4!HAdPUdLjUEdrliufchm;kp= z_Az<)XmBk2&4x(X5vu~+3SYS|jb{V?B5Mbz(;xmOJ%Aq{Gpk88nzqLOuTdOuD6~Gn zy}m7%H|YiiIni!wYy?AhBZyy_E{ipB<9TAhQkfs-aKwTmqc|D@2U9PzaY6?lZ(mZ9 zsBkFY##~HIT8t4~`!b-nh3K2?D&nS@>M3;AMN|EHG1^lwSAQ0m$-}SH6Stu1z zKOx8@v3Q7p{=5=p)79w-G>+ne(iWTv8t%|0IOGD)4Rniwbhpd}+~TOIVG4G@varBI z^_z|ClY!i;0#_$>Exg;_z0`?(BGeTnNSA#a@~~kD-lV<~lf!)q+u4_pp#ML~Zp7?$ zW4BG)F?&rou5&SMzibRm@?W`F<|P1I6};>A&tYcV1A~3sv;zJm*DLCCn{^)O&-j(EY9!4y< zXDK9Hg6dtHPDWl@; z**pZ0zn7(3sZfm-clX=@ry`Rkz4I$pxzjLC;b>w+gehwLr9i+iN>m$^_mg|WCtc`j zpUAOl&4RMR%LX(nT2%x?`>dEm!gXDYZm|mCVnREz8RU6H9^NP%mLT$S77CZ?p^BJI zSzJRC0Yt{sdZJ7(Fd>9bEW&p`4mN~mZYE%9fqgeQ2Gmz@E_DET8_cVvE%nQ23s@WI zZAFH#v1h8zur)4_aIXrL#W1fCb!uE2yTdBkP_QBro7h#VJm9}e6ttWfb?8$gcli=4 zGhRSwc0*Hztq2Pr!IGsk_pgjclB^alPBwpjiAH+&CiY#LV*y)te6qFSjZ0x=&5~IW zeM!~ix#rPADv6}X+VC6^w0?9C_WWnTv6I6kp((?Wms*jP8LW4akNgrOi)@%2%P<-o z8(L8AR85w~7fB(FF)z~~_Y!1&TWajrvwA77FQrk#%g?~g*f$i^91!|5i~>?Ej1tz93BOMzc{PIbGU96 z*7G?=9Z1{*z4N%0O!Sv=O9o1cgiwgmg~qm~ZpztsX6t40V+_fYc1Y_Dm;Ykw&jE1)3{*R#hosd(elP=JJ>dSWQZ~h6!JAS20ErLw&7ewubBy!h5A%0miePG zy8!|b@6keY&`hW787ar6@E#a!gX5?^&k(V+%h-)Z93LOX(LPEc+E@s3UEGr0EWD-wj94DC z6&bg>_r4_Ah*3M4YWeWO1Zm^CQB7p(g9I9K15mwlV)&Few#qf;Ic`9oh$l!kaVqYk zWcMLCHr6Bsxn>AG&(-rx^U?yq>1vcDz<0Z>EDM3O3ive|{B4W-gL!fE?B)zW?u7AC zMY*%shz)0PYn1yv-<(yU$S5byVyq4j!}tS`vg{=Ep*hFlL2L0wSv#PfLJ)K~y7ulT z|IPS>>)b#d$+sJXjhL5d0U1Rzi>BK~Gatu#MIGg(rZM^k1HqQfyFiSqSEbDng}Ab@ z5@A!$k7hcjH+ZvU2gGKWtL(8rV5&ZWo3`hZ9O_f37M;jO4d&s5*RilcKm#ZsG2MeD zATahQ{)Em+NoZO~no!DuB7+cB?{-MC0ZuHCu#}a!X%k0}3icJ*v?)a<;5EEd0^Kz; z6VA=|$aJsEN+YEpXZC3LNW(7wuEw|xopoFEz^&n32dzs8Cl(uj(kGCu+1P2@%S*b~ z_r|lA{Y9fKY9eyK!u99b+wct z{9jdf9{&nL9Q;gZ4|?v?PS07HQ$m^`h%n4V<*R{i?chzB()4$);B)p1>9(%0<0KvX&WiQ3QtJwL z7m;jyBH>R_|Fg`ry8+mGC#LN$ro7zgapNWbrpv&+4GRd{R-8XQB?REOYRy9C{LNTb znCs2`|7`vfE$c|gga!Z7r8j}WB1y@;&nHuoa^YkD{VNKpQnEq%4w79l24u|U``jRV z+|Y!~A)wiv`{uX$lerST_hV`@d-Tv;(k^k28 z^3U-736Yl(IW3DN`E}d^d9*a=@%fMVu2N*hz2=T5$oSOq4pwI7&fbV8UhndWl)XUx zE^ChX}I-eSv#zxF<)GcosI+Qte^XoxN5XQKy#crnLBb4 z;B2uxm^0;#3VcwZCbZ*td2tJ%dRGT?A~!AxeUaeyVpzh6VqJj&ajs%4LA3E`HOQLi z()*kULEg@Fo{F@w#(PIKxdRE%ZBRiOxFcE-xb0>&d4U3fS15@bw(CJ|jN49QCL#C| z$Xy`xl7g+PQA6*c?mfW*O44-ft)AYP6p=#sbr1q>BZm2g+Z~a){JzXM=}qJql0+GF zYy)c+F1|qETZlYr>y+3UH$K-{?0T~lZzv&>`_z}%nrKntp3zw^WGqKtwqk7);Z;*^FjwD$KMyjmVrdY@vJ ze&S9UKB%A;L^Ty|d=DvjZe2~#L=!PF@au8ZG4Eb@(lvtWpySR!}y=N919p=ujO+y(fXkSVqks0o0Zh( z(a%-=66n51dnyve%1PL9R|DJjUVLhh?vq%T>^>&Xi8(Jp6E{9jYtFQO>JH!1$21$< z6!#PD;s)^)2OH^;9_sZHQc)vP_dw1G`C7t|Ap#GCkY5o@03)6X)K`cY{-vE^!?kk8 z)8K;KL_zi^Qn4p&89il(sc-bx4yVl7y^)Yf-&Lug&YUh%V+Fdf@%1E~ITf*>PzBW{ z3TSj7I+a8^T0qO?tQ@~^rlF*Is}013n|`35vtjBL^dS8)o6{-+1st`MMv{Vq&Mgxo z3)@Rik?|=QA|#Y6f_Xi&ZHjU_c!ASdqn`!1h0Z&U~Gz7;@`olMHHXLLyoiiD{lQdb?JAVGE`{_qP7hbk-iuZ9C@olOd-tXg7 z`o*892Zpr)evXP&=?f@7EP2)PD!@@K+O;1TORN;&x4?6)V9AOBhi*aVgf%UCVeq0Cn+PiR zsuhPOCJ&eV1_-(b7=(Nf)d=Ob0>v?KnB^QeYr%mr7exU_r9C(W6v z09*8X0Q71kq+&p4*6JJB;HLr|hDRp7DOCg%e87KkePi-ZOIxbjTjJmRYR0aws(b3# zWzHdfPBX0BOtN2WdW_E{O)f}oO6NYcap?Wu`lCs>Jhv_Xj009)f-;A4=RD6oU!S## zT)Lz40N-M^E)`jauh5quYPRw@FA*0Yut5h%@Vc~3o(oS!(ieK%{_-2UnV?VSUX zqsia%5BT!5qFhlTSweXJzdG2?Sk>U)j(b z8iyx47bXAl0AO*GTS^m5PXcSx%I%z;JUlyWuGa7FDyN1A+Q1UTr;Pn%Cb@K7Q z`qt0>z}nBdt!1|^VfS)7hi~rWrGHsm!e(r22AuCT1ZO++=1$ZO({v!{Ze7W9BPXK~TzOi(C_4x7e`t_N+*Za5V++|KDA2SM8jwjh$W?X5_OgmSy$NzRb z04z#X08tMhBs11D2L5p;HADLwP|S#mpYL*}|Cj#m;i9Lvo?ZRYW4~^gR=OKdtUn*a zwCRDJ+u6XqXaDRac5%aP$=$?_u0SV1T_HFp!IsZY40*V$YA&jQj7LB|T=c`e5WTUC z@Ir#sjTh`aqun$9v2mg>*JkiZ@}3LXfaC=Bk1q|{d_YOX`>x4ckt1sdiw!%xm$mP{ zEqAxN**8a1iv57P4zfn;n^gLxo}34A->0rPLg@>1OwOpRx}fDNQ(N}0J$R)WSx~Qi zIS;&e^M+)>%mV;%zVgoK0#pN=r~G_7*Vmk}YZM#!HjOOqeoEF36%Z5fMi#?M*xd0i zjJ)>B#^o3k%(&tZFFjl^>m>+bN{AdQ&;y6rxi1Zyuy^jA(OHn)G$q+o5!gT@i%-2R zYljz>EqVdkVPp|Flzr1CHf;KTX!)dIQt3^pszB!G8krV?yq}l=g z9+Or(bcz9TWT9$@`KM%L!76_%YlnW6-H*g9Jzj9qJ`!?`gwwrk$GSbq_YVSicKh$v zY&g7Y-8D_E-@5J9C+`8M?M)l*%3blR&3j)1`HY5rcP|9F9e}L|Cjk8Qm3LkOj_acD z-vX@F2`v}3zH&nc$jNUJZtlA5h9`-*>~)x3B#aFk)BV+Og;m*Z>{- z;o;nSlfT^CzVKQFzWbejPOQ6i!&f`jC)c(9?(Gc#$i3!253OHx=(ZK>4*mH@cMROI z?n7Y2E=q1hshYp&HxnSV8|3X5YIk=mTyR_bVnzO-_4oTaCOrIbvi<8D7QH?2o)v^B zn~9WnwT^+YE$ts}0BG>8LE8`BesKJ*F&-@KwLL<|e$X@dSh%^z$Gu|T4vB;-xp$uT z9~VKvyD}eM*P7T}3J(tZJ|W>Q{0}IkkZ`3>(v$r1`muo1eedm`f_v9fzkt6N?plG| zd3l0F*?nsP33(er2Hx{$U&t@_c7XdVLQGbWw_oWvylY+S)vYfBWmj_dQDIY74n(Qy znD@(#Nd)wFPB~_&0uZgHQ;k6<1vlDHwvX~jLu8OqT?jJfv@?T2he9y(R?gxKuBdy^@tc{Qr#rYhyS& zWMa@JOx}oFM%*yo7s4cbvGO3u1sQc2aC8A=Yj#x~Ujl9^yN`Z5N|P=BrD_KWu7iyy zup0;ve6ZPu1ROF55GNh=wV&*sdY^31k+4dmJ&dD#mjMn+w?w|oG^V?w%;;7gs%07W zP{L0V8H>w83WO;FyrfZp>IJl%AqYlEp}t0Hti~cn5P9H^)lIYrm902H%5Y!*OY?(W76@iR_8+VcO@ONMSTuEV* zmu*$G16QgP!?94PI6Y(7UK|A& zF#`|aFjopRIqw7x?n>a2d0U23$b-tI-r(vx(ov6vJZjn)ddxttmn2CU0?&izCl zH;TxS&8*hZK9`M#C1>%|Xv-wGL`=3}PGOfh%_Ico5r{2LIY0OuPWbK#MT>7^iyc

OAHkhtGeUi3nbh))0vIRSNlMZnA<|VjQo#}c`)2cqY*hi(OWY(I;Jn>S zRnBt^EK2m$nV^!=2I%D$Uze=G{bGdtjeZlUwA%AD?X?NG|4SJNbs7K=rm9^P;X#3b>WPqteE-^M3%;@GAR2cLL zGKIXk|MGg0iAU5u0@w^f#h+968ZtQPpaa?}DVVKY0I zWEW~kIUJ#16@-xNQ(QFhQ)IGs$mW@(_W=5lkY>In@Sv<6;O|1JOVSn0x(?iYgc`{K zMf*Y>*Je0$9pxS6t(zZLH4my;RXZ@1X|S4n0&Z?r;2|~IGUM=m3ci>$>2;sTBpW~7 zKeFic4q2}Yw9p~-I_ngXT}$*uso(DfEo!6xYit#CjqWGza+aVARtQEL=k!oPH4Aas zbi$L=W98_u;;f{ixg#MmShkH@|d(-cpc)Uk@rMU80vUcbP$W0;2 zVt0(wiQ|gRK6*w^y*Zuytz|7ez`OpOuvQBwFL%E6_?qeau8=6ZY35AtCR>8ozV)Tw z6LjoIY$W1wZyWsF4&b<6IgYrerpVu2c0VPFT~4;u0q^&U)lW){*!2>V_qwao^v2FI z(t{ITmYSsNeFPXRVe(?Dl=aJNvA@lmW2KM{JCWphe;xyhc#M+t^ggfYZy5`6E?c~#7AG;Ev2G4US|-e7iy{^F)b2t?8e$vb}fMDs-Fvviv)yOp$*5au&6y#YliHm z_-L%6o`o-!0c*3{`H^K(0{@lj0=?w84(HaUxe?2UoXt_-v!OGM_fdH!GJEU;`BFtuoxG z)f~4mm_giF>GXx1;u1TBwqmJ`#qb^Zh0x@Ttjfv|-%~UNUTjvsoxwup&gZMlsI*cl zxH_1>?B2qhtO|U6t~q6M&L3_(n@^ znPw~}?it`F4@SdowL|2-{JC1KS^Xo66YrCqq9;oP5)yRqI<2ZNXj4Zt5GFEp;qhTN z#WbL^p=)azg05JJjV^j7!;uF}0Rlpfj!vrARn~ZkVSAr=Myj)ypyP{0%@N=dQY!IN zgwsj0S+L3*G`LcN8uOHK4f7ZB5!vO%3_(slz9zNtjMP;rj4KLYl=JU6c}fr!7hGNH ztT12G!6qz*jbvbupl1L*CE2(G)M$xTW+>3fm!n7m1dl>K5O9mu$|g8nY#)PiVl!8klObg~G`X~#fM(~Bg22^# zKCW9;g?eaC6^roOoy!N0a*PJ%%O<^%WF8f^#$^Hu>eI1hQYiATE@=`4{;XS@3007! zC9O28VyGrSo+J!6bIEj82q+#Y#5vU^7Tq__Y$#aF8qxdG0@w|w!hqgdx+XgLq@p|$ zncQ8$V9<WLjJ*fSLumR5+t$`6#w2+Jk>z^{^=Ol9 zHZe@(NkecnE)<}sOq)Cz_q2==CfYp$$TbELysI7#2f>2PsX-Z{;h6_3qBuEK)RXwM zK^sNnGoc$6HH+W|htkBupM9Pq0Z9%k1@plumMY^nV>UD|7v=!{#nPc&WdhH~AVg4~ z2$D#X=&3GMP{gVrpYm`6axP;jCP|GU=P;f_ng}m12g4;G<3PECV>k@QpwnRHFvB8; zTNS2OZCyT5lHn?ikvW361NGt8@O1THuo<4KI0=yltu_ZyI2OUGpo8>aH^+KO;%2Xq z+2#1GuKz16^7!9yFi|`-y$~9r13@8ZqC}xF7buo2k0_F^wqeOL!_4L=eJWrupo$lM zUre)c0IoH$2W^gmM=@Ks(Qia6&VxEG5vb=1N=8bGyjETNRV?E?T$W3c9i$WI9I9kf z)MWG%R`r=CU)M4mBBTdOGc8!wA@0U_gGQQbPIUv+`kqu9AY$_8T}zBsWxJEzQB>(EonISeYoz2 zvUa!-+8`+}y@LK12Jhk9|=V2ugDPyZG~VIWO?b$xgL{ zdegT5!mWGH-|ulx_sCn8g8acaaF11cx!;k7$%`%CN$)gFUbT_^iy%+kcPEm(yJ_aH z=0Eb>GXR@iK5qXzv?2V}Ap0o0SQ9yYr3(17Rr|)Qow*4XE^BYPKV6eM6%y{x=0DS2 z!KRtOY=r1b-n8^5ok!+I-bh5Sz^hF+Ca#{d(@moJj1`%~BH| zWmhJNnF`HyZOzRbq(R6^PZLbt7yZZ`<+wjyVi~kMI}|jLB-SllS92B*SprQUfE;j| zislW_WK_|!%Yzlxy}=oi%a%MfIX0ZM!H;B=`z-n72!G=ixoR=U&+69JfPH49+|FN2 zHTv>t`jWJGGs?KOJiEK zzzd6b?WpS!KNdhlVpy?4Os~<`0K}BWXwWdlsNe;xN+ z5Mwsdpnw4s>0NP8h(1D)-FZnrO$QS*E`n_pNPb>82Riw|A7emspX8r)j*$~PAKTYw z>EFX3!Kaf`(*jB0EDV!9q9UYmoZ9H%$7Jo`)qhk+^-*QimlfUrb=hO-eFthmE&-e_ zHJ`wrmCffKkauH?_h24v@y;&+d5<$5O@F3~TgXcyD_C0F&tj}XAdAtKT_$l{$I6yZ zazl232@H5z;XsmkXb@L*FAy3DsYT0YkdS*TLy>8af4c)An(MyiES6+TY7!041|*MW zd{mFr>r%iRSZLNok>qR}IzvHrPUJExv_0z3`xLG_$r1MlK+c0X1rjt^>Wy2H+qbgs zdb?0id2qJFGJ~V&t&S-JEGygTDM@PE6}PA0KvK|pibb_^B7278J5A^fsNOjCpuemz z1Q&rD9*kjwe`$PhiifhxqmGB*dk-uPnSRcbPx{<=D-&A*V%J$))=7ens(DeBmrVpz zlnDJ~uR^!U8>hi1G_xfkEOAQ99W<;6GI#WB#OZ}&3W$DWrs2a!PAsFrWlL^Dw{>n{ zlY0cirX$FiM+-4+5fIF%ksO$JME3zn+Ta#YqYxdI1R=bDT#ScBkD5eDHUb_n@cxCg z$i_1|drD-OrryA~AS=;}v8A;QEHyKkW_(Hr?)EVmn%Yw7hHXMXZ|pjOKdi21fQ%rx z#-@S^0bia3nPwvhT#kN?inF6Q7nk+mc2_Wq3fDf0;+Sigd7 z04(i#T9}ZUEW@PsiqZSGtQa}RhvZCeiRb+jZfPAwpek;@G&a6G8=|TawV` zq+QiuWAAa)4l8LC7ncK>PYmdWA=Qoe>xQw_FQk`!f>i>H_&V~3k!i!5x2R03 zSsko1ug6S6bX9#Ms=3SUA`D@NihJ=b#ErpS#|(%eOI7v}1;Tbcy}KqxoT0J|Mn^7wc1c!qJScLcf<@58 zX}o`)lnq$g(^}YW0A}CZVs}Ns7Za<4FPHt@pir2Q@@B6h55o9=z#jngEQ@cV+yS~@ zl*s40_Y4B^@RGX7NZ=GZMMe#A0~$Gw^&waZH?3qbCV(aEuC@q0kl=LBF)53~j!WDL zwMJy#sJJ6BK?Nf27YIrA!TFS{;@~_!vcDXU_5T*-n+-F&U^wOTASqp{^l=hPnuxdb@doq5ydk3|(N3*Q^pW zW*C7aIoX^dc5n zq?KUyp`MgXzyn_3e&m#&b*RN{)3VO2=Q1yJOx(b2MoJT9S~&A`PQ#^o&_7A3zEJK*q)X^RlibLxJ`GEX`}+>EmX}T3-xkVldgYJ4gKV15MtdXM zggI!)u|}Ss>EOMA;Ly6bUIo*DzR~b zzBS&O&infgeOmpVv~X%b5i};-b#;+yXi|*_Uf1WCMi*#OY_!@woo3c4SkxpHW2R7E z33)_|CWDQmP%%74uQWI|+Wlg$#~|-;5(^Q`PRMJf8!`!6pU{<1EOVHcZNCTi^`OQy zQ9-HEGe?CeX7h+BP0N;R7I+vbfW4Tb$;FNGe^L!Brt+xe#Zm67iRkGJ0*c68aj5Oq z!picLLP|Fq9Wzb(0j$zXObf7VS_o{ zB&H*h2BiaE?GmPQTp|SNvuhQCvIKKOsLP&@M~Y`Q z*g5fHiNd>ylg&Wx3U*iYl*izEsAg$anCV=7*__1~m=|hm+Zec6>9d}YH15CF zmnL5*;K51PvOBRGL}z3?SRp{l2KFOlGySGc4YEIsu5HWQ*dE-Nd`N0?bMny5o!a@= z?qA#f`HgLfMK6apyjs0s?tqrBX6#f#NE1IyOKvz5sK1Bb9+prfX-*PCE=}eD;`i+J z6~OYnm0QVVWfQwOIqHH#P2j$09)p#Od?}~r3=F?#=H=hhJvOhgK{<`al{6O2lsU53l{r;z8-uXu7^4F4`WmSqyX_qE!Svw$Vm*}|! z+e@`WX`+OLq;Y?E3!rj~#MttUs&*KjAZ{c{vJ?M6vH>vLsaNT_f2~K7rQ5fZCJ#tW zkmT&nz}K$*%LAR;N?Y_ruUCKhGu2;SZnObJSAXEha{NCn>u=Z@_~wLte(fO5NkYg? zoo#^lJ!?t@uzYXjL~dfQb#9-1_!@ApmvZj@PdzGJeEUI~r!aUVY~~)yd_7WT&>0W&I105ymGNN@J3umIS#aa=;UkoS|QX z-@6pDzhT15yhw}~vz842M`s|RAaSMaghsO2von@zb2QWr5K_85fi@AEpoQDf8TdBK zzP2s*!|RJ4;){O&pW{DMJ$`v}+xh{7=1Xa(1*2s>x)ea`MDwWv2}wfl0f(;{^z7cV zCf_nG*ItxhO-c3`0qg2Bs=$5LJT|MN0p!yefGA4-zEJ8=lJO`TLouk1zQeFY&Y}SH z*1kNx+P6&dnZq|%vqF1)3wu%Ub4$c_qQV?x8q7&1wJ|O5$$;dT+_eYJ7C-2!9rp9U z;Q@(&tR1MHmpQMEVZ=>EqR)aF)ZH<)kwvx~S!AQnJ%(k`$b!gg z+e{i+ynF@yg6E=#UUofO{V>S%;_dhZwjR+p(#YbBz4}@jS(t2maAN{OW+a>DK7w(G z6moFp#@Ck?@WR4m1dq|kLSMTZG3o%nniwQ&hw$p9`chqvEVAXuVw)UUoWJqV&EM8( zWbxM}58WUZ?Yq4F)#~=Sm$rO$Kqn>HJ)mEF=FY%HR6D3rC^fkfBcf@C9vSwJFP;74 zZ!c)yy(pnKB`dEaVBL{Tt1nuJkwwm{r@waSU=O^W+jQaD=UJfU`P@fg8OOB1^Z?84 z98_XVO+xR$hL%mG%4F5-8pAi||2&y^?AK+@3A1#R)=A`x=3&T&+5yI2k!+ckMD377 z?QquoAIXu01(r6d9gNO^99f`tz{o;!Gvby)R4RRS{Nb-JD+*w8J~M& z>$i~PG$Nmi2m$1l(g`TL*N*u@;}1Su`08ht;d)WWgqDr1zx~y}jo-EL>{Y*MpYR8d z8>hE-y#30;T^HT_!*5@_@YUN^ENR_v`}%W|>wfdweTx=8{K(zwI`0OY?gM}Yj*c7n z@VeHQN$+*jo^IW^@YSDh2L5b`GzSR2AYY5L2(1>UUdKSbrs>uWfCevHcWZM0!GA^) zAGCG=@Aujtwr*JX>h&u+fIquF8{}st5^k3!uY9HBAg(s=O~Sujo4~n zgu27N*ZSeYT@qz?-oesedbzW1*N>inu@m6`js>Lh7x!O<7cBZIbtysd^$U?qblK5lOQrfvm77=p~nCm*C~0=@B2p;h$X zfEXs@cWZVXgp_MwQhE#iO~`ft)ysrL@U<;?FycmjNJ(-Sd#a3AdXv?LXq~2N2Z_0Z zw>5#eL(n{qCc$+KT=y-SXMd8%(YG$+zN@LttSM$}STckqIC- zJeiVoMgVuKTapoMi0`lq!G`Y$3H22)Rsg-4Ovp_c8flU*Vq8yw!REQB$-r$e5jDA0 zVr2qRb}N8pSN%Ws-Ul$M>dGI#@6F5b5+ivG5eKB@P5#)Rtqo{f8YtX}NC(Qw7(r8) z)(ln_bla7()%~g~H(?TDrI;fBbhkB8P{BXgZ7p3~%P6UfEfrh;(6#=7ZtaSI#-giY zV1A!-?t3$n5R_sP>2Gr(ckVmyymQYv_rCk@y>~x(2||e~%!{m<5@r;>cwwLtvLiNY z5&`O7jSFK1W5@|cpz6!2SqwXnCCtm*4GIccg!k)wKFnBHCKm%Kbc7~(F6qtWVy}MV zI8yFf#Lb0P1M{$PHvozKJFSsDsAY?=1>*rvoD@j|IoB|3SPaJ~d8lw?mc4$vYWS@K z2XyNo2EqyL0scTw34vSdD0(&si>9gqQ(8lMJg)bf^FuRU1jVtAEd01PvTRCX(^rZN zP8&qv;L@6=Q5Rx(H!M~oB;6OMBrzuPFDYnv~SYJrV{tTA7c2>^Vp$3f; zS~N6HCmhf$wc}_+|F0^T@Qv3a4dLdaki4-&C3+({wXQN5iPGpGS;)N;H~w+%Y&BqO zjt2qniX(%$tyT0hw$g%-ZGS+wFI>P~0QHspZHhvYT@Berc{9j5%ba4sZ3A-QVCq%J zj>}Y^Kq+w-E)~s37Fv@?1~|(UWtl>jfzo@bk>xVio>mOJUy$tpN_r4=rvR}f*`Z4G z=N`f&cb_@t^m>gI4U~n+z`ijDnqVS83UVDZZB~%rOt~W$T{OXni5v^#k01-z#lQ(; zhXP4MCGFUye5X||wHij-k0DEK{8*BWEUm(2feI>}Bt~{I(pDdt&M>d!n^O9=9?9GrPbYF<(I?CA*m|)nFaB~eniYJR34UkRLd<-M# zBEj4?BluPu)COv@&JsewohzF)=^e7s4B6pef)pf8$`x`FHsw4boYkaURy#k*-Xs;; zcvH`ax@dyA0fhX)Su0xFe7&Qjuz#mvCU>r5N{g(-Yzy4LKwpaq9%+I}S=M-(Q+AP^ z71E1j%0fQy4+Arc{v53xb6)aDP8ziL5GE7Nh*O-GqWDxEq*LlB!51XyJoaPaK*L&x zhQgM>t$+iCKPeIMY=wV&5NzgoXYhlIGa*GOBa}JLO%(HiMt6$1bdaKma2)V~1;?Zf z4ek-NR9|N%^>yUAgtHmLv7}h*oogkN=~QkoL`vlzXVTg<1?k!vqtZ)AIyQvr;=wpw zXEP_jFjz<>J)zFNtIo8(ivX0cn_GvTAVIO6!~i)5_%sKtwjU5qy94CTe$7X#y@o(+ zAHl{dR5~NIf_L4)__Lc=qR^%>yzBkI%{}{pyVhb4kQ~5PEo4IzxF6rV9NYl0J+*4~ zmG{!d=b0>*t*-hdgj^5VGxuEgz+Kq#{-w>EA9`}<2u9iUeA3hi+4tVI0$EWJA_uD_Sa zO?luxNKn0FJ?{0ndS``vH1a*9$!m<8d*5C4o(ES|y>c^t!`3%H2l(!iv9Ha$5I#9+eeIsBbm=_&FEE}< zzKaKQia6J?ACcTT?*?uiV)SupV}w2+?Mv9s0olim;M2;@DTZF?N6(cLRi603=+<77)-lFH%1U6N4tF zDt6)Wa6vQ@tF4P9jff{30=`|NHCa$<)k8=UvY~f8xE$osF>GJkK8U!-o`X}))SBnR z`IkrLNy;*jC~m5;2!D3!C987G$;$3x*B!7;-(eiD;EVt%&4fIS1~$SkD~BPz89^`QSd1DrBldgH*fXb@MJ)8 zFL}V+#e(z@bBNw39jpAb(vOJ8!eO2W*un)xDGXI5Z8wq|Oi814S`825A3d8;_`~c% z&kr!THKg@j10QJ`Sdzp(Fm*!29ZP|w1(3G}iS)u?XcESw)eOO(0|=)DG|5_kS1YV9 z;$gB-S1C&o8JkctJx(zQ(iWp>rbARkG-NAC7$p>*bXb<6Gy;_CyU;?SL)8>drYIU` zCsR_u1#Sf$LTMZCDnP@oL9>D_Czy&3V4h3AWSpzW0y5MOlCNlOcj?JawJ}Ck8?IpQ z7L#vuNco9=`8Age<*|blXtNvf+**UGdU&Xj0rH9D6Y6M-1#PZUi<*{jWqu^m-o}MC zQiRYA#Rc$XB2kk%azDS68-M zfU@g^r_Mf)ghY*qk!-YJr2_y>0L_w+1lPgFWLXkmFU4%7BV(~dMPF9U2&`1fW#dT` zm=nWBIVm!yF%lGmL&fe^@}PhwrCCjevfgZbA}>o0jU*3JG1!SR$ymbLCoLdHL57tn z!!=Z+C`c}I&Qa!a5yO}Du`z};#~8`_m~hB=5;D^=JfCO}qC$AG`+)3xHps05fqbHk zj3P1^_|yaW93Y=KJ~3#E5M4S!MwoPJ>1Bi6Txh?b?!`I>J3m;J*+PqOuft_&7c)6P zLQZNdlL{NfjYPVIyC<|ABpa(=hJI_piI+g0AzSfg!pW8rb-F?{pvB@YZn=;DIfso0Lv#UTAN|5a#d6vT2 zV(=+dlai3V8)Ty~3G(F6J7~Z9vc`%KR26yTs=#?rTcJ@!K$a3-I@c-3HagJToczqc z3EanUtj*>y*s*7&<;0`PzoiVi{w%A8gw#StNY^TQ>A_&1NZ#7UiSBYu5%``^HoqAn zv0Y3xc;HwtX75YLCQ6@IO)>H{ZXJ-ZWEs7#R zrk)(=opww~B#x%J!i=k8N0G@&B@vtW(}DE!T%`$KO!kFL8=gf8S>j~3%UK+dFsGO= zk7LtXJmY0s@yaQml!!lua5}!v$9ER9u-w}__?$WLu1|glyz4-gwB`8mz@!q0?dqyo zSka&TtBXfuh43Eq&k}jh#bZH!1!%R{Ri3$@%_(Bq`hJ%2Ang1aH$ZBGbx!`FIav-u}iPt4^;w%97Y2B@-UyiL%tJywWoXCK&E1$1C< z?|eKHS-JVyCs&OCH<_dN<=1+9S!T%FS5lT^vsoUO2aog#>z$kBPI!@IAL!~qCO^d7 zD;G8{%SGAsG(XnuJE~a$zuT{l3eO(au*PM%?pR)!2~@PpgOqLdr~mEntkHQcC8?r z7 zU0XPI`RJ^I#iVy7TosOujx^*J1AH3{GCO?bp{(Hwo;_fsiog>B;nD!r#v%ccm|s>< zQU@B{Y9T&1M(yCvkg;<;I9vwFRkT`f(h<#8{0k|?Ay*j?6lL6iS9g>?orz5Y@= zu$o3V03^0;=*|AtKG6!oB-WeE(T)v<3ul!Ed7xE!f3hcLkA~D_xPN z6u$q0kU^5xgyZ0Ii5Y93h#(uz{-Cn-x#^OlYCH&ZA z^r5}S3or$fdWPuYSI;xx1CtL-qGUt2!|aLPPW_|a{Y5Vf^Kxuv8JU($@t0X(<+W&9 zFCHL&Og_qSZ%e{6xvi#QSYrEFLch)_52v_Xv%Q~3J63W4xTwveLD0zB%vT^zz zeD3ndfn0wRnSDaWGdl1Q_s;82v)(UUdE}k;_$oYq0-WxiZ*Tm^Jwxx_{-w(ZdHC%o zpR0Od?=uCnue{K6ER65B8?g1v9XxheLvyDlo(*NN!9W@ypBO%wh8O&s>Uge7O9l)r z_o#a-R@ypb zOCkOg$TX)gTzn$ovPgwPiNBtMr@P>>HipS$%D76+OV2M5^xIUFL#2Q+WFK=YZMKW! zz6)BF6lH*nkuPV>`R&5i8ZJZLv3>Mxp~oO|sd)4tM5{2RkfunLiuUYDhpqKK4u`PT z3uKFMm55>1;?iYa-jtMdh~RSEAJL=wT}iZmUPE`{0?q!PSFltCsdNaI)^%c$J5gvA z+{FRz7F|)8AaAJ~!h$(9{#f^?UNt{97Z9)h*dc;bT1f0_bKJZ-<99Z=m$cDn!0&^d zsR^49e61zu-&ch`p_)dFi(MVUXQ9+jYS~SMvWqN%gbUK|Vt4}4Ai=dBZpA3&(?S%2R2w@4gFWGJ6H8}s=j$)WRH`E+EukFYzM-bTY znt9q)$tHmBYFF799TB%KG=fGRQp=-(MCAK;Xp{WUx>8Gy%}*-4)Nr-w6d=oHyc!Qz z6&HuTNJ47i*j}>o7+mGltr-|Q^hYcF(em)#OXj|WC85K`HwdmU^tvO_s8gNHC%p&* z0Ak>s2{Uv>DO}wxC?W8lgT$wpB&-fSnW#1$BD!8ShD%4eLE=E-ysNl_q)s1!E;U?< zIIA)fL7^ZunR#VO4<%HD)MrZ-SyRHCew5M)1D`-hBi8#b$;i*C_{SgiW z!jE>W9|$MB2XvjHs-kOTP92lEwZ;X9#2>d8^m|0Wl`2EbraZaWAPNk4Sf{hmj9zB? zeg;Y6k7$-lDT0=U%odCD#H&k0{D6R}u4_AZkV{TRWuTBOfw48S@B>yR=qPht4z0J7 zE(A)Uy4=P&dC+O$Mkj;A)%UJgSm3vEm~PVdt617);GXG%&Bh2DB0s zgk#HtS$i!i`O!e@&}+`@TS3O?7Qk2m0`r+gTOTE;DWO4ZMNtNPH?;27X=%XH9{O@8 zBePEG0H)M8WsY7NWmiM>hAtwg-g6lsmjSh`_4DI*$GZ;pSbn z1rTkHNY@+|m!%I~=0UWff}1|xFR}#6?#9k@Mx%ugK#v=metqjT3?190=Rp-ONQ>Bi zc8X3T2RC;_dIRZw_;PzY-a%~N*daz-t|kFXSeRSIm7U^D$WFfvTs?sAUY|06;QLUH zO}(GIGJPXudAN-HJ%0HXG9G%%z?eY#&{;3PednPLV-aw3Q!gtGLFlVM#sdqB0f~JY zezmK_;TPfnEDRh9bQ(n+8I)b-+YVG?V1W(3yQ47u){?EM@yc10jvr2+`^Gbawu?y< zI)}oKlXgu{87TQa#mLK1Tl`|tKK#3Q%IR`kV5|BOZ32XzKcJr`Kkv%kh@wK;a6 z)53pngEoNya;bIjpn6Bom1n53u@Ym4z#Ffk63fGMDO!gB@ryiylLdDsFzPF^Br-60 zJ1e0hLLgN101Uhd?Z<%qh zA$kdrNn?d6S9BXYQ0`xY@dQZREkMZhi^U=mg6A-Wm5j1`z+IGLz6Ygw&*IMQdDreT zCj9Y?y=r`_OiU7$8;#i&R)-k6b=xTF7j|8r8uRdk{un#x8PE@h4~!kU72}C|XqLwr zAQv->=Px+012c;$Z%m}tp$G$44ZdrxqY?Uc}_WRvUU>&*Xga-%=#N@9lG^A7@^z0dc;kcJhRZaxdrL%(EFspEAwZX zB7X(Yfk$7iPLW_W&&IR@|IH2WyfUey5csp#r-oo=am3A+YvV^wUzvXM%+A2ZH0C&H z9RNrSC&N`j{QAsv`qMjpap!?^#2<^Tr{aTBVvEi#Yb5}Q)0x&GL#9bs;iZ^aNb%32 z(!8&dQFaNFS6?s88_#siL09FKR<>?{($kvdzxe%!~56&o&a0V z2074r|MNGz_`u>#-`w)2pA&TKbMJk1;-#BTYr7eku!o=i91wfozaHf0w*efMAa8+$ zi@f*0tMz_*|GmKEy%Z{Bz<0rRXf=-gERooM(6f?YY41j5OW&YzT)*@Dx1h;y_b(*b z>$U?ocjD6k3I4*t&r_DKo_YA?pa1inkOlDFFA%ov;GKkRJHCtTUP0MSYX#rgclN}W zH~sCpeeVJ^_?At3(r<4*|Mn&O|Ge+k8(x0sE1SOgKIu)GR24$OXZM`(_r$&Nz{D5N zTKwbv3qMCzqlkYGEa8({36mE>4!wUp`TN&9N$-bFx&!8D9Hx zRHYF*22gh0dV&{iu;>SyiQrVi3L~BxFMDRE-|MVdhz;O*(ZEj4+VUz1i@}Xz3 zvg(x`YJtKfcFWXISv}Urp?=a*bvfoF>m#_V#Y(QNZv~ud+`6?4LP8)LVqOSuSk>bq z*E9vdU_buN(?d8 z5&4#h-7J~>svC2lF32@ji7?3?=t|W3-q5D!4nzejHJcLLijlPgdjiWIKu%gC1V7TYm^N)#ZOkK z(Nt(s0j)M>G9pqKdJ|HfbWCFveuOzQDFP9~)zjt>n;re?6ynyHQi{$3Ijh`5TM9(x z&0&CCkZYs`Ax{we8zvaIR_J;{@LP54Dxqa}sXoQY(Y^->g^xU#PmWz0G5jn+)?i)O zWsz{+NN>@|xKB=#0W0aOaDkk)!t%0&CNWzON|Y;p>zfmD2tbcD@v}U>id^6caRscj z8cENwBjBp3u{zeoo)TJt1oZSl#-K@_5t|0?CR`K-PBDC>HE{{r%TNXbfsqICHbb(l zO%$Lx(H>+Wb>z{}fl~S@R&@X=HH8A)nQg|&%6zz8z!{Wf1}5-WtxSEWPZ~e4erqeI z{YY`t?WWG{G9`W$j@X)M>&Da z`HFAy|`hRF*-?JfYBTyKc?{kPC$rt6+TH-@dpE%JC9Fs6ml@eGfqNUL5PiU z2R@*MoFkOB#9}ZxwsHc{dpTukD9(~y?;w^W1VJl9seTRV|H&CUpv4AaA#)(B=oqsf#OApFzhGLPrB=WC^{|u@HGFb)RF+c5rXo8c!yc(6NX5%G|)@ z1vjuLs)sZQRf@Bwpe}BE3jKSaw3UPuR+4OcX(U`Xbq;Zt0|hoIR-6fPjk7jlCt?r^ zA%zgq74;QI-8~rA+?t%zeafkG$edEr#9BsrGRm&Thz*n$B8#l-aNV}YDv@RBr_C%G zy{hIW+1)jPf*+_!R(63FVw8*OF+tG)S(Ro!dC(5Al^93~2jeR}b_k4x)~TIiela(x4ioM#oTT`NpO@BVv^{&vgKC9SKhh7Z@}T0j5pT5PIOA zjDWjZChP2|Q4JyH%qik zYcusk&lS9Sf?HKrANhgRhvy2&lDLJW%2qH3H(z5NvOr!KLf}rp56M;-#*l)#4jMeO z;Cr%fswVP^dov4@XDzmgCPb8l=fK~|1Zjf%8?G8OL`9Tac%i_|!a&36nNv-A3sK`N z#Vr*BcQN~9N;vsN;fk6{ORV8r%1lNIqjoS3?oSQJMVPBKqbw!-t(yT+hG|t&v2^h0Vnh6`Q_m5@Tg%dFc>g3bi+ zePeELtW@qHr7J6kXi|!Ppn>=hYA5Fgd8Q1{j7v{B*znHhh*_hg?WdAe?qVX6;4T&J2z#cCZ-8@-KBP@MkkfxTie1>WtT}xTtEn z7P8?_Txf){Te*QSVm0}$4eJ(`f42v$)y9QyFJtn!gW!IFxxanapV}6#`z4bh8$w>Y zMm_i7ZF%S-9bWY-O7h1F-KN#w02235 zmRS$>_AS-A|2l3RV)!gH*~p*Z9tCl8fPCBlxxM9B+67e?!!Q8NjwYBk!(36<)))*G zH{OL!VQL!id2b>n-_&Kf)QO8=F;HKZhn)<{ZUmdf78f^!M%G?jQyZJ_)_(Q8F^ww| ziM1*umSV403GP@_#AttefWdq*i>*`+UvYs_(|IyP6I(X?^P z2i22Wk_}A?3{ud*?yc6arjQ*AE&msM>y1s}%hxtu-UcChll+<{;0gY zq2O2;kB{hUE(wDalixgZ>Gzt0IhV6n87uD3t(e5-E9lEwYl7J?~s%cnw-m#$UVyB=FEQVvQ$j)!Z_BgZX z_c6>sh9B;M%z#tYg=Xq6tcBRk*Wm*{3_-`?5oVzJXTrr87X#XmL9kNvbVHAYiPL5w zI2i$12-l@!L(~a{b@dFJs|UG3yz+}NvOhKk&n{Pjk`0c~1eGOyK#H^U&TFAixDvB% zsfqOCQqtn@m@6{+fCPz9Aya_j*+!O3ahvhmYbM8 zP_Q?gD(X2!QAH*N4#zd=y5+75*gHOfXBqWL$?V zV-6J&c?)?mCzAaY{{Cfd9k3dL<{Wcbv^v5>BNu1v&jIoY<&);7<$$FX;i=cVwy29l z4Ht!$LLVGDg$qqu=)_BBQeN|~ZWF?Yq@~QULnnp`=H#Y+y zSGbX-D_n^UP)s9ahGkq97DlmK1Y|GCsV7on#C}-y8P=s%LBaM$k!)`Yo$8bT8b(*P z_zg<@n^#^YfNUd<1$9 z<|)>Lq=dLIib$7bIf?dVXUQ5)R|EU9kS-kN^Iqvr`%oQ!kWG!I$g2}hjC-Lvc!vh92WSxU&3hG8KeXcCSkTR2M* zbqx{8%M;bY#ET6=g+Q*hqp*aKhcQwJ0yNlCjtv1$RK^`UnrA?sH52A|0J-Op-h%E1 z^V9!GR<5G40T+WJay6`^S4y0C9GuZ}ku=ac;dwi^2qOP#Q z(#6X(uCKdo1~_RbycBIn;fl$)$2fRD{&jpy3kjAIkslY}6e=J0zzWSxF^^?Am$>G} z^G;#dmZFn6!KJjTC_dI;xOK!@kNd&(6wF74(w2DV%ZjiV+&3a9>Aul{G$ECwpxKg$ zDNXL97!w`@Jh;UDnWQA;i6e>Bv9$Ka}2kDm$wthd%AH_M$Y8z{c(&OeF=S3|+4dmm+&tbFnzJQG=6^~y`+s+~W} z`a6-?gMR&`RkQa4zWCo4E}lN&Lf=)iFMsWxZ^QWOd`#Y1FKvSN*vgyP-=AaI@b|SK z<1bs5Pp&@WZ7QAJ#C;h-48Ot%0b8nOJ-Hlc$t)xndH65$_i=FREL(qc3%3q5nMr;i zYSza9)HRT07w|HdR>hs@qi zryI2X@2&mEF^wf|Ds_G&ur$&N5@!5b$XXUMI;k+B_8%ZHylXjRk5+z`S_sM2vLPSX zcX)!*1L#_AS?bCbfjQ3iu_-+34Fxk~2*KI|p!`VhtZZl^nnWBB!{PiW5a;@;#$LuA zgsW&*d0L5sf@bZs#28l4s;ID5V1aOP%>^+Tm@xJ7Iy)AHzhTZ@UC`{r)P%cMm17hB z-?9)H4Ao*^{z9w_wG+f}a44S43=PK~!yg+TO{&r5?Q8Ki>xyAyWu-LS!Y86`y8`zr z?>Wwt?mo$NY%lr*S;F6MEgzU!^!Iq}qvt0hcAjg9yaGuh@SITRr%f@u-f6X!FuX4! zc!*15V1y&~SvQ4B@yM6X@>rGYfR*0WuXT}iBCJ(7V#3WQ;@LjSu>7y3y|GaE- z2xH6;wrwOba(cpOw|0B}9-({eI*bP3rsR2%qzVmfZwk$hO&Jlc>)HpSgowMDy5?yS zBUzZJ0Ow+l9R4StyRN*w$s9^U3VRBp>}uIL#en`@`rJ9>59#8dvxd_JXz*BXq(Nf` z1E9g#>Z1{SbE+o224Tmv@j2w)scbY{VaQt_r?{>ZKGAPpJT4~G)_J3^1()GrkdfRt z!m$TA^Q+=`eaS-Sm_sWj)EW^MYeOk{tCY#vJrjAWITrC_E*g%kV)27TG;AC|Pb?1~P)8C?f=Emk2$Od32&mxRcb{fwK~S zP*dRwPl$f48e{}Tk?GQ@LZr!33W}m*$&&bvotqT2m4O%!B`D3Yi`Y;NB4xN{4Xvo! z!Zhrp%cHGSGqyxvO(cUL(JY)68qg8haJzDY#N6G4^cGqCe!{@a0?zzv3aAej9<`xznpR$`kb;BKKhmEW zSd`F9<9tR}E6wD++Mm6{@SI+u;@X042$z{8$M_1!uYjE7`&ysTF|x@GD)->S(-tOFlDKj&>&ntQN3IqF<2ff&7R0iGZ6hS<#U_c9Eyg#pC;XJ>6I@Ck zVT0ABGe}DM^@BnJoMsmf(m@O4Vs3$_7t){tq)%TMz8gRN9BLc5b>Jn_EW)V6iR-BLM7se=Qc`fB4A4wqHJ1_3Y~;o3i~# z72AfMD> zC823#OLsP=FR<$@h^#(?)jEtd@Z)D?d(Ua1-H6oh$%qob`7PjwMp>k)6|T z8kkx1^Kk7WWap1Uod>ZDpzv9Bo*QLxv^Kzl*OcDBp7vJ4)&LgXDHIiw&T+svl|o^m zi>ncA>m-pfo25$!Vgbh#fsleor7{#w$chJD9uDKkSA|bha{L!Wyl&uNawO>M0fj5I zyh55c@H`$r6DaK+NX=j>jMEifR_c;H^Yv0h3S4ER@MdBFNo9*NAwe=kC1shyp9!b7 zxb8{#rbPB3^MqO}0i7X&5o`~!PVxr-GY zu0h@+Z?Y+{g+B(@tEhJZEbTnJ&7Uw@&<(XW z?Sk$ej_aIm9g8!{;yyS_UgCEwc(LJhXGjdVxpJ~7HWL+2Q%FPgqIa5_NWdp}+`kJQ zq63s&Gc4wYM#ds-N4VWSxA3gM}Ev?Z_MM^7=6jz?otx6WbA1)c93(2A(=K=Em2=3=~5&5^RWSbCMxr+UdvVZwP?=ztktrv?~V24t>}5 z_O@hx>sF>{g^Rz!M0ey;htxx$eTL8>d7(Q~k#KQFQHNxrOQQpNg6{>*ZRw*m9?vmxc(YUSULvEqCcV7Z+uT;2JJH zA0pIbg%?d+Y&q%D#mtBw9Z*~`Kiwa{Hys*i9s0x1y^x2Qk>OIxLRFIV#V-e{x{@oJ z{!Um;MrD+@NYpN(1|^~+0$oJGCEhN(K}q2UQyP8}j66Wj9;52#9B4*gXwHcqlDJu1 zvtTlvG4Rw7a@i7xS^z(El?3zY-l1Ugt(v2ke?>3+(oBaOf;elN)&x`z4n2x6Wi&~A zlGNfK#NC4=A@rF_wIpe#DATiwR*-0hf-6nW%4$$-d_z(!6rDtf(8Ub~CSLqKyC(K; zd?-P!!(08E=|FDB?V+_B)1vV6 zs{nenC1n<6^CP52Vf`5BR?0|ksJ~R?EqX2QdgHU{w?5mU!FL%T7tnbR59%sVsM`rHR0XU;(&i61-EuU z0`&e$p#YpN-nQqwHRG_>!ADIs#98Yf}1gpw)V{7Fq|mbto)Mr!ZDeud8nrK{YI>0ym|9 zb5qA)=4OCg@y8vTzuW=t-vHE-F*?U>yu|h}dCB@BPiAG>1FZu*%uD&|u@{ zj=}a8QV^{}9^w58vr{a3>ZCX0dH;|a|HiJoL-`ZPRhPe@af-E05E90Xovt`H{iDH; z4pANU(3B`b>#!l*3G(5|+krW-ZIl?isOa>siE&hx1Yaz694g$}A<`YE06uR^$974i{Yv_`Ut#dwNsJMDjbMOWqv-ITA)8x=vWJT-4X4Y^fZsZx25bKuYwLiqLwm<& zt0Qn~^{ba8=GD&xW~Bc- z!_!-42tf1Ij6^zxtuq4VNmkQ8U5b?7K7*thuJ!-F~kqf*;oJV1kSzq6IVDHiu$ z6gaJ;;E@+jc{(+zRcwDvENX37k8#G>z@&Far3x1XTr?Z~6JR64Ptf)gQrAqbsJ_Dw@H)k zJsr$XEyBRv#?0b-YDoHE{VZ|b4I2h^>X`+B?`{D=?(18x5gH(OBh4%{Ig~D{zCDYw zdvk}bKze2Y;icW-bp0&5{i1dF@{X$qZ4aFG$nU6iIP1BaF|*hpF@H!uTJR0R`=trD zHiyRC2sEU}%;JB4c-x|9M$C`T>bsc*vZ;Pqd}R`57J;%w=U~cqBl)BNN_|4BF=Nm_ zDdUv4PECuV_NRutu4fj5o&hFrW@dpK9y5#ThV_7hz})217zw1rk7?{seQE2tfwZ+f zFckNDhj{&+t7bqpS$XCAhvZEc6{W2+*2Tqd#KRAU-gzDEMqvG;_9SH0IkeK6o`cCt z{^`g?&rz`7=cB`0@US zul}zGR^#k7n+wvL_Wyp@m4`Esx4-tReCLh#_wSwb@}{q>-GA_gAH2TgZi4Csj_dB7 zufGQ&$Py^KKY!`-8d3HO`%+8>w&Y#i2VdFAV6dYYAXjt0Pd^|pdU?~RJKq4%>{;JMmjC!ZfM)ma{CgtL*t9Q;`a1FD zP205)Xp$v+Lh#eu-`&KIqJ@R2PQK9 z?8D#LJo{z<5CwBm4_wRtRdjpOS_$a&MZ`iqMYU{6|_gO1p<#(#4|1D6Bw~dFf zUupdYk#BC>f8hFuuZ9&GG&s--l-(E4diwT#?=RRqamU&F-`P*##zpMGiF-Hw`hCd0 z^b7m?$tNDl?rZmO>j2=rm;hIIG3E2-TUO`qzNCCgua0+o9S-&L&R()1xb?#Ss7FD8YpClCu%^>zati^i=R$p zIfTg>D=R{UZA6BZa||0+C_K1~;p2?YF!vdgzdy&Ag0sz*0@-y$n>$^|Q)8>dW z0&ru@BWJ9zh)mo@iRLcPua3v#L=Kx{md;l$Bjw@8%d#%UC{bquek&=Mtl^+y$ZND$ zeW+H6Zq=tHX{qvNC@37r77akRqAXiT6OcV_Xd?Qz4r&D75-i;c9^Iibyk{kqN`A zwE|~_XsfLbnPOc8PoRPLC4>vILa|_jPcx1pjmGfunE?1M9E&V%aurax>Zal&?npfy z`(=r|Y;5ksS#~4qB0hc(>ayH$ziu7GK=`Znz#rodo&y>}2~;{26fRBOZ7JzkgkTz~ zDS|=&D=8&Gv~eiE>*Coyng;{dD4c+tY=961ejSM}gOC#RgG?;O#T4u?F2g1#A|E-S zuJeB+jtJmaMAVw-(|Hxh99dF6ct=_%gecQzdx(1y(v8g*;&!8F4|@_~K@ZY_ex_3* zpOvx{jxlbc7%wi6p17Jk5}~gt=^Yb^VtOlj@1Q5N`JKtvUEXV6_~Tvqoa!6`Nf>ELk%@X8Ywi7+o&uG=>Yji zz}9~E2?4eObk*0yT4A8$;6js9pzkuq6q-KDZV0`VEmJ86+pLs|$DD3RxDaAR6uOHiYPs57k-_LWuDRL4yWh@>0FfS@K9DP|=KwoTM8z!{2tmoq$TL zAoOG*z?6h0s8#6sfGG(f#Yu*xWtd8z8yA5aoh@@`glMv#Wmh2?O+eOJeiR`ddZk+k z1z=?u$Kn_8iH#4jFdAq!smCTCpjR!0Ph6Oz-1;ftZph0~khsAXCn5G@!YqLC(k=l& zDLnX?v(!}Jt~8zdNOnzp=@`KSJ_0+Q=9qR8V7sx$XdJ?>vQXq0#ZyxFZEQ71BI-(dHjpNFNrsk|kx>kVhSORR7qNK7Z zf1FAeDNOP7pCNH1j zx@`$7ftChWC%RRi1j|ku-N=y`xZTNUH1K_r6+x#71?g%Ql2s7L8NK_uYUZ0)q1u

    >$vOWp^GHi(Muco3Dv<1Z%_d@z)v(<8MUb>hIr9zQg{pgBF*B z5nu$oK|q~yjONj)iZBAcLcr}=Fw3s5tisAL0$C!EBj2Glw6!B>eCs~xJD5@zo3?{7 zZps+Wf>Ng3w`rS2Y#Et1i~u8$0|c_T0Zo~$P21tc7L)Gho`nRDH;e!ykc5DZ{omap zTL)&@O~QqD8G)iffLV5n##F2*BamkVa>PI82$UQG%(7c@Cg%b(0>eVU^&OaHcUY)#WJbUz2#kPb zH@5EWbE0D){(fM!-i1ymhi{9hha;M>b(q7=f%2V3ysiq2h>) zK#3vHk7akHbh?9Z@*3UDg;iqJiHpk!WQ%}1XTdDH*#gG#7y&;akV}?bW6pdz?!YX& zezG|0!U$xGKn_@TE#xf;x5e3a44kvbRxOUl2rvR;8v(ax!7RID+ss_Lv5Ek*?2c8- za=93R(ISu|raWfZ9j#&bdPZPuBjENdm}Pfto0&^DRuRaN@6Z~GfMs{wMmZ{JO7Lvj z4v&a4i`X)0-Y^1;Kn@Vd;s!Kjwl-~t7n@mjC33uB1Q>x-1l*nlv+Slq!xBco{|GS4 zuK#Vs8ZrWfL4cX^3WGc6$_SJ=0&dTOS$0dj9&quC6an@fiqvqdHX~5n2)MpOaTkKM zX9O6593bGd4*PSEGAGFhFakx5fI8(E{zkK?Ey&6<0y#s#?O8bQP~|KaPM8s31d0g( zX4x$!Yq743K>iVMeFtXQ%|G;a|qE?Ng6TL)?u;;qADKj5B)|18cLF#>)-!0lNu%dQ`c z!8$Mk!$p8uc87}?$7cje1p#K+Efq_1IT-<8A>j5bm}S>jR$*lrfh-YVmfb9Y;%JOO z$sxcjyCr9GE-)kD2L#-n1+(n>!5FLqBQRV9m}Pgkh;e*Ipi~gZ5mO$s?3PLe;&L(q zBSXOLSuo4)$l&9v7=gkfkR#tAnPqpsDT`>+c6dabS;Uq}^M(;%1ag2t7B`?Nv$bhE z%-CPh`8x{<9&Z={MqmH}ZqI^Qb_d|Xhm1f`AiylUMPVjZk`X8X0?d?G0MI#0Mt~7; zdlt;H%T<99C`JU>cPK`yvCfP@(Iepc4nz-%IPrzV+8?j9ma|! zxg3mu*9f?+gYyoR*NJm_i~u80ln5}(Zc&meWeipsE)pZ) z0RnE%f?0Mwz>iHZs%kX` zl1^$0#Gk*+a~A#3<^x865pWT3=Pa0ImumzgP>cvL%Wg4Rjdf-O@_|5(nDUrqHy_61 zJQ#uEMZoP@Fw1W7nvgXwWdw5MJ0!F0_Lvf2o3_Iw;*=LU>r9z)-==MHB2vm5Mt~8> z0RqW)nkmz_Y3qqtOuC=vEF`A9VFVa~0SLG~3uf6JfD0co0!4uUv+NdynOI3ipa2Lk zQ(gf;=PVfkM!@Y^Fv~7i1xBD45n$h;7_G)SGXh1Afa^OHeL1)Qi~u9xH3Hl^c#SBh z#|VrS1h{n=E0*MPFalm9;Izr5QxucGRtmucyTO7 zz*h+5fn|5UkgbCXs!iSUoVL?fXtFYlz?ee7^V=0|K$D>g`uW$Ty!y+Ssw`X>M!+)! z+@1xq?0SYMC&UQk5dmh|%_G>H6(dko2;_lfx2LE8VPzQsMj#&uxIK#`YRwv<25ZA9 zjGH4D=c5>$2P06L2zbY`n|jY1bLPuY$s}q`?`S)PL)%%JR^w7L0{K9|^V>D4DG+Dh z!E+Y*Fe2x{2oxUzZqI^Qc8kw+tT7`X1hedNEnox~f%FLEh$)X*cGJVnQbvFga1d~N z7R<8C6@n2cMg(%?J21;`F;)iFnGxuRfG2digCxQ%yZxZ%14e)m=tIEmSuo3PA0)iT z2ow(j%(7cNwqi{gf&3!COnLdmn{#6X7y*fZ+p}PnU9Jy|Krte~zC$rujdf-OiXH*i zcPRRDZ~+(rM!;(XoYrB#*U55vi~u80>ME1l*nlv+U*uUQU$} zC`tsFWw$8J#!52+c|*YU9hhY|Z-{e7jDUv-Fw3roU~*E7z?edSS$4;iIk_;5fR_j` z%dVGba$1Z4Baj^e@wcIvWj8y#I2I$|D+KbuvfINfyS_3xE5it6i$D%pb}jYy{5Dj! zcHnr7KxrZ1_AHoXx3tX8r5!5>Fw5>(u_Tv+5%3xTX4&-`QBIE$U<9&2!0lNu%Wf7} zaTG?tcL*@cuJ2633NZpcL4a9yePRe!gAph#1e~5lFSG2Hmf5+qV+Dbnvh4nI8@=!F zu6`D%u_BTlt2)vVtPRh>Uu(z{0==^pdg zCa1*+FalX3;Q9_(#^z{@03%R32yp9AI=1GLG6KUxfLn**;l;5Tfzm<1Z5^C9u1cra zTvA4W5y*f*GRyAU8RGFNMt~70O$1)PQoao(S$3Zrf8)lze^@+o{O*l=qkBHJWcS8C z@xd&`OJyScVwWbcTxv!jF9?k4c3qNsyV5;>csn%(2Nyr|1KBzVE2y=ZiUadlG#VG05y%Sy!@pgp40&@ug0D8IDG1!`v<`VG2xr0wFakw{fHP>@&qRb>PifzcsgUoKk*X4xGbjC?gCP#6UA!m>LTtIjTy%T(9M73=5A73;%TwSLs% z!l1^vG6JQ5z;Ic1@4}6p?agicXc(-&w^QYhl3i&Wd!_! z0JH4+#S*LsBj7g#ykXfrB7$;hH3qguvxRMABQ|1Di}W3YLI)fe0Y-okNFZ?N4%s?L zmfcq_oVoCoYuD4?j#c!O1ZKj6fd(Uf-_C_x!Z~a(Whhx$zz&zz8q`gn+v?RIT(K;6HQ(t7T&} zNBRz)h#6=`fDy~(i~u7r00FmW!7RH2aN$EnpePW?Da-DYAD6y^WXfxo;||Bkafd7A zxPvDIdPM;;E6E5HB?2BY|Aw`bvrlQ2jkIWGfe zzzCEq0v`GfDg6cG_9y#%2d!iw=7KWpLIVJbw8}}3rBXQAyU)AV4HCUXa z%T*^%rO}siBEiJviJio$lpQyn6nU~Bn>b1qc_pVgn*9v=B-BXkj3%SeUmJ+S65ny^ z4<#(?Prn?}n6lsbtPkRuX1E{&h8eEP-6@~gD|ZFtlo^4-BJiR(&5|0tIJh3IgP-#J zOslB1WTF`|UF&(SgD05KCqt$gP7R*$2+yn)(=2&uNa)T^YC?%qTSUE8Nv=?82&IZr zBwK`GNns;)bV{c&9;Z#ZJvcgiBY8yj^uLR2V_ztaPP*TGKFcyZ#}jHKcG4-EKUv%b z67e1Oh0=rX6MLmsj-`x1@gu;#P-YvlF9dut_(Grm57!sEaT@zVnW|DgpJnU|WwHd$ z@wm_s0P$%?pyUu>UnsN5*%tyn8GNCQzjuA1_N&+z%2buu7fOpxd^m{5h0?;)C(LL4 z>C2V(7=e;OfPJCNref&Ircm$inOkWvWW~e3tRJP$o;@9FGeP0T7>N1WFD8 z_JuN=oP8nSlff7I*B`{^$aq{RV@+aTC@nlZE|eCQRAD~rPipQgVFboJ0_+QAHZl7` zz$cS0bXI&^=pG&y%2bu|`7Gmcp-h&*IUW}p0w6xk2$UQG>zK<3ed+NfqX^{-oy45=LOmBf!2;W)rh71bj01LdF@cFVxn_zEGyB zl+R}wj|*k81kUle&=3IeX-1&r5MW;@v&q>P0zMghp?_6fU&xl@LYcwjvy1>Ezz8q` zi~u9R2#hfVjz8A*g>Kx&<3gEi%i}_6(cw9=w6LTK^I3mVb7u)7Fy;~9aiPp6=5Zn5 zlVM!w(G%jn&^_!6WvWW~e3tPXStd*19M6#r0T7>N1WFD8_JuN=oP8nSlff6d@2{>e z6w%oi%2buu7fOo`j|-)RB~_Tu`jeVFOBjJMj{y5ZnN7^T5b(+13vE0yJ}%VBzEGyB zl+R}wj|*k81kUle&=3IeX-1&r5MW;@v&q>P0zMghp`-pc?hA$47s^zX*cVER4v!0^ zg(X#(&-#;^J4+aWF^>TILYYm>z7X)q;0ygxbA6%qZR`tWs!I8MmhreyCQINPj|&X} z5T9lQN)7?`g)*C*eIekJ!54b(tMT`Rc#bS%O=4dtEj&Cflopm$VLt0mYVIsy1jal9 z>z&Rcl8Ui3b%?Okn0_+QAHaYu3z$b$*^v9=NU#N}e$THR> z_Jz{I!{b6}VM!I{v;L&!&XWJ%&Kc@75CmbkG>8gF5XB)XNfUHEitq>s6eMUQ>RLlh z6CAH_;RV2B>UaY{AS$sa7{U!^HvcubWRu=~?lRlllJB2nzf8A4p!EcBAssO;WPF4R zT^zX!Etw0cR?2ynF&9!v*cx-88bB;VpmPLpAssm`WPF4RJ>I(u@g14$BwQ$ohq+J^ zODyK>pSU{}2(+F6E~F#Ig^Z7Iq1{h+p%dmps+Dq{Wz2wLMvQIwGu9rq{Cb&i6s_u_D|fM3ItkD02k5`<3h$qxX|8P`{T=0Y`qScX972;f3Ga$Lyx2p765-Gv6YkZL7dC`pI8P!dZl=Io!iI~54D zo&YYSBgTb{k8q*!i@Ol_g=8n?Jj<91$tai$)!<$)l=;N$%q3I!W zA=OH_P?8RFp(K`A%-KJ2cPbEQJpo)uM~n*@AK^mJmz4_@D_lsmQqHrCxsXc2*0?WJ z1Bhh^bdCTnq$9_LjE``k@zm!+B`%~|2^UJzVJ?)!5{o(eC+$)l z==Id^3(avM)k-R0D`*2y~7BE~F#Jg^Z7Ip~<^{M|Sl+==ZmZVl>+J zhoL|GS9U@re&%3}&#d#FzD|}^2tWV=5P$##Akdt^;@Vwkjte!%$r~U50SG_<0ub0R z0$+u@P>Bm|Sa$rm5P$##AOHafG$(MrbQfCULd|jV1_(d^0uX=z1U8JoWWREu8UJ5M xM#tUhBp!Z8mc$Z^Ir}H>P6Yz3C&1ll9Wi&OjgPoHy}12zp_`)DJ0AW%{{U43WlsPA literal 3887913 zcmeEv4SW^VnfIB=B%NTYlS`x*)^=x-;Kpui15~BxtCI_+7s}c+DyG(IE(!~^exlM9 zUv`2w*K~iBBE>@8ZX3GbM=fe=FPt{2UV64xwDTz##K_v4Efi;EXsee2CjZ~2>y zBopQA=Gn9C`p?anF=M7UhUxo{eN+gsbAsp5TGliN8{+sowh*%h@8tI!!7nC_W-k6r z{OS0^iY}~R#S93JszhXAxYTp`; zaOQ|9-J#m)H?Xu{sEYmC$pf}SJIkvUf7NQZ<=@gQ{aQg)Wj<%P*;V{u!xD4Ez1@}K z^hb5uctLcC3x}$T-FL&2l50h^xUSXo{;Y*p=7<-&X+^ioUVtYr!jrS%3069^ZC)|A z6W}?}c0#ckfPrHp7C(!58{HlLiC`m#694ZFCHeSw6$Ec zPUjR^q;7lJ-VBo`;X->TGORMJ%dNv&+8`IUw{{SFhe7sDnNtWT1V$18e`GO|E~v7{ z3<7d!I>h04Lu_A}Pb2W%Tl&Zy+_b7%C_V&+qju<)Al=(ePeytvYauLlv$m*}QHnr^ zyra1>o^X;W`io4)Uo^fLe+fs4$1f(WJvN9{s-29FgT%V`bA99vqqNskZuf#feJ|Vk zvB~aHC!9X?s5HnMx^wN>xq>mg-aWLjz5gEW9r~LjoUT%e{z5e@+1DAvknojrG8wA* z9&(3}c=FEJ+4p_?ZI}h|l(6{Ow73UtCC*21v|_S7T=SU*QB2_+e^+7~HKAty-29>Ga5O`}j_YOAecr+i{ z=OgK*ld=Cu=5R$xw-Y@44PB+#WP7;6p-4QM_1FgUJ#h!5-J5MHc$78G-h!9m(3K#Y z7W)8}H1dKMpS^*5hbY~%Nb$CFGi*IFh#j^ zuxsbI@ova?a#!>4RGKVub1D+FSPP9f8V`Ar8m4L()`l#jltqSurz5z)Q9PxvxCiYV zu~qU>HL{?7Q%!{tuvzRC-WZb7Ick`vydo*F@IMVO%&rV)aWcx`DY5h)xOXr)cW~%= z2a9uu7|tRIM~RaP6V)K%V%N?^jvA@RT$<&(Js-*0s7F-%boah};j_TF<<*x8$g=pIA*eGc+3&&R+8 zs)AvvhM>q{{p~gQj3;hpaiB|!EbbkwCbH4cfSFdxrB*SvN<4lsY3)aYunpNxE)F*z z^jN-FHJ?%4e8jJ>m6 zC!l^*O&X|y2VD7G?j7QE&!U~L&sXt1i)OxOp~T}H6PuFBtOh|jW_~;QX<_k@x2mah zmo3Y|J&Ck~>r-gNW4Iyb2S3PoTW@dX-r>JLgZmYS9()k@D;~aY3+`8JzxN*8#!%w% zh{@f#HNUmT2Jwb$C$9~E^Es4P**vTHU>G#@1mnk`r8?ZjBv&L9j8`c4aC@JLPyey;`(2 z=M1$ln$sbTtdXgqQ|QQg5|}x?7D?zfMPEKJS5;U^7hZT3 z%f$4QHQDLMpM0@0g6u2{BA;_FEGqzPcrz{Axq|HVfBwA69dgcPYFIUpF{RT%+8Al{ zFlx!m9n^TWC#ZTru;1d`q%5x?Zwlo+el=9(BxTvP^GErtq;Mlfo2`j>#PAHwjz@%r z^|%s`DNK?>P{oz1os8mRXGibt`<`ab9ZEV6A6@zHC*Rq1_~?zZPCn3excjwpS03n6 zVueY5gZOEut6Yk4sGE_~VcP1V2|b zpD}Xm$cqeR4YGIO2OIF^7u+JjUGb!&(PgHj!B?At7DAZ*o6#^yo7 z7b}|{_>k-!gqjorSqSvw{hloS{FNSVbC!fO?a*@J#U6}=m6ee&8ghA1FcJT9Qz&<6 zrre={PZgz{J5+J*pv2<~lL^Qg`&;wvy|j~5-$oLBHa2A>X5}7$!+?pr(fO56hk6H{ zM;6E^ai^4P-M^2m#N!bY9Jm8*5a=u1@dy=S9w<~ahA(EQ_|Sjg5jC>N&&$TQz$Z-x`CA%UA1P6`^FJY@ zFex%jtf!`{k;T}_1XUyofx$$e>`xCB1Yh!{)Kx7)yu2IDWcLtmGdN=P*-4m>3iHe z*xZ46G?(WK`AJKg1j3`45(|Zi|CLhJAjZehAhtcOMi$ihscG;KQ159B9+o3(Y4#Vm zcX*p`PVAqB`xTGyJ&W)2Jqsm1q%cW0h&3B#4Qhk9L^U=XWkr0Xbv}F!_YNEB>T-(j zSxjb9)x~_#S&0=U5!E2#{p9uI*Quk9^sf|YjhH7Ln9%7HS&>UiW^Ku``?E>1cTg<5ic5GratRaVo2vQznwLy@ExXiphdzg2 zN?~viaQigVk4Gz%k$e;f1(STU;&1(LLvikq%jVf{u2sd!tDwp!gU!Pv(Pukp%wv>Q zMg|3wd3^)xglk9U4ig^;jV#6*Q>bDGE@mEARXfF8JH)ckdb|Z=jcN8+=4rQe&mR)qSAzo3flUDvDvnC9JrFH%KmF#j=Ylw2BNiOpKGKenDQjc?v<8$8fvieu<>oDAFoJ^Z-7Q&^vCYz-XThCH;QOh5zVT63P*xE8e~_X zSa#8)+uW;rG#?-AsVYjvnB1>sf7U;#kp*`SYIcGMs85rgAb>@<`;V)+cNkRmR7KT$ zu(;V%)4aL3Q>AKN5h?e?tlfF*W86E80a`Cb20LEKU{%czQOzrs-6G8AluHVM;y}QE zgInbe2(*;Pcd zifA^AXm(sT2*t7sI#E-Rs!CE-qSrN68H#0hj5LVS6Rk_hvinSv99bxqUB$JU&$X&B z8LyZqmfd0-<&9c@)Q4Y+vFhZ7#S`-E-!BX04vJ;BAsz$0W0-DqoMW=yF@Z^w!UXN2 z3e=MQ;1Vv1=CdOni=IfO$=u!jiCHsWR z>?c%iZ+Q3DNY9nVEW5^~>W=0KC0}EFXPKVbCmLnn21@!aqP|a+@nmEW1`d7V`P&z{ zcTg<5ie*<(3~x~s!&wx=ie*=^?BaXFie*=^>_(4^Ww+1tLn$Z(6ar%r0sW^>@yJ54 z>?)RB#j+b!EV~IO)DSqVj}q?;1yyQXvN21R-535*Jq^`of~6D`0^s?&lh&&FjIm=!YeEm8n2Zm}XFkr?9n|Sloj%p+GpfjUvodLwJB*K$fQnKfa2yEuS0#^QPqoW< zK|qZx#!F4-#_5kNa>Z(0A)pW#_Xw!mVca(X1%N`J2L$}yL9y)ifR7R@1jZu*@)=mg zvO6B@Ud5~s=nn#Z?oc!=yN_Shb@=GYpRGKApIeX8&wi{Q=wk7}iBGq#7z87O;>lPx z@>t>8=|OzFbvaN3&pPquGteT(Ok?v|cD*y{d57N#m*_=_WVPx<`8fwf*jfkeW}SJp}y&81kbvk|#>c}7F{wnLJ6pLq+uf=v05Bd1gbwzy~FQh?tl{yld9sU*okJR_Rql&mJ@I8de&}Q&orq`h*FC4 zqh#%TF%iw40458qUV!cB({{9PdRJ+r2ADKTGT6pwsK|Dl$U{aF(L_9k+yUaoXo@jm z?Tu+q8Y@}6P>P|A;K@j3dzxr=lL;|$2NXFk5^}IkYD0{j(%MzBNkFYgspY4DtN+T zE16(1k(1WxQHVtzVe7&ix=}QF3N7Ek9>ga`eEQYFW>3xcJLBL-B(N6{CO%>7jZ|qp z;9cHLYUJQ->leVJVrLFife|I{0m1Pr=0j-9pUK=|P!p0~jb-J8nS@u0Wnyfz0grz? zPlyVGw_3E-YsE}X6&1{?YE@vhyBi#=B_*O8NwlH)3OPSLh;y{Y(ZG@n%5FCHaM_3!w$YLC(j4EV>KtB+;?OWVCD3)Etvb#*N>?)Ss@yfEhC*deQ zK*a}Gh!0TFF7s`vMqFPxk5l8Fh&tL{69RhP-j(j zR_)=es#oTnSeSxUiR0mu?O4Rb`pbXj-ofV1&!f3~>_H|CHeK%*9?i1EBp7XCTT#az zSEb>F9Gd(F!OI@am3Yi!BK~s?_YPd?YNilS2q*+H2>4%)Q!KlRWmmE6ZW*t1x;+pn z`z*V^rDy1qW0@>&I$c>A^HK6e=RuEhJ-uhKcxY_%H4SCEIGd*Rf$wHU7U~8?CZ|$M z3IT<{qZ7Dy81(avIwz9!wEW0W%I{q`EygPCH`>Q=iD*|8hG9wFm zQAte-0fm4is;$+zk)9G#qSauIx$>I^<%{?U9Q!fiVIl40J;0e(H4-${- zrAM;}i4Pr@gNw3_P?Y<-$iXWQbZzZtlw3RKoESCoAYn3QC~rrgvH2{!w>8P@4r!L% zqM{r1z!=+4I^ACVo|0o^Qm@*1MHT`1ZfzS868jXxc@7RNoF24mCBJe9u^LO>T&K<6 z6ERZ8o&BhW3Q5x3gKZ@qoQ6C!HD921B+KrB-^<>?BX*?-(<;S@KZ$8l$0mX3xQcRK zxGtlGtGF&p+y*YP3@5Jvo~^hWHd5D2?F39^+3h4`L^Ak>j1XB@wzip7q-tzMcce+C zywlY^i?K7n^!f}X@1Zba6SG6R_5I{ipdI{zsw}jB_?el$ZH0DJi$#dQLkB{+Lx`ER zH=5MZ$3l8WPB>FfkT*BhD@W{z(Yv-Yy(YMAE7A^vbvHvu9F2v^cXKe2Ot>k~=_1AO zt2jbzif)`vBpK~y3Z7YZky2#DvdFqn@EK0tESBBT*L?D#9GlH2T$eI;_@8$|y@QVG z9${WlV%bI78S=#CCJ%81bHvu zgdF@u!pm_m!?N2izgsE1Y|>z2(RR(|rsOm(lBjy26snyZPijWE`3!c=kKb^prrk?Q zW$#dgX$@Q|l$+YQuI6RS{6VW+tx><87iL~Wo|`8kK?uZ(@E{;p*&h^mVY!6T-%=mm zqL#-20(U>hy@O&;9Z&4358t-+&#uM*RDmPQFx zul4-H#XPbY2gzG;g%}!zgl=VEWMLQbGm;T%nMJiUW)N7tmwSiNPNz#}r!mA1Ts+}; z%(AOTTNTUhsOOv>aI3PO*0^_2{IjB=0bTx3vek|b+tF+~U0jxIC^cMRvbdGniRLvl z;1cQR2uJZNp8&bEsJvESxi}LSf@UohXK?Hu?%)NtR z*;OpNl4W>idY*GBPKL2bQ6Trk!&B}&qY={qX&37(| zaPMH!mDEv87Ke|jE9SN#U~aQ_Nnj_#_T>4CoXKb>tImd%}_M{{}oNj|r0lR$VhQ{rMGRpsij zsa}S-c3ffUIz`SUdbTZGeTa)hOscUJM`No#UyUsIAXBqK2;go7Cf&tMP2&%NbN`Hc z2gS0hSa$nl*?oG$ENUlLDyaH|3NVd zCJfHL@6g8DoKn3qI~7^(Oci0_3%*0{be_7)9XT$5ig1PKbq0h^<-4 zq`5c}HIX~uPvw|+&;w5cL`PvZFfhNZb$?R1!x-)OPcSTbLDDyZ6_cNOaDy8PCum*m zJ{k~s@h#OmjD~s|av!rggCQ?X6)-9gXgf#s4x^%?M#xDsNd?bP?^}%!{v%uLzy71@ z9Y(eWin(-6m~Dby(Nvj5F;~-&`F3Wu^zIbmEodCKob>c8HT7f;As&a?H#dU01t3cLZifT2o7+cla&uHHH9`_C8t7f==j*Tic;!nZhW7RQAN$~n<$qZD^RB}OmeN)hlXee9 zZuO1q&nC#-dK9#@FLyB+?90CGP?0&>fhQv)zTJHEkE!2+o^#4rFS;mfB5Ii|FD4YK8UT4e-e0K z?{lrrIvL{b*Ur8Dz+-49VDSCchOVuLmfySb*>he$aPa8)`@2@$|N62!o`EON96jd` zaQJvxb_=irZ@UVf3|`Y5*}4Qmqaz%@<}3f<7^Wj)PPBCvjbzSat*LPH3|@pE_2G_vCW&4k@>7 zF2iYUIQW93s@3sz9fZ+b1W@~VCf}|7hS63Xk3f9)H{z}HAa>g7=1n@i6Hxi|u5(-C zktEw*N7@iqFrBU&n`pE-+JsodNE!`i$JYVay8~b~F*jm(Mme;F1<&HbZJq;dJx=0M z78`fk^Fz(YqavpRZ!q*yA%(;7#T88KE45`6Q(X=#-tbcu$<>h6*d)ttbb;(0m`15M z`Dg73plC9fglW1}28N!v%RH_fpk$Kbp8ejGPwyIqb^$S{W7}CA8HE(X9qt~Yv65`H zlCR3gq`5LB#ixfxiMKS@pJzZxmECB5Ys8yzf z=U`t^)$W*LPt)Y2)8=%PS*fa&<24bmiH_FzLg|SssCMdH;d_#Cki?2*ml|>wCg#v% zQjuHa&YqaHB~#wqxa=K75l`VurUv;rQ#xCf@!41LUoOtb^OtNEb?0pGLhD34JIoVD z<(KL9QFd6jCsi-cNI9$OqT2tGi)P5zq?7nD@##oYq<8UHRd^(n6BX$RQR}ZtLM=-~ zE!v5cGCsMq?z+(SzZp}HB}_KYJ)YA{iY=R#U7D$B=n*Jz^~mnE)XTpX${m`~>yI#j z=w@PWOmoCi-&*Pj{75m*W%x!ib-6XAP6y6>fp+Q)_B%BdC$*Th9%3XM2uF&O#Iox) zvG&4^BrYc-n=|aG9i)BFNC%$|CtMA)Gf}b_QD^)%WA#*N9Sw-Og2d1kp61~+B)l1b zYN3_XAdXE=8Jr%*kaiJNmC1xbP$e;}zz>EMiH)ss9cO<48?#a{3Qk^KgSKmyVa>D6 zzo<-#PG>$+3bTD$1r?dxREk`pK53RFIA;84O<0gjs;F6}IbK zY6aOmu6~io=UvWpkrz|5Onb7)b%bjf5TldOtyIDkW{lP}uph7q}g5mdvc2cGNMR|8TCdEw_ zqFh=RtJkCVoMF1aEJfNMwGgFky4EBs*~(Sy5oxfik&&n?bV~qc(>*nHKg7+JSC(|p zSLmXhf}cQ@X2Ub|Je%Thu*!f~%!`sI)OUvwr1gSTti-6aEU0L`SfQdSb*mN)t(_)>{BAa9-oT}=g+a*zE@@Ns`4HrM2pH!2m;3SJF zxM_%m9Ee|rom*BWZTGj7aN;gk40ifCxs!)HU(-xjt7S1I?j@S&7NWc)#u)LSK<4vQ zCgu0CY#Dn!h65gCtQN;Ycw+c$mat1+cw^Rb&s(z8M(s*ojf|2=_)y96)h#gC2q}DV zJNFL7%(DC9J@}ReIrwStxXzb6uG^T$bw9)l6k%%%Ve*fKcyrg>w`tj?H0A9Ae7Ggd zguCt^2-s`!adIhslE`o`2R=@1w|JgjFec$%&>-TLq_vz2fhIs3P zS029j;mup{A^Sq)yB8N07jm$Fe7}K%O1mK5Lk4VT>JIK5ijxm@GrcAo;}5q44np){ z{7s2BPol4p1Y*2UJHB~bHso)o&d+gKn+ z6nyu=6k4U!DJj*Os@)3X8r^p5E!Q@*?pT9eh2e*3@aU!!`|_;~n{QuWFAH@evsF1( zk%rWKR3e~9>o|9C1$y{)A?U<1d~CH^4@G)mPi_<@k_0$N+Gf6kJsIi2_81i7)A3cp z!`Z1RfC{I5uFDT+*X1S(ephOWU&V%yz&h){AZy;%kqN-a{F@wCFMZW(cV~9rIsMei z36V;&UEW|f38%(rHw~%=O^?h>7dg!tgTUrDw(%9Eb;kR+cNiI#T^qM28_=uf61EyF zF3SA6wr#33J17!jt_C-+ipN>)&~?mA?TnV-#p2I%?*QkEb_!os%^y+} zt=l8$SQsTc?T0Iu)}{r)0{Rd1&{)F{o{FNqJ@g@vRpW3MRUOGn!)_KW4M;Z3hPgV_ z(0^c(HA9PLE$t#FPw>D*jVuB{M(^*Rnz(nk6j!e^q^h_GS|kLb%cUn@CMwh0eFwjA z<-r~tyqdM&3G<;|O;_C^zG=%FVXv|^($>Rhcg{8aqzo+@!t1P zl-sX3C^1>X;_U#o$KVfMJnP?q-f26Q{c_(umu=bCwcXm+b?y~R!Mgl|1nkS(aNh#J zju8Zd2GI*9FXlOqQXi^<#S7A!L^}8dLtLegUBmTxA>#Kz!a&)c?-i*KM zB-f)p3qgg;OR2~wNL$_vvI(wC&94g(M1!!6^^UVS8Nro`NK?EdV#asa6XL&_v3WHa zvAD>18RJdOr`_nGNF^SH48Byw5o_l|oRO*}8W3bAT#ca>y(uG-OI~oZ zM~(<=e>=oN;H&gBxje@#i9fHZ>Ll+p{GKG*T)p{1Jf12vXmoOMb)@Q->(R2B#vB6O zZ*uRDeFnk#K%Rz1Tx`J;J-VkA9Pn4CPjMcd`5LRUl7up%Sf8Bl_Y}Y1=6m}+g=6CM z2I^S!mZPwj&-dHCmBYa)KRcXP{V1nYb^yW8`c=G##WmsJ=OFu<54*|V)Fi(+@vbCT zcITBKH%ZjYM3PYDCG4;^L_Gn^M)DoR9%BjbF&mC`?#H=zu({jwXf99C@}yvsKwfVe zZgE-K6AM?y=zlkP;tCPdJQ19=Y%Gx&-hm7j6a21cZ5Cse_S+7NqiJz#Se((up4b7C zN3*mxmTeLgy|}^?t9-w$th)5zJclF2o^5NMW_ucm%k+(DU@f6(Ydzr#+=8&gd{JS1 zK&*Xrp?EYV3=hhsz7aNV+mIB%gp(AHwz0OKYS<(wpsmCnK~OwUiAg^gMI#G9x0diz zQ?Cf%l`0(5{hM^5yM;8Vw-D$X@ODNUwbyEaPNa;+ET+6)!P|xD$zL@elL&nI7u-9% z%~xsn&%$-+NBAQD_i<&s$e2Rke?<Imm ze6ot{%kN@sY|3xcOy`u{+&gTfu~Ujihyx?j8hY)8(`i(@G2TiJ((pJa@-#D16ZV_$ zSuEyj=wUJT82g-N0VWcvgvNDQ=0o+X02319&kD3#7}%iZ*OmKFO@a8Uc+Vo4a_Is? zbk~|yB7Q^Uy!cHu9q}WYv8uHuStV^Z0BGrT0p+?(7;h zBMVn1Z}_1L=R%)gi3TZB^WbuUM8XqxaD9YF2sDy~L{3I#aOSZDqXlekA|>3Ez+__1 z3nd+*>Ce48oGM*7|KrWWFk*N465Z+)4t-fltNSpGJ>(dMjR-D{XThUVWY4CVnnoc4 z`@S@kxdU!FoCvEs-<-G}ZyAP+B+>cf8hXHRYWCIt1?R~uBk2Sr+jd$!`p!4rnhYlM zUUhcuCR`$u+X0n})8`(}z7pY6#e9e>Aa21Oj$IsOYH_akw zgVs*}%W*@~vC;YH1SWYx3LB6Hd9E2AZ;^8>o?u~YY^==q{OuwdTjGKrOwk4#*A;zK z@zX?$3-MgO?vqO~Y%am&W}KaQE zdifF85N2Zo0c$3Y)#0aP@3Z3fUq9vFUe`bV&*yyX$SMEl$e%vceg2AW?U-KP;l67c zeU=Vt<%YP!617;O2}OpTkwP^el?YUSuIPIQ;2QJ}B^^i9(88}u3f*<3*wyhHe4B+tA7J=gUBAYFb~$Kngx$#6X4|m`=qUfmBjU|x zE1rA3Z4MDJjhcOY+YWi^!J(=mpq&2-gLEK61MQH;QppK$L$ z4X22wQ+_L*E9tHcn!HDnB6nLlJuLGHwwEsFKg#i3sV0mPU*d0ZHQg0@r|nCHs9Qyg z5Z`D*TL{|_R-@}dR<6JG4Z3S_!L#B^Uw_xhd$sR>ujEfn`nQkFf1P@Vl3NYYVRMnB zjcRz*^gnbk?i&H`)i*jRgHSpD5dPE#~_Feb=Q9Say_a3;h z?7Aa&+|YeGMix``uXMO&jwqQ%BMVf+jaeg$&|oGgOZ|>U1nf_6@6cbC-2<;bvGS#D zH*7zAbn(xg{BhTH4=p%)=1Y$s{?r>!-2TG08@_Y+$Mc?l^3eT<4?+C1uC0In#uK-_ zNaCZn|Ln;_|9kjTi;sTj`6o&Hwx2x?@u4gK^yHzgt=BF-dgg(54nuqK&%17ze)!n0 zUV`|#hhF&o!$0l%_0M02_^Dri=TA3W|I)*Ec5QuV!JDUp$Mxs0|I_&|L;Fu~=*dI( zfhzXc!~3^E{KVz^x~RyNaPXYND;6I;{pH8ELJaEI>;G_gS@ZKx{`j%2D^^1MZPGsf zK-Uf19|VspihTX(%A;pKd(Pp@mz+E6K-aIob9lu{D)R8rvyVP<0Jb?wc(P*U^W@30 zE03P}^0uunzIHAsZg1GW=+NzC2D^%eruSD=~Jhbw_SvP!#2ysdL=4}Y)F@*CNv~Sq{2!-?G z`(HnL{?QNZ?%Ine@7PV1hH##Iy6dw?Z##PCGcykVJ#6pe+BqMXbbatpt}8K)a%=4?I#D{JpYGJJ^lFWZ=QYh%s+=GpM7fOfydy< z6Dyy8^696ZIke#SXTD5E$Zx8K+sXD;4hIOb_`2@^=$D@SQP+s!F@1t_|P5C zBlxW=ZhPW%kOm|8+h2I>+U?gJy0Qm~VI?liB7MSK!amFH@-@dW9SPB-t}=*qlxb4) ziBGLaEw;KXAW?NH!Ru-yOR+x1nq+{)b`J5lI$GHQx9CpxcspfFN@7pVaCbT7qZM#i-8%aa6m%NXRz&4Q`qsaJ_ zisT$1O1AH|Tim!#wrvxib~X?tLuD_S69)nDG^g5}Qi>=$Q4LwO(@G9@IP(!@Eo{3j z6D!&rhiaNIiBE}GdfUQzara`_cC`5rPi+(U_OvCyvKz-cS#1f;z9jO|WU>^zxe*I~ z{>}xRaoZ+GLlnuR=-fkoYn||mCwZmIFJ*L zFsGL#H$yD7Tjr2$M9G-6;fHJ^cxr5~(0VQ*F^W*)em1s&LPeEmcrVPAy@N;WN)b@= z^uhgEZR+6j>0qiUo3zbU#OG7ZG(QEz;BgZsX^TL6eOS9mw~1ECOj+NBm}!+v?If@* zLA%_=g|g&S+$;n4o$x>t&0>%+5s#a&&4r+Y66}c!Bk^*kY^o(sw6&YeSVVV?O|T7z zVLJ*jjMTx1i(nxZWJF-A;NY>|S_iR~)DX_Xw3z&EHFXfSp-47@z1b67+o!!bcmdnk z3L~~D;!x8x@{EXP@lz03HxR=}fJtBkMU=BRC=w=tiGbg$Wbi};ww7$8ND{+A%?qM5 z!6xRWT1k94`|S!ixTVDvWjeU95DtLrw96Z{l;+vdGF&}ez0KZycVp(BH0#TWx!Vdu7^mX*-AC5 zL&s*<_B>HfFCF4{0ybre#IT%RR3x)_;KGDG`o=4{>OtGZlCJTse;9$3BY?2SiJZ@w z41e9DU)z%?V3B-ePw2(V^uz)3CO~AP$a-wjJ!!ug(x)iew2@Ykz!F7!_P1L*iF>T={g)G#z4J^PJ z!h!EuRAB)V)gfSE?_FAlEmSIrHPLEA!g2U>*swhh+8!Kob+|!BUHVbeup{7Ym>kL- zh_JPH0#Wo)AX!YG5T^+~PYQ@#RD9Aoi3r(uIV|2>0`bKbiE*;U>9hU|=;*|*vmlP) zbI3UH=p;ta)c?VVD2aa8NKTWdiVq4gH)egy(WaKqu1{91wkvk7Mb=yDk@n_N^bxT~ zCmD3w7m+5TzQUb54?TxA)$DGnFxGFH;5J2?ytxXN--P+$t8@qcr4KabRtrp z#Lq1OvSu+fZ&q$b2`JFT5px4{D~X>{7B{R&Zb z-sstIaHnXq#T@fwBiRt2gRW~=0w=8!E9tgr=IoCE?;5kVKGL*nhAW^Ab^+ULoJ_W( zbI3N_Be4tdM`!AWa4H&SLR=#3CB8NsG}dbZby-iTP<$sD0p3C&cp*d%f>~6(!_KnX z$3fCd&5s(tW$y5qP1HNQg=7yc2<;CIjarx^xS>&ckr7kINZG$(b~yMHK*nF@$eo7Hx*RX`jqYt^f%dQFTh8X@-Zpl-)1oJ4$ahZAij?L-HQ6RWCmVot$G zR74>b(bf)KAnCPnn(@Ti&dFsEuWuCz6V$MqR@vs_7Ez8Q^=V;?lyk-_8}-Ux{&mt8 zvB{q0*^_^Tre-8WYlnz>iDb1|hBoGPnyt~8K#@4irz9QS)|y49hTvVCT`71CN13DR zq8W{JEgh59cDpkH$u(gD+vpV5YQO{zLYuRHghOI4K~Ql*M(YI;EG&j?VeqiZQ&xfK&(@dpQ(#A!4s_`X2o>E#Dn+(R2td#i%dFoQri~`t>Xt) zwMOBPHf%%N;BYMVn}UaJHUit&N@~3{O>7m28bCr#X%7Na4CMQk4`5`0<4eb1Fxf%= z>$y@Sb4e^?-9u)JB@KV3I5HDIV(lCfyPRaQ7#SocuL*xAV~a2}sHcsv8FUz>!mEKA z4=7;S7MiP-2yv<~%Wy1<`bQ0zY1)NBN`>aRrJ|zDgjhsvfuZp$(5`{)Qdp<5@LHij zdE!z0m2`KPigz32qJ>Yc`;&~&`arNgkY9Ts%H$7CnoDyyhzWjUA_qxqb_=jWV5$N% z1UAM5F0K0$wB-;dW28RV#zs8a`#IRgzWxN;h8Gm+X*v}NBTa+?(iRuM@)J`KYnEs- zP>axZ;R!2Lb<+=wq>HrSr*EX0%F$fR0&UVGZJUgUz{n*+v}GF+^?)5kO6|057O2@s zA>dw`;@;uJSax?8;#$SmNCI)cm~htw+Lz(W8$rf`ugz9}UBLvK*Ac8(`OIQ^I}xj!hvWev!FQ z^&p0AX(Zzbip*46Mx4vw8!D0<#9wZaN_?D{Sn>Zmu#v?`B{@hog`e}Y3zWU~a|#Vr z(tFgWm$DY5RX0n96By$2%&bqfl)xKNn|m2!m~WuZ`W6|=@}>5Yge z45^pizzaOqaA|;$o_d8Ktu?3%VFw4*urk&I0w=$~y@M;zIk$`BWZ89_()6wcjo7`~ z-YtoJaR<#rFQ4(ms;bn_ChFKYK2pNsA7&hcc88hRoloHXqmqf^^z
    lXoPhY+X>og=n2nU0Y{UTHZ@h_ucU%gd!$~{HVlI*} zQDVROWY-QOm~%)C5GrfRXSsJ6YL?v$K`t&AX48%0Y^?FMHz}@aa?z1BG*EBWjw|XQ zC#}ToZ>uSW?19A0JUWj3 zOgP4p-e#NwhZ1!=tel;#%1vHaftIz5K-%dwgST1R%k0yyCEr08%Cb0*ca#2+G=IwS zqW36WxwpZ;>6k;tQKl_*Xr2yO%J{a9#GA_WXuIz(ZvN&@?1^7HE^U<7d@&@3$QP3y zPg%+=api`>BcfoN`W*@ce%j2v!=<>~JETOj*W$9N&-%*ruHemGvtd?CLC(@CmmIom zicbc6|GsU%*|P6T#IlR)^JHY9&zpNO)4Qhq4(N2jw40&R{d7)|*n}eQCEHgWT)t(^ zHqzey{O%36w>-4q_7>)!T>&v}e!xhIFj+8##4SsgLHsv?Z5V-r*;KuGo=78UaSz&o z7tP$;pcnDkMA7Dum~HRwrAXL~<=fufi-Xt{vYifRhIDXI zPB>BruQ5GXpN}1i-BtXWADND>N`C`%w=clq>FcI@a;JR&%@3Uy6HOnAXY}3pdxKJ3{1#k;J1fm8-E$7 zu*EMjON^ENig`aZ8*T*t_)YE|2CvKARk)WoGU6rNZ*Kxi3Qk%XafaA8fzDq`rKVI? zr6TX0KSxC8y^W`80wU(Z)X`5!;41Rfg9KQ@HWbXhr9+`0mvtZiIFjZuvt@+W3RtC-s;OkP#He zQTE$;JpAoQ@((;I|Xq%SF;@KNAi-aalaggWsebZ;aBX>7(N6$^clP znDzvBen^a`MSKU}7YYYZ1>)cwTnS(kz@rkI(ng4MD4EzKJ+Y!o8q3&0U?Qs_Qki0H zpa{R=U?3*;0TZ=D;*fS2s@SX0hW)gIRK-1N_h3Yc!>uZ)%XBq_@$M5U>!e?C?_hJU z{5PNZ<*r zr?0{W8lKHkFU?Z9kZ60)Vnvpa3wLJqHu^_eO)9tJs z7WY3V$SG18@qsN41jWW1j$>qj5Qb~AsUWn|%*2g6vw9*+Xz~4;(2w*>Z$y*|xrWy` z2R;!R1a39$s@X!s1zyL+vMvnVN5(G?MM%ZNA10gUGz%l?rKQ2b@pu#LtA0lif!!|m z4sY|N_5F0cxIZkryEjbfi`#Z7uAdiReWh#Bg~YhVoiCQuu`}pGyzk)q$w4WGp|lu& zUtQSm9>hVEd}-acy*;q~cktw*FLRMChhAXYEeCHds1Hqsa*#Uqy9spWVe(@*U^i}SRJ3AcclM??AAyP!?%YUU#6 zD@$m^%md!Z$@`=jKC>rf8NcT(o*oUvDco}K8D;Z|oSqfso*?+5~u;NG_oLV8-E_YA%&m3N%Qxk z4WkUMyjYZg5culV$CEqYZb8qdifMf2E6Y9eVUHfWt`53W5S%BkPM?Mhbp9rb+cqx2 z6?b%WNIudht$0H7&o>ENTA!TED-wn>r?{L>l(HB)A)2lJnU5}(PoeW9ikwcD*6C#H zrAVx^cnNz_pv#p;V83Tfuqo_!0V7!n2x)tq4FH$G6SB`Ia`v0VCOJrO1>&^dfhY7j z>z<6?gkUl?J%nH=cjpwQSm0ky8R(Q^r$6nOwH+^D z`0HLECv6v}D*vh%ov=uh$pd5>(we@T;PJI}sL*>CHt&fQdB)=fno%;6zRoDml5!h% z@+WE64pxWfw>!6(Oq_P^rtrF#S;4n*Fxfo!Bx-cOg2M59F&1exh!9%&lPyK>vhDmF zK;vz4_uM^0Qp3w^jAs^m5C;l97zj42-(f~zvAm;syrt5?8ccLCn_6Ld3f1-Ti^-ao zHkrL3TWYo}XRRM9at|+OM_#oH2@A-)0AW9(ss7~oZ=OEC z`y(@kKs@thvi-k9VtWw${(XalV@UHGcuqoNlAs|PSPrs+XxkE5~ zr6EKGjVuI@EKD9*lyKTP$l?or$0_X(hQfCJ(D{9VKTz*))tNl97!m}JE6N(e`xUCo zXZKPSpT*ViyODJ8lx_)T&<6VptuL&2ais*WnEX z#Jv*D-ge;ejFCgPK5^wgbRFIg7T5z_EAA%--*+}Ve%;S+oOSXu-#y&@yK|=>czngw zEk|#E>ApK3+jW)FKN~hYbLcEYea`C${*Gre!Ef~$PXFI)`Iz(?d?5tC{@T$nCf6Ok zkc6Y3s&xX3`X9({Fyg4fJ^wVw_gcrNvGS#N-qUrMD(%2yhhKi} z_La}V_78u59)SJ!Ge?*I;mVi0UO(_E{C?_h?!WHn9n?;q$tQz-hQ$P|A0+|%<{eTz z?GGr6g1_UyV-V{kos8NF-%AL%d%^xIbz%Fw&No{ zT@qsOZI?#wGVZR6N1~Am5X-VBPKiz=pN3W&Z}yr_Z?l)U3(ro1^_96l4b6^&I>Fe1 zPb9~*(zZHH%w=tRNyJ(!?(U2?5L>OI>8(?YcB8y{$)rn~YVWk?gDm#swwqvNN@+V8 znPd@lY)gaN*vPiQ5sL?|zz%E)DpJ4*iWC!x-nBNwJY1Fu9%6U`C?n(wxf{@saEh9|K zSs=*8BW8d~7@#kPHpHd+XV#g(WHY#Jtuiq7q8d_dHshTX0<|L@jCUhgM|kmx6)B^w ze#u1Ac3PU;1_9gJ(ulFkDA~LR_l5z+d>HXD$zvYgfpCBrfGq@-M}7|w!GYnew0m!{ z@<@B-H?ntN)*^i911vgmPzpI3GYc8aEHrFl0mdWZfr?pH6m(;*Nd!-JUByl-`R;ZT z;(G9>K@2+G1l~w&22E0uIpjn;iFGjHPSxsKCwmo!Ef!khR4~eF@iMDSl-gj`Dg%X9 zG#15f4#ap)D$!14eaZDnB3)_*o0Uk!7vJ5k-RXeWEm@JOt!s@&qRE8W8jpbptPPxA z(PTa*OxeWZ=CfxZ|R zHCj3W+u(lY)Z`{nf5tqB%MyScBzK7=t_h>0-Dr!dRHDTtV6|wg+gh1w)trtpD^-

    bkShPzBT+9C z4!vHdESC#wW-Qn_3UJxLb5ZQM+yuWvIu^eV?~gDx=0-Mr3)t1R zyPF^<9Po7)LnBRzv=C*Bv7y!U1dzbQ1;up_rJJIT;?UL_#J}aCkeDvqHtb2R8KBvv z(mSy%rzpRE?#dO{mcPBsGtYjpQfn`&YSScE)Rc1Pj}tvQ ze=bteRBDtBBym!sb3z$TS}x8YdmSVRu}Alcox+TH6GZYa&2CC55)jXBx=*ZXEsr(v zDXts2gYlQbexPw}O004~G^?9?HE35`##CW<;Cw^pqu8T*xu_Sf;JZ*|vpw6KB-V&k zHB)xZ)XnxAgg^F`>Lrpej+B$bUB!aSUo(QmJd}`VFYnj?F(3h3kM04 zg%Xo+kvNf~Ii!nBIi9_GC*H0`lrA=;X5&z~p*sZErw1a(QlPe{a(kRVs1+yPNW z=W&!#j*`WrhJUv2y3A|e;kpbp`(hT$++o}Oq22-2jmCg_PCCfo@gw*M?n6xEyZzHH zXWLs`&KSw|yehI?;hGBt2pgS_#!4y@PgLtwBH@BS9-nP;?b8~oQbsD_&Wj>j#nFIf znc9Tj0s9KWiO2Az6zWSzJQZiVCM2n)i70WcmN_W06_!0w*J}L&w$W&HYcpw!wH##w zjx3|I97omUlnwF1jk~64GI8gq1ZU`G47D1tvi!htYIr^i-28NMdCV$H55sB4-gYFg1y6dD#yph^L+An@#CrDMV zz3kj84xRB}3kY&|NtWHaFABI;A0lSfNA8#6uYYWR4v#Cow@yXg73LKsGT6=1lbQd$ z@KSsoV?=pepO%>X$NMh}dE)!M;oRIxdwKiU?!gy5{`>aJw!`m-5WM8J{Z2Oj?BV<> z{_%qkz7uF~zjysU*uMR$?NkkyNb%O7cK(~mj>9aw+o(uzAQMy-2bZk@f|~+uh$}`G z!H_2-T$iKJlg^t3_YQHq=$UMH@cw6*YjsFCOD7kkNnJ;jx0B})O=?38JlT|7A2~Id zj7*r@tk=hHBC)gT3l4o;#aO>4(lR#|G0hum;@DJims7Lri;>fkx_~y`gud|~TTFb0 zJJkEpLnq4KYI~+&aF(kWSz{{7|Z605^mMgTAOx(#ZM2RbmyM>2L+SSCVdeao6 z{Iyac79m3}!Jk)aREoB0a;gquVRqu027ZxJ=?~x1GP6}Obb6wg9Mln%0u|zz8$&t5NuEwx>v@{tSvGoI0ew^r$=%K> zPiRKO7T6$W!h~kpwuMsk4wNi(h&2~4j<1D-wm4(~$amy&5Tk14uj&^#xD{!8CuU%q&)%>Am;+zl@Gi>jpu4&vCIje+u zMcs&1r8p44hTZwpiPQ7&iiK3f?AdePT0t@>x3H zXuzaxm@v7~rEvOP4HX@vO%D2%rXw5|6vJi$w=t$^VA=I{rErn48sbZQu2qgwBv%2G z5@3RFVITo4>bq?-RHesz>KH;TtyzM}&%GPTo&= z70A9|B<%@_O{&YA`X7$(U?v>4oo*0WwlnQSiT(B+I(7yX6YJ9taqrM4P3qv#c4|bY zYv)q1!giS?->t{PNlmUkfv97xXoFp9*W&aY5e;p4RIk&5xCG}r%@98lwbm?aoeoak zJ)*qf!jk%q_m;j(T&~Rlqbv@5q54 zp1TBB>QL!GFFt9??N=so?oJ#qP)iei* zNJE2*nu8}3+$4;!C&FdFZE{c+N!l)!gK(5sB3Oi&i0fV|u91ZhZYh1?NE5Xle%!y) zFy{$rGnyyz+X}tRB?*#U%!F4}R;sPHJxfff#;OgWK#q(d1MWHKuAseG6-%O)W;V79 z;n`Fxv?NfVM?2D1YVvs05Jv}19upY(%2 z)4JP5kQ^q-gt4|w{^~Ba;;)|6F!?IB1fmT;8v1NEZmtzxB`Fv>hAtQ}06^XF=y{QO z*eik_1}RIejqMJ$dbo4S*4%4gUxRiqg%$&m`Ymb%wC{S{J6y_Nuf~Pv5Ctnl&kv(` zug`5Oi=57$ES#YN=2 z__PuccWQPe&mh*<`s5dxe78Qnw8k`Fh2M^2tXbETTpgL>(nSU`BE@8c!`T@*EuNSv zZ98#)+`z?txD$J{=ZcaKiyLLl-*ObY)y#u=lp0pij zbAU-K(n3+#Sz87VsPK^1(y5!*JND*PYnuSqY4M$r==_`5NGIs_AdwRbQv@RmB&;rF@uwos@~}ix zlhDl;Q^$CM9)B`DlIa*+TcXJ=b2Z&VMw@g!9S;b3R+()G!?nbt$a|53(?(8}F~^u3 zbIzBM^-9%!#(BDY{6pRUCOda$b5eD;}SoZLT!L!_e#n1y?v}G74kAkv81=?Z) zuhnt7-$~)rk%|E+g7^FfxrjFxvD&1oc>-H^(jsYN^l#H*y{9#B@SY%{>uZCd&r1re z(#FoH8&AYEG6TeItndUQE6a(Z|IPlfGpl-LQ7si80@}wUpG9$W z=QwGld&^xo-*hA+(Rl`)Rc*Szzj;@K)1I6-v3pHqb$l=Gs^5guC(cZ}e&i%~Hh-x$ zb#Y~w+ty1sKjCv(WpFN&Q7&|LHR2Sv8|N|lOLXE-OVJ4tV((#y8ts{L(w>yyfeDb< z;*2d%h|&nO$##mg$w=DoK^9ME+Y_90N+IcVNzA0dINwlWQ`xbm5~RU1@Iom(c_oqD zwa{5z<~w*1e1~{Gd`>Q8zf)_F355La#HO-|FjN_Bk>Z(iOKXsqm|smb-z}pAoi5pa z7390E8b?XlSI7tDTEm-swu}^w3&~dJgKzbV~l z{-&Y*UDIZFpS(nHu<+{DdSNNXA z;t1YAus6~@i&cwicHIS@w^eWs4uZ99qkBfl=2eVIIS;-2^g9%#&mnjGEM-A8P|eWa z<}U-q`z&8P9q)%gywovJX$GKLy(VJKJ3VslyrmGoioh2+$$gPeCf7`~mfS{o*FdbX zgI{JgI}=epA#LL!QP?MvyEW^kaP8dW)W;A6^ba`^42|U)c zI-ASZLff*S{nPj|6uE?L?Fg_(vvLl+_aG_amKb1 z(?K$XP19Qa&qXn^2=aPLtJca?*`sBzGKMD=ojQz(k=44G>E0z`GA|L&5T{k=- zmXvnlD*mQP$#y*EFSIz++Da{XkHHmn7)@i6(y&rWT3^swy5b5%&gD}dl72}9U+t}1vfqit* z(G8i#H+1mur7H~VS%G`a&^7CHj8k+hVR9MkIxNvu3A#5lplRgp4GqZ6@u2K{edu!s zoELgIRp0^t$w|6XfK!D?RBoL2N=@?13DCz*nw1-%b1 zWS&P?#akM1LTsIuyvxCzv9OrHpa;=-ZC884*Iw{e||aw!Q)l~;S%`>9t{B#?sVK! z046uV=Y-atij)Jz@0k?ktA6l&A7?UNuiO~qQzjmRNF}CuWfLcR$;h<%&=v`&d9JA? z+jqeJg0B!&FB?fX9eb!a`fG;YcvF;YgYOqF*FsEhs)WMPHqLc??XWoQNw&5UOJIu# zs>(&PhCX+|b;C?Yn|n}Jv_!Jm^jv%( zvLe|{o9NOct8^mK!V(HLTv`wvoSnF|NcMRm*fp7&_!9Lqx)6AObm)7B0V2{N^-Oai4FjsTo-o>jRrb;)=CM_$&-4m)Q<1 z5EFKogMI;%i@)*+E!AeMOdwQg!uSKd%G6ghF;&>5f^LB{#LS50*t#oPQ3S>+{ z{szP@9B|1Cy4R_RIoM7wbET*B9%)G*3tk`F)#jl_;6vvWMepFf$<3(46(YV@;A^pX zh1-d|g4cgOuwR^WL|k@G{Mj95)vfjwyJ{|Y^!)$r{?Pw(-}@)=l!%|)W}b4#$$vO< z$|s(ygt$9$$~R+`V(x+m%{kf^|F~;vld<|gtkn>AKy01|JhhscNyjqoxx@0hBbRW#J~)}7GqjGZFl`<(JiG;xYyH}zQ!$NJRhLxJM&(MbHGJJ|KK zjYwrjY=&@4rXfoEkL362*C?DB5Fd+Qu*0l?co&If@W&zu=agvx);@2SU2ohewU5Mb z*Gv>rHIcF<(H(L{{QT~4SW>Uxj%kpc6OPhD^8XW zH!0OKdC`EicN1)-l*-8(>4r;fjBlx>xC<3Qt3vrzy~4$lFj=BMN+a^7wKZu2%C){+ zteXTI~ybDrlpGv{Sq z&Ub(-6B7eGU`TwhBhc9KuTVP-X9+Yw7Hh;w)yx=2(__}v1>WLcWMi*j`#ag&`}bg6 za9XFV)@1ghW8M{?c{0)Yb=JoA442VU$N67Acd0 zJIy|o?5SkYZnEQY8eyPNUP^G+z{^tQ4&cr`fc;5#lFoOMJANqf$dD#ODQOn;uswN_ zqybkaW8y_j9@IA;@pQJIdPd0K`Jo|vY@%B`JoBMU#ss07gF+kUX%2R(Vu0@P(2d%` zr@=C2BHooK%M(pQwZn-l8y|8RA@T0&o*q=MhX7p;L@GS(-SPHIg)xFi(X=FgvK==3*~q zZ3Rr02pn~s)aQkLoZ~Wg8+|I-k?We?C4FvA0yD!3ik3&fK-itYn^5QG(1fuRDi>xc z=R3(uzmjGcmz}~&lU_m^p@Q^O1w%>VTrY+upL+VI<2)by`>CIN)c@yQ^h#Ln!M|j) zhdz73hn!*pC6px!jk4@yJ3LDFcqlPgA#^hgD{{za3u`BqhH8fsi`$?a<&IK=>;4t` z4yNj)GQ1Xzkp*s~QSD&ZXB?3e6Qds7@vRS8$WKFviC^Sbw(@t6oc~PX{C!QIIz%Ij zQ#Etsf1Yyi;Hg(l$vKiZA7h1P8Y^t04lqU*w&h2^*bxD50$uUs$bvf8erFCkz%<~X zKDTC0(CkqJ%YzDT4tt?w9bj0(54yqXBkm`D0Vsv8ZYb%y?+`hyEY(AIr2{z$3<_vu zfkD9$gX}&+BchxwVb3EJDg_t8OV|0%z*sf1Ah?}Z`!TYB^JAN7WPxE7;Hel{_%Xnf ziE{6j@MROjAKb!T_|2(LvQz%&=9U_2QmbF{1Gr#T%u}+{<7@u+`n<*^XuPvtmDCH zyMOuIhK}#e-1wPY>#zUbfsHr)as7>tb?m$RkH6fv;rfj?9ZteG?A!qO@WSVR4tOWv zt?N5>9%x&*lcaZEwCk7q&gwXP)8R9>Lf3(fV3Y-X-NIeJd>aIR?i@$AMgAHMwXe|=>`$IfrIE!_S1 zjcsqtTex-obB{y!p+{cW@MYM2?sii0+_YT}Key^t=>E4Cp6J-Q|8AA#_4}sn`sH)0 zUMEX-FWx$Tf7_KWoOfL_sCsv=fA0Q{eK*|$V&5(E_rvQA*EPR!_q?|xYF_zk-@!0x}p`R5S9nTH>y!0vBb zu!K)j`zq9ZBUB8^XVJnK^GrKpux^v6M^Hu2_ z0{q;M9_swt#(#U^yge`Ne{w2f^4J@HKY#0wf3uSfR!|x703w~8o#A7 zV*2as93CZjk)d>J%}iPHDp>RaKF8}|D<_aQjK3&qb)&AumXW})RM8o$qV>SURxCz3*9Abl1CjRAv7Z5@h<{Q1{#t_ z3Ie1XFn(eRK4t_>B~3@yaU4cUG$|-cQc~;V8hKe@I9_a@4go@&^8#*; zjH(U{Z`p$^#}`vEM3ynbsBDmEcU^Ex1WH^O}5!eHWrZ9 zP-VejTca2`24d8AU?GN>=;ThJ3RPxc>lFeCT#OCxDPc4r}Hn;GV{`6%LM<_qc^jJ>c#QxRiYD@q>4fWXH< zSO~5$54@a-Vn75z?Zq9kq&^6%3-OY+>t#?ER61@AoIYZRWw*EMQk^~#oMrt# z+4?K(Ud1J2+`a>y-vdhSN6k1y(qTA_u*8YL@To9H&~*DB^?{Cc!1QVn!AxipEZTT2 zTDUM{VNP?bqxB?d4XaQhAy}v}oH0naROv^}O~Ta*hqh!QBXDU7EU?Bdb~WHByW|Rx zk^`T_1W9P59$$b%p={!e)##LKFqtdaFY&=AyAaa&ZrlrL^|+p!GKv?p>0q5zk`f$C zN>X>+L_PK>7^VQGJp)KMk}+ImSQ_L6d=l^qFl`wu!5oVrf`IYLMg=fJDM+**3$E5A z(*;Aqy-7*GFjj*A)Ch+}9ISIFLA90uh+Q~>q#eZHFT1_i48<7`uEA=Ells?u7#J}m*4yt`eW85ptE_fc3jItWq8`y_7Lr+p0 zMiNwY6`@5j{7Y=?D-Th_viVt%EP6bEbNzn)i7>%vSOEXz98VDs@5uEpX_*qE+dlIPr6*r?*;U)*L-(xkPX-1ZT zoMzifRE6u}wpPNz)qcbIQAFTUcg~Tls* zA>j_X-_o`~?3?3*fppW|Fu?bj3rnDd*wd#QA=<_2Bg0o-=;5yqM z-oXrT-G;{n${ZFHkMqcbmpVhXcbMFqCYMgq>BL@*0h!;<9;(t19m znOTXz8VKx{!=ZSQr^t^khVgr1-7J69z!z;ogdCwEODm2Dj)LxLa=t2@8;{j_SvX$; zMyOz58DjGExsWb0F%OJ^l1G*}AsPkFllVDg$&2w+f6B07H@uF)OWiWyPL^PSYA+`x zI9;?N6_X|KvM2K}x-&yCV$jD~^%Mox?(aF+Q;F(3eEHlX8MT8Bh3M6hUPiHZPz~TG z1J-f=q3U0a0%UqrMj4oJv5iv;mlO2?tI=vmtfGgGRBHOrk(4E?qv)i{7&?-QypfmA zyb>@EzV;$@J4>rgcIR?i@-g9j!1k)`f^XoZ|=0t-tLFX)6n9rh%@tmhjA5KeU ziDNZt7;uF7@>rv2BtqQEC=1s*pmm6ZEv`A|nAMGX)6ZKB>ZFnqkne_dCpNmm%wFw` zHb6@|C!QrW^MW0moP`qWUJN)&aV3}!Xj#vo#dgjz#cFiLM`JKE-Ez#v7-|PyDCv3% zRWa2LW}`--61Yx|8Nr@`ZA5$-+?kHIGaGTiutJXB0&A?#mrO4-XDWC#+HoF_q5EBL zv6xdxBR2~@bo9Px=!0U&Wj2&BtpN>oaB{Ga$!IXzhzOGObWmL&-q5Y1;~q2X^W$1Q z&!03hZb}=aQ^=ZQqackKpf>>LEje1b}Eqfz={_ zZNvmHE?k3`DtOH&0BbI6IpZr2e#M(P(T z*i2BbF_}1ER}TTwuV9eD0@e)d@UUl?N1%=oUe3^J=i;1ateWK(Gfj9jso?+|gs%^< zatXvjw1Uqk(B^ae&cRxssMOWQF>Y6VjA&)v_9$c<4r7S}?82;bbo~U;qJ!CEGf%WOlggG<&F&mpeu25hLVKcriuwk!%Ly;P9)$C4#-WQfA2c4 z33@HcO9U0&WFfS*ORA5umqItD6v6E+AE(_wL^*~6;oBX%&aNO z+bb~VN(RyFJ*!t>E{*<5r<7ctR5GJW_tI4bO38H@x|Q@%@HI*D-CH5uHkG8;&FxZx z*+`DIxO1h|HH>K=WjIyBWy`(G46L9{h2&Q#+ z3+xHOx1OZ#2Fz`*oIjgFl@4sm%Lmu3`}*E*WjOCjUzZMS26e0(@0*o$M!a1w=VT~R zrIYD=M!YlON$HrR3JnatX*TAQbpAw6#WPr7xj- z!uV*nH<+YH_mu8c$rRE(qZi#hqz(FXxLU!A^0q!+ zcm~*NW43g?97*tGf}?iCRnnXDuC#vanDm}yUlHuTboud$p>MlYS^83CS#Wfr;p6UZ zy1mJg-&B)=DOAfdPKYTr8A=fII5?0=iHdg%Nh3>DItuRQJm&sE>8wS(i3T{QvxkTgyzOVrXuuxwUX9}C_GPVgyeUsrf#w*X{>PUB2Ml>T4|r=R4K z)+8-5(%^J@GZYT3epC_g{PkAVcMuG%M+0M2oiiOZPIWKDrG3YD8> zQn~`6YQSAm%F@u$L8vBHV_TRiCQ?~akA7e#?CPeH7u6O?hX*;{U0!yJ_c2cU0hHzc zW4bLz^&JlOi}+OFu9UjZlqr3oftpM4=881z(|RRURj_6$X-U%H&#l0>l3misA*BRO zjP@%7yz@$$flfDpIR+pNj@V?DmMfhlU6``ut~4ppAPotn+s!hO(oIUz21c#wr*LGK z5E983>l)f~A`ws}@T&(^ z?Qj`xQ)e=>9-lharKO##C|J8mUk(b^846yicwEOk-=*7yw>|M6YvN!}eRCD&iN{^w zB#G_PC%(3Z2wSnMc3%y6bCq;+QuptcuJ}UjzRL*eOHW|Vbtu7ei)s5V zX(J_H-V2gh#V9-e`K7CFg*ytyE=9hJ+3;Zr;LDKZB@band<7o>ymVzZODN0jB)t+b zA$L+NyK_~R$X3}sdxm1Gb-hdx%|drd8v0e1#|rFK8Pa44j_AD@U^gDa93_n-x_dc) z4JpAN3>=fPoBEGebFlk;<}w+^I#O;ZMQa03(8K>7)t`kBhdaN^qHiS1z-RN!7IkSIxEk;EB~8j_J|^R>D^fxF9Izl5`2)v1_53 z**C>&e!e+e6O3GKo7pi9N-$??0A#R$df43r+Z7CwS=T`5R_<7D@1NWmfF(yuOM?u=n?*?_TQclcq3Wr7 z=`t&^spds;eo6&}$_;Eh+fwX-fJKIqV;d-X6hoIK-6Pl&Mif65X2O0J+Q5qV|A*4< ziTr;)J)n^VSDh3|OSfz3_CPrSOw!^6Ve~{f9j=ssI+IpSjKv*hS2Eqpq`)5C;ySxS zWog+sqPf{YUsk7&95f3(blfiB7R^2>sfPPKQ5FIEaHyL9s| zX{-?pjab8q13L_T1T(Nj&qP=4=D6cGaYxsGQZa@0!n_`hp>@ikS>yq=ww!NiZVQ55#w4_=QLw(wuwhA= zGpRhM(N_Q^E~D(MSWBwKUJ}QX`bmY<#3j9K$!vyTMX)y3qAWq{B;%x;T96j{gOa2) zDIwi0!Obzs@@(=F&qR=As=evTQZ>z8u${7`U$9bw=%wR5BW1Vk#LEFENrM5?EdD44 zSOGX?H>9IIvaD2IX29f*nwlOi#fV}!t_m$*!6K&Ny#(^oM)XiRcuirFt|BO@?*RS2 zLhuyp3Z_C+(ouWKB(kI&aAB|=2C`TS$`W?Fp;CdRLUZj_#o$BqlA+RHRas`14(ZO6 zj;E!E;E%jNW$k-i^&PmXmLx4zV~}oMfb;`K6Qf$4E@^7kNC`RtoK}?*YR-{VJ0dyL z5`cwIn!3W>+jD>=eHf_g5~x`n#V3P!kXEdE6Vk%HHtH%^RyJOv)~J<-v`jGl(~vI4 z0j9?B1&3t_z*58H0l+nmEIIy18DNL`(EjOU8g%P|@j`|q0i$<<&oDXQKryqqf(4dn zMDas*8;o=OKpIv+HIi}%>J0_s$wPOTL?kVGgFRiaAvp|GN}SC(usgsa&^-kQ(jLr( zK;KrXE>F@O$wROcUgmSsEg+3gETE*E8hgo*(pf6?0uLZ0uq1pD+>ty=@vdvavP)Xm znmJ>Fx&fYgrpH+pa)x`H)WcmI03{kNmGg)$6Y|6TQ@Af4q>aNFW3 z0a^0ZecP2K3--=mlVrmEVB7NXm%jbHf^VUR6TkS~8YoEG-8L0uuu~zu?H4yIB}-S0 zza0Fty&DMWw3ndiefPi$+_}z_K1BDqle%w(-bd6EjwC1VfmPk4$v{A^^dH7g$cl(b8yOC$0WbDOfH0@eib4U)b_-Hx~y_XnK#H)#l)->hKliniQq(x}$8yA|-$ zGojn1rB$%c%3lt6GGOTK;pI1Q+koJ!p@jZGz6)tZ|JIB2O!YZH4<*oTf|FO-J*d2T z$S!F&TuOO)OapQ6p*Oo-=izBuNllk-Wj94JMbQQ&QJdHaWqh`R6S$`#u1PCdOLr+; z=~|HU%}QmNsRVbOQZTaJl+tYlqZx2gNoL7!ifOJT$WjFsrK70)G7NMrQFgl}f6SN+ zNvBTDbP@M6ChQ!ycK8?R$#gRV69r&f;GgR4Yur$sSd!84r#h>>^;l_Sk(5y@$6pA% zk=Z4Mez&Wj3+Y;+Y(L7x(^*qisq6r!QVOEMfr?D}2v;OMYT&73h+elx3b_b86+QMT zAd!Y;a#f@tEu?16!Y0L^VEj=8DR8Ms0zwk*X4)(lR{Mq)|LPGK1rig?I(tIZOR|o>`>Z z8{D7I$6s*s%tADAXt7DJ+h|e0#6(*LXf+zHbUR7Xu9D3JlXOA19+KHzI@MxFsQFC0 zGb^d&C~5K%kQ+hQk`rmm)Uy^>iJK)o^@+?>Uq)uaq&sB^3?wh5A`MQ%%0RM2@8+eF z#xtU1i>262UJ80_4C?u1qD|>8bScNT_lz_ZQ ztx^t|N2Ks${POg^0J$-g{z(zAs&6>y+F_7Pr<$Q#!c2qMrs*MZWojXua(LcIDf5hx z3Q-GzCGAr0<0u42XUZnA%pa*X1Ra!0Jhd`_-;8=^07vx@<>}Ex`eI~oyep+(3Pkb} z%)IpQz@|%-cdaE?dH|UMGQE*jk;Eud0!4Bg*L!Bb2<7RDCS@YQNbV+A>wPSGWJq#6 z!<9ac8-hRT2rT%;z-kApbIUVh*_7>L{aduL6Sj;E8Cwp8wj@T3-Lj~6xDV-L!`m+- z-DN)^-5*>s7FmA35?OBjGQku7N^s}z2<{|HwttX@$&zF1&a~TALhcy7!#%vr(0wGs z`3x8_8Cani6O$3_uB0olg9TFrGrc@!sCoo89jg8->GeE0mOB&s)IG3}4oMG61kBY} z4Xkz;*SY2HvF!Ej<=@!ixog62?g|Z`a_Gz{iO-K6RCwDXq8d;cXWZ_Z$`9XuX zy`@GLKPBBqo*~^^N%!_G1dshY!GBR%?jd;V0)i)$4%`w~H#^$yKdUTzz={5OIdOm^ z=IkeFWYIs}V?!TFyt|cU5yg8V#bjGkI^J6^7~CT6mgVuBKQ&o9^m>QlBfCO|6tBBd z^&R@lvU@aj?9Oi=25l-a%HFgqgHbjE-kpSRd_^&ZPJ{F->*gT=?fmQ3j zSaoyTv}NlbdS&m|X70Rie#!owH+-=6d&6#f?xwSce{xmFy?e%-zx%PtBSF=>Yw2BJ zYQ6cfX}ilhHof(=Z|vT1xaMB``d9YOo%OtYJSN#H(vSt(h*N|ynl1sRogf0-~B2%dlmdxxC<*Chn4eNfBjZB zc&iKdn`QU1!{EB@Sk*yU-nO`71eo!7KY-L<~smAZMi?1Cj7`@V(wFdhfX zE?Ls?(yJtW9U{13>w#4pu0LEo9yGumiMPH0_?9)$wd=rXh{+Xg)3%@YV+8j6-5dU+ zVdmilfDx1P+OFDp-hRM0?fk*lp4ht+()CZiad;*IyZ-tg?7d{+&d(vs-!1(8@I|20 zokO~}{!ho)8xX3JH=z647rxzb-8F5~?t8rB2k&2uEI--u#-XXZ-dwq1>-?MlYvJ~@ zw(j1Gn2cSx=ACY#O2_1o8^J>=f*n`DkM4n;f6;yCk+cgRT96TMSIOf?7eiWiA6fPS z4~mi=C&Y9k1&*mFbAeOz9dEN0&zEXa(08X)4o_ z$pqiYpW=}kV=Y!D2Xb>Ny*whK1;urCg}w!Zt%gw_S$V0|P*GSa!sk16wntUltg+wtq?7xJ=19P*MqaaoVDY*bC_yi8XfTF73fL;v09Sm{Ab8w7 z=+=m^)sjy|BZ9mHOnkq7t$fz!a#@a6SS9!(=+a~);FX5AI5GlC>Y}yP7m_<*nUy}YWt$utY8ybgy#SBRb~H4@{T z(NYKUsN4n>Dv-tXJA!a0kftw2yfG;Yl)%7HjO~8>_)vHp0^hL@?iC9 zA1l=&(OiRhY=k{YTUQgn2~Z)TIdS_!8|-3^-_Rn&Q`}&KsZ1Z~vwg&Bn^$S73mtQsjPR5f(^ zu+em~F}uz-C*yThIw88wst`(^wpCy!_Xunk-Cp1EPC$232?aJocM5h3tt-nE+=ul4 zDwtT&%!)Vv=GG3lbWSLV^if87)m`H`lTljK-K9#7#1zq*HUW{qN4 z;=hJNB<;{8=KzI-UTz^9uIYH)sva(f|0%%BX|9B|UnFXLoZD#;MU zp@TeNnnFohsI>tvNVEG!m+L$-gW^O^0-s77i?O95!_ly(Kb=Mlknkxx7m!t^P~gZ4 ze-;ekJX(!)k6ptI%}2HxL98ho^*Yy7BGxSqVs;iGIbJT2ILs5KsL15|CKMDMVMo@6 z$7p5>7Lsv>?1g5rpsCS|)f~E|cofIO7>R7j4186uexzDYFDw{MiPJ*RBAHyAr`$2r zYw;GG@1C^oo4swp?Bk>%B|QVwEhbvWfsDRG4QkK6XghlnaUNf~fhz1I(JTmasb7Ky z(nb>ownWB=JykayoKq$b8DklLKnK5k9V2+0^I0acvx80<TD&z6`w*oh8PCdohq>R4`r_u69_xX3nhkFsCHD z+8kqTDuFbJJlWGl{x>aMI`$nqz;qvfbXozM!2pofvvCg=j_5FG;#nqgY=f zZcHwOm%06*)9oXIPesDkmLiSVD@jRkQb=b@;H=4VHeG+R^3n1$#&s0bodwmB0KB!XP@O9(b=G{9p=oms8RC45P>SqOq$$t6|s z@<-+fWa;Iif<-OrfKcbg&~0#_;yjtXIu;3os~KIm@W?p4MBf4M)prmaznadIpn{Wh zx>1}b6z^1E-FRo5nmNahcd(Cmr{N(bI05>&iq%wj!RA& zai!7igmeqT(SZg!O_Ok1NglxrJ#;8l(s9f}W=_W$1qxZF z=Cqg|a+iM|x&^Y3+Ugq6701^E zAszJDx-hQ=Au$FhLXR73Z3fP5DtTstPFs_9g->fR8W?iMInolO+5s_%mR^Yn`dTJK z35qZnr9l~#tj4h{uEAVi5Z80moJ`V)Q9h(kBvdLUWDqH#LhDV*>%GH$NDsm8b$RqT zi1@0KchA9Y@uv7*@U8Ek+{i**$+RFUgj5pl3jHLzgsO)wc(N;rF(z=FV_gDnH&9|* z&3;6CEy37@9?uSRJPAWLwv>*M*k|biqLqdZ4PO(}R4xE4Yzrp*!N1Tv2S3l$xDi6sCZVstWEHGM7 z`z#8DiTFZV0fLsAt3koU(VN@}7zx`vC0$8_0e4tKVlYohV{E3B06Houl}St-wU0L4 zEPB~71by5QcyYg~9gb$J&0tT>Bt9K<`0%=Qm_+2^wJr0!q#HAwzdij5On~w;(7Vq2 z@!FD`);#@>dsb{)HSXzp zjZb>{i>dDuj45Q=+E#2?HRkPWPaFR-QO8z+Ber4QnqRm509g_ltVvlyx8jJsD9!px zx-q>*26b#o_X)xC*SylU8d;K(F_)3E`92 z#d}D1@?=Y%K79oClIGjLq-uuo9!l zU6WT3i4~a%d52#>$U8rRa2x zh9YhGqH^!rxL#0IQy%m~de#L+?c{v@vfNe~sLiU$voO)EKulU!*wI8hV6@i%w%P=v zEatb5&`0Bt20v^+Lv@_Mx-rSa9J0hyT$X_Dc>K4Qw#I8dSydAlCH5doOvBQk&2a7y z7;EYg!9d=q$!`>i$kn3(YtF*~>&NlDQB~pcapv5jNNv<^ZP4)9b6{j^Tpy?6JqI*_ zF}uFO_JHozShI+V!MYOD-b89ix2?4<{cUcm&#JAue3|lcR;+}kmu+2c`C8-4LXkgQ zg3qb#3K46ez&gIbxvfj}g2?3~R!3%+L?S4#2D=QQ%7r_lkmYI$RaJ~Tb?#Z^dWmc) zf+%(6bkAbzZj&EMXICOcjrg++?Bj@&2VEf zz0)eey+G=@qCZ_3H8Jw!ss60g8JNBv zC|8n_mO5+3(v(=Z-G7mARUv|+R8v`#%@Se5Z#{y}Xuex*q}S5)dWp&AeMU$x1d45z zlT+H9D@le1Vx=?+3Q-tY*l#kav0SM$Pc{d{@JuS|e&ek{rkA9$BFS_*k?5t59$FjJ z2$<}lGga*%7;5+ijB-)sW|G(r65Ima#`77vZ<%37nT?jOktJ3Jh&MNY7ZO0eYr_)F z@iGwP*5q1NL0PS3G-7`H3RtqF0e6=c<>lhlgi(y&k5h}!FhflYRv-NRPq9Q9|=LoyZb}r=1`2m$>!A~O=`%dIl1a>qc$XL5C zkgF$^L}DJnG%(?&O5Ou*TV@7hITb8qI}Qu^oq$uJhgS=iP8XE7dHI#K2H#g;cd#pzf-uK!c*;48jxeBwKBz3v(9+A8HP6h9gVhkTzL`_jD8)K>L_`2kxrp?uZDj33R$y%%&`vgDiJ|Beohw zR8;8Z>Bi<2s}^_cU>Cp2EU9_DtWwhBdo-1nFt}AA&`joN zzB{UkBNlD#z(eK6#O1by-AZ>?s9ZW-mw&bry1f--pw(Cb%3HkY0AlP$m8Ae|=|M%qoR6bVXMeB_$LtIx8Bv^wbBO%ca zOUy>)4h7aUD=svCb*ZN^cO;6$s*V~$@6dGI>h+G2Q>M3)-69~E2sd5;-Rw?pB|0s} zNQ-4PSQiV8vJ|-Ii(sjVuIC zf@37K4VejN6D9TP5nV5IY3n*3motM?7gZGN7&+kFVgftDOk&;8b66qji~5|bNdm(U z)Cst^Pe(Eb{aPQr4#`H-0kV!msb$bQ#shS@qoScLw3UUZxHuYRreDD5$w;sf8R4Q4 zlnKe;VJn-2AI6p!(-KE=H90gF z8ujp*b&n}>@CG>xlb8@#Xs8$bVV0?zTEq}ghpHA52@=H1*H~g~VHGpJ+yR7L0=elpJPWF^ zm!LkA%B|16Lp%PN5tvn^YKO~kbNHXbLOSN_d%yL;)VId20jcU6u;TeGummKZG#@%{ zuiwAviFprgTe0!^rB7md_sa?ZJ@oaxe_6BTv-94-{dq9TwvqIuN&eZ3x|6CNNz>Ba zPrAv=cW^VFbkD|}>nCnrvvk#1MW?$Lj|KLQT{G(an_IT682iZ5r1bk&jK2j+)Qt7r zb(1Be=wx_YVf$@Rf=2>it6jRP4F*cX<}c<8FVnAktbCH(T@f4FqI-+Bm7P%xwX z*d%pq!GeibxbEy5yXKt{Gg^sD_yEoMuV92~-kPv$_y3UdfJePQ_MxdyW!Sy4my$1d z{+0X2Pn~_m`MDhkRY&Z8@^a~2iZOYi;>~rPN1-W8URiZ3=yVH?j>+~HdeJ>ZdHc_~ z(&#+t?-`q9*}eKDRXfn|gh2v1i4!(xa&@l-A=5p4`bHZrvnpImfwc7UDoaZj@N`- z-rOQHG8ei*V!OQDa#n?_XNPMFs$x)L7a7tnYTtyiUxQ#Id2=Bxnq~K#^F4&oZ?EGk#EE9 zGTT_Wth#k^pgQt&P3@G~M0U&##M|*?S1_6n1y$c0^3tJoFCGCRJ@OhiA|TQb>8qn8(AE)3xs|ql4PY49aCC0vBH?HpZKkXF$KeG$#ClsHwFZbq?`22MsF7b3c(pA985RPExhsk z8GI`t345A4w}8>T)}jmpeGXW|O$^rTR|nHgJ;A4UWZrF#hh3>V3Ua`a> zNH9<^ykvzW)G}i+=sjbdvPYJ9iwkyVV<}-ID`Xfdpc@ZQl{p*+&hoH&OSw}G5qfD1 zAFVj}smLQ8Y&9*aId$)ABtoWz8J>2KJ4l>KWFsLBke~?u2rkCb(Vayo4c(B8UUah% zU_=A2O(P4vhz1{xG+fi77?UK+@(vBhxwoCZSBKU|wQ$Z7ncC%Ac%4!w5pmRJAVasH3iI783b9A^_EK5&4?ijy&EiTgk_8;2D>*AnPI z(FQT)d{@0qw6u++mq>$^-K1ODt+IrHkr_oqNbF{rm+Y&R1-FnixPs8(lkvQ zdu*rJQ@mu-<*SL0EhO4VLLEpCjtIWi@-5tVZLdXQ92q!vnf$$y*@E>I8jS zsnuf}pB#pu#KvP2DK$ZMqs_y8|3Znq9J0`TVWCloo9U3otxCVYdvH%xGkxeLNiM8} zmMh^O?mFf&D{7h|MD74Kn`NcW>ZKLt_->_{Z#H zh$ALCK@oZjLFf6R5hkEtVus-wB)8x2{WcQqHiV`zXe)gW0;8= zJv#^k#d4_P8Wyw%3N5TKQ!s3BbOLA#iFP3iwd86kX*mS{E60RgIqxJ!7V4Z(MLobp z=7BhyQprfJ2m@e^U=eOa9LAY6xTfO?qr{s)*m9+!ID0is7dYdJ8Lo96!8L%5CT2j7 zaD`~83oIxJ8ZY2*l{?mw* zrBl*y<%f&b!Bht@XBO%`%cSa69YWWmWO#M}cfwhS4OV+i85!6Rz++D+gTfYOhjl?u zZ0ZyYMH0KYWM(k{HO#?JC1c;vvNDv=zLQAYIEjOY4jrTjXxFLQ;azn@8+Vwy_)yio z=)r`~&(KW|5YoDdAQ##FVs0zJ?Wf^B_w(bYRn32-YVX+A9}xNOhNSfF@l)TQ|48lL z5o@-65l5^T|L%cRV~BqiPdFX{#VeGIbqR78rI=a2JN2!3YqtDih8G_Xytn1F)2Hs+ zJ^q$;^WK@d_ktt~>839A{=qj|Q)821VQGq!Nx7{0Y7XoMvtK#$t8V z^>|%BHad4@;PcMP8W5uuei2rzuEGZu&g>FMepS|6tAo>N2&>&Y`BjW+D@vUouFP-n zEd%*32Gg;kM%>YF@Zn2=_CS8?X&AdF$zbuF#Ts&FCGqC!FIK);FiyN`-&^(V5@XZc zqW1NHtQC0y|Anx-YPNl^8T(Ot{6g+53FL2C8kh#NSv(?`MX zQD!U#cUm$-U7-pW=_#@KyRZ1j?qfv-C8OKJ(874xo+ z%qeUAL+tFt%A9=T5BHFlW>vI=K4oy0&Mj+STs@+7C1Nss*|^AF?>$w~xvg;Cx3=c< z$Z~vS<|V<%h1%+8?X%mL;&#N!K$f#O5d7*)JR_>$qy*AME2+?y0(vu08+kQr^_01M zdF$*FqyDb1ZW&%*{hMn7`Fd=0Q)E`rkK?m>JYG-Iu)BO56s(Ty#aAkLbu|xmhkl3! zDX^fM4#_1InF-ob=4ZnFU)Ldufqz$0?>Ui5a+$lZ)y%xj$Vt0&GG`K9c-)ZC$z&wV z%%F)=J`HK3s)BAPa5_>S9cD%yrg%*1z`J9(5mSAkf$W-T7{%&Hk}{X1OC`xLlLd`L z1UB_LmfLLk3>Jn~N+j)-30CUGod+aM!#xb#3BszxKQfoG7EeepJ$nkN@Th(6BaD#R zoB23{0I5*5=(fw%mEJkD_VGu+bL54A^&JLQ%Z~`Y*v0)BbK)cNi3t}CqBZ&5vsX`f zwWxh~%r;a{BhRXx=@QMNqu^|sZr3f<^UqxTSk^3ppV3z1D})@gB^ zqi*$$bK77I4SA`ej$NCVFBj(pYr$44UM2X|k@4yHymADndiCHfZw7)-!@wxA^hKc` zM6+uH=B$~8-=A&s)zFVDTax^v9@M7W?wX4yc&JA zXiPo~Mc;+)#mn+H&&(U=TGE2P8odKT%|5d1(GQeyk0oXnd6Lk!42;)XQ z9fX7|s;N_gKTPUmF)yb(mpWd3zzUi~SV3(Tx)h8RWhHR1j+^uvhgD&krIO)!1(JXm z{tH83Pq(D3>xP`rhL$=C&QPv0xm~Xjc_>~3;R>k3QvNWP)eEvomy*edhZhkl(W44` z(4d!x8fo@Lo#QnGP8}&tGM~xO(bAzCn8X)VVFABjyQSF%l!z-C*VqUVfhNQRy#^z< z8o$+i3c|;QM>C5}vsHxgB?pI0h$UFmfuy!bJpxkqBDGviz(7G?SLgy#+BW2_vO!$Q ztAQpT4xq9dO8@K#Xy4s2u-bv2fW^HOwV;uOVCs56uUMT=%xvmXPIU;bOs-2Ns#&(6 z7ndld9wJ~>!@(wCa>l+>OH9cMjL0avoheU&#h0l^tTChAzx zxQ+*jbWHL%i(>_Y0VBXFiUWm49DYE7z);H+cak}HD~hu$S9~>jDj))dm82Nj1s$%| zfpZm8&LI92!q&? z2Rj#7^|!sSwNt;l z@hk5=Wu93v@g;+ga~R+SmUb|lvqfNT!)+C8R6CzwK=<0|BaSqNJ)Nh%cLYkvlGRS< zJWIY7xEH$r;vKf!^PxR)TZm8O(CtjOG{6VR!0lypRp5q5Z|T&7y`clcY^WD_`NPt- zgmW6n?lWyxfY~?kPNcQu&1$VNoOSRk@0FQnJvDLnL4JhXaTbjDV3?kh_{z7A=wJD{ zZ<`pla8KtfYta!)`>*g=IA522jmAb9_9(-<0Pu(pn!}zZF-(IJ#GBu3u#i_O{H@`e_`@3)wp{iFA9E&eN*O?PWA%b?k!NxSz z-@->98An+3OhNFtI_TDg;lbD5%o@(4x)8{6LQ;2UV^Gx&yqFPe z)!?uK-AHzy z$;EiBE?CFjQlV-LW-;;-&hI`b{p5}zhIRfrn3FgoJi*hsdgAtjzRqZ;D@|`=lij#Y zJ3*@(rCmnx9@g0$^fXzgk`ghlPTsAtg9sJ#kZw#PPsK1nlUW)md2QIakF)KE{5$r0 zCa#|N&Oxdj5ZLL%5J5x%(wU|l|yC5pk5pt4lmJAwUK=s)PJm^vFLkcMi96A0R1 zAEHlHwSzv`dVA>86C4=5n~wpkj$SWIpf`)jPK!N^pURphaXCR36ZF3y%wh+#+s5OX zJnO2>`VrH7RQKHYmo0!3`Xg7c{Ruu?Hcb+X7wDqtpa)7i6P{CX3VwP!l!))#s*7>; z4AR9)cOwSjP57(=S&pmEfpoF?C03ks#;JZ{qafIpG4DHwk>8&wf}i-e#$p^ZoHq^U z6u~B)y_RLnNVsK*2QIph*pE;NO`nq-Bmo#e0vAtW0OCWUgB=04)Xuo)W9mCFoX?cZ z2@Ku*qKP!JSc~5Oe}z20=AQcQ1kYEr(5g_;=Mwi{ z+f)xFKVzR#24d)g`o!N$QwVr45=ZSI)X3t$!dZ8d-Dg%{WWgu2V`M>Ng)Mm5aq^dF zWRbf&G5X&2cc)wO%}eSqgh+hp=D&L86_5SC^qjwE55{H~hXBTiXq`Dx!w{lqoa2S_ zhpdqYF+8Dx5=IsnLUbA!Su9F03Lhje z?^dz%g{no~XyC3&7zYb>B59`+N zKYVlBv|lSO;f1@2g%rfTIBe^Dmm?Nru-G~g*4N>`TikJY?qjFlx1r<7#4w5!hB`iGAB3!YoA$c{lsyuRZwh<#zn zE3eL5xO0P2@*-GvI}S(xar*8JA1K}HUESYoIPVvSV+F%^Q>f-+?~LNt=byjpz(`nv zV%T{evYdy~NXH~er+eH`^`z51p};Og=#D-$dtuof(%skP>YhGx?it&ObP#ib8Fjo4 z?4CwFR{Br!33k<#bQK+a3?-m*m4*hPV4xBQQI@xtXzZ;(ZiD~g!db-nszm{R#%L+g z#N+}d@5OEJfUvwzalyprM4heaCNbe^(b_=n9N#*UE;s7RG`3kc93yK_BoB`Qp<6K6 z1!)oRI)+wGRJ}&s4yuV)Hb#lEwfq{==Tg9ZV#G}6zFygs&XTm`6 z{qiZ{iaZ1CzW1YuA@1(v)dCCiLO@NzS}G(Z{*Y5$!> zzFR{|wAymO8oWR;XdHC90jJLPvdA*S$<+!YHJ4Txck?O)b|!@?5~y^R>&0LihHlJj zEk-QI1lH`Lz-0*oWXE`9Y}^@Ih#L&v1{6b9U>J&lPbsjPj6dBVraiI0_-!QQO^X1CXjp2ft+=RyP zwnYUl3W^cYyOz44iV#OE*$vnMj2}d><#-Xq(Mf4NumxVe#h7EW5YzJ|lSQDGV|lGD z64Ok8w$97VD7-XEgL-ZalbjJO8ROvGg|rr&47-=xrBM@KRJTZ83VtdkZGu7V)hITH zb1{UsXJvzVxPb#M&uxk_5a=?dfpZGHTKe4JT6(!oQ}%~T8?I~dM|62MRbp^AuJ zy#$1XrI#q(h~O8r6)n)6ofD5qkP2!J96-*YTp6p3z6cg$=Fw_>0rE2FbI&T+twL2A z#?7ZxsNj4OMqVl=ihQ>xeAKCl6Cxe&<4UJ=AEjgn?z z(@5naaciCu-Tn|pDV92m3d}m9iwcQ#Yzqr_)sXPPc8GbDByPKNOD_f}7{`o|#v*!| z0ZHSQ5R6Iu!86*2D;%#5V*p%?@w`HCl`C1=L<%yIfF5%Zp3~zbXIkn_UWvR>Jy7!F z#jPyXY_T!eNI)laAaPmOO0<7)F23eqMI&Ut;>u$D8StAi?l{KDWAa&VD8c}4SNQaX^J3sFyWchg78vQ{*)K$C`BEM6UpFs zo5{nruzD}S<^Ip&{hKpY-vOMlXv=ux(>QmRpN&_Z3fYnt#+Qg{1MOs#SazXHn89-N zG+1K-()C0$uV*#z0w`he(P&4*cek_p3UfNLjB8nFY=hnyE~BT>2G`q--@x^=HM$z` z@7qVqHGC3?ebJwyF2(W|Kd5>`iuHAJModavmfdr_gQxqSz~ zE`u-51EmDIjj~2$X~korG%Jh==IcukgKQMTzYDWaW!b1(tyswpSK}}(D;9y*qrK>M z<*x*c)*o<5R+{p*X*pn*d^dRxmQY}2KLhJB_@)9om}e~PMxph;i2vV^cF(Gv;f&e= zXB=H+6c482LEQ^nW&MXsUFp)^l%SKUU^FpMYA{D*+30vmG(N%U6}S>Gtd(e>?AqzU zPp~+e5p&pTd9~#JKM+r@?Y}l1U|25~;w_Rpzu%U=@S!mDQ}>W^=~q2nCVt2KBr~4exh{FKaJj zF<5r5VYE;^g<;j`1W3YR2`?2GD4{2FWl#^OLZd>W>m8wzN;gIps7tDG%?WbH!C0&j z-TYjGm~d;ry36dyBxZOU)Qb_hz9@XuF%^!~B0fg7Lv6C=i83ffmM|Dqj5ce!6&NXP zb5HHC9EU^6Ool8q^J%~hoU#nY%j&dR7}Rz8#E|8QomEX~C2;2im1I~lq=Zf%x&V(8 zSSma5xqmykkp;&&l}xH5>8|q>hTrr6LV>DRC_`NW)JW`~$1EJV3=s?R-CWNpLiF&l? zL?=7D+TW8ap#+Gy^$=cy!1H<>2!T|hb?JVfnS%QGO?%acfE$dXyV(|5M!v!PmZ?tWBE5I|B3 z{3c;eqWG`Ur&ZxSO1V;`Lm|pS;^R==5UdI7I9Q-oc1K~*fd9hv1TgcvB@a2)2=}@Y z1z!zMx{zb6h-2&<+VxRK;No&sJEUn+`(WJssNju6@A}KN4`H^Dw(qULd>63fAK-C) zAMnFxwqWL$wmTGj9cGk2`+*0h?)&qHica^ZM7}%zz_nLk#*m-wox1npB;_s0V1GVw z-?f(N{?#i;^z{>G&XJ4{#>b_5}yL9Up)%fSfjwjm`a zd1`i#VDmR`P_;vZK9g;*=@Zs>^Bi7h5E*<6y$ooV9u`Xm8Dm zn$KbWc@WKF3Yi9cKD;z=8KlQWt_+y?I2u&FC9QQf$AmLRd`Tc%Oadow%o~YR{Hgs{ zG23Xaxzwp&2Hn;ubMK5RBXe0ho{KgkCJp#nIr3ZeMKSDdaYI#bRH$OT!^a40i4hN6 zim#QMs=@_d_s7n;4z@ch?3Q-lUAb|5Vjii<3yRV9tXT+EUCRv2af2)Yzhqfc_S!UM zKcxARpJ4XZB>@)E%L^i!ClY*>8+AX5wK{kd3ntvE%gckcd&zmk+x9GZ815`ZOb}Q^ z5DdO0?Fg)~k^;MI6o`EhlOpr2(Y*aTv6zv!ELV>=O|6>DSk>I3PhuWfz;^4>JI`J6 zx?T&iWB;tD5N`nkK?Sf>&&A9#;}EKXS+O#NO2s7J?W}6)=gBrpSpow;u2U0^4`rDd zZ|lLVlN(tKyl*4ZhoGlwV6(-nW*YrrxMvlh6^h69&r?UVL%g>=3Gz z<9k8VFyjJ63h?V${J~m4c0-6gXbZdgr zkjDNoMlv`eM@a2*8xqd1LDm{GWTG3N49AomL{fpHI$PdJqGfdz2T5$ZEB zjy0DPOmaJKN`hz-SSXA?vZnG;w+Zx#t#9E}aU!z=6-uk5Z(Hq08-73)ivllB0y#3e z!idxFC!=>lnM$IU6sstpRWdFuQxav+21E9A=)*HBfgI~0( z-r&v%`G{Fyewi*EB*AZJ!n=r4o=g;?Ddak;=3ys?v$Ab18+94J=H{?Pji?YE%SO!< zCuw<2n9BorSAm@zw$G(8Nk)BjU38#9!NQ0zv6oBAY&mtWn;^HNwTUpf=|ev>p?5V< zc4xb8T+~hM&Z53X1g*&>2W5ckt{X_DvnDEgP{yz(!fp0bz%$2Bp@3=21r{aumRB>- zY*TeP92;#DIqWtuXm|72h#AK2FH2$X7|`x?HUMJSsdjk36~_Bb8}Wilojdn6h67~6 z-vRh8OjSoNs%D~QbnO~WxFA`rT6LxYq~<=@1a6iSHtpGm=v@kXN6Y{=eM_0yEav(h zYfl?Fl}>baO4_o{RgU2{H>s4R#zrU{i2g<<38r$$JzsM(%C0tSbqf?sSkT)~c6W1l z3h~Y|DQZbB^9}#&^IpE1BeGxn%{!mWVsO6};q)L(D$1#N90$YCHNYTx>N_T*0tCt~ z>Z@#&RdEwD4g6N7oMnGllG7u}s7GC9)2OBo6LrwqD767)x4SV+RnkOPyWf(wZACBv zpe|f1={ky6q2@A1XBAu;b{cuRb?99sZ7T3vNpxFA=4BCOEn&r7)3FQiRXLUwsdS8W zPO8UjvkIyNH5lg?NRz685sOj$o@#Uz#$AkK7&HCYALZRAK5IdNs8PM55;dTi>knaE zqS}eX;4-BUVV=@~>aiqX-&TEs&ptR$AD5&NlN<3c(1qt;$;>UYpz}|imus4(6 z-CM=z!0%cJzf~hbBuwxQzGAxWD@V3v@di#Nzi0!Y+O#7Wt1y*i!Lxv0Pd4iDYJQ>d zHsUj}aFJ4E3(mmmG3$e_JUwJXG*D(3l`R#s5h)N{n+lrM4Mq zz$K4*asZ1Gc*RpG^uHq-vqn^aT!#WE9<*>cEypPZd5IUk*s?*sPdf*cqudaoI+cGb=e zGv@BxFlk{9PIt$?({8zc-SV#czcy|DoUdU!{dq<6ur2-R`~C<_-b=c$JNv`X8}P1| zV$9+yjX%q%uj@6??81I@ukTxS3&ZK|(qOP(nE%X%Z{!es0XK^AkUOrxHtZ+uW+D4d z4lUew;12A$-u2Ax1@a2M`7ecFV5m)#Ad-L6;H zE$Vo6!}rhm`Ol_3vhN%yx%{J9n=HCx=Z5dFf(u{fJ&bm}?-5!OiyOJ?M9D zKN_h#V=8282-aD5;E4@I^O5)k`!1e#=HD)XkaXAT-OIcF@8%iD%w|m9bN;??-=()K zeClO@1}p>k?w#{tb7TV){35sy%4WR}&0-zp2$@awmLYH72McCVcCY{Pnc8>Y7O3ap zZ#cor4vRmFHukJAqN~K8;d!pWrmHb;75W`a26QW-vuZ z;Ou>5wYsia6{gO6!xO4A*ld28OTqhGkGa=lN>Oud)Ry7#^~(gtEnM$rm$$ulcz1Tl zjsi0`ltla8D-jk@cCoE~UUDnQi|Ru4e=hU93IN%LY3;pEDw!~Y|B?0uXV0v(ypqb! z4F#mPX`Kb`G{E8xHPvBL3C_cF50V!_6W8s`AWA5i%wW*QFha@aKH;fKH?wNeT<9K6 zR*j9uml-e>w$m59VXUDwsR}Phx^Bo$YrVVIj&(X23D<}1=_`P;3vOk&osDZ^=uL=L zC3tYc$C$ict6KuOrk=ts`w6^P=p2gDD)9CBzZ0pwuz&uX!p}>bP^aqzr$Ti zIqevFKr;eY!m)%D3L>Ny;@Fl_!fc1>+F7W9i(CKEnd4L%1MRMOF~J@ zup0410~EzTk4;}=!{Uii7@~;b#!b|yC?UoolgxKx8;BYS@3#f+t<$B$Yb(U}xPaD> zx0pAe+J;svMGOQxA-Na0xoHFSyJ4f|le5MagfbJOnL4A%t1~tNWmg5F4Db5E?Wo9Q zy1?s1J>2XRD0V=$6>qfbMAROrSO7~KDAuU2TwJfIW-7a`veip}sufXs+Xyhg zCMt3_+Oii3hwj^u%2hrjzR0Ntmhf!X0JkpAF?V37UxMU-TRXo77RpOHYJ=N`?Z+$}Z8eb9%GvcV;SRGU9;b za_0zJ@a5CEba-CqQcCer*CV-7Uob&ePj8nT<_Ec2;;M^-;=_P!R4fu*>ZkyjY@m-^ z7{Zq5Ni#**Hl>YGN+)R=Sn5sNTSW@BmawphSYaap2VIROdgJOSW+_3U0qYYoh$~Tm z;~rFgq@!F455gzeeLyUz=n!KMs}%%gLMoH6?1T^Tz)_)?Y%GOiZj`G` z4TM-cW!dJ{t4JjYAn9_X#he-uBz84$3vqc=YtmRMKu_RCB)O6aN`?hwy@u$b&ZfGrFVXeH-Yu}&6GHzBJy+7>n{l_^cH*5=JLgUW&;>G8so zEebP0>_j{3gPupzHcCm7vT(_=S)k3Q(1wHJ!&|Wl^Nn!-Gnwk^-+u2}7CN_Id%&xA zNXWi6CO*C#*{r7PB7jbqg;%hIYL#in40yY^n`lQ*xWEa9{eqYlAuWMVhJ+2LR$z!j zzgiMYmGN%sXbgSC@SQ{tJChKZC0JCpeG&$9Hr{ebAu1%LgaslZ;A@53RDlJWf=R<4 zI5kR0i&aBPI0~8ln1zJ0lIg;>3}{@8dmI!g)?c528A?J|zI4INs-tL7d~M-bvyB<> z%wP}$XNt*f+9(4gj2p9=^xJ7-oj0}F9@=jvHzQQaqXH8JrYViJ=YgpWMKzc$lu`yt zZk!Aal0f2;V9sn0rzWg-PX3=V2wEHi({zY5T8{{l7c!nfBaH zVCFvc@YF}3$wT`t>DZNpcZGr{4dE`^^~xn(SRw)PWeYES{L=Ya?{jb4^~z;kJFmTy zlk>``NpfH=Vj++VRhBnD*tT9==p( z!zPTL+_iFnOl?ZS>E5eVcHZ<og$o!lw?O#hZ}IiZ2f44hy;PXf0&LD+ju+2J-OE%^LOf(f)^zTd{B1U1vj+)puXg z^~-yp$sIa(p5K&B&ixFH{IKR8l4*y?9^SW#ZGyicrqVCO2>D&vq~nLT>C#~%H}0Bs z%T`03yFLOKBP8!dHHp%loP-O2F-%8e1bgs|kju(!e@}J?~B`YhwRg-qQSD(M~ zhv=dK%5LbCS>fqRmXv*?W=Un&>Z+2?F>Pmh>)W&@w5(Et+y&Al-OX#pdsmOuDBq+mF#RrkZ0nT|wz{QU6tRj$&T$>la8Qf-yk?I&pJ^AIHF)PC$WLQ1Aqe*! z?FU~Xk6O67fd~<@T?ARf3b2XV2JoS=fOZkIGj$5rB5CLq;1wgu3O{|+YFRehZ;ms% z?RJ41dzR|P1^t}W7KFK#m?4E!NR~trf3`sqX~*<4sDcq2Zb(QGH0u2{@yGu5@`S>; zMjMq@Q{K|jb;0c8m|jVaDo)w7vJ_9C@n*u9*%6eMS{)@{h7cv2OyNj6Mm{+taI^?4 z5E>o~ocNLOK3m|FpA3ud@OH}Wnq$HJCH6E*xV~xSf)3*f5*3S;ZrCVY$GbJ|wpg`4 zQDe;3&Qg{+_cq{1clUkVTj z|7X_Tyjt|2O<*dJ^U5H5DES?lYD5TI@nEKL&L0_@IJZAHEWSgwvU^)4ogv7;`YVjA zqjIU>DiPgp5zJe*R57TcoSc}bE)WX+>R=O6OCRew`~)hlke@r_6&6_7_`?{06Ke6f znh6J`PvU$u320J|VPdKSUkX&pr6f5*1bI|Fsw^vr{?4re%Rd^&l8x=vEQ9c@i5_^> zNCn_Dn0c7OfVUxp;{BkYL^U;1wZx_2`b}ZTY(ysJQls|encO4=o@xM{6)7MqiXjf1 zHq0s~3~*p~yFyQaUh0OyZA@FR{RqOBY<>ea3z~=#wn|axl&)1Q0?VCoq-<1tG7#*6lXvjT#ScU$a6m(c3#*+PyVtq+`%rI1#IyGrnn#P3|znS)C;@OZ@?p7Q*v;6O(r*etomOYu>1o zmG_(HXY|R|-I-%vqT~Ck`upsYU&(*TD?8`;8U2}+{SW$f04=xXjJ~`xUMV}P6J!s# zz5NgR+Y<$poVCfWHU#jpVisVem`vvU0U`apB{O;(YUGSec=C4uB$}MjXYc%0=2F%D&8uKW6%O$o?O2?u4MAB~n%c zK#P%=-$~3LR%?w2JMtFTa{m!69gslOE2y9Fznv>$pGp~u#?T)El)>gCQTndAd7dzD z2|h%lu8p2lvX!Q(myV&Q`+fa=f=h=6mESv~e=E&+2_U+@{&ruV@bxQHyZNtLG61r_ z9oV{is;REprxqG2HU^6_X~q+N)S+1+efj;p;5PoFdxnm(KPzPIm}yHxkEKqyB6Grp zJueybVt+>!N{9Y2P%t*ekb%KUa=3EI*OyW)GAKN7V@3)^gBXisV^}ucP!gIb~^_jOV4LuH6 z+?-(xcxTx?fW?K77$*m&GUQZhM>b?-Hxy}86>~N)_oKd(ru%+5CG@-A{B!yy-)_x# zDs$Hh^jEeZY|`Kup*{D*4m0{vtjPnMJ0YJs%TOscRW2`w%TOb7-X{y>_J+bId!&Lv z8N8E%eOZ(dK1rse(U#0J(sK$l>G$;%-tNr2!f)*fb}h_*RQme&JYvulxAxEqdiZ<6r&&P_bW6h< zd_VVDNd8x_UB%eGrSo5<{`vR*dIw!{HKk0+rGrhMnnD@z6JJjR*79M;8zxU`AnN@q ziCcXcfaucE{+O9>OrLSD`q;(=zPEd-dCR9mkEil`M_cu5STs8dsOM-F5_i}p z)=~iUPVr|1Oa&pZAY{W-f5>rD{=Fxb`|i7!ONUtZFI5B1*m3_UmJ-dC!9eMf^k z^rx?|HO4J{j>K<2bMGg=#d$d(glLMtr4%C>BjI1v0%$TKq<0@t-ywu3RR(XjgiE`F zTNY*KSY@dY8U+n9442jys@mFWKkz^K?bfJ!ZvA1OS~u58JxUjSJMpz%`+?7ln$}r1 zzwhYhXx&$8xn*%jGE#1zd}7&IR>|Z(?}E102WtK7(k2UCcEzVzA{JT)Pxr5D=98I! zTGc~y>{)5wUsGao9~|I`Xl;CFcK@zq;q4GIx_ggr`i@YZJnq__S4sAFCTHxShaUav z982_u)DzB1=sm2#oIdKtrDU#v-UTI@-dlrL&yc$wp-UR_7j_E_7NTV_`oa8+{Puxl z;U;brFgZk%)XD=rTYF{auBwwZ<(;wHKfOD2OW#pvsE_}??b#jl!Z}qheA)Nxmi))0 z4~DI0!_Eh=FeQ&RxCwC#+r(Q6@89%iB(foY3pc{J)e=GjuR%^iqagp1sxM9V?cX=1 zFOz@TtFh~xsYmzRm7FLRvFne@kMd zbQspx<;V-OZnbskkcD@BYv81hGC1k$;dHa)iy41*|I6nC(=-c9JB0gl2Z2v7*08j{ zzxdIcH*5yV?ww~}`y=4yUUu>Ce{^BjmKQJo?W-U^wfN4n9^DL--A_T}5Y$&7$|8#2 zE&1C?zrQhy`U)`E2N((W(?8h%JB_k?LD%P?z%v`Z5AfZ;uK@RB`!2lv_s4_#0K@5K zVQGPrJ1AR|SM5L0dugzLa~5Tnqs(&efDov#r?_A_`eYIg8Ri)7s|AjEBf3Xo0saU5}t`wI*ZTTN3DWo^U@jdE+k z$_ZV0UJVqSzfR##ybPYl8$;4Fb3GG&p4RBb2HC;R>P%)^T zNu9uuOV&Er>skP6iY%xw)Knb?Uwa41<=8$3QNET8O@OnPBm3I{8XPvwW+)g6wt3Lx zxN|u<0QTinrjbe$Fji#PGHOMDC2TsUN1M{ov`iE=8x1be_~uZcY(X7*c>yw32iUr% z^h%>;mI1xXwBBAvG%gcH#x&geSxz7Vl-(2oG7s}a0oB_CAwb4$wyci&M0Ap4bwrmW zQ_@SX#LUYgkF1W5CY(Zyt=f`+4+aH;RnE>vRoMa*KC^q#O{`!w!La`m4H&8;x|p!j zjvLJ&@!NDNi?mHqfL?hFbFB(;%yV=_W3 zjw)L}u415>aYY%98j)Kz;!sM~72`)zJGR0d82r({U^k3*D63WG1Na0LTbyjWJm`lU z;h-Ow6qvxQaKKa&K4Rf`o0f{%4F>pl)<+|rw#$8ESqPSA)+vJX5JS{47#5A5Y2Ir#afdiKtR9|^U)-ygD(ey&?j=>5))YXl%pI8!*2nH^W~6Wh(j)4 zd-1dLhxiV)D97JplwGbW{JOG5g@}qI2as#Vyh^yWD6xntZB#695(u@E;z}!;X!EGu zMfGSJ-6+w5!4#8KBGsvVo`~7#Rcw^Da4?Igyk%=!7n!K`+svR>))G&i>ohU6q`$#3qX{^-N5SVUMN9neJ>}*S`_R#ETGc}6j$u`h|U4{Xs zW?@hZ3~4qR(Q~0-lN$vYzJn62iwi91oyS62-Ho!m7*QHx0@MdN33~%aH(Hl8=X&l; zw;Vz&)lt5fy)TwsJg+&e6jIqt9qATe@l1q-@M{<~#?MNhE`wrFJFAOOiOym^aR?Y%qtqu>w8E#^)125X4++ocP z#~oz6tt#mk=$$D+b41$%yVGk#S%VYL>JN~)Q9yisc$>T}GQJB=JV2}IPibgE z^d~_vz|su1K=>xLqSl3I&5?7@BNqp}>*`|~YP}?-Q^=Rt&RR`d*2W$fWU7lB7 z3wtX!UZ)#j;GX56=o7@`Cc_3!aTKWlAn|-oPWkLYkX<{7I^H8>TCF6bp}d@c;7cyt zg0R`tf%TzAVLNJnmPP90<%vkZxDU06O}~Q>Y+U8sXQ~o56-tNEDderrV);6ov<{mE zhb0?Egy{DhFE(BX7~{~~!5eBzx8-fOEVOIr2>z&F7joo1xMBF%k<5lH8BQqz3IO<* zn4^?+h}o;wp}?funIWOCEFXJ8!e-A}*mzk58Tj1s(+-a{#5b`wqzg(65*l?>1!e)R zW%R$nE96HMS<2HMIt!7AS^tOU+(KL6RI~F-VkzNJItl#=RE*OU{$3k$)0=g=UwiKz zUkl0Fn0Vg78UN^`r;pGS!IbdD6U>Hd#GW-3_!OS8@ThTnOe-bZ!L9EWGanKJQ<)Bv zV+Pwh5#oiNow*B~b>vpbWOx{l|d}`mO6%cY`*YR0cTE<$v zWZJwe>MO(2cCEe(AhzpIdh)_EmM;7w@MrfeyR|U~G`n-drJujxjN?{hxj)tnU|)dm zBFax`oBXokJpzNp#wyU86W`f|o!{^L<~V3_!Et9yTk*uEO`m~+on6@Ch0$ss)|yoR zy`y8{PhhIDMI8)~yK~j0_g`=hwt4wU2ex>*4p6--HF-nPap(R&$i6bC_a*)u%I^Ia zoPoV!*xr|9v2Fi80KR)%7OEGrVeg$kE?S3OWuCmCa8SsxjDh^K-)-1AcG@2AY?^S0WEw+&mcYlPF1WIb!57j^@%waSr!Ne(uJp#}R&I)7N= zKG^)V(Vi9lK>YM@)Lv4ybx!Xh%a=|E#;iHLsd>$`IS&>^qd?h3axz!BK-qOHEM#T` z-)M4)kPBv(SxrWVwK6;CPT^HWsC;s|9tMYXH8Bq#!x9d&PqKFS!pkxkmTZ;YjP4Fx8w@G(9ivUa;V~>oZ=* z_1LOE;2mo^N$6d^qyu`FbT+vihsk1vZ0H8a9c~rDu;cB$ZqiOycnx*#T4l~}Y78%| zFANloikF?WAYRhB4j_8#+bjiB<<$l!#Fv!SCnwJAT=(X$&kb3L1DT6xvlr2 zV%h&7IRjC0;@^bZaSgV&3eYUSkI{jhcyce*Ijo6Qt!@rPs?6|InX=JE_`M`2$4{1L zG7@glgxNiiy^QL}KiO-lUkZ0(N)@fqWcz#r3U+y(6Ipxpnkj)|XDT#-si3zXsK)4f zWq{l;c8(iR5SzZSCdhg8D@N;)_&Ig1R2@d92~h^ZmKa+cjXD@ny|8jFVN1RyqdK$R zv8XXznM{dLGVV$P3U+0M4CH(+@lC_`R&nC9Z`GR^c6eXp|9?m6{OWhQba;1osIP-E zwGBU4jv7vv-dJ|Vq^h_g!|(^l1LUn^dI~7Jo)L@3O#@^zWKi+CYXtdXsqOcdI7_OF zr+A-UWy!6T))Z_bU)qzIgAEI+;~2?}`>pCQ27553Z#q3G3|7SB(k75GLYmcNV^VIV zKzxfTnR9|=&Y44h`cPXmRuxM-xIl@89|KDiM>gq<<`A~c7Z7Q!`9lh{YJVk~Z_tQ5GaK{wLOTDJH>MFCi9#L9Xn$jAp+Pa-^>c1zq z#OD^2LlZw9_u623%c^xmqx8E!t@8aLAI%^Z=7|R z2|<_;BNe_k!WpE;Th1eC_>0lOkIj!ja@x*A&wSG0S2+@>wiUnbVLzjB<(U**3T1*7 z)Tt!yQ#l`oEl8x(vQ(pz5kWF9={Q!ol}Z{G8M8{M#f+$+!?q9!R?ZL@n86>Pv*P>% z%K^;R$TR3zg}vs_Rf1Qe8sbc$N^N15Nj!|)w z^Lc~v_W{jQM|s*UseO|NQC}HlH>*k4!hIKat!6~o&C@=E0g6ZVbIUdl z-Vg&OFE&*_18B9&Z#jG4G6=y|=^KvQy=_%CIZLuormHogY|;E@)}1tA-^qC5vaUz4 zHT~+Q16`{(&zJ`xk^N_U>XcW;-m-8nV|3ac5Ilo;&100-ivY+y zFqoVjM`L(L3(BfJ?xCq1;eJ!YzDK6QC&gdcDSLJ4z)j@$1CFc{?N#)R zdaKZ^PoVE1U1FD~%gk12!{pU)oD*xNCM(gp#SZF#ckNtTNc2!`uqLr);VwTnBbT@__3&4MJD}e5n0cCelNw+e@w)NMfyZ{nE3&4NiwwGv|bYeGKuX#;0l}iqW zVLZ>x+8eUxY~{`7{h?%LSbOVl|PR{Tdc35&}L`d$1c)8;Uw=CE<&ILHTaNpswwpisL3+p2|n2FX9{<_~uWLQ`JifDmjq zErS(R50$IxcEs#TFB_jw5@|=ukXpF+;6?iYw-h&aB53Ek(k*bDFX3Sls(oDEHGY9! z*l?+AVeX{D1BQU**e2ADC$m8tk54iDz~eHI4H8zM;Z(_M$T|)rm>Ufk6;#etGAw&* z1eca*x5$n-ibe}5AQOAW*f23f4a#J>hY#W)8L~&hKd}WKouf;KBUxIaF5~EOQS!K| zp;p6pn1#LKLALhhyqK{C-fG~_R@F|JZIlCQ#jw2Y^1oQ9w7j`d`_wQ1>fKO{ztr<$ z_4i>%d9@18$1KvaW!h~9ylYc4gv@dn#1>?O>`KUf4n3gZu>q?fTL0;Av@Y#V*q$~# zB}Mm`9~7wO+VN(fXex#YfW2dMd0X838jC82yNdfD_MrE?B|x34t+Z}{&f8*9xu<-B zoo;FJ_P7rI04pfaTsS>>DsC-u zDfy)i3*`G6;UugAX`A*m*e;2t4!7IV%VKGTjA*;)9X zx^xh_08@NKRe+GKD1|;(d>lTqGa%bUZKJrt!Kw;WZ8rBR8bV5R8Y9`>C#i*)Jn0(3Orfe9 zGl+fGN9;+|Rx=56vyU^kTS9Cf)nPRl0cs6r^2x;5oqsA>F@r*~B7<&1D9B+zZ$4Li zcz0w$=wh`)rjh5A!hb3*odPHiQ^;6PHTi-cj+=JE4U)A~D53HQ-IPWLqU1OYp4)9n zp--PQDL}%(269=!a?CIaBf6 z_u(+rh!Aa97;~84!8bQ7ZN1BG52r5L-Y zqZmGpBwj=V1xs70$U4Bd*2crz&4CLD9YD{9@w5~r&n&_hQ6NFWBq{-sz{?P%V)`|1 zL^}h;fnz|SM4Y7M<+Y`=f>Jpt!}Mb*7E~vBxpdN$^Z1MBu~&t0fCQQx8Jj9Fh=Q?` zB>3>D^AQ1T7CF44Bo|Cc)QF^-!D>ut@(Vq$$xWQTZOD z?4tYoHF&7MK$Nd6?*RG6o$CgXS+RKFwiWx(+pf7U@7;0yw1v;Um{oA^@>?|c?mg4) z+X`zYO)63 z-MM+jCy@9@p3<`Kxg0|o+wQLG+_|CXK9DC|cyu<(Ck}Kyb~lt99vs(82krgTB|z|9 zeha7anQJG%aL$Iw43K;F{!c;g$w1lV(E=o=38@5}?oT*(vNp*kXWAoA9R0Ji_Z?_Q z#$`?Q@U%}nvl*ihunXtqFD}vK`ypiY08a4%wn-LZct~>gF3Xwfk{fqkd)$kNcEwY_ zLbS_od}Nar()7$RlU~^Qa4Zym_^z{`U3S}oEe9avK-Z3I0ge2tsZj7z9p!)~Lu9ii zBjj9OZs5Gk=FUI4P1>)xOqUKDxl!7z8;*l8Y9I|i`35wn7V9R}#$YbWU#}VOHEMFv zoOB6C>ED*F=w@uN)nBt^mHE>p>Gsfs=gK;jm~5TCCe-x9NvYJalcEdaWj)EgOTD83 zOB-?WT5oXf1QKr11zVu^UgN$%T}gAZbAm#YHH(X)$z{G)dyDYa$I7}XJk?sgCMFk! zLvoAQ7=5zDT3t0Uv_5sFw|bVHT>RCA#>}#)T!Oy&CFxGH?M%;tfI;ZkcWTs56?@rwvsIK;jMI zX>*avB!K^5s;1S;M7Ii`(0(<#Q2rB2rUl3)-74);VdI(Jj~op_DC2S9>Y;O?uFMNX z#(Osu0Ad*32H7mOkn?~p9`6-uZYR0l{l@HcMQHuf3UBq;@m6WzGs#k{m7hiLyCN zy(^Az%i?t|Rmx0Bi-#TH221J+nUU++MKz6tknmg0(wPmSaw>OLv{4Gnwa4XkqZL3g z%>QG5xd2?AZjDRjEHDjP>f*8aRcJy`3hsCt(X;Hs-mXkczhv5wOnq;iBX;u6Lj6&pbrDZP;e{eyzLR8IZ0Rh?-Vgz5vz6qWD zk>-l_fNG2?g>n5q);cYy5K+Zh0cmv33g=zp)f#ojE{N7`**hV*xBNb}ti-#%D329{ zkR0s%yR6F)-Np=tNdk3-SMB5}O!lB-izdkQ^&4lq(D_RfJqn-DeRZv4|AoDQ^hSHR z+t$3u?QWfv=QS5?957W90-ED4Jg+k`QZT*dFX8C+wEOMZdtFOFZ&c(>nB2hJNkoZg zb%oeC7G#Wv3}nM14DIl(LYT_XE(O)VFR3%EK-yU4g~wZFY&@M8E}FBvWFv`mYoMlG z5u7s2jF5{99XS)S{UV};WbHi=C88avgV`y=tNAZz6X(0#N8ERCQ2lXe(;%323a5aR zWt!lM3%y&YMP)-V2pDWPziFLlN~<(=d$q7V%Slc67>(hY&GHj9Y^PG$K8dQZeKa*t zh-+f0-Gw&YlgNVbN2WxL>4fJ{#xp9~WI@82g{m-yb(zxILw@?hO2lm>sD(s1_YSI= z=#i7C+F6xyibD*f3{!weX$r!>h$%3@(r%K^j?1YfAqqJ{Dx{%U*#TwI0?U*Us#fSC zRj~-@T-lp6+mL)BVFO#*)R;iOV3KQa1fdKeu%T61yCDnPQ<|ojuFr6=kmTGs45))@ z!7L{e5^3HR_!->Q+l|~IC~35nGk1BlnTXD*BxTZ+;B0V~9}6}LwV#VU?BG%OSdN~v zE%|ID{CilyIkx_YmkzJ99KV;2rb>S04T%HZV!WKV#5{jokrb3ic}|Ngt&24ht>O0_ z_Z@2YRF|Z+=b3n0@n*9C&=udpTQ2dHgP05LUMW^}IoBb=7Q|rkI4Z;_foB{H1PD{X ztyYAuttKMp)iP-<8!}8;OjRWDxMq0fWQeD zs6lFiltgi9a+)w$gCdoGhXmV{Twq`;d9*)3N7B~XZNgEKsy$8&3?Pef1MvW=mkfb3 z1XCXm+6K=t$;L1a454qbtc09%0}&v3d=IO~>Y zo_&^H{2*QOyxI3H^NOBPe_fjQqMQia+z*8PcbWIp(Puwv+}#uGe}3g3oOM?`IQcsO zVM>m1?T8_!dL=P_TRn}ll@I*cpZcD8+J9p2=$CJw_&3UXCUe(s=))ROw*QIzm)k~7 z*`q!tKe3#4oAQ{)eHZunu2?bp_r3W&EJS7SUYoj_eAoGTJS6yD{p{!&j{mZGCti?I z$7X)@93Asb-?MFK9D)_iU;%iYYRST9P^0po}Z3-Ss6VHdD{xTTN1#+Ds=5?Dw*oB z_QeOkQL_Qp;_pbJrJig!bN z=Av{6(DCwtkJI!0zE>VH@9N8cp=Z=>y)#~sAM1a~Ki~G>X7c9*+}zZtdtRktM%l$| z96#+DBkCePHMK!;Zed-bhK>dP>~!C@pXR^R8+x+$WBnOG$KKXY{TflW|0DT->j^#d zg!r&LZg=XtL@zz!J86e+`O?tKu}}{Sp$v}MDc3l8cj0L~?(07<^yA(!-|0E=OHzDN z{_<*i>9@Y0BRSpq$Mgp8>7DVYoOlmPhv+9XXfXNU)CBiv&|pI8z*xc&pzL<%FX+u* z*n9kind$v6QNLXPB;0xCtv3gsWt3fpyajg)a~BFm9=1^!pu3UFI~}B{BxDC+@-d{d z!H@Y&{q2Q2#L2I!yq^4iZ{im+F!^_np(kJR-L%5@$36K+$3l1X!8bU5#ZCUJ>Cd+q z7f<&+G$3*0_us_=^}V`u$U2(uL!x|=Oon&u)u^xSrSvqu#I^`tRa1`s)}$x$jQLA< zK10tRdv9O2`QvNz``bs|7@G0C{MhdE{PQiEy!EG3eXmT;f5i#*z5?IcI^`mwQa@q3 zA;Z#6ZIpxnX;T<{ckI1Adwktr$bUW+>goH~gKEalm)`X~>d(LT;wd!anEa#VQO7?o zW+;1g`Vp1$3MO0iXR*D+5Cwy^TWL;9cDcKJQdRm`CDG; zG5ZE2j{N@XTOj*-+)?`e`VNNZXVh2K3)I)JzdezEe9akK&?ul#xA@ynCzU%5pYC}) zMlaqf(Xx2uQS%}>>cyJ_eVK{(a?4`=If?yWrqBJvydpO02h&$R+2);6n{8Rl+QcZk z+_DHEtJc9?2KesdRgXOFduEgG?A|diUNrGnnY;teZ78|I+hK4Ujtvpur^itgp&Y>jB{Xa$CX2xVd@rzmh+tH-ARx_!Tt$ z#ae%V?c^EqqM!QiIbqBz%32!o&UHNL5DTd}qmo+|)4RE70D|v8@NK~vOocH|%{>X2 zyuRa;;~vU8{lt<{(7qu9>_UB)*bj-7TXjvT7|6u;dsqZ^g zuk`pvN{0c9{@eUMb-ykhG%{-zCiRG8mVWN{9OaKR*6QP%FT3&C%P#)ytJiMc|M>q- zJN37lKlhD&mwxE+dlq#*`|`!VeP-Pc_P<=mD7#tk-5*`n^|zN#df=Jn?|<&^3`=|b ze#Xsx;P`VkGwSQB&38TU^6`H?8z`?2?q3ch)?+&MKb6C_W$qXEKla4R%YXafL0DQq z$7T_H_x_)g9>4L6-s1ktcRhLE=9~X|@trGn{g5$YUk~qkd`|CdlnnQ(p-k^MJb3{4 zbky|^+{K!F6H%TOy7or^_WdTF{p0QX`!9VYu=1$=cP+hi%mY98+(8)NlOBKdmz($Z z-@z(C_GLLua;V0a{Qc~6Hb1Ksyz#>Sy#?^OuYP^=0|zd;>(Z-_diIP#y)}sKvj<+# zLN*MNFaIs1?SS5=KEC;tYxll<_T!t=-WTq={J);r(EPx2izl7>`wf4FCcqNbruyy8 z-@JSO%e~rE>WL3r{`m1Q?13E0?j?Ue>DkR&v?iOcxE{E~yIJA42=DsTQ263Ev*2TQ zyvE)Gh8-af%U7I3*}bx_AE6i1Zmb5;02oiJ5ht&MNj-#I!)%9e>uZfadrj$Ug$&`Y z2F@$6Rqe2KWjT|pzpkUql7XvhT`6iD382|_gX)VcWf@|7p)+@3OL>xHfk&?4B@3&W zoD56H`X7-@)Lm2E;QCb(8vru77W+yyl+Mu#x(3C=mS^RaCc{yN1x`wNnwE{27<`vO zY(bU`zS|%S(qyANIo7G11#Y4uufffudgeBSVg(ySR<>vm$+6m0b2N0Uc$?t6S$i8M zM_CQM!%oclI-=xkz_`k1!y$~l0yG$@L`)SnL6g@vTMcD%0$6==WoMG=3IpAh zadZ3Fh_XhtpYlZ*_R3@Du&J(b4Ff{RGF+eow^>bMK|-3=${1_n&sc_4Z*Y{Q;D-84 z9vj$-yq)s_Cf9uyY)5Tja-pAJw$F}ba?Y^5%*_e{npcyD7&f5EIyrCG-fW|ssYZmn z6$@s+hTZ>@Egcl&;$UwPcNoA)8JQJGPk?CC;87NY{v+90{Mm9m1a3SV1|rpe%ikml zx`C@l@Q%srfRI`z;D!KWmGWFA0ISv-|EtVzVf=L(@~$t#H_nA`!IB%*$h^JWz` zSzbr^SyRH!+UqM=!RC%QxQiZOAwkx=BPx?JjQuZMeAF`1S+;TUywfRi{a5Q?vl}+G zi{oaayH`O70K;QxMF1pjPAdRtIo+Oe>(3%i{7qOKXDS1-rP*ZRtodZw-zxn=49{1bMTYyzo^0tr?UIbc~MPYhr&@)~6e0kQZa51*h-DV%A}pk$G`xml8_w$aW% z2nHE>7&x)eI>#(+ryZmitBn7L3!!Wx7zme09FF5KGy}7eF`$5;`v4495FLW7cZ()# zX*k0jlS=izFCu%Xpqk0gVlG53lwxkPLU~LJXWj0s?0b zQAXh4%UUm}=>utFGSl%N0U8_{+7G=PxjLd2P|x2s#CNDgfjw*hqA70>PL~U|0of_9 zjImY^B84_rB1-lh{BC)b<2l|UJJD0?L@HWjw8Zhwhc{rNR&7ktQ*Dy$xu!Rwc+_%T~5t#5;duA`0}oiUl$`(Yc{SiJ@-&Z@-l2RyV3|%IZfwhqXajqdCrEGbP`yXtRRqwb3#Bal1Ug= z_>GppcmVsB6#=@_hC+3=hMZ^!N4cOAQBLF+qPwhyP1VWV*%u;Mi=0Q|ne0sj`P`xQh7gdm+&|>x z9DJ(=$ef%JGHfu!RPV?pS>NHt#auc(&#$G1N#)m)vw(Dlk+!vo>!D-@$UTI+Lk@CZ zkVV;rkd)QILb52kfOmzEn*hO@g2RhHmc<*p(Mh0AB_iiJ+s>r~qrnHCz5o3#uG)0*fHqENJQALZb-nkTRMDAx$pos8qyq zRXS**vhzBU+t5~MazkiYI38+XqZXwxux$fQuEAkOb|CR8fm#?)y|N9R4-D*ERgfux z-U;VVuT4CgN}}4&*@T-_V0&{5GItX8HoB0H%BD1s#u=xW+{OEI^cIvjOrMk!F(Vn=p$TZke63gX16sD7yv7hOPww_Dy&G1b94Vt(TT{{Ew`x#teu&?bA>5pt5%PO!6K&rw zeBj-*EaYn)9ejZtgm%dFY4+gokf7xA(LVg@jnN6?avW039>VfTc$OX?wZCkjNrCSc zekUn>M)(n!n`~&aV+uHq5Id`2wTuwiF%%tZM7N|~ z(6F9?A-5=QO~z4Sj1oT_J|eT8E=S{wF-2(qmux94y``gJif)i4-#av9aEbZH973GR z*M}@MqiMg2}l*?mJ0+ozV_`j;+jQu2L(!LDOCU2K}MY11P$3`={UY3H44 z9X2R=^3p6BdyCxKiT&q+R;$SuoDOaVu|4C~TRWDE?r8&vveOn$?6_rx#^h!0udaJ+ z-L#VWV}MrMQGwm+Z~G2Inf~k>ZP_TXr3qui&ShBI7c@rfK}|SHM(}-S(6OJtq$n%< zz^ymj2qAZUWB1MtA9(Vt)21zaZquf-v$Fm7-?^rVQ(1p7mH#-(ziZ^-?`93#aHxXc z<>Y+v@Oo#-_cMa;=4nqpi75ZLX%jZ#x%q~&1D&hyZ2LAex&OF_vD40#E-MIN-;eJ6 z`#0_YLco(3{95M@Z1SCdtl&UizB>!%UWgGP@Aw`3hZbzV{m;5|Nb*|SCKu~%!$%Hf zHy7m%V_zF(XOrHIB!V^B&Vq0_I=e1jzi^A|HFOj-w>sgbwUgR@acOXR(hx~($m)4+ z`l=hk9|Fqm^qMbXGukbT<9elcAJ%*~oAa=p>Zbr=YtK$I_^#ODr59OOhi~_OICf#O zr!e}PI^!()*VqH24FlwYR&UaO*QCOs`ZTa@>)mF{WoS9SXPG$|;9w&Vn zAYC>z)3Cp8QSw2(RQoLKhTq*(W&j;H;;qIKaBw4gle2U7eti}Jt5`>hKB=b=IdH5nlv%;L9hSm&AL@1|uj{0@x}hsbQC*(9-Okee3T z_cSw(Rf?tuRtDl0Gt09KhYWH`Ez44r2VWVudvK#ez5@K13eK^@2|^5~2Hh0LY1o`4 z{fdOao4#Y~M5w<3knbsuDI|VZf5Frp`@epLHVoe^DmAiVUKc--zP9zyksHAO* zS{u92gD8;@G%tKkq7=Kl1f7j!P!p0FXEiLnY!h|>SJqWW^lDIrASCL*JuHgP*kZW> z2}9_{gaSn`WksV4XS`Y+f%zDp7%`CK^DQ{=QVb6)jsl?*GB=u@E$cF1wmQ#iYm8Vl zMS`u!ZYv`{$*s3Rd{ujjAU$#H} zU`{=_ZFl9`GH;93JjtQ9(_5ekz+MdLV1N5nmckAyg$EU6*~4I}mY5M~GNh_DBWh)M zqwJxp?3qU68r$`*vX!Tb!qH;v(2}C^bQXqGr9Io&zNzIL6&nz}$ z(kN!XL^^K6!Pf^n(&@Z3GC5OWm;E7G{5nmt+#}?J7JS1@JF=#7KIrPw;q7C@65w4? z_8ESz7_1y%tHk--!;=TOF;KwVis4;Z2%aAl61JQHO?*NboRI-|9b2(|cGNPr|XvF47pEVI&zqy(9WGHF`S zq|$K9Lg4l+^jgx?REiSid*doEufp%;nJyHp!=?o(_fJGs=aZnknSMhalFGs49GdvI zOkO6r!k&rVT4|UTW@urTY1P!4szy^LK9k*p(N^-hSS%9ZDGgyVB{qm5I5^tgegG0< zG6qt4FdJ;s@*;4TV3WH4kgy5vJxyG05Wp>51zj?Cgk&lRbCm3Ha@yag%_nT`>ajJl zkO41U%#@6U25T|33XCjFeIc$fj$3@0cCTgW`GtIHlD^g|2Oov~7H=22p;we%0~9AD z8$mICG)Tcg0(D>o97Icx;EhD90#`L6bv%?{pf=ao1zMq@+Z*yHvr65jv%y-5zSC%7 zOh=4jgD{H8HW(L1sm;(4QgRk%c+}GET7JEE`_4*;E?6 z;e~USxhh{y7srw8CU07O42Dq;Tv6V$iy=hf>pE()a z5VUFT5>oKM%}>92X!c`Q{rHAAcHbj~T)BSJGcacs)}Tj`H-YmCtet-;jKA*KKp`(* zP6}SBTy^M(TO`$GPRHKkQ;^L0nya_R{Roj2ug z9M2Z84&ny0dKEXLGch+W;dCvKt4ua)+Rj5OXx3dM69+IIakpIYbHTI^+A|*v*T%Oe zlQSz`DhgUy;=SrKHCO}p;FYXy`|KSr1!niGX{ZwGf<@@{eja_Dv*`BXq+pSrmJPtR z#oYf6S^{=-d;%-WlLD*1Zw$ur*0+SC&j(BFnV4u@73oP6=qS;|? zKykZ5Bsm|PAlp)i)<%w96Rb+Y0iRwFtg1gyVK&X=yVhxe-cT^s^OVJ+so>9Rl_sr* zD?5}8NQ~9+{Z*V%WE&MJmmwZV_UTO*#0i9qbC042Bjj%@^!V8vS?C$jRuv5dM4E(9 z6%3^yAWCL^cqt0|BBo6v9xMurt)SRg*En&OVIMEqa1B!glu|5JDk!Y22hoHK!ED;a z85oB&Q%R9J)&w``w7~YlbO^|qoPu*6 z%OvI@NS73Dn|XTamyw@E`%o3*7`}i;LK+QdOpKarJcfw^@|x2}6tED4kUYBrlLw4- z;g1 zjfZ!lkxM4DX^TL9FxU~*8$N;Ae2N{Cy?~|7r~alGooz8l-QnaX6K%=&5-)5CuE7)a z&c@G{hHp(I!cFmlJhA-%rq-=c@+vF3V@f!6O$3m)i6r&G=(K1x{6V`oJX=V20v`}tx-1mUK7ERw&PUmsna zFgh|E3!h}lrZxZlMIIP=XX}qNrnM)y3?_G2#ce&`4p(0=Wg6D|uVN99;~JUMzSh#% zq9EMF!*%b-C??a&ZoHHs$uhW+9vtuA$H1MIah+sbRaqCZ^}<})I#5HA(W5v$+ZiF7 zvS-m{ktRoxcwRvT>%jtiVSuh2of}7o7cd@f<$6-@;y4&44#vyqTtO0aj@_=QrV+t^ z5OFRh9O;5bN^ht;QuU5f$x5T=Kklr_u4B` zZ;&5-mB{a4>OKwcdexzS$qDcJCS<>P!{*n1NJ8d*41e_p_s;^Uf9a|tJ%GF|-~I8q z@9&;8xAD!FA^&q*m(py$3we8LuEH}BsoIfdcys`URz!HW@ zhrR~AZ`-_I75O8avGfp;hl5s20J*9zmt-1$?})1SJ3t7lh=brRtn--$mqCA*3Jp8li~SfT!>efBe;;e{<2cG4xJdrCfno~ zWVB$tW{nUVtZpS>@d)H@HE(TOKGUGl{&#~`bY)9XG=AWIGg996)G8WGTRp-sYi|ZL z?MxuI9Y`d%#LJT{!3!~luc~`@R#n}qJeZS6wqeQuIB&@<1n7o`AAqGbzaCkato&%t z1sj;yR5iDD(OP;$a=-b`^cP#Sa(t*E0Ezd7=rx5Oti2}h?&zxS)X#XiXkk;uCcO6# zA>bY*R~;@--n(jg{_{Zid$i;W3;#Gc4^t>%_IkO|vOK~bDxR9(_AM)VS;@3$V0ZY7_1$Zhfw49wA-nlFZ{ z!cC}#or&`M>uxCTX{(NGd|}emo)#;HRj{Vj94IfUt#0pKA8+nynNqxQ%TKE?gtfvN zkn&J7Eh|WNnB-8YC#c9AwW*Pxeqk#<_j|_SO6_ z9c%sDSI5-Ig2K|?Jk~`~mwpRvt5Z%at+D4=-WQ5kOF<8wP1rMZfdCo*Q^j1cqK&Lj zPDLOh-i`wlJBt)!f<_-ss>W}ClQbkPj*UHIp}=f@wSFGsc%Qnu5QueOUcep}c{4a2 zHU;ZtdC1WuhE1Wf8f6gW)KZ>aCIuv!zzhL>Az`rXA@gFuRcUNe6$16H0DygK%FPIy zWAYHIDkHT89<5-MU{f5gl7Sx`fAmXaQZNOfNTjW1(;&fODQUDa9lM5ns-V83H_4HV z<4+n#nWz?HQU+e*6xb)>kyy7r2{Sw_srOpv>n|HWI8X$9jYx=FfhvgO;K4~c3c?f- zQU#GT{HgPmnzZL7QZ6&`^e!1Sp3bfZ0=u6&U9rP(+wE`4#(!42t5{Z*%ujTqL;OVR zT1?shYEox3l5o0;>7v)NlJ|e1zOHPFR-31Kr}YQ4W#pyJ-W2>s#l z0Q;7P?JsGaO^NPg>w}55hl1ZvJ`nC~{EHHb9Xic;rO+ch+%ZL;ye?Q=_ZO2In-b0U zHzgv?Z3ocg68XUti$Z%$t;sqg22?| z+Rulh{~4U~r3i0~CMuKPO+?o12u^KB_ng)wAv@cA;%@Mf@qGy1c>pK$&LDeT^AKc2 zNr}ka_JKo2TjKnUZ5yU0^YwN1r>&~?PvRR$dwT1Zg-oB=IuqS z-jR=vVTVv;6K8qH zAq{uF=|ume033iVndc-EFQUT9wu!>%;KLpi<@z->bPAowYHa-0bZC?}lmMIb zaI*C4H2s2DBp+o4Pkiu0<44ZC2eb#Tr`F-!GhgzcCDtxxK$OMd@|e;5)zq5)z4O~^ zuKd)3{$u8Z&+wVC@&=&nrvBHDQy<*Vo@LRA+W~q7=-9j7c|8C9)MbB2z5fi`r-W+= z6YlE6qev@x=W}^~XM?Io0{!zQyw*4Ina3~wZ&q;Zr-A-P;AqajJ@D4C^N;T>e6K2W zJay@=y-WIPCN7CiJZ4Tj4)Cb0ea1zp^g44&`YCOf=s#fk{KXUdt@E$uVuqMMKQ))x zf3t7FWxpxdf8$;KeG{(ko8O=M`1`3n&$8q12i^z7Fp!6VjOiBJZo4`8OI9{3QvZTu z%v=cW_jpX7pUPl6(a!u{d2{QYbzo(J>;3JYrtY1YMLXU3Rd zh1&AxfSX%{1=Il@dyG%)7oYe)Vq)L?_w0+GP4&Obo@TEXu{a~>Slm${&p2lP*Accy znS<7$S+)-O#+|>-qpr->`GWo%i{9;S=m)@juQ#DrW4uzW+z;@7dmo5<1pw`RqIYIRB?&#&eJV*E8wXf$5(`?0_$%7re{b zIkgT#yu4(>{;r9^+b??Go`3wB;(nul-}9HvWB312;p49tLdc8ujQxFgc9pTJy`fhv zc9CtiOzbN#Q`%^@4)dFjF|GQ}iFa}KcQ^9+_8%RI->I=1FHFsAXA^J!>~H&wPj5tH zH@mHG-(t4wlILFt=?59^_d+LjK-!yjS9hB>$FEU!U0TD!BQSz&)dp`BkKvzv(QO(c(!`$_k zk!eO`^0EAv*k#A8Ki*Pi(FqZnD_ANy%BMivtn+UqAopMzJ6In*W=<=zwp$knQ$Bupc+SG&__U_**TZf1~4_YB% zGSiD4>~!ql>MeQ3H|K^2uDDmW4rdf)*9Y&aAVF6E5?8{c-n4I<4<_|5e*e{PZIigU z`?sCfb@-p}*#F}5+YY~Z=h2JzZ+mRX;iI?j-vsU>cYN{bP209QIIhq9=7&J+z4MO` zAH8YcTi^MU<414)1);opXthW0`1u8EfcgqJ-F@4B^UFhjecAqP|M~dgU*7TR#cQ8< z>?p8pf4OZ}?{8<}q^qAK7~22+;)93&{A0+Fg3sLXieEuO2i~^pl{8xI{y*&5cKnxL zJqn>;dGBBT^H^omu1^`)4~sq$dAL3Lm{un&VUOmr@h28c6S_$f`f=dH*;4 zAn$(yFu>1%{O0#a{ntg5BF5JNlbvuG`-a1^aK`1=$XquH%!pzxN|>|KXn@ z%ctM~5Be0mH47saz`j!NEHX+OC!bEAI4HZJJNuFP6zXd;fswWt^plNnIwG>fapkqa z_Mp*9@UHmbQuc;ivK6;1vb#cos#XwpGKuN*>O~l5V9x~p?A=^rlB@w5mK2oS8=K2H z+quC|vV&IM-H}^h&f;cX4epRIG$6`i-u>=)6y&_-I0+##Z^HMUM)R^;Np|yQt*|y| zh$Li#JM?4Tl!(quHghbOph@E!O90i|%$smLuel21dT!|Yl4&DW473l(=EvBAno_#txI-*7@<&3*92#2u;gDs2{{B6pII zHIdpYz^yb1{U52x;+7=#6(BJw2>()%i__-JY>Yz%A{PXUB)P$Y2fLy+9u`qG)RC(S zO0jIT6>6=h&r(obK3&nMt%sT`fyt}P`Trzt?)BtB$d*1K*^Qpw4!K0a>E1E`Wj8Oa zpx?3M;Jc@IyZSCRb)CqXMP0ZR)qBNbrf^mc;Ny_d*!z^l?C6(j^~bpXiqxeDZ7 z@?e9MU8EFjCQYDsceExP3~uc1WDwFT6_nVv;gtkp+Z~RBEvZ41hGK9>nt;MbK8Qy_ zmemj@D+}sCPIk|LY(S7<8nvz?DFoD4+NWTm-13S``i z5IZ~ zgoIlmxj$;wNVHlHJ(VI2LX=gwxn?4Y{RD!a8cT$dmF+da`%OkVC0P|IF?knBO*#`` zYXf(|vZ+LVcx8yVONg7uVPNo5xB>)UkWnOneX9ir267uk1HYPskRk#^&q6CtlR=qZ z6Ea64_||lS8y-U_^i75O`h7y#l^!HLNp?Gm3}pZkcYs_e;dB*uNXk~+o?|JPB;ys? z55DUod#(c8IN7-dsdm!WpzFaLu2Qi>O3g~j8)Vd=OuHo>DzI%45aY&{^{CT@29#YZ zPrSTDgu=nbLRBeGz`&7^{4 zjzc!>0y@ef6?Bxyc)8mkENce|cNr;|=ElMf#4Yv4_{mqKLnbQ~S^7KJM%*#22O%##dnt@^w5oPR4B~+IfwUBwzC$dPJB_YHFxdv9LB1u8Nt9)dsAPw*`gbc~;vl1tf zY{#+LLdMA>cNJY+_T^twv4bz17_F>~I}w9YG6o1GI-euyHnQq%0s~(jAYDQm)$n`uP>ig>?P zBp71jSe1hVWiX?Kc<11+DpdutrGXKBwm=vifPOL3o^1$aMTv0Q&(omP8yO~_S@|9+P^sd`rQ!8mH(PE=ifXJp| zl+Pkl8$iH|WuRl}NF?4!c8NH$YqV$zIfFQWSVUwPlGVbKTut!`XD1ECE~x}Y>=EF&Vto+;g9ZMqLJEBtYk;JoWAAmzk1RdxkiYl8CHJ*A zLrC{W>)!#Hux%k^$31faON)h79)4u$?`A_b)>?nM^Pb(WTqb27e58AETK1Da*;H~0 zu4=tu^A4r=-!(0{RiW&r^?r@eYO&sm1olmn(^e|n+@V2LU-Nu2IGnCeHh~6XP5vB3 zHj%#xlh@^z@WnHJ{lf2;zWGA}-+k*>n`dv{{q2(0^|HtrncS*&mMxjvc&%TEztSN4 zW>gyaJB#cVIZi%>i*4xZWLQ^K&*K-#)**`TZIi7L`oc9E^7d?y^PtI&V5sN0hHx}E z*Q{L)fZR&{{f&{ti$-wr7WypNfsU1WC$N$VxE*pGzB2~7r?oqhTyGJ%FdD;(Br76z z`0KoPU8K9`uHekB3nmpse->jrQj}!MT5a`LnV(k;uG-J2uro3 zWkc>zu1ZP|T2d3NhM&c~nG|%$jaZ>28R6vbastS0kV~;Nt*Tzp6N*GOieTtbaMwyJ ztAP+4Nx~=isvQc#Ck=9mcSn<%BqR+>+YK_lQ&-$Ll<6v|V5o~gY)O;2GDqp{dT=WA zZo(fF#04Qe*ctsi6kHuiHDN)RRxQ%HBglHzZ)mKqDGb*qA|`RG%V%Rx8Caytc&RDn`&YC9Hza2VlBa7h&Fhg=yAS5-+*W<(_3jWGs zAOzEAs402ucM&Z$$3_Una#&7Tu zJ(JJ?&m}beFTk~=?A zfg;Uh*M<wakXznMk;tAco;sU6Pw(hIvb(doi1N zAlaI-Tek#Fs}LUix(!_Jh&?4TQ{*w$>JwrnA{y(C5P5)X*BpFML#|R*4(Zq-gk+KX1Nr>)YSF;eI)h-HP9?~r3+CMegn}1qV zU2UGvW-bw89(w~|u+>7uVo+;A1hTaWMW&6#3O5WCx-LZWUMS287_4TjX9Fy*A8|vJoPl{Z%Se5wVRsjnM!@E{{~{MAkNj5r{Cj zz!1R{xDzcFgQ%FPM_GjF8x_RV1`4^WDNMH!%a1Tg)w9mhT^WKhN_P^EdmZZFa!0O0 z4wjls6AMYwiZ)4TFArktOHzDpt|}yWsyN?~OfFJHCQns$f(@ce3J^n7 z(G7z`Z3EI{r9_6m)Q?a<&bCGFR`3ks_|7@wfxJ2DUCApkhdI{pP;Y*0>7m)1*8|=a z%I(MU^iXid(t|fVws;jDH)Md=&cf6>xbZ0C$h6XI!TC8K_p67FbW6QAmb~mE!%|Pdw;=o4B_*nM5*`@< zyeRVNv`=sbFnI?NGESCPEG~$&V^6nF)J^i>qCOcr(4bcWqhXzRj1n_J*Kp{Jk~LQo zV-qx~*3>dv0SO7+#f#uv&t|i$Hd$O6VPC;{t0=ZT0jpmVi~$_QB67 zq&#SYB2U>>FS;1 zY0|N!1n`F7UXFL+uu?AR?Ff>ecm*xxM zF*mYsqg)w)aYVKeOm_(zuOjK}lZK#3oZ?$7!;UQyJ|QsZk%J7;c{+yHB@G*jqJ?0g zLWJI?-NCvjE}n#^6;ZA;ZZpA)>oD1Ff{Zm7O~c~F7?3Q)gqgr9`6#X;=878rZ(5HN zonwQ+h8lK7m!Q%(_F=VpmVqCQW5e=n+WN?}E5{}(BexiyzV&h-RTDPBDFQ5m6roUI(1WKhO;#+n& zv`W1#)qU3Je;U=MPoVb(k_Rr?m~5Dod;kw8Xu>=Zv7!mQe6SjG^bO6)^}(p@nh)>+ zK9Sw$$;A%c(wuziC-pE>-DjKQ*fN;pL<7O-C#NSx+Jg#tSQaTpzZ&Gy^aHG z!GRd2YKUG=WNn_Eu;5q@5*$0pB(e$k;%K7S-#a;an`S&BjDORT`NaJpU!`?Za6>}y zl*1vD5Y$fEc*iH>no{sc$dpYOwg9PC%50?GB0R*=Ex`sJw19+J)h;%%f33Z z4KDscZ{`5On#5{I9eNQOp#Yb8ft;(L?8qdUlN1CNNI|m$yw!2m9;gmIT>Wqn`#fj( z_)zt6T&qA}B6NgC;dB+^Nxk7Nt zk4|ImA>Xe+-j=tQk4Rb0Y$p7)0_?a1F|a%vgAMmEPIl5j$uN}E&kz6_D#0=}v!e`0 zY{`P{fFv0eb)XLhaE}wlvIpufl&!;iauW0vnp)39W~B-3vr67`;mzf5ZIbY=ufDzM zQarO5sNl~?@3-AK-SrO2?omhYYcbXP#F5P}Zo2eG(#j9ay$UG1um0`|Ifs71rV=t9 zh_aW~12z`laR4KB`9qg)y3F-Sy@b4lkW++?h3vtF&|C5M&ix`ZS*-lsKLq#X12w_N zAbtfG6FTtVb&1a;Hm`?}%UwWXRC5;hPd4Gp3Ag3}i~KhPjr^e}e+AArCHG^5Q@s0! z5;6IW-J43%+@2;Y$ltlpf$_}Z+E?a6i-C3N7U>Cbt07xKlIv=cXZNO7N7{A-3zQH!S>9=1<8V**icl`&ZCVQ-DO~10N+SPfTP4St@{75-q>r|09 z4Q38`&*#Ap$|5tYgfYbbFD721 zfV^!=MA|WaZ$|B4kUmuHG&)7XBnU}Rk@8s!gg6SeW(fgjCr#+&r)$1`B|b?3Ile+u z)i4P~Hc;)DI}haDZyqR5<}ZtMuWgvwvuRSvrxz)oNPj0P@%hD6nB>;{eGs%xUR4c4 z=^b5J<0|OXd^S3;ONL3VY@fe}maP<*GrL?6T^ zU&t*3cgAc%T3RGqUqk2FD7k@a@;ee`CBqX4k|{?FN-({I1aBb4Xvjl{@qGrizBi#T zT1YVgnv>*fk;n_@4?nhB0P-FyiIFK~(@%;vDq&qo8wkdjR?q_iCl~=N^T3KQ{zBqv zB+LAkzsOjej`?Y{Kc3DV4}5K+99fK!mbl63gWbMtE#|pbPFZ(LA~LPEGLg3i9Y8+1 z&mF1O4CKZ{XiBCwet6=*g|DN}Zu#da)5U3nnbIIu>+s7G*`OC52}eC<+~@u}m}Z zHbhkcb_{{pLHc$w5NAlTi$)hIe~FMYQ5osP(^+56ksv%0m%2f=k;3;2tXdWlwL0iE zak)$+Se+gj7GPaT@5~csaOTM;Qk2Wng~nCyPhP@%+GeSiD(dL119|7{h}i{|^$|a55ptbZ&zX78JUX37p(hBpjj0Q%YOIGk0lkJdG6(?7iS@w+=&i!ny4Vn8Ch= zFPnG^zHiQAS5Gz4MCTMCDcCx9Wf`+c}wH55$4n^Bsoqu)GXIfOrY zZ)^X13_E3(2HK0@W_tBSyZR>H(YNQ~)Sn)G{>CHh{r%@ZaU;9BYyN(48+&f)nAbn) z#XWkUKQQ}P;MrF{{FAPU{Z){?CdD*B_V&kAIgD-Xb91?W$*KMH#b@BSjY~caimTW! z0-%*5+l>RgGE) zn|e}xKW-5GQnCiz?uwqdmE7vi&TJjfswuSA(-Isrv+p>(6K% zKv3LtXcW@hRrwp*f0{v&eit}mwm{tJ%#UaUWQjP2Q;_IEA?0c0%OlJVAI z6e{g3h6gPypt{n~2%MgilB0u+1I zIsi|h9W)~AyzRGr1r>c0kENz9-@fD-_V{PD{V!?H9t-RNO%E(%Wqs^ZdyK$eh^x$>*Vs=@y~c4LRYyR71$w-@c(JMs3uD{fCsJhr{Q%l;PKMHdYmSzy^| znI>YaAV(G-j%n@g$RhHAeSH%z?pt_W>cXR`<44$2`-@( z`c^&guYr#rx1Z^o@r#}b-=T6uim`uya$6Ypf_e-DikW4}X4p>+|nlX3;_?y(X7D(!HZUqN>W;Yg#A1tpg}DYmu0 zAI#^32Uma5@x$4c_aYqH48i2Q~mKO|lC+K_eWQ}8#+Rm)Kgcf2|u zb?L9P0YV;=+_KW{*tcF{Apib?t4H%19Lli+FQ0L4*C(B?s@Or|xN5*!HH4u71{G_q zHoNeyCrg$%u8xqnC#05Sw@Py8ZVqyTEK-ucF{6$ETNOFK!w6(((pupPi6{jt6#i@z zkr$KRbyDwA2bPw|*MJ;pY!EP~RLcGa6)CwZ>ir5zvXM#~|7Fr-JCSF9hGaKN*;4N^ zsi4nQ-Hw%2co^y<=kg%lD#}H6{q3RbQjtzI)RHF6Wu&)LJ2r%rNpfr2gT!6b%1z0w z%z+T)s#ayZBczMS()fxd;=V_6FC=bN4UtAnx|H411vuR=NXJS|TFJ3G)Q2Xj(j<8^ zUn+}?gL?@n$aa#e)+nEBj})_L$z+ff*fC(2!JRLKxaPRVD-7hqDP_r|XFPEm&CtX% z-pBoVF0xW^fb4PdKo6eCC%YG`)&X!ugmEOnj0lbhufGwp&>0~Y0`fMq$PQ>y4xl80 zmdm9H@Tr8WV0anyCh%QT5B4hVR_HyI$iUGf**zdv)D&+ab8L^>YTHa?va-BrSy>PWUMGE+f`vn7j6DosY@L0M#UQBK+HOKI8brrWM2 zs?x|+%7e0D< z`spT(z8M$H<+lk{iOJa#gE5hfB$~-8~5A>HLXuBVav|sPW^T2dE;upEw(_L!s=k2k8?&hNIN;IUxnQA<4ap zKo(VxonH*NN01phmYLyYjYOv2i7+GGUN!tB;#RT4y%B*RfxtK;0IgJTx~5J5nLJ!G zm3pTF2ti-=Y1=&CjRtz$PHWxF0?01en2@p!mz>%2kr2209K4IG0vf%m;9%UjV+V7Y zYrF~)luwj7t|m%BsR=?Ma#fr{3Xl@rzyA(t`+JdJvQ~*+ALdSb>!)^swZvX>eWT#SWFO z>_kCL3_=jaM5&ToGGuqSvQ?4tf{0A0*(=EiS7ec{PrU2oe=s>U8b0~VSATW7TL%>} zR=L$nfUUf6k!9eDs#ta-$lbtk)r)`CEu3hyoL##SqY`(c`;O~;ClIeuan^% zmyRv3?QmS)35~Yvk41Cj^;d`P5Um46x^2_JO?bZo2)-UUBqBD1gyjt)#|m0IgaFnX z+_FgTewUi0uht6Z`XjQu@2;~#EjcRC}4nShJ(n38Thil;RWcR}$2a|CIAu-Y$_~|GTvcQc> z$?ypQkhkk_l}DE7>uwvECZm!(AwKSL@@Tng!5hZu8(G+1l;X=!EQ%x}T9x%eQ|uOi zZgQg27lDXiCF-jo0di&NYlt|me=U&0VKWM01ze68QuLuC(^DysKS`f0RxyfzMF%!a zTPPTtL$Vn!1DQMIY7k7!q9G!lLs7J_Aq2M(vPCGxNfy4sP+t=ef#WYl8>BRkiouB{ zXp<|YauH9NMn*E{a|kk?>CHqii7qGyZEbAwr15HS#j&sHwg8*1A=>+nfXR+=!tq4Np&0XhKkjL20| z2y;+&8PQ1yyPZ*`$t0v-tp(B?N#W`MZB@2P5~zUjl+y#KzfnlqSMp`+aCXr=(*U`C zjM$UMS_N9|5-bh!$RmxbatiU2u>gw8jrB!*nkYD3i4l8+Bwv2=V6a^ht@gVQV%_|? z1RZ-SP`#&$o{BYBd{z!(d=?o?yrhk9%mCm0_C2pjRO6DxlDyiL{hi&f%sn_mRm1I0 zk*}qNC`{hvE;oE4i+rj7F$L_~eMABh?-;ChvJ}KhC)u(`ZsoWTw;CM8$Oe37m%r25ve@SaHH3Lp}SPDYGKywI|w%R4*17;6BwLUQuWZ>$N>`9XR zWr_OQ>~d!-cv7x1P-I&YpUM8h!Xmd>3c9egS!8hMz@Occo7{GaWGvd^`6NTZDIR&J z)VtePJ5s@>Y;*9fcSEownlKw1t5KI)o&l&{cu{hj1Gyb58>8TEj^L~L;No@6$BnP? z(-%ZepPZ`kqf*8H?+LMD&rs{|?kI#Ir&R=nlAMVl%Am;EO}3lK^($PWI)l5r>0css z$-;ypB3eeW<@TgDz>?#Phvd1Il186Jr&F%^eDA1=qrF`>!`;lu{0<^BQVZ`fwG*A|)pG zKgA`7!-1|C%Zp6;rp-f+W8-dJs*6p@##%9od?U-NFq&iBNH&C+Xb3lgeB!zsYga5{ zo=hvxVFj&c)yQJZL79FIZ5cvRL<}CNsz?LP;-E)Heo#c&fFne4$EB5aCbz|Gg6aj@ zZnayaD|@jG%0M!>v&oq4JAntGyq1@b6W1@MslgL)gF_ncYT_?)ArAVDul8K!l84qC zeS9w2nn;s_Qo(lecOEA)rhic+Ubz7HZaYwR0jIl=$jx&=jx!r%K|aYBQIajd9jsy1 zVPNuFYz3ftqs<^oA<&+T&j&Y9i@JHzZJCRW=!3? zpsHh=eEB_dm4a8&dg~c_|0K6L9(j2NcZTt+3D}p`|Ay=m_~hwq*%{o*RhU{IrmJ3@ z?8-i4{@&r4gCakVKjo^o{A4^^@O#j8ERnCsc2(mlm;27auan#Ql?NeU$=usL*~>St z_q%HK=Eg%n9ZqY~cpS1!m_S4P;{;J((}aeU4`Hvy4}o5E%>U<`NE{bs!u zNeXFYEJ=@d^aiCMIkvoVWK}%QJ+S6S?33dEs5lEFixb4r+>M-6NIA&~@dc6TRQL1> zwwG}tvXtEVHUpyW0HY|^(yDMWC&nWA`V{4mFh7Ar4-wK)Y>e617x){6WI`d%q(mC8 zC{SQW6IXo7&8UT1l`d5tF^k(rA7<8e_=NiPKQeKSxIY|br{oT&^=6gFEfys=1#pml z4OWzLybOaRAw3jRk6^Hcx3N{mORnKh^P+lK|NPgDp|(#4kb*<>Ov731rOAd*p-*28(9bhY_n>wJ5C#rqliXCRk z4pGV;h~VoLIX0?+Tm?A0_eaR9`6)WWLLkaB)l{3`MV8k{wLdt!;Opb z@m2>5(;E!y;*mVS=_(JF5O|N04f)`1qxJ1!d=rG!=A~Kn{DRzMDvJeQDKy4-jo;AqlL{i((oB|#g{O5`~aMw_eva;23T7Xk&yB6)^_R4!1BkwA_+ zZ?ogAaw5})(2kj0sJmTb&0XiQLcD}s#4LR4d5IR~*RvF4gM+n@1XK||scq(SCO)Py`u}kpyidne;~D zaw}*%PCiwiuwDDk!pK6_;IKCYj3C_6g{pEoS6vOmmZJD2E`+TvDs`&7By&r#bCXY& z+Ton2r3E;JlTln!ZTX9jizuaSwdMX0s>VxDG{-3NlHP;L$c*oDwkGJ(VV;0 z-JxH6ts(6lmhK(?Gjog=GY^mNopujY)XCQ2J(}trbC{)HJan@kI#wxo#R!pEzlaI* z41nC1=Uye@T`^hiN2~oAP1|ox(WQav8W-yyFv(L->SH!zv-C; zJUIW@5JfsDyF2{VK(ZD1F2Mjhvd7+~2_-WxpS0c?SJgR9?%IEsY#lmjnAt{uBSM86 z2KS0nLMdwqH>ELRb18^nY%cO3=9sy-wN4Y`>o)pxjmPtl<%9}H@NXH|wwPK!DOd53 zkWjq>C}s34WLQFG0dY=_nuo;=PKP_Em4g(iWy$W0uAD@8znyRZ9NV4TQF5Q0GDsu* zkx$k+uG&ep16RSM%xWktj4t5!6(uT+wgiD4`@whp+#9!$-VG2kvoosUp$7!ryJ2c_ zJ)Yyh9GK$gR+^lQPX;JBF66|HWyMd8!I6dG3z<0OWpIjLnk-i_sypM(L2(Wng7Qc% zH|2d1Ey&m_at@OK43ORD6~wEGRU?*5oCsQgE__0W?<5jEvlw>r>p$F+{4N{rIm3wE zh4P?6y>lH4BBg9KQ<9qABu6^-W9+=wr=e1&2)8aqJbX--KAt;u4_NpA?P-o365S_m zqXq{)RXqqA{*x6l#gR>~SSaY&9Zoc`gE}odgZE^2yRkzP$ipeJWN^K+WpjgKhZek2 zJaj0O(Zx-b3JymTH|7~s@0|&B&Ds5X26t;4xe9IqKyFz2L?Yqhg^8){I}jisr1&`i zi5n9K5F}`=Ks84E0CFkNffJCesn~&h@}Y1eBBa{wyt6LSh1fyD=?>cM>%^O@F6Mc}ZPd_@MJm?}!hU(O86qO4k|hH}gh*o>#M;DL+3H3nOUOh3?7Dl$n~ zd(M?-XOXjaMRsH3-mIJi;2w}C(50&`Ut8{D5!8+&?_Bh_Lk9J!G>Rx<^ia&A?Fyo%(cZnoa%4QdXTR@&H} zL)V}&_AWL!@Kim>W?w8@2aRfDq{#|ljF{u33p|<@xS#TY>phD7pECP%k6?WwMfs0; z1mgn9O)H$LB3Ct0)(<3-SW_koii2Gy%;|8UP-siD6LVn;893da|2#%2RJ%TIb zagX8%dDtU3LMlCiBczaBz<$6c_NM~ffAl&X)TNb@%@NWmcWC1XDfbADkOGh3YBInl z?H-FGB;pYqA?ltZsv|@j;FDXta~vTTdIU$vc^<(PGQcNI?3VKd103?);y+04sopt` z5cz7RB)USb*W(uhLb;C}{M2vdfky8fN0Z4O!4Z<@5nLeyd=m9o93jOX!4Z-UGaMm4 zU}dLv=6L5gLedwgBZMBPspJY7;FAiM!>!_UjXFY9D@tsxkn6p398JO=!O|8n@~Z7(PVrI26x ztW1-4ImW+m@F<`pr8&g?#t=Sv&2g2~d)ThZbnJEebNKsX*~Sm32EV__C)*CggZPnp zOUE9`O_t`oKY-hB<@ZU*tyJV2zfZgB>TOp)MaY{0HDuQ1 zNMxrSJHk8d^cU$=@ybd4NPQBlkT(Ol(P%N~#{_sGkJ5hgDUrY76EaK5-T>Kai9E|_ zh3p^Cs(-*}2HYbRSyo3y-c3c8EQBVd^=VD=C3#k85Cu1+^}ZZJlF&O6-Qbw>S3$$k zWIM^8q{t2JC~~IH%6ugoi;n;W(q}vrtjO?5oP6ZSCcQJb2T_p7O=)wmCxx^@v)X~= zY$4<-pjUe2*0QvM4GTdAGA3zKPUH}|s_v@AlG{g?MK%}@5cd>t1J2atj!s!jc2(gvgjfJ6@DSzY1x3DF_PUR8=#9s2DphVvehdRFe_0{lQxi}i%3DqUEJeS z5NJzKxWK132`O0F336pYoP<;|*wLZP3At7R8M7HEGNeg)g-+ydQ*uW%ULeT?_yTfy z)RV2qq&JH6o0HbtNA?>(6dAZLuD{D&**@b*L6_`lG91|znL;w^((gfKPN6Hi!j&B+ zE8*C>7%5n>fLv8!F2H9X3K=ul8(|Nd4M4`+`GC2M6>7Z^z}n=8lMfQe7z2#ZKePjp z2B25rgk58aL|(#?9j*yC6a#Kc;qKTD+6{x1C01Uvn?I{s2X@NI8m%tw1gU1ST~3tCf$R3c*W!5@$ER@plP(3^3s!HXT( z4#Gq&t?DUfyuvNA3b=Z!0Ntr0WW%T3AVX+DO-E2~g%C8<()i_`g4l88x0W2P8%OeYQ!zg@sUr?RU7@X3+PTD*&SI$X3Fk>g5Bxbsk74l?q<@Qh)oLq z3bF|T(`qFMOqfGt8?lH(mbj&`S_4`6q+${ITO)3GkjSAta5pv(Infz+9oqoMc0|5h zLx7p_!o7GqGJm<<#XeuMg^A+E=U6`Bmd3;RxIJ!sv_wTr1H2~463&#W z!P4#gL?>DY33d!UldPk=9kOd$6DFcU;wBJb=@Y~;a0b5i0Go7G)sU|8U2KwD2}##w zrenvs(^nN$gSzbH&p&sM*2scF!$Fc4?^O|l?Q-EAT`u$33YjtJeOw2o(gqbPhX};0 zQ7Ir*8KQ$JY;;Z72u9CCIIFX;0g8x&pa%r9bJP=6r4Q~7&;`-ua&7!0lG_FuY#^_L z1VqXNJZYpjvPw3(HpaH&4@pyl6d`v`dIMbtOM(hh$@8M^Qk>sQvi4;t?$Wv_6R>Gp zAj{#%DF{qmvyq=8$;LcnZT330OuC9)Ah|2#ekYOTV5%ygoKzO#*h<_|a0|vll3SmL zgBH8KgK0){3pnh2jime|{&d!dmm~v$?I~ugn;3u&DXJZ`Oz>_nkOE7UtYS9rBgl(5 zHYybGObTPkuzU4!NNuPY+n(`e7m--kc4x z=%D*$k+GJ+BMN&l7s8|`@NX9t0Zejb(f*xzG~gh#imIr9GQ z-+y~Ds^Mr8))s&B8{Hs(cT4xpn}70m1UWkQ_g}&~+Sj6rSEY@=Tvpl)Np>(g$8j_V zUdX5Fldk`6ldVIPKHzJO;A6N!&=gOeOfZ$BFWb&SnA~w91?NDEx?GyX{pgh&>El4i zHfvXbj3pmN=9A4M_h1@faxeuGeg!=|N^qYR#}0(C&@k3-DEV+Q5Tqk?jpHvaTh z!|AzvR282l*;eE-IkGtIsDvVoN681`BYqP7vn9##v?hk;VJ`xhIc8%a0TM#ki%d%k zu?fHt%_osD_Z6b4t1KZtGFO0^QV6q&TaN=r(^RrU5Mng5JdoogBucW;+cmqggzGKhjqYfbA-h@Q$EX}qgO9TZXeUFLEC?k-pSxVQdTo%{ zOfApsV0nf%D+bvMSw+<+t2qkDGwO`Sg(3Pd$BNZ-fMVyx?Sjb@F6Y6rU==Ggl!7+Z zWx-?v=NO?F@~+;PpoD_V)Mcgl$Z0DxxW~!v<&*Z{2z|n4v(b8HfvP!!>BIwq3}rZX zcI^x@cIej3N+v53k|gKLvks2-F3QNE!f2Or%JMS(tzqa;WH7rxw<*lm4g6G48M+N~ z1fDqP)vS^|muN<-t>xjXC##)NvT$NXLhH(O7B4EbbYZ8D7=E8;-0qWdJ>Zv5ihOkrA%CxA(~{4-J=wRaB5(7Gd~4HOx5zIZy6v&6 z4gsmri8S7uf2LkB!t+u1 zNzp`ixE`w(W^wP#0hB}ljv!-_LCW5N$#Pn`a1jA!R?{IOuWM^41`_1d=%V~^{R$ss z6BRjY02WrZf>^{kOA{*6kv*6>o)9eEI09~E&TwUqj2o6NC%r3S4xv{TC%4S%B)qCd z_@r?KaH=Zf8(Y2?>uC$dqR-D_wX3zlpTsx!Zp`EP8-rCn_dd~>T7V+82#ad`(d5kO zJ!^wi$&Da6)gbkbP!(Ir=Yxf{HNiiPURz!mO-%1GR%=+3+G?SP6N~hz7HOYo+S&Jp)*SL1bJKA2jCif&|w}bcm9B5|s2A4>J17T->LVto%)yD8Niqhcq4@ z{E!T@RP7v?151<11KmGs3w|KE)`~_K>Sk?X`qaX5o=V2U#)c`yMO&;`ZH)xXoO-#& zHzt<_+Vr4BD_E24#>8~UPCy7*8NlD1rptr%guzX+4NfaqPZ9)$4sLQRtx4_pM4@h$ zP!)vR(`3@y*szzP4-kb=kmmL8)Aca7JdI8oI zf*wMbQastVl1=Z{5;wXd`JajunE29lKtN}bamZCrO2H!t;--zGpdmQ3I5V=y#Nl9D zi#WO{3C1ZrO(Zu~Qig*0c&(b41&_-gY|;v1hZ9x31T2dTJ3{0qh)Fu;XhK<+o3ewE zp^dZ>TTa;wb0mSwt)T5-LL^6~IV0j0F1IcLGgF)~TjNBvTL=UsC}o>G2*6D7qRtkt zdm(j!ZUozn0N!yZwF-o0Jq}C6tiZ))RoP!L4c`7pRYa6B9Eq?}-KZBFuS7r~XIi_) zQ^*=?swd*Z@2i9vX=>#l2$m!paRuR9Toef!k?8>DFJZg^SLHK1O~$d)Cgm`k?k7VK_?!E`p$fr`|dWqCHKnPyh&t(pv9$oTc&&sb! zq(+}P@}bH<-S*J#duP1&7R_@HuHdR%uA=85r_Y0@RW{*hF5m2eT6*xBFh>Gr-mv+t zO}BoXTy^l3x%;FhN1lA`pYH6b+g9@K?oChM4p%iEdS~m>UAKJmt$#Ywz5A89AK(2Q zaPOZB08z-s#~MJ!eCyu1@4ZHkj)+^i3V@kCyEonX9(?Zi_vP8}nh6L&g=Le^Rez6t zy}OF9m#sr54cCBLgt60!0G_~kR=FolP42)jb0rN*XLizrb`HJqC?nY>hxI;lDy80A z;tAJE6Tnm^%)wul5G$JC%F4Kh&7_JP%J_9TG#SdVzF7)sjw3r;@1cs!(i=V*CGMT% zlWXvY3t|iTyM|=nXX4S$L-9z@#^4Wol6p}zUoZGfLhJZR;*8{U?bR8NorljUn8vwL zVF{)eGpobEm-09wk_Hysh5@{Wh7G7?p^K#$g~X8MQUEqO%fq$+6B5n(hrwi+@6sX6 zIC#mc9FO8mT&4HNbEnk<}N&%4fh-E>pb|x(c^zwrT#Hn)E@U7#A6nIu^op z9Kel-2eNl+!_0U-3Bl)nvKz|e&c_24x5r+dpd!(|Igtjve9)aEqyX8K?Ne}8ZZavD zgZr$Icjnf_1X+ez@Zt_K-o-6VbVipY2yD9zJvJaV;i@p6%4nT%ZnEv`*BXJ~XHrG6 zB6}qZH*Qbd&+Lk1{^~^A#Z&Ivq34rh=R_lqblTCx#x1RpPz%9pTH&TYK}3KH;{USu zHt zCQI~Ej5NH|dasEFmHOK2RV>=eim4YZ0&VqD+bY;z`x6j}MQSUN{J+nc-DCp+c}v^d zf6gbFoq6Ut&w0*s&Ybg{b7sz0!~txcs7VHfSZkKf3RhR^a#|u$A7!U0;mCk>7W)pJ zrK5^Cfz^|t+2ndfMvP3M{Z$P6w^PKupow)sZzJWQzc{g==Kj4Xn%!g6jL59JAu^=a zc^ys9@tNFJX;{}V&0&&zV|WIL9K{(rGz0TyhQt{HX2rBk>^F4z4w+Qc{T>co_Zg5= zg|5gw@c&gwcF(B`kkejDKloAoY*U$umr%;_Z)49V7>eIq2*EP&6{yVE0fSS(TllJ73*;M+TjH6n#q~x z*lUVJhF~38|M-)F-U`Q1u=f%@q0GoGZcihd376?uqZ}Z}%GGpg&>}XTd;>en>$hRc zNj&%tnwJ8!8x45aMK0Q48}>E~Jl@L-23YAdqI zedoPyfgAt8t%Dl!^?F)=%>;5gPBirsj&Pz0bn#cb(sll~e&+i~_?{iuv915Xwtwgl z7r(RZ`#bdfJ1XxPW9nbdyS!<@#Z7WN1uIhD?}(lG*m)gs`pWAgI;gnZSlaQ*3ZdtB znbkSx0OdVCu|0L+)YO@;(=#16bbNFJu(R?GpuO180h^#5LAeRcMznio;ZDHCbzk_4 zSU|5R`@8TRKGs-vSFQY5UXS5~BOIsPBiIb8NF71^TO|lQsQSaX>teaPcb@leV#I#& z+N);%d%l&Mb>A0qeNDk9OuHhL*Rk!j4(fPjaYwta{dRj+lifCuDmD_0?P?u-HRrTZ zo;CifsnH#&jsx^q$MfLs_=xh_@Ww<%N7^Q;7&5H`tfIaL{adxp-n~@9|3j^V>c$u4KE5;ef4@B9eR1BZwuM(4{)@NYCbMQu4W3pXa=-r$Nz{%zuwjX-{E~9w+@Y}b)ciR z4jSex`a-W;z#B&%T>1CzJ9zm#v5^H2jUVXs5=bXt(*LoM1${Jd|IYsH?_AuT`iEDn z*hjkmpl{D_Od8&=qrBf|+hs+n;=i}Gb;K@z<_3%`?!Ftg_mu~i{-!{UEMghE7FQe~ zfBS@2#f6m(XSUH}E$8vbLaLEPt{Pc@(<`_0(jyDJSWh(~BcSiMw=J-_UbPOV17)`x z_UBEP0?KqF&}w%)dCq+d)qBk^o;VW0_hyBj+HnlH(F++5_2#XfY=*quyWitprLa~z zo_P7-jav_GeRA)?Y&_I&Kd=u;zIzV9U_J7VT_1Y5xqEJW{jF6X1Aq4Qb^G4fb<-}>>%w7>Z_Oe zW63@?{_I33{NcAg8~|+FU%m6AOSiAv_r%^`P5iY=^1=^q`SrTD{%7xpx9oVTAe$ zb|~`AJJ!L1`)}C{Ox~A0Ox~A&_2P|?ebbs34<7pH;Z?WpJ=m5dWKdK4!HKWG^If3q ze(f1p@PeBjzUh-cee%ywYp88d!nM@ftV6UZ}4KrV_d2ls6@W39F* zA}tzA_?`;!YY;BOu{2a8EZpRL)LlM!}foV47@uaJrnt zKUxJ!K?w2NWdSJY_k#?`CL5qYI>`8#u+mye4F47a;2S`gL?r;8RFVc1EQ65z7GTCv zWH7LqJVaR_HxWK01RRv8*2zfpl%0&;ou+DpCP<5A1O6#PrbdS-+L=p_%$d*VeJt6> z#-HWv0mK$l|2EkKvol_mZm|WC&>!& zLkc}L`6S38T2{>Du}oGlRhw*15(cR`nh#89@KLU8Q{Wph&S@(JZO^#)gK5mqbSC%mJ@tDf9_h-g>tplCTps_HS zEpf6zWGgayi%bxf$ea?$vg^V-v+)DSM(+Yg6;oz?o~;DhB}5u8d#NBf3WDJEFx}g+ zges9ZqItp04;Q3Qb;(id`O4)luC zeHs3)U)gnflrucK-2zX7K)TW;t*2BZKG=Lf;s_pt7h7}*@ig>=fD0-~O5+I!%T>g5 zOm(haN|19kmdY^6!Jsmx~CwMr+L zPi8p0xRz}j7E%U_A-t$8L8!ZFOCt&g7Ty{2bc@ z$n~gzyRyH z1r92GznQH-)pIHvny{gSIio>m7mkae5%z{xV`MA{^^Y)B?DSRSBV-?L?<0yh<9!`V z!M}YWp6~Fx?2!dZj*9>#^|9cjWhloUxYJ~`?W+DI%o#(wU^|;xb_k9;qwE5=z^PV3 z;={KwStF-x)~KZ*o1aDvbjuZYGQrh!2n#8Tut(W!#?8t`as)KB7<|`DGN+zpw?c?H zC4VIu&EmRpJkeUzOOiAo$|@mqfXUJ@clA!RTXoISSvv*fI-5~;sjhh->R-N`%dG=8 zQRb8ja^V1nW9Ib*cV7hA)51w48HKxS`B90@Ik-Y?oe_5FL5`%?-pv-go*zDb1TvZL zZmQT}WI%5Ne->35(pX4_`|d6wWs3ZOvWb%I!58CkQ8qy?y+WH2mYp_fVs4=9vffJe znRBcn;lDpeNYaxFWl0!MD|72?Z-?S$B~Y|kZ>iUAS79$#ss5D2MY*<2RNJk*Eou(=dicIFS$;_R$ zNguget@4UE%Yr?citQ_c-#E#Ug`s@APJg|-kJAL_(g#-?RCY}9rmPKzQ*XdI=|bQ* zP&tYvN=O{ngzx*nQkDy+vh5-RgjCGNQNihs4}jZFG1;bVkgaP1_j39xA?Nctmn?rA z$4N4U#4g2ii<%W>^89jeFHWU!p3B^J0U!~m7WGugw!nmsBvSaSfJ2)Nw^wropJS5} zL^H6JiDu)nAN~>i>WCCgjg^Eh>d5DUPiZj{rFUb zCk0<(A=sVeXehh89>i8GN6LO^?Xb5m9(ey6?1%net5>|SE+c#I>_3Cszi`dg_3Kyc zTQza_?yDxY-?|G3z8>W5*2B<&=Ux8j0|54YEClN7@Y%aAR7rYJy&2g@ zhXG#r=)FCm1NWdeEZ9?aPKNyGBcETh`kOz5;vxBbV5rW$oIVcP)I^BY%GdxDSn3G-?>g*v~~}e)>!Q@g&G2 zz6#mxbf;eKU#a%u7#h3kOy9R=B_1Ml?6v!y=QGx z`>x9#z5l+O*1WO)c9y+o4z`c!_|fj!Z!fsx{+7{AzZrMeic1yu(M$ehY;yl!z8L=2 zlWh9s&sFOX#rL0Xox|V5o>oXuD>@QLp*!u?I>(0WnfUi$QWM7kAllfSd!&1bgFQfI zWGgs}^=>^(?=OzTm%~m=oiox%XUAlC*GM|a;_O@w1E3o+UyRJpZIL0PZb@kT^w1DX zIQG!!eA}pTu=~sM0tgD1F{0hhP9ZE?ZHpVzhgrjmr&ViC%Xc(K8Y-9LqCdyi%Eyz- z0(WJnO@KcO%)aJq1^F}ZlPDekVK(W67}kszLSw#zdBLfSIHx(eC?VJF;+{TZ$gt@N zEf=50%Np2)0dkS~sWb2u_nXmaQ{%wZOK2^%A!7hc71cwt#jes>)seaX$OBpn>V;~5l7;rSriu0L zdnY-v_!xJ_=KInf$sQ|VqGHnbIAerxDydn)M z0j!f{Ni5XgnMpa#d)z+)Gn>cFd=iXotARBD$)ysyfXV^_>NCv?CKfsgqdO8p;%JhF zp$oh7$YzZBny3;tH7d|e%Mdbnc1+WGkg1h%nHp#4h$h0qHmeY$W=<^H(4YK468~DO zkIK!761`XrX)F$*j0~YSTo&4fxcy9$kYP%qSERClR>h^{Hzi0uDFx9GUDI3WXi{TI_zuJfr=6gx=(J9j?DK?dqq3;OLO#)Wi2>Pz6j^Ymm6nZRd{0SU z!ekHLmBDv^skqUrGPw{!Muj(lj2BlNu7>Mv@^bJC{oDqZt+rOgGu5u^5g_@+TzSK%t@QdKkU~qtU1$USe9?>+Gjh>u}OQoEm^n(Rm++ZCjwJ zIXlhZZBbaZO(Fs|#cgJB+YykDg8dm*+zh^JdqTQEY1WaD^YUJt{k?UHPR*RG)si|Wl8ahjI3oHpw0^Gz3 zsw8n#3X(^@tc%RRzFsbguIM6Xb00;>iI9;U0VM};nQ7DrZE8GCgvL#U->_`hdqp`L z7L2TS*(S{odqpM}#Nx3C>@5P8_F2P;E_RpDlhiW)tRe6CDV8enNdOWzz&4l$6-L1z z5u7}YRilbcA7INKbGeup%8Fvd9g7{i{3O^#YReM0EfXL@I3fvQEi@OB|b zMa0v>8oWRrmjd_?alP7h*0GEHt5vV)y{adPKgo_dUn)Ed+c2^}TQ zCaa-?BH>*mfi{Zxh(FW85)PUWbq{$1|5PmproovWY%HT3Eh^)TR~*{8DJ4vU9kCD+*s#JJw&7MyuW5Sqv{>5BfbZP7q*Pw^)C;wO&DeOrF#mmR!J^eql#g$ z67uT1D+_1uxw3HfZ3}Gs?ZMC`zYDz zBcEs;Orz{x@df2O@I-)nS^>uH!uJyMhABgrh=VU*n?xzj=%EH{Mm<^5^UHBK@PZinZ&N6G-!6e>f*qH&fDMA_k( zabF&5S+<;_sZdpP|JwPqvq;q*J*+&}IaaxmjUved^r(0N@uraAK z(v?|4D$}`Kz=j>E^j>mi8i?+PIpWQPbhYBv+T~VjUTA#v^H{f-X9uHmk@-aN61Ish z!@$iQFLwFO>UpLA**LtI8bemAs{=qUR0!*t1lf&_<|cEk)}(cDR9`+U`gUoqnCH_C zte}7!$%dyt4^2ip!Ko2vbK^+c2%vP5%V$9duT*&z0*fCnFa|O>vr8u{JALGntS2z4 z_2Xr|G_okl_&uEtz06S5ujqD_b)jC^$-3rKb~#TtzyrnFSvZ1tI-41-xgzkkAi_30&drL&YdFsWJDl z!|`(-Th4LaMdlmW6lZ|jwywvLz~P!=AqGM6f3T{2>ipW(bnRj`iqRL?XeOEOFmN|9b0-`dM^EPV@L_&RoX(MTeO0-rKEr zk^sn+Q{aFk|IzETHfdq8iy`f8*F85sxdf{xQ%14ebS{tKJLF@dd?jS^ad97KKiSG< zIp{FM;?v(>re<8hL8o@`=ngYYsl{?X@@p)Wx5uLsZz zaGDr|&Fqsb^ z3$okv<`Jo%#d0X9nKTRUee()`Q-Q2SUsajrrZ@?3lV8b(kX#7vkC}cli_9GNe!5du znshHX@Gi5bAfIQr`BYKK=F>D83H3x~Y7gAUM@BCXM$C|M=t`2w0iGP>5Vdg-woQUc zBu-I46wo@k*|JjLP>X-kl@MfJ!n*j5$}selkNnp6jk{s4VxGsfrDkKEQB6NbWx4*~ zS^T}FJ*GjI?5g%AvW6;zxyN-&q-05W@8{Py;$EHe>QPvxY z%JML{t)03ZN?wI&$`M+Wazjvpln|*wH%QOUB@035BX^c1n?v&91Z^_rKrf9fSQiyM zg_IATA@Q%BebHOzIHN(5!uy6yW?$dy+Hy${&>ccd=>%(de z{l{44ST*h^ThG8$?{;3CfRGHlYlTwqp=?wA4j8c$54^ByA`7|Z&Cyxh83m68zUu+| zs%iVvnE7l4vt<9z+1)G%$XiwNt5EWbp!ZE{Hvf3xTOb|S(l~DR4ckXtz1Fk$8=cs; z>#yFkqws3jWZ0hZ8;Oy*q9J-moL^6}Rb7ShMv1AKAQ)o-fSVKs(qZc>fn(qM5I$@Pq` zcHHE`=yfwS~)eD!isfIz*m)k>2LW*@L^Lt%FnvT`mK5S$FzY0M<~`%n&Yn1-#>S^@yMyC2*vWUk^)F8jLk zlg3d)jg8AKOwo*cK7q`Sw%uhsZ2(g>hGM}l6;3ecm);$9w3e0gOJ8XWRk@1?RUyeL z$X*@20Xf9v#iJq1z{eU@sZi`Q^GX|{iBh+5L1|M!A6okYlKWBtrhlAqi1Ba|rK2y8 zxl2QZQyGQtIIB-u?>_F6d$_HkBYJIQp&Ud$u)|)GdZ1$S$uFWN`FY6AsFj{0q+W1z9EK4LaF`*TRIdFeWeXow+iJ;LW5A%lBYu6N%eI zlj-1|1#5eH|Eq^pPH8>mNMLxL*){@=JzFQnE54Vbv-zjebbTbAuU0YzsG;4f*B!M*#Sw(4#QmG z=(jT5nwxy{7~E-7WweH;P;Fm7PbS?(Q$a#|pSPb5a(9ZisXFYFx%<7uoV)q^&txPgX)F)B4fyc&+z=K$wAHiPG&eanp{+dAs&lcTRC)H$@E3=#zCM#= zXlQ*PJT{7YQkBr2j>a{K?8w@XB^QV4P0r;dCCp$j(`5{g3>)6ZXq45o^LhrspQ1N8o%3sxo#xy;I`n&O8nR0D-Ept3uk2Gy|GcN zUlGGay&0;X<;>TGW@|P0NHc{<2#6bornh@nqvtkZce%VEs7GkB6*|kPFRiXLLk-H_wuBw5Y1H5|p0$H7BeKynxT!=mQW0zu zt){aDOPoVSgprL+BOuB}34KqztVN9+%?7{C<;9`KCYT$^m1w~x%?gQtywWx(HzLRe z9jxlucj!e6{Q81kYaLi?Q}Xdt%aK>cdI%pQ7ICDCg%cHW(!Z$Q98@d9)sKUiCa|#* zJY9Yb=zLUNVTbrRz#UW5@EDPKtm7G+1aT**9KIlRvWG&`|JhNtL#-zT`3l=!^$KGlk z&PYMs?-R8vt#1W-YFjVpFNn@-=W5hlI>_c^&2A%JLg#?Gq>uJaHG5o3X__3$tH(yN zLSJiE+&tjJw!DgM7amMa*q7S(5`F4S`dXas`N$b&K*-{DNMIp(o)B^hTTpW=4#}Fi zsg57e_doQtYx-C3Hru!LuV|wp>%vr;@ne7V4IXPJmLF&5v9^zmcXAAQf1J;H?+jI> zLJxhO7SW`3px=2(@`LCKFN?ybv0?KLILLJw{w->&^wSN8YRs)4m0sKCoY^)JD7&wu zF8_!&+~NCayZ&Xo2eNHIIi0^>R2)QswvHyz(J^)A-8XbRJD|NS@7nnj zKM?0Z$Y#-Ltdp}sU##P@z@IC7t93Xx1qEK?4jIAsyIyJ?Shi|^y0;D>+mm@G5*fp( z7r4*l;FIMTop@m^)rZkKpyTt64ZhQsH+$QK{ZkXplMewSwnO1McGL{hWDL6;@}r~N z1oi8yvG7C%D>f#zn^A-5wcJVVhVM&_d1safcf3zU#)XRQcn+q5(X^;rg+C%Y$0O-D zczk()HiF*mfr`{vB)JIfUbY1RVq2!f2p`%Fv<_`G*dVwJnMVT=_S5r+WZZX1f_!Gv zh}Xpk0OWp?9(`}pqITaG&_r(wK2D>*oT}KJVhgU<_uzSN&-*CW{|9a7eS`;ltS<$7 z?}WXBJ{iSnCt$af#h$yi|hUe!9JeYum7&~qGvE)sY`(KOtu7|#0%`RDh{daBf;v<~o7 z1^oN2*1>zc(K1EG z!(QXkDMVD&avz+^q5Sf^ee~H|YlNYFdr<#1l>6uyaOWR?w$dQG-70)|7tk~VqQEzvAqG9k%U;9jd z&EwZ8At}3?v5w!=eVoT>0k5{7v%9x^hY2avsH=(ofxBkkxbZZw={PkzK{gM9^|3ZD zLtbQo3DKF}$igFAcnq%a?rBaT#tI%8PHN?7>{Ifb`SQ01w6*1pd8c9_P55BjhKY3f zjpA!@`pUQM3g%wAyFqoInc)f9RW7z*+pynS{EKb=4%*idnW@ovKg)ZojoNRf5e;Xh zywpDan41|{#Ikt1XD92%sh(bN=zZvY)=SG_klaJj`IP%C#%3(_u{L(|R)`|iI*{&o zBMZCS#6U^44wXK-p+hsbyli(^_UQJ1_-X1NHd~+CPy4n;Dn1g=vZv9%DL8&6o&UkM zeYte``bqoB=+$rgKeaP&L-4%z6b}k`Bp03H@W|p%t~028{N~h!3meYdOwT+Vo%oJ# z;t%bL_mJd@IiU@I)br}6b$r4sxci1f9}PSZ%lpT7CbZLeDczTv*4H|mYDGAn_(h*n zt;4CK?B2M2-8uKY_3LXqe9mu;3tYYKEA;k-vl(mk);$U)^$`zib?*zC4gx0i)+Kh`R{PJ_bU>3m0LWdn?w$P?uAg=7x|e@7@ADq0>i%0ilwA*h zcE>INwyx^{bnlI?;$-i^D_E$96uRnKhNXS&lRKXJ%E3jyx(0B%J6^o$mX3e_mk0KJ zc+2aL4%+bM!Edd<>EE8(`^MTE7wuSg{R5BfIn*6x_o7?Qxp4OnS@!S$eZxlw7p=eM z^{4h;^Wwk!@wKOJDt-CATYd@X*uClOJok3t{9ml|*}%#D&BP@SEM@Zcb$fq-*hfX# z?Res&TW@(CpteUM4};9vIa$=#|9MhnzDvlHo=rN^^=C-hZDCm{_Jbtdi~vd zHthOv(TA4;Wp_JFw`1MspWOTOEw3M1_0-;HzV!60d)8g>#;%3mI{5$orEb@2|MU8z zD<9a~v7m4uFnB-wa>uP58~QhQ{P~vlOBauZO+NhO)(>8Mhzvy}-8B{>C zqe^OxIuB@ev9lT6OVbLv0nLnBz=*9uV=2ybwc+S9Ljj48fuf;;*eC8~1+9mDwx(~= z4JZgQDFp=}cxs@x&Z%hahH4ZEqvXM)~rSiZUVDgI4w2Vms)MS~oxsdlc|c#eS!LTCAm@uDPsBK}LnLgByVrB#Ux^4qTWl&5frjEdx!~ zgRsfqx&)B0GT8w(6UB$dnHvyUaKP~iByx#sV$&(eTczM(hLynVgWfRvDcJi&N@sJX zEDe=pY^ehUp{D`U0kf}=O9zj$YkMVMU?f1chJYMFF#-M!0J*VN@;g%*;jgaLj8p|; zY^o8k3CYn*D;ut)WTJo6)Whx^pA!v$T-O9tUEOK`B;F=rwLl1gJ1Ey+-7nIP37xDc`=Lm@4Y(ri*+5ra{E=B(bnB}p7~KHx)N z{vl!Tuas%zEKlw>kkqTT8Mg8;DE2lRM=;}mfQl{n3ve=U9Z_%ds5SmdibyicLS-?s zZQP=m^uACwym<)F5J{4TXGBFd%1IL`N#~X^d9rKj_>s)b(mj1`JBDbI1SeI`R3naq zz<;j?z024tEt!>~QZVbINo~ z@!o@J=ZZO|%`{8PsY0kc%~!AC-w9*wN)t&Gzi2)j1=;7ax#nuFKDrErIHS1~lHz;}n%6(pUi>j25_ z+CXXyShc0xRjj@reeke3VLe9ywtm98-;pHeTFC;$_P}73CP}BpmUHs!xc`}Ku-@SW zx)Oyyi-8N1=UDI-ioEynQLSD7rLe9io&M}3v=;kpQxI|qeBbg>x}m8K z(QTfLw)YqitlTduSlWov+s~bthq9Y7EL3oMAagE1mb_63$yKuLY;H!`RTgYiLa=kT z@*OG*TcK{$DsbT)pucx@a&n+xt^u-Hj7WGvY!0x5YqjV`JiyvWFPA7w_zq}F7SvZZ zz=ESehS^caB!rM$i_BFPu#jL;1BBQQGkIeT{19x$#j@dIfXtaEor@4VW{kwf4n-k2 zH#Tbm!{V-Pz6$u_X=q?H)T&9WNi)bO`Qc`SV}HC&6!)puZzNfK{7=Jad8GadLe$eB zzWlSS)1u-aqf|Zr! zKOwg{Jf{HUL^Nei3rPmwjTJHyF4~=dl}rGKp>lBDXu^=f3K)h{Jp=IB{sbI|N-Kb$ zvMcdI2DsxqR;b|Cf!;WWYb(YyZu6xZEv~&m_}npaG_9OpMnQp#t z@a+S93UJ5CT8Xj=>jl!m!+P1!q~?MNtwSQ@TSZ;@~kQL89b zKHejs3-?agFC}T=h7R zKH`)s4R_)WG@m?{e~~u+!6NtvQpc3r6tr;xA)NdV6{O4i3PV(=^Ofhc0I8YEFj5E25ME~TIlX^-QEW@sspaRMTe zKs<>PB&n|1u<3xX6W{l>UPyD7oIM?BDzB;qZZJ_q%ju zt7;v30fVKqJ_Ur+ zt?PeYw`FnpOJ5yQ82()vu=Npu*sfo`V)Ge2X;Qc4-^#lboU7nnff2hROYdO{^_AgW zm#@GkBFugDo?UCJ=5>PDy5RmT$N$So?#2WMB$fAsfj7C!J&nmpo+^_|?t zsFE!|xN8+GxO;@BVDK{w!F^RJAch+=(8%XKxOVm<^B;Y*IV+b}7S4XCc`de(dH4P` zb4!1^{-qT!0Zx|Rlv*f=BM+SqnvFp?dQMM{e9D%~9(=`-q_ z1Y_I!mxij$8TiEaxsg3-GPT;dK8hrdqPO3{XW-e{Ddr@Nx`bo6ATI&D>kRBzpKz+8 z3mw-jPPDo+$_L})YcXR;A@*~bRlPuyE1Vp6+1YH9vCumlo#a$U;`Ml1;W&{ghjW_Yd^y{oLFPI@Zq@?%bQc5gZ4 zQ`5b55G$*AJfIdCY%GqLTGKEb1N04&8nwdB<-6?ox|pf}o1NAddcj-PM44{t{7EK- z+>{h{Z>rbkmOK?Rxq&A#eR>&EaGy6DuPNhOHvcnRB_TLcLcNjUO6 zoKQm<6M191V15QAT0%u!Jaz*)%#DC$2aF6gJ~g;BjfWeFn!$^}*ZkGHjbAKqNJ?Q` zWRX8VY~@%2#KC-r0~dKNhJ-PC&`y!yOCboWOL1zB5+*nvoMwaOZ36=>b%Be%aJns^ zKQ~_a4ua4MtTdcxqO*fE6hP!kRdsbe9_Lj@XUj?5~ocO^7oI`>%vVqZu;wsJ64 zqQ?d|nl7O^BbU~hS}X7B5hw(X>lD-6>HOS+CVnWA3{94`EPFDzF&yqm!D2zpi7vgd z&sMlH;6wi+|V$YSXiHlYuu zkcSb=x$IGIkL*7=d$T5<1&=1%c9JLt>?)%Ga(m?Vg`+cB$jFR>>`zgVZZbEQrDdlp z#My&&S{{y85o}@$vTdu*BzK@*V588Ym+1fna#CcMxphLi4~Hy2;BrLFjAA4EI2248 zWV*FO3Yv82T_wz_L`_`N-jsFZ%5(LzVpJ%rFF_rN*g~UXRh9uA7PP|VWtF+r<)snw z+lDmiC5j;*@Wtj#icTwzCm_3IF)_h#A30HmF1Hn0Ca{X0jf; z?s`KhP4#uy?aYQ397{=}Pe*)2H3R&@0e5~VCuMCDfCF|S#Y7H-z;S^uL-Dc54(2E$ zNZmI;10`61sZuJ4VG2~6gdHTOjLdUsvOT!~=~5_0TDcjy1f3!BZJE?+!7x4Q-ART! zot2$Va`#*wo6HtGLI_^)02x}rCSK{-*_xR7eq%D;+hSl}0SiXif+z;)Eliu?i{UTC zz(J8onkFt&puAjYtvju4I|(?&m+5u{$ispR;h_WnX(~V9P$l3~#o!iTHyjPJ_43eV zmk5>}l&A*aE(ikEm{L|G(g5&L%`l`^VqHd(tQkZ$$V91#-+0ld*^PtYu+#`B7?=bL z2QKqlQe0u6Fr(74zRn7!GcOM>dE7(haqM0p`p73@6DrxJS_fF|Gz3gLqc~i*NTRTd z;C|bf`Zf$S7GH=3b{?Yh6 z$Y&tyLF-%r(UwhL7>f2Pawp`H9%+ymh3K)S#Y7&jMl=ua;6Z&_Kcgh0V7K$`s`R!>%Z z9edDwxVcL9O+bCcUh6=8#a8JoBsTA=vv1zBuzC91AFvQ(%ep_!M2yY9`}By}d-eki zb`tcS%bT_zO+il+FPEMsNVk@)wr-nDdf~BoX9lsmEM-@v$VN5Ua z*0s4|yVQ^)9l3Hy`QqeVVRK`s(Ddvr*@7tI)}$OabyA=lD7&y?GYmVtl}p|Y#Wtlv zpPRY>6+)D@%JSm6Mx9cPRu$<*!OzU|2dB;}c1h*Zt3F)JT@@mo%S_4ht;4EMANR3r zf(n7Pr&<4X`bHM)m%{yq-)VRHGyo*Pt3-HnNrSgtNp=B_>aNF9s~9~ORcB{Z=-7xz zD6(Ep8vILJk(m!ySq^L3b5}&ZaYTR~2ri zR&ri#s1iIvY6joX^=*iNsR+ci&5XxfiGfDUDsLdI;Asdk_`89P##oN05!_^9Qn}5E z{VfM%Jrg`r!EsNY@cfFp>%wg}eSE4$0=#}983_=h15;qs$m<9KqeiEW^jfke!$xXt z!uBD8go(?E$^>bRQNmy$WOIU2>XPGO*#omu^-OZ_EShXeVF;s^Y$WYf2z=@xP;G4Y zQ-0kbOgWg8in^L6bxuW(XoBa5(&6a!Aj8r3d%_m@viFVVX=+>NYN~;L0XVLYhRza! zz>mcj@rvVfTC1CKUO6W;8gChx-THUc_~*O#~J0kjh&qF zG?=@*Cs0+aaP&mx9%LyN!>3Qt`Nam6OZg`HNJiO(-!O%NQ+}t&tCXGv0}t8z{80+^leP0p95FN++3Ij_jnn=2EYS6*TfF z*kn}L{H8BdUo@!Bor8_+t^8!IGL@1&jdKZnx5{|P?-gI0e0e~HA5Y>h*n#L%* zZ>IggIE26^_v2NWOG9JDaAza{kKLs(?20Opd_& zul?s^l~N9~Mq8Ne+r;w7j`LCCKdPgXk(L<{WR`rvwv3c1xht4~Wdih30D7ld2iM%A zp=U~KV&j}_rI*B+*0X}}h%tv)t^ixfws8(28U!K=`H;&nnf!`2WY=oCTmrD%5&x0N zd_+i+@jtDdfPx$( zA9_~suSVwn7r|CXiKD=bDst9IHZ@DK&N@5=4dh@P+_uGJxrq$i0&5O3-DKK06=f_$ z*R>k_m@4;WBn44JoPtf2M5{@Q(qi1iT(yKvd?>t0R%Mf}g&&+uWqup(0o(|FA7X~m zVgQATLVgPiSt&!t?Of6YFp%jsnyD3lyC`nOWSlaFt;ZS5<{bW6Z#QH0JY3}6$=BwWw`;#r(FP?p{n0`51aLobG~0SjfAp z)?a<_RbD}PZ1$h=4abl13C8xc>{mJS53AYyACE5SmL$u*T;=iwV8mi2;x}(^A9wYi z7j^)awq?tYFaO`)-*fQ??|ygO?0tW<#=p66PYl`F`XpjxKy15ZhgS-YOYbbh@=``m zLX^E{uZ4nZFY77>#a(zDWx16#S?S51cbEm!rIo&;Ov%6v}RCn!B4OD26`rDO9$m z+n-Xc!)hL`CHeo90LJDyZf(LgR$4hSK(nL&8!FTye#kC1R?hRcY^1Sufm&1iJ~WOJ zw3@$en1WexMzb^UCjYmV&x*`*ei=>qTWS-S&~9MtI}3<&W25uB0Ntjrf>`vp4ueMq zgH?KK*?MEJo5>D7cmVhEVbg!FxIr#;0lxc6GW0!JI|J}tZCPUW=E_2tIao|#az~;3a^%qn_79786A##@?OGCLcyo3p)I&I^$XBu zcKV*)h~|2NT^_XW~u6rLhsNUlV#vf^H7C;T!szJZ}?g6{5yA@alfr zW0XRW>0{@WFs_9doOl7^GeP;5GIMq0?^2N9l&WCh1W^ZJn1JbwuAq|u$sW6;sU)_1 z=P=J*m6|9wH5=MQn=l^csF)pTA}Teg26HN}wF-@eNd)#(1=xG=>a8$W8)>7A%6KFH3qzLQh3 zz(wA!v!~YNGFmN`w^wgUpz-JC+?q;wiy?}}zaEgcn-V3-feFpEhmPC4EEHpa+)d!F z;+_fIfgxe{1J5j*@2zljs0uoFPf>gYlne&ghMn zxKlxNZ%^a@Gw* z$r+ZX3CQykD28S?5E}NJTll?7yl;(_Do?>V8miAww;zi5OL&>&ciP?X?vlv}$l)CK zUMpx`H^dar*{h>(6}Pf;69CFCFOzUR$>cJv$&tA{tQfo``?#~KW|mE?!KaFa#hruc z{c{JQm5{+(GTu2&rqG59tqi~jrj-7&(tGi?L#^T3h*4I880dh|n-~J;T4kj{quf~` zkt0cGk?3k9G5q2jNj6S00pIlCG{lz%dTbmerJW7@s({aN@M|KPT2aTjft7PiIf5*K z7z=`}{v~s1wuz4{4Q&jTPI52-sTn1f096o&D89GEzX+J35Y7u;WzZqczPG4J3+D@c zqYa*oRLQI|W~5kfF1CizDVJq;1x~a#g6I;Owf3)w>08hj!8_ulCx1nP{sj$IN(U)$ z5+^o1@{Xe|Y+g)oUQ%uq`hGl^T$N#rJME zeUk76NdTHaz0PB24iu^HaLN`aEjxW93r`s{OoMe>*Ndj|oA9@x^bAbw*NO(yjNqBE zOE9w!PCNbVqS8*I6#~jx4{9^CA~Y8^PoEn+df?iPufp_m@q<+vk^@K= zB(Th(#cj}x+L(rG!_;h3mf>Y8otXT$8PeW@JB67LbdgbB;7TC-9v#`lzHr_> zzQcJlbG#2QcH70;Px+?@C8wgDaG6Az{)?y9Aq(i2b6d1Lggf3ouobANfoo>WCD_gHvMX}$9f z^rUyM((>9e;u(xGt)nW2m_Ze-=)hGlKRuG4vs?qku(*vg4;U+0@Y5RLi;Ks%+7)e! zJ5m+@z3m*z?bzqr(4e=I-O<(`Wtp;o;5+&UmHv)Nz~ue(0Y4Y9QM1sh5uwrbl~+)7 zU=3!W0AE~OF@M7T)c6-tX96h?WNaafk~i#Y-(UQ;RrA%`!j- z#bX-ArsT-ff4@xkcT`TenWwT8zH%U?bnZKxW(z#N^0c=O!5wXRXIU3l2zw&^=_=}Y z&3D0A->Uh+KeXkYV~wAi8ZXLJ>wuitq|0)W<-v-SQL$faxr6@j2j2^uePiG`qB9?8 z%!l(hHa~^em5vDLUc!)r21(((9dRFhjr3Xvf)J0pX)==Z$qL}O=3;UbvLOV!!9Ww= z_~0G@RT8BIEN!pAsce(=}j1GdJ-U!EHO?VZ*y=;fES zTQ>VH`~`+8c~)CRiYh)9>yeSKyB^gZ^zRK)c9IN6nQk3aF>vef0;RlUcTPsji`IdQ z0gyH@m9HN5-uY>YPgbQ<@gee9afto|ArDnP)=>GjF7w)g+kzFFaDxrYt%HnzdJHlt z%dzKYKog8=jM2$J887fLd~u#V{-M-_TT>mc(=#C3EE`E)dx**c+6}4q4$y)9zOAq5 z8~!`*Pc<_5OB$K7$EJSw1bxuqYb~?YXbR$C@4nCr7P!*;&H@k0sfWv1MBXnb)nx2z zKchpUdx^Ev?rWdB^-r4kTJX@$yh+n1oG-?U5m3wVo+R%_<`Wd4?E^oNS) zUs&p!?~7P^#2P@U9HKG#1Z37Df(6u9MLv4#0NLz25QJEGbBVoGocKiEA4DvNQko&g z`0?fvH3UJf2yWXM+zxbVpzO~7X+3Q@>-lkVaXZu?Mtx-=V|=L+n!F7W3eFkO+BD$# z_VIJY_$L}kK%*etcXYo-f zKL|k@55E|#1BNG4XR47!$9r}xC{yH$kiQM&XpqbauMgb6GjIFm{6EPFAH+62LmSWX z<<_J}7Ue;p>_QV?s`83O(XAL+yguOlwgCr%6F(3WcKn>ppP)J2<~hT zo|hVv%6&Immm|+vZys43srQk*r;(C7Gn1s=L3+A%&>Rca3Swm83NNkn$ij4s%Y%!5 zi?LZKPmRqmCCw~ep~;?2V!IqNQLr#PvHO@MkmRR)yMPD;CbiNsPS|Q;dMNy(VoCpRM&lTDa8(Q;W*$wzbwG1Z=gU2C!-^ z2F(BWo!Q-No`B+Q|NlP+GCT9lH{balGv_&Tey9HDuYiYoR&~efYvt>oUU*r{aX;R( zZT`zsZ&|*nW8R3Iww8lC_TMz~q?T(|?d$*q>+;pF?zr*C3r~9d;T_K|TK&SR{Xc(r z+pL%NEMNV#4haa><<|mhb^i9%9Y5dOarO&)YF53v&BLE<+ugBn+hYVBdmUk|w!e8! zM;F%WqLuAyuYPItj+TeF-MHqG|9WC?+wQN-?`&DHa;46)<^B(DeDo5W-EsdlH||)y zVCAN5^IzKA@WjI%^VW=L>umq8-CtbTw!C90viQ+k%K;^O<^z|Whnybz_QGv79b@m; zV6bKF9XA$)?>VzQv2()BZBGnse0c4`w%X8V+dEDOOl~`~y|aBk6w=tXZ67`l#NG@T z;ND=cz~ueoht9QdaBf97{OKD*|UZOb?9*tKB(wqGrHb?@5i zw!a1h-;Nv4o;sqXeaEhA&ITrL)?3?v_q*&V0Q=rOqYW6y&t7vWFpyu}^1;HF9$LO? z*AM0{%mMUqV&|u?d$DE2uIoE4eR*^Z!jgfqyGr{z?ZK2!di(oDjU{}HyB}92QFcSW z(xrojG8J*akDApc{aA!yIuH=&ZN0dwWD+Lz*?_6cczGCby15V%l8;uz8$;|mNH((p zr)vwe=BrpmeOA0F+c*`#F=q6>4a00qZZw7?(HqRTgV81u;uBTF0Br>!QU)dyd76<_ z5R(V%1Od%1zC{2MbSaSwQhG~VbW0RKvw>XqWSq5a+YFUsGJ=`$E4hkBa`W*?xki1p z3##Ng0L{i23kR|q7%#!%*elpige8FvtT?U?WZ?rV6%GJ^lVhA*-cd`Mw@uKQNkfDjrlE~e&WZ}TAaVIWbGAbHPSQ)Tw9^ZMBWMhjsxUXJn)|*^} zZAJc`E#(M&D@|4+I=qAh?0Wxg6bAeFcr$!rn3x4Fm>mezn^%f3PXsFHhQMs5t00@P zQWLSEyGoUE76DXmE*9DvYTN!hL3dPI8?I)C7yh_2v zAEi<0#+x_~FZDONP%yy84+3|kJcYfI-Ojv)mU6xomt=t}G)HDU< zAB}u-jF0C)_VF&TSM5lC4Yy=s>KK6U#xN~jo2wd;Gr*1nlU5EOni@sUg~LH^3bJ4& zgPt-xHDB?#Q&(v#C^&m27MycqbeA3X6S9~!-1ZL$* zOwmKGi}xbR!-m|dFi0m2Q&r=vVU=JjDO<%#iGAmKx`z3c#F0&&NZ@aP#QQndWl0|l z0yOQ}ok z;+#ri)G$V$@DE(RQdtJH;%wpW#*{_tW;q%j06j?0Fe6Z@_d7BDn?y46b&cs!FA+G3=6TBUQFi1LXD> zhFG}Y=rj~lmi4`YRtWMW`ypt8YTsZ~zmt$+=Hwb%^UZ1sT6y3ZB3}Z%O{WgrCJ!MD zESnUvP6n_nTvb_*=rm$}2dy)1Ny*UqVx_|&iz(8}K!~jb;7%JHd~s23H<)S;fIW>m zEu;j^5g=cb+X_&!sl|@)Qt2SIuNfV? zxLNuodjPpr$Yq3&@e)5!U(KefnOF>_ zRs_}XYuGamvb-=4txpcrSC*$@faZomF(ud+@Vu*0(+DTK$k7e^+88N_Lc?|BOgytL z;9UtBmxDZcn9FK}H4_TfI3)>L4kqOQG6NkB!kfh%R~U|3GXVmwgyjdEZmlDu%vfPM z!DtS(Y~#GD(!Q6~(`{)CS3YP`IlVTfoGFuq%j@wZFgplY5;ZG9MO!m%H!INuS8-Mh zGOATrNv>;1dN4GwV;k_OqSt~l5Eqd-It+PklO(c&kRX|ZA3Vy#JlVr3I#rU$Pw$8?I`!AcR9m3`n>&@JVB$p&eFgT@nmHC2&`MADZxBWdK5eQ;eeV)fjMdryt)?sL-?kH^{Ss zel{8XK87selR5?jUv(cK+8Mi-H1RRFkP`+nI(SXNO8{EigD7RL zOU+TOeqtw6%r|r=+QG(1v}6r77x1nnn!)&=N71HF_H-t8HSb}IJPiVn>L~;Cu=RoW zBWd5$#G~r1N6^|QsR>0prO6}wB=oMLE$6g_t3VZ`ry;RPrV>~M4}t8{Y|KZFErpdx zTB^^LP{B`U>rp0y`4Itb_{hX_MoJ{+=7QoSPM#${hv=AFx-W;C8+>zFHIeeEEwA7+ zc#u+}mCTlUUoi*0NzXZoL`%t}fHa&QtKWB(bdzvZs;`FvELZp-U>?N)Ax0t<@$v+G zk?aL&D&9?j<|aIcT+#o51^5FuU3e^gG9Hi%Ds<`a@o`0$ET8bfO&ay}L99md)8>`u zzqa+LuBLVD+$8yMfrg@x}>Q(gg6X$nwWP z@TDyG5XvrAmVpo~@$%DqS9QEcWUMdJ)A%J?lT#j;y$C|?zaOidcsQ;P{FmlF|tB@rv3iHMr7fl%X{4YJ( z&EvGc31#=1izZBa9v-~k!$2me-gB3K;F)vV{V&7HRbH0$8*e3?;hEANOD{4>tbz1YJ6W>5JkT zhd$W-$S9<|3ahLFRF%~OAop{N1DHrOBG%_h!r?26n^j?5F zO$@7g1kPy2dM@m@^vN^N4r75)!dh((*v-`eHf8Ft+$pg_7RJ}%4LkI5%&E+8u3c(}3G#NAGrMY+v-o)8UYg&$3QL+?VvhtkE;MN( z<6CR({G!^xig2Yt-+PCrjd5J=V0HFD{z@!>A}g^L4P?uhXsC%fwM)k4KU9m4j7JQ! z!=Eb-%X!7k`Hu6r8hRnPgLMY1T$hPi3Qf8cgdjJom)=!GPKKc;carS(5OQcT^d_HF z$&fH>1UJcp)k7zSE1~xs5q>UK*u0W7Ay<)tOFxa(cHon7tu@XlWcOu|Cji@aBvyX0 zHiR7aPU8M9ap#09#|Fb(RKdB;cQVJU4VXo@;#>0aVI#t&#k0+5F@p!)#kSde>rflf z8G&V9AiLPiij8W$HP8T8jl>Nx5(~FT85&a)cVY^dea(XdD`wCQf#_5@qnfi~KS6dE zGy;uzN~JN9&G{T$b-8(GtS}!6lE1ykbGoZi9(2>>Zech^PCg`ubd_D^;aMD|mWgf^ zGW1FXntuicxD9q3$F87Ox4@Cf(T%_oDk2*kXh712lJ_bsTC~)J^%|FkDgeM#Bosj} z6wAu%<|JgPQhkBm|v+OtPs*0L?@)7pOdy?;L|e*65M3M+)e*XTe6Z35u?O=kWP@4i3pKZ;SCj ziK85a@m+M$%JcP11yhFZuxOVU5vC+^Q4$|#!y879t#T-P{HLVwCmH;zdoPOSu1@A& z?~+b4JB*E&BTXkY3SWc)K27UkRp6ZCVx#ccy~!o^j-{jSf#2=aZ3oFv!q+f{ay6f! z)(c0ltZ>XZGIBZU33ZOCRCDF&p`1XK6~Xi5I9Sdd%Z-~6bJ@_xMPVhO)#gHW2;3WM zSpFYw0TQm4WiHwc2`+dXLugn`&H~vAOD4ltIvYsARduSHg7Z8DZ#DbSTaYGxWCmx0-jHb zq##$BqNdiLZ&tHlZlulvcZdn$#!bsj*r%}o+6@sFMjd>Z9-Jn-{Eg?Oo0YLx-$F23 z>}WXM?%aK62`kBiH7KA_zhk(Og(Gzgt$~mxr?VWdt=t9f!k7z97Q2i8&|tt9&jxg=6%$jKK$|3P zw$>W?CIfQON4aDBc5L4sJkqD({{h+dPHJN7698*o)20K55fjs`He1-dy09y?^a)AiixbrPG z^w!#qX5&u*Yg^~DBReJDSqLE;C#_(k&Js0HZ@m**6VytaFk*wh&c}3uVPBAdme2%N zo|DA{tUQs$0R~&41W~8Lpu_Is#C}*#0@BUD9Dwkw=ZOrFkWoR8o&S_ zFOH3fCbAoXaxg@cC39W8J{%gNWx$rTDFcQ07Ruk4xuRuZzyD$ z!5};Bcq|65Y#X;Rgb27Q??T|a5Hejhsc;Ad5Mvejc)-nJ zTV*+fNi;Wr;0yAg{4x55kY=L@g|NZBckTtA@$%jw7a!F|McEUxi1 zITC-vc;x$0ACmvevSioR>Cypef9xcf;P|1MZ_b+(A*N36B-uewo44gG3RH|kM1Na`KMi)Sd^NT9$UrZ3RpLzY@sO-}0Q zIRRH`!NzH83?BY9ZRc)zi>q4?awV=Gh_EfIp*#giV2qX_B+QF z|7H2vko|8nwp=&?WB&y2`s(HcNX> zy>+0c-njC)7jAeT7_QLdrWt?6s5`J$>C|Sz&Gi6Vk3esXqo=vokr4c8A!~pUTY`n` zA$#1aE$@9@E7(elwqqJblR?Vx$x|1N|Hm9~KdaG!SDt&%h!>vG#-CNS3!uSs7I;9j zX%C(Pos)X^<5-vj?o0)>mHlvg8^H39IaP)1=QVOJ9KO8A+5~ z&);5_&!s$wFg$p}%5y!RAjBhP?9xGNpRpUUe8J=1*mCyGZ(f9(q8|m%PiitR>zS@t zUb-LLh|b2-Jt2tDjLzwZ{5;LQq-EU0SY`kBaM#G)nB{L+Ys0yzS%#i3f zV102HXtm8t0=8e-IpJ~>-1rCz*|8EV)f!+8OCX>;Fv5yCo+jLJ8-_-jv1m5XYA1x> z78XXuT~}xY6~>|^Wf^rS+wOWB!D%s&G4bI|Wq1&*lPif60J&Y{Wp;2%IR?yQfOm~? zaP#VA2C@Xo?s`2Rk^?0h@y=tjKi~-|$EbU33>4(Bw;TQfsIz&c#yf zrdyKbUH~CWYFTLVP|7l?An)l|SlUhRa+s6m9ykn;>-jq=JM9x9YvX&!_PDiUl_+kl z4UjhQw*hm6Cj@?}1t75|lP1MjOE%AK)@09kgIopU(L%)H{;oeKkNGhwG|65e9f0p5 zj;<&MI4)C&C0=eAOZ{!Jmgq9>r z=yoSgAxXXMzLzFZyOYvv(gLktfsMi+$kbvj(MM5g6xS(8GBHjkO*CjZ7M&HW03QP= zfVp8uL1(-#Sk0KA%RM30c(tbv{SRYoNrD+8xoN4X)vQ5S_7z4^7-r+|ND{LAq?4iy z&>awrMQ9i1zzJOMdalyD-V0aQMgPEH>jfE4Oo9mu#$%KM&3SBe$`u0s2q-oX-V zgl#b)ajpXS7`a>&FvF3p=x6w(WC_r4PLV(_ONcCmY=bVx$Dy>6D0=`|N+Jk~N0y6T zh@x8nWK0T`Ll2onT|EfFOVtphw6ZulmX5Lq+Lr3J!%-1Jrz}A(bSDF4msV$=tQ!ef zR^KD10AC=aB320bM8cvSmI+0eb4CMqUcQ*8(64GYs*B06N{ z*F17?_S?M1GhUM`CZl@p*0}jTafc_9PpH0uIp-icNdMZ@NJmE7X_6sl~CWvY) zaCi#pH)t^|7Qn!Dmx5@95MPGg!Li5|`IHb<=m9f@%uw~v*qKGZEF`<0u|^z|>d3GT zZ5puK(8*SqdY~*7xEYI*Y>ahUDk{0C9t0|^ggq4{m}X&io$1SkX+XpA+DRFjczP!l zbhI?OIz`rsqaH<4v>``nCTs=m_8`NkzR2JkkX$VltOgfk!$4hiW2^8-#+z|e{&+9M zCC3sO8iCsn?jQ#LqyP~gb)M>kYJP)$0lVC z__Tr?u|yU|9CO0(i9jnxu*Dmc-ALgu5FMLt2Z88dBod#+ZMATx7`QzG+0uj&Ma=vz z6Usz;6(JV7Wy5HPlA=v2OWGH-IYvH0pRjp@b?Km;_YpT<6ugTuz>Se@Bs|sCS}ejWCplbo*h(Blo(StoIWsEGuqV5 zRLrOja&B1RqGFVXM!sUQUPO{lAg<{<$?jy){qV?-Qa(Avl|`A>_T<$rqNq?3S4eCT zD@u~Q;_6*4u%f(Ris=%RNIGkM?R_XD^wpNCWZ#u^vXO#jS{O1Ax(@KT19V4_8>RN8 zEZ~#jX{m4l*p-A1$PnQ|0d3;`0WT)Fu?%Sem*tZ4P!U53M03UhY{$|k<$*HqJB#-) z0^B!_Yu{uV&3}K64A8bYC0?^1o~F-KPL#d(YSdn98^S+&Az3N|O8XSJ!u8 ze_~kuXEWy9uyW6@E~jC8XrjS)N%n!xj+M_n^-iZp9x>xVWO3wB?=3f#?`TQvUc z&2Rqh6nr0&l#N-AkASkfrZ2~)6(rekQ*YxvEA_B_mrp$S;uQCu}(m6LYJ@=~{9>(lOm+X|4UY2`rop5u?Rk0hMcm|(IoV)xK;s%)}Y&@SF zB(fGlaJrfdu;X)obweZOEV^m(`Z!p5{>mk(EO&tW@}GSRvAms(zw@m3@NG+ms}LPe z6J(h|K5SQYH|LlT9i7JL4Z7{H0-Z; z`fK37r-XcWhvyZyuAj%vhCp_!SzrTK&tgEFn{p*Oq|s64lE8@=>z*+CwLld{#p|q? z(_DL{d% zAY@FjyPB+Ani7J6cxOd+tgv+nW;yQt?#1ry7@JSZ##b4tHVSP57h`gw3h-~^G3F2q zT{#A_i`_p2hbP>CyT@J$$iq*gp zrWqyelN5L1MuY*AHY2U8jFEUzbcIz|Y~CA!?CRm}a(f22877)m$dF_D%V}t7IDzv` zo8=2m0b=s(U1RQr?2Wfp4p-HXeU%sqH`U|CNcWj&u?Ym<#Iier*2xIPE@#EaT^xEl z&C3GW&7*J04S&`iFOg+4p3bD^Q z__2Ey>1It9H3896m1rE|AGHqiIC_s$T(beIsxW_8QNva!JB#|W7XWHb>4xZd#wr1t zhwccc2IbU7g$C$goJ+9jd02PS`NtqOj7!MGX@&p9MSsa8@e9HIBr&%dXUvSV5k~V9 z^|>UAu0#KaTC}9FVM4P64nVcb6@Gjs%c5flz<(Nrzyyb)UwbaDo;wYVUmRe$40Ow_ zk`l%mWo11qHDG#LD+QKAr-XLLq4@^pkaU_X=#k)dvRCIpwhGRLF9GYir39IoN`8Ex zPJ=#(1%)h`=)-PCQ6TdyR>atM?IQFXv%D9`unH)qi^#q332|ZQd`#V=)o}t&RC=+|prgE#^Nb7hwDxRRzsg?1KDM zWvgE&NY;EDBl#NjwR;tpD&=#-vlwJ^;*Qs1*dBG6S0UO#IfW|3BG(TkYa@3hOL_Vj zleiVCxW%q+X`D#1r_FQzs5O~~Q=f(qpv9j&bo_JN_e0EE$phs|CX+ zV?xLgD=gxk8S}CntGPGqBH2wfXnie)-fGZT!086;i=8Hr!KvqQv^j*YTo-a6bKVAq$ z)e*-&5$fng+R52?D}OjI!ZB6oNXhzycJ*bngCtUwkv>XNQu{+v^%A(KUSeToD2XZ_ zy9O!D9q3XbAVnn@-UtA_E1BvPIxy)9)Vt7^$!f-hna3)G-#~l8XXXoD=M6*B5{+hq zKaW~aWGkc}UP|hiQuXjI>W7Oec1nsy`1-QbE%K-=YwSHZ+Kdm8K-kL?9I~ zQW9cY(;KPX@vu9yyC3IX>>O+A*IpaRS(c%<0BvxTWl)c+jx>58HY$g#! zm4|`?Pd!QC1}#523VR5=g+EGuePg+}P4rnBi)vm zY7^cG6WQWCN-@UDrBPo5nVfFHZi$|+dR%5!OPmSF2LSROokgJlH4e$f$M=eQ`glv&A#nNP=_h`a$?JlW#GB$>$aE2fJCw^H3A@EbT zM6$I2SSIut+pN%8;w>;P3{bsEhcHeme>PM2gw5kiT_$lTIlh-Ma3LxJ&{LtM@(BM) zgKQMxc;ZvJF6TfQkI<)JFy_XuRl@gc|wufp^$T9YfyXfZ=5$OmUaVX4|Z2=)ryELx!k7|bmn7e8-@E9=gLUP1)qU}AJAIoE4EmzVo>uFVtWL-xr*+#14>Wg*t1yTQJ9*7*k;K|b$q z@tmtjL1(zxIok%PCI>ko2d%R$M`ze=^K8GrxX+fZts98iMMNfa*&XV2;7sk$^-gw;t#=`Asr@@ zM%iDIuEI0vgHM{tv687*Yz`E%dI=BCg7GF`aS=4~Nu6Yg^Y$_83kS-!yqDd%kBydP zf35z;FA0`2ONNK6^8rh>b03a|zVAC&Cp+t;A1h>D$wsyoj&~ts@oNz{Hn0A?zsG$a zhRR;18v_1T`-UC_;uevuGP)r~Y4RMqXiGg;A~PP9Si+qo>Xf=Q2@@Uya~I|0U8H{#C+e6MXR+d?-Q zd&O@oO8CH?Djkrq74OQu`@<&1NHKDKg1U3&Sln%ZM`+Y4NR+cf8 z*1B{^x(X-YDuM1>9Ax&234iC+Cyg)VfW>9nCq^BH6Hj`V36u_<`)-3zJ}b-GtN((? z!`}x)F8lI%`-c2|E{-OC>FE#a?9Muy5Oe`Z$`xe44u6|)Y+n6IAE-$m%0&n0hUf%& zAKehXdI|#?54a(+HMwBWi{MV2xWe?cCMHIC?oE&U8L?dS^uhNmDjk0R3ApQ+NX8yN zMVAi7(eTCQM7D87&TD(v+xKM6IKWp}$92jf`x0e!$}TJaD--oM47r`%^2`i2(U92& z`!PnlkNXY7r_#b=@n0|naw*^wvRT=>Nz@Z{+77V4y!ENFeM8Fjv3=RPbSTQ}6kWPz zsNJJ<;N}&UQAeypSp8(F(3!y^wNMbNAU77VdI6wU#?%BOG+bxNtRWyXajB^HF*d=F z^~Sr8Sf57ekSOv2yM=+QM(%?>(7SBU)13r4d+N*VmL>8(-($vJxoDqN*kN=&z|L4c zWE#7rlquw$Djl%fc@H)NBnU>5{F5skFf&w^Fs6xvS_|LJ_!+W)DP{Re(pCC|It8Of z7*FAN?Gc!`h|XY9KUq+8##giZtg{y-Xqut^)K;K&6pcG+pY+W|sWAL^a_?R^o0$h} zA6wi}>VIwS+mhuDo^(4CpRXf-pDa}={9nyp$tKwphS8U?>e2H1@b^UH^w+bExz-7Y ze7)E#@Buz?-(|cF?!h8% z*9ATE(WBRPXuH9#z9R6=f}Hc}hj7~$;b*nYJ?`&2bHA8SW$VAWPrls2-{-unijAZe zBl-%gDDWQ-lxL%vzs~u|I*>(~2~6C37rpSE2g>idYtr9$`ksF4KR&H{7NzJ}{GM96 zskVcSZfQf8KYI2o+$*rqh{SnxAtr|ydKL$$3sHTuO?`!W=||gjjMs$#`IdKvZfYza zxAD9S9c#-(ki}gM&87AaI)9IThz|;mvC25heYddeJysBSYR}ehq5-_|i)W7UjSijl zVyUrrZs%OPAm}?Vgq`t+%jVzj`_FZxnwjibpl98A4=K}s`s8~S=$@asl}#&8mJZ)x zL!E#BIo4z|%3evjih35*o~O|m$rkIL1)@Wp0*9i5PC<$NB!xk;`Y*aH=c=Od_q;di zM+r8KopHV_Igj0?dlqHfw{ffgdw1S0*@;Ugow!kc9zBb=bux8NMy+rWd6Er(*PlCx z8>2%f{>c;*&C_Sw)pLiwun}bWcgOlHG-*bMjZbU0j;-L^ez7q+dw{?WbruiyXVn=S2afA1Lm1Ax8m zS$o|}AaB}#-S#J+e`?p8vpPm^`_Gq#Kf9Br37rrz4p3awk z@YaJ1w~xK%(N`b))%Mlb03i2_wWn-*YVWoK4+4|-`lUbX0Ql~6_q6Z*d*>`8(d-`)KDcf7F{lQz0E&M^tHIMH82NZnsjPJnTKW)2p*S5c`o&S{< zaQ|Tb#ZNwe=B|$U9i#90ukUQT4$)bD{hCe0J@qNLs$=v??_ag;tp`6m;fZS>g)>fs zt2!V2uw(R=mR*0Eeb#ktPhI=y_Wg?vLdf22^E)1BTh)#*JlXbF$o|K|uRi(w>Ex=D zUu=1G+godIdSUf-kN&lD;kTcBbB*@k-7T+ny!8Nt%xP@@6eJ*Ew{oa9i#95&hBjo)?T;mecTWo3rQ0w_-AtLwujc9z2p7sAN}t);e+R& zXzw^<|LAL;*uCwoA1rEXxqj@XFD`odYlGgocEQ{=pSh=H@1J&kdr#X_SJ%9?VBz*9 zOW$knm^`@<6KUV!qU_qhkQLvm2-`w&9tGm5SrKmp z9_lbNTZAjZ0a3H-1aY-A-HLd@>|yp;1|;0c)>xN2IPW)ImluWW^8&d|rByO~!trs3 zMFFSl`jw~%*oMg@ZTmv(A$jf z%1a9QF=PkKyF@rF16SPUI4qB3*Obn#tOr}86^2kg3VG##96yD)2RDf+;c%b=vITtN z7V(lWJ|i-}FS<})N0`-cT^9^6WbLG5( z6B}%^*;NH$W(SNo92+)PDhD6X)tk*(3O>jSw$1EwFQ~uEGq|ksvOSjhGL8-RDxXJR0T2zl9LRw$Z2!Xvn)UUUT|MLtKKMg zd2n_x$IdEN1tePmfoi?&$<8)H4WrZ*Y%=hZ>LEL5XiO;}Wx1nJtd!d|%x(fYEx5;6 zlKDxKBD7nPC28W9_(T+0+P`-grY%7xxF_3?X0atXxQj`X(j>Pbn$zaYw16PX6w4`( z0LL|!6SXwD6)9K?yZX0sqfLAGraxbU~7rUO6^9xQG4WE&1C7*xbvKz9)%ApuQ}mQ**G#l#J= z)nrw&2@HC}-x0SsD@KT4`3#U`DOY(}5_f<8MqVj@6i>;q~L0Rqr=u zGgcIY2!)4T&vys4e7auH+s+tVz#pzg!a%n-Pmh&f@fuS#3)$bMXA%s8_yoOe!x)N* zWP%E`SBGjGWR7a45&Szbtd85BK@~~~rWmWnjzRA&V80Y9Vl5+l$t(>i8DsU0xV}zc zkC}07EG3YacRehoEa{x3bk1>w{Q@E!NrDj41E$hdf;MzaN#YL~7&h%BPthK8ZGFzb z?w|w_2H{T<9xi90eYhydey} zBRor_ftQ#SvD8~{qcZl7 z?RNKo=ze&3M-R@*Xgi=tPC~FcS-KEK6^ODlgUkzY%yJhKd2A^a{u`dgMZQ9+hD?z0 zu1ciYVTmc__Tz#VqGHEK7Fj2jKQf}!Efsb+C(v5RiviHyU@k7SjMK1OSZiXVxm4h<(lr!>{iaTZTcA*@_q zZ&G{8S8C)gF0m#>0(RCI22GgDxdR28LC!6Y1Og-^SHN**cMtMzxVfgH#*TKZgsWV} zg{Pp_yVOPS!q2A^WaeU?TV5KlVSIE-Ics)!7VLQ3?}o@6C=BDN?Z8*!cs0z)l@ZUJ z@E3?In6dS7G|$mUAR)P1H>^i%i#w?-jieAVhx~2W&8FLANqi$gAq`U_0mj2Mxf@T< zm27OO>14|(lS&h*$eSTjFpzM9iSQtuCCSdkY%vrJq~Wt0x&d-xhocHngU(2a)>WRL|Sq0qk63%P&|kzu%(fmVyABy-S3 zkgNt3wFPuq6#gZQ)uL)=(R#_S3XoiZ(m&=#Ap{k@V65t7qZaF>TSlr0e3Xp|7F*yE<}a@e21JvXcf7QhCqkI%+^+x3;f7zf1WE0^q*|kwnPc^W~%8v z#4@5BSByfJ4YI@KcyAn?MY#gpO>RLp>IJ4yRtNn?ZDDqZ8Qd*orZtP1Id#0sumM3F zQ+PcdeHg6iG8sSh_fIu~g+c*1-Kvhej$2^(xeM9oo0W@Nbi#tw(v7(Vz$aDqeV7{w zLWl@XXWwmLjyrQWDOiV|TyO+NUSP8ix1!=+8-jx7gYoIo0mpsZvHjf0$H=%xQ}EWdKS3xk?65aC8c*v+UD!s2)2q#Y*>~iInpx3aze!)F&mv3s3Ys8wd63LON|B4Sm9{LEC=L>dBw6PZ zVTqmERj>yo1i6arkKj*eArjn1mu$PV5oeM;6)y~$TMAr(1a6-opTKn_q)U^Owak$C zl$FC7Nk?m!3(8VHlGGa+Qi8rr;RpW45!Q|h+Y|;ik+M{*l!tti=sw{-Xu`>vk)lMD z%#jHO#0;c-mY{sJDtQbC_-+&luVEu8%|d&~#QQn6?aKq&TYa4vh-T#L(&3}wxMJZJ zjX%4j7e1#4OM7VYgFO*tyTPQM44Bj%hZd58j{TURs!dpp(b;tgj{#gp=D=iG7$HDTJHgkuSqG`hbC$8T~F_{ z@yPPQ`wv4ltn?snQ?fHXIFR1JNV9F;0M(1Bvc4OyOT{?v6o7EbJKHL1r zD7zZUw6=z8A!co01;M*wB~j_gF2o9`p6rPJdRAwd<yfUQDGy6Of_F@%Rgd zFYMy3!KpO2CRc&Gp+CKeyRw@(vqAE>Gi5`_D7PlYyJXudAonnQV%9=uSee0%Po9w_ zR?7YDuo>Y|{Rx?hE0UVvJ9P+13Lyo5?Q~grNjKSP1(Rf2D@L1RYb(j$X-#knn&{PA zHJN+nG&S|*lPt>86O!^b$=2k|=wzBh9vq(1dxO>lvQyloNis`Mc5N*_xv!)U^DxOi z$B9vv-_yqH54$zF#v|8YZQVrWuocsi++^jFrTL$70n-a}vgbjQQO>w$3aBR28clrAmITf@+`ouox7ayf(f!0Vyi;hXJbyA|qx^3?f(C|y`;MZF! zK1GnJoqm6lZBzQ`CbQ=SnWNS{&mGBeKbd42+9l3Y&em@58g01TlVBR?dCvu_=wk1= zw=?ClF1DWBU0si!7k4{W$)mnnj(w}%?ee}4(99noDLUslAVIrcr-Ak|l1%U0O7D8x zG&Q}0j-K8ZwCyJb7-_&lv@RGPk%Nhkl?Y6Nxs2BfOkV*j6>q;M*3uS#-vWtl|TCGM!!#N!r_Ej`&dL|?%;EJlJnHw4;J(Ob?693nFu>iS)8+4DhEOaQ$ox*MIPK( zyu(V$z8C~}5cD*6IB5>JO-1(!6ajaVY(j5S`HAeI8V^-6l!fnTG}W7dSv$FZy&v$1ww>GH;0!jL_D?6 zI0mZmKYdns+}@bKM>W7K^#uxX?qby>y(#V5_4d3*X)>3z>?D`S{cJDRWoLQ>iobD>DwFc!|oc$l%X7r+1w$g6r*eDd=6+OOdWCSW-XF9I%5I z|C4U$;scw~M>r!r1%=Rrj137<8CLd`jnfsx?xT&Dyo^-p5Ra|5EA$UEK?0A^J4~e& z^o~8UN*##adXu=M8l<;Q$t+k7V~$-dJ!|6YIOW}tkC(lvmsjuc9@`!z55&E;!*)4{7o2lgO-) zeN*==J#1TK3CLSo&YZYk-TcHmwAj3-31yjr1Wj{y7lLfT?Sa9L=;HRs1DWGxdFh-R zS1x(ta#|`q(|8~RuN?dY;A25vvdmL(|K$%?&4FBPCCD1`b`9on9{%cXD0k-#E3bR8 zn-FM|cC5#pVNRyry~xP6FDpS#yGr}}{3K}b2ykPa_!JNInZQeSI|5wm+3Iv+>@Mg?1fNp5`!Dzml_~CiqXTn}F1I$v3&T;Dt$lKo zWhYT~myXnJ2kH*GF8(ys8T!GK@fQQsS)XK&8{I*4P4Gtmxx|?pjuo0Nchr!8ZTbO@ zJIM%!Vh#&mUfk@C!IGp)?bceXa_(^xh;6IuIMrz(8n!Mi+aIV0H{Lv2N=SKWa+;eF zB%VhW-1XzVnUp5cKDaRolHzt~nk2~$h_(!F6@Y>UP+!TMCUkna3k4z9Rv1Gky=An? zq7ip@)F`*Q+HUpR6YMlqE4$TxXS`I&;uh$axzP;pjjKJ3QzJ4$9;m^C#w}NWdgz1 zzOB}Hc>{z@i#ngOt}I^Sj#;^Q*-~^d1639~ZX&;WS1y|)%w{frJ!oiY>tgtO{nAUq zP8!}2Rvs0wxT7hgl04Yo4bk_L_D|z>^lkq@?nmfZC~CNPO+T-3D~Q12VZ59|WSd#^ ziG&melUbr#GSy%(0nl2!YY!+afo36IMxyrVdi?3m1>^`KGiu~wfEo$h)aWF#4Kh;i zEc+vq>>k{brG@b1J4_@SoFv3B^y@~@Ti_i@5HJdcQ`#KjR-g#{fetbhRCq0i6pS+` z!lqlLSZcQvSt@YgO~q*i$b$*-d2A1nGCin>w};-K3_$i=#VkE|A;3%A$h)Tyax790 zGV)fUC)or+8xPq62lzq-Nzg`lvJqc;afvP^21K6Dr)8t@k24Z)uR=b-7efYK+r$CL zNQyS#Hfc>+j82gdDM99G1*d~m3f&j219OF8Hu~6Hx-K_ffT;>gDD2~}8O6RbSi~^| zhKJ45Ks}a@ng@op=+fb%lu=O>FLt-GRmOG|xpQe%c}Pk&U%`{oBTaMaq43Jp~+ZIBIOcl8k+yG9HyM%b;ev8~D$c}m31xX=IB&a7WEy=Fy zf~8e{5u81F1OeE3KAGvblSvZ;WIZiUry%>^RPBhoWqz#AUI9dUaLgLHJTq`o#s`y1f9PVLglJTxmn_B^O%Q!I@n8Ly-QF}Zw~_KETvdCwpW z)?^-(GrM`PwmNS`cybUQ0fKxb6g;O9U`cssJB)|FhlfMmxDoxNCjS~__$75$ef$s~ zUUcAqkCCPQc9cqocZDu)6m8R00QLnD(q%n%QPv{~y;Zc$w8Sux^H?@R zDK85Is|&D3!HYB*6_2DV9YI?~8{$^Xs!-Sw%#@h8M4b*y87AT)nWX?v7xy7FfsiD3 z7$W_ggecTeAfg!(#t&twg+!5Mfc6_{Sc}L|kd8GsE(14~NMMsaZjLWF*iLZEEWr%P z*hu1zQ+vbYDy1No7dvFUM2$vC(I%ZG_5~3>7CG8oh*mkJH|3<5{|K^l6)s6Cs6W6J zIPOvqBrC|GJ+p*sa>6QVvJ%Ej3K|kzCAF)tFYpH;VcSsDf*?3u6$Lp8PeDPbYkXhf z+sqtEEr=3k;O%HvnTi>8XfG(7M-qZ13|60S9LLZ)gUs6)&lTXdIH&JaeHvvqmpw~g z#+pK3qiCBnM-znJD%$=t$ec1xnnSxV9_gQ<3FUkEnmlk6SB_(0&WjK}vytJ$U|~w5 zfQ>2_h*%apgO7Nzk)BH=7iPC94QhTe>RBKu+UPxcz_4Fn5~WAd-(@Fh3H^qCnn9)a z(mN&|`gB6ElT0L7A9y(^R-QVePv9$%WRf03S_r8ZVNy}S5}=jVxDGxV%L0>YLU`X| zi{#b4e$sM!o%tjP&^_tSZqmJCPt3sznVz4t7i@V*xE;)H3tZ+H-MIV;b7`23Xsg6&7aSCMT`D?xg1GfnSwS^2*P z9s5E$@=FfGv2AJYffUq1TQlPg&m5Xt$mCAOk|u*vWOxw9uc3Re3XV-_a_$`<|Dmi6 zc)yqpTe%t7&6``+ybB293tsQMiMTI*6CldJ8u#$#_xtmAKVkUaB4Z*eZDj^XGjvar z`@13fxljIA$c-<2PnQlWs4G~jdqW=yNsPKwO+U0qKnQ;xFd75+?zGsbyCHjNErugN z{x!OSgsz5;I+1mj{tWQ4C_%Ilc@%NCQpfQs-FG6g8c4wr1M7{Uj+AUYcySlxr}5IP zDS99N+5>g2(^*B$a5IqP0y;Iz^+9%yS2%q#N zd&A`*0}VGF9gN3#SyFTeS1)NLWp@|;h?~gpOWNO>>}A;u^3ZxXBDi7 zUJi38yJ)dl9k{r0S>O^*V8^2AhtXJJ)$sT{ndGiJdiFmkuAdF@hEz;XzRn_@h>4X{tG!TxUq=?;#Y-&0c|!@9jPNOdHhY3d zSO|Y{y5}Sf`Zz|Zg!Lpt;_qKL^@`EIu&%#caa7t4x*DSDnaXW|v3rHl6#+s|t=~We zQLKsTn`Ffj3{#yzWUs2IqsfV66<4RZaVo{_)qK6k88x3S=(=QguWYHFtm~>LYx}8e zK?dh=OqJ*=&VrD1mZ^4$ZXvChjqLoCrIDA9sSF9dEr7u$xs{*lNtp9m<%+O|r3Gg% z1^*Z(QYN`q{hoGJcXK{zveo4(fbV96f&9(2@!j-JhXIJmJ-88K?}q4#WtzK}?3vwe zh*~(-n&l5#$p+suu_kr0J>0!LP#6A7VQ`uN_pbZl!I)Y$?E5FE;P~)0BpcwnJsq2o zWgiM2&TD1seW|%3>LYw5CSG{Bqyc32bl9wdv z55*3Z^hkSeCXuYp^%*Xx&ZC6ULu{j{bV#eDHdLRbN_L5TBs2ngCK{IWlE4r2r;3c% zd88s`i;>iftFD#+{DLHCL$84hm~0(_zF2sYh=!QiXl)Q1blfn)45vhyfst*q z{y2Eqk4D#4OnG>k_9f|`OFM)E{<+Exko#pnJ9?#qcQXj&X6YLuoP3bHn5mQ&bmLU$ z)+jw&OuzM#I+uCx4ft53FF4E{(m^G8Q@_c>Za)%7q8kMV-ZQ2$pERwx-Vn1&-NyP# ziw4jcEsuRfNgWaQkudjqXnNeOX*)86%8dPuk+&S| zB75AOeGAEu?Fs4BLNdsiSsp!dZv_XEP22~@l|4>YzIQ%Zsm)0W`9>UQPn{B=Q{D0V zGMp@Z2d_Ec9h+ro4-S-NZ-0M8?hW07_7Q|+y2^{rnSIC73-LF5Mm{6U%n*aSEO}Rz zUC?z`c|u+;EHiyKu(R*83wQr^$OC{x3vU@?ePKI)DpFiI*MCs{+q1uY?;T@HhKrBw zeryjsdc~2o9Z)*lHIDsd%h)S6`tF$c>>Z_pr@eFXw8ZDff&4a+w_HW!vJZ*;!7qut zNs~Lf$R2lR-$F8EdqO(*laLH@W|l{fyrq|d1IZ@t{dY`wlB|3~E2zy$3OSe0^s{kt z(}1M|V2Hiw4K7Q2aG)%E`}?28o#`qsI&bwI%S@l>8^VixhTfSW2KU*0+*O{C#}wm( zpMH1r>*mC7e+w^G<#p~v=i&<+h8*0ghU_bQUygqEm8^t;@$O^k=y~ANx9QR$)t);H z!5ib_n7L)FxseT>xM651oA!>Fmf+(+ep{3Oo5-g}i2U?ABA-X(EvFHA;?^$i6Z;mD zA^Yr7;{Jygl0nYQ^5~JbY}E?x>{4(b*;^hV?g@_&_xd;M~`*n0je>vLP?4 z*KKt$^8SFOL%L^?^AzywI>f)3MBG2?JC>i)2SCxyDtJ9HUrA^+K#I}SO}QZ9lIA@+SYP;^PG-_KfJoO zrM=_EM*uYY>WNmceHGN=geQscoDLnoxN~T%Yv1E0W9sFJD=LsapT>Wc8=S$V@lO^ zZL1cnJb2@WKYHy)Eo~h)uDNjA^7cPK!F&FCO>z4N3%|N&dCUDD%wKca(@z}Sv3oc4 zUbG5lUjljejvGIK!u$WUd(pzLytZIv`~K@L2D!cCstM;_wz_@CoJBXatzPizwZL)R zv;0n&v*yyT+_4+xETk+~F8FZ!o)cELzj@=2FX?P)PkigFo7+6S$;yKrH%=(;IHA3V ztJ*uhNv`Wn{)Q$$^;XCH9glZD*1qkg{{h>zy}4hat2Oz3Psr*tM(nE}K=$^Q_T|vD z?J1D=ClP!p%jLwqXveC~)$JWOz1aEu@`KlWv*Xeo?;iwtep}0eRqc0OH+}SrE!VCk z?)R?!)9xGo+V(zt^1*y^Rr^!3)?C{5ivZH+3w0`O;fj+81~zyD$gbX`l4#?|#DYiIOLr+lI*R?pV0v ziGNK6$#t2xCf&&J_sw=E(mn>*)VPQrISQ#VIWEf2s{o2FtFX;D=Z0F^;EF+|VIvYL zQkbohVbu;IAf&!W^5!6%jGcybVI82FyGCK4h661eWlW zP!tL}7?%&YHTbX#Shhh^k=~V_l>rk%Bx#ZlAvg~*cKU~Rb?GcHIPgOX9)g?L0(D?3 z89+8fcFz(DN(#d$$H)nowNO~Q%FEKPoVYX9Hml7V!A97aeQ2~Ia()qmtAJ{RFo-aq z1IAuW|K!`Aj0w? zBq9wNcT5J{T!k=1$@tqy!D?4IS%$2p$Ro>q+u~x1EFV#%vbX_gWaVa+kgNPn0{%Y% zR&WN{5CWfAQOQaD6`vCPCOCW)y4)A7YdX{^;Ih{Rpigxh6izU#~4b(Mr^ z*2oMtHs6g|Q^J=>#TkJcxl9pvC95$QOGNTbC#$IrI9mC&&JSk>#s=M3728mrr+7`% ze@5*_kn!OZR%hWk>%ucxZAljCU7PQZVigll69!l-0RKU#Yt}U3Y$Yd{pRlx>L6#sN zU&BET5Xf4n>Jpfvg}C{(92Z4~xrNrcaMbq0N+3?Txn>hkq0S&HL$jyaEy! z(pEzD2Fl{FJ&4P|t&KMiD-6w<9r@kQ_G(gM2fV3vHOe@GndE`;tDZ$y?8ZxIc z;5s>)dsG$yRgv-VV5I?o+z>#68=Nd&1@dC;DtwO(<59R$ee2iC>|iYN^H#URLBUKk-E3f#F_O(nqN z&i?~sk3cM&ve^G&?_1!csIK&HRacKS;|Mj)fDIwZt$DD6F>V7U5*Fo7gQQ_yw=+SV z7^2G&X_^btS^jVgBxiS35kfrO=1$GU>-&yC|NWn z8F2pJxwonx^MFBACcoeR!c&TbW$>NM^2B5#Xo$|1f7G=Dd&^1BN3=0KZdQ-B(KUc9u?S6&(UKE(mLxYy z+On8r9DI)B;SE^a{_4HqHF7WZB>orzPe1ylYsSu`1H9rQxnao}_y`!1rGRV>i8hW5 z3*w~3%Z-T11PTmm1j?111vU$pk|K=FVxr7oLg3SD!ytl5=U4-6Af5q>PFl4rRwZ$m z0s%?ZKq2e_w6%a*@j4PQmf*&_ z%Z3#6fB-@p@gwzH^bW|7L5>Fj65(nT19mout`H`Wl_B56pG2H+Z9X9kmP90U&;*B% zT^tB>UW^dOH3rFr6muMXYfnNZN+SF@pl(6ORA*7&0Zkya2~_L&%_ol0 zupE^<4`+cmX$H+_2(YPuHYCJD#+{i_w5s)}G*x9Ja7z=py=*kfS&JQyns(03rtH6o zwSsJ;DQ0p>c3!(_BWGdrkAa(gX?C^8T2pA!Ow_#5lrKcij)7bY1>>U?cMW6%BOdCr zRt_rw2o1#E2F_%y&TL| zP9k!-;w~d0s_(#BKR{%=i-h26kh8=snc`+3SBB1R1NlEl_U%B~tw!I0z;~(dF!&M+ zq3($skP|v+s7Nu)(y`nItL^!Y+1Q4WMa4YQ8{DJO)8)*Z zY&z(+2}oQ107f3D!kGuRGqYM^84lp;N{ewDA!Kb@L4p`om9$JJ<~i_5y^Kd4AmL_H zi6*!mQx+G;JTo)ieiU%WXBw5MX1XC{`tBsj=Fkg05i+NOxH+PeF+3Cq%x~1CfsYc8 zdM0J*WKiF(ivzuxlTXYjqQOxcTTBw3x-KWWDI!-Lv&3o-D&yZxZZr0-X9@Fk5mZQzD8Iqfn}ZP7twxG4<`B&d5On26y=^?M2X7Rixxf~bt` zR9m$ft+snOBjz8+5 z+v)>ID9Di|Zg!k&aRpA{6G29A^fN4U1m0T&sT(710mxZOIs9p5e3gj!HY8F{r<`_? z#8IVCB&ybslmb%iy0leBu)Pj;_0=}HITp1!evs${lAx}91^$dm8o}W@@=p$bEJW6` zQy9m7EF`cMr(t8T90ZbhHO|X{Oa~$G(IA2eC7aWZppvi^CovrdQTp=}oCmkkTDgwG zNeMj+2%)42Fi1#XFvq%T72KG4(^L zc6e*xq?Z#cZ9P`704(jBYo^Qt+~{R5->qR%LEZ`SicOP$fAu9(=5~MgZUw5^S-XDw z=Koy1V$01c%Pa2E*tT6u9@5~X6)#=&%#^X+cWwBkM(}kN`4)iKo{lv@6y)ujchCyw zoB#76EST~8t42*D@{Njn@%pDWuUoCimrR>`!xsyHtsB4Awrf)fg6|yx@aZ#w>n^nf8hDcd>$lBQHQ0UVfPtn(t3GR;?+3*lH{1{yOi$j-6A!eoH>f z4dY43op&DUBrA8`GDgMn*LQt!ED7ma^4kC!c{Z}#SA@QsM1pxa7LA!6)M)(A9x+qlv*zvdnhrW$0b#(Ft;`Te%10f)QMlNvYL+qRL zZbII!f!@GZ&{^Jb$NDSoSuwN}9E?vo&eSW`C+Nf1HkZEUJWdo+;JA)eu$>Ft1Aeklw{6Fo%A{`c6eSS4DRJna155cFIb7*Z$<;Ql7iw2EqetXc&@>E zE*FoA+HQTbNOyKY_8lt-t#;)U2pOAL$P=+1O~qIv5z*2r{v z`G1k#SowvJ;eZi)T#mi!xVRIv4$Yv0HXD{&ZTy>-#E5Ggd5{!z5eAU`$iL{57uF}l zV%K`S)2)W_Fz3=)7nAX|iNs>wwVJ!uE%3>*$mH3P$du+ZCurntZEgyjb39s!SGh_F z@m9Ov^j6`4GX?GGOUIO>oB-Lt`?6b$$cHnxL@E+VJhomO63ywyTf?25@HJ)mABjux z#r*l;UZ@pZ1AmvTVdccVuzog-w|?w$Z~IEfUg>Cm7lrtE3$jws_sQ{v;h5YbJrmxG zj3U&81bx*W_*)fZ9fo5HA)n-f_U>>yxBZ;@4zHb1CxrTZVS_6f65B@fYa9a)?k=51cnc8YxfKy0ys*_dR)8aFd=QrT>W1OxO5&UxEqX!JsbCu{2Q@`HQ zJ$Ti9;_5uh3iYc<*vo2aQqQP^iW!9IM1!s}=;d-INp(u<7lT=Kb_0G40h-lH1dBD8 z$QEN@y+^e_xDC_9b}r9MsL9wdWfvlrgR1dQd-`jIL*p$>^1 zgvG3h3+xTqgd*aX$R7<^9s5(v9DceQSqO&KqYd)}$Az+sW`gi%*8%mllBL{6cBK;X zV-j)-D!L@YvSK50V!{>MR#-Ve!>?lIm?*$^%NL}LMywv^oyMq98Rd)sRBw$azqIj% zye1x?dLyWElVaBF#V+nWw|zYT4iti~l3k9PSa8>s>n-N(id36soHVgfuab~9n6oVt ziBv@*2}N#HWU{h-#h7YtqKVt>WE1J}B%8?K#*~DTZQCx%u7wa@M_{luL^go|!-e6x zEX=LC73TSX)2*`SSoY@uF&t)YrjyTs5PQxnwF<4v1tTtMyoa7^aTt0a##lDvZ zNpIgu_yis#O*&BqI|H&XO~>91G9244_s}Fem7h?x0}xJ8c{Da+V}z-09u)Q`8U*4N z;EtlccXp#Rk`R*_Mj09bt9lH&5R;QByM;ZO?x0nMW*Xn=_@EmBe4X;)D zsILi2Kohh=pE%94Dp}>oi4X-Vk!53JtYBp;vV?4plWcSWfcnZT>+BKmfRjw3B~#=U z!;++T)F2@TCF51oBW4cV=m}|8*`jVnOl)loRm#S2C0^ASln0>{VZP(FA&=kCJVu8IO(02JqNo*St^KO8^+^VF3544HG(?=e`C(pR0=xNx8j@;rszCQ z3Wlqb1|E|(3PcCBB;w+spGZOywq-Roa=;gWEG!S)c+@fH0_NC_kE0t<9zKnQxOcY0 z7KNPMLI{LG;8lmyEUS)%ooW;P#bg}$G#YpV;s*$hxz&or7@n?>s}hapGg}zp7M6JX zUG=twA;IeOO+vG??|g{gHofsKL6fQ-;Qtd(q5>s_8C;;ONDFutj6&*c6`-1iiRpjN zEVKuh?#9z95Ybelm{F?6i4-0QblALsiV;V#09n0r)KpFTb`uj>qU95naGfBd4tuI& zswrL_T~o(G$Y}=Ur8~dsB#w}9^{uABEh%wQu=YA|qF2F2(MhZaN3Zj+axzHZPiECI zo>~wY*BHzhhXD+(WR_uotx>5L2ofgTlZ_*F}VUh`Fas{4WKpHM%+>li3P||}Fo3(R}<_5Qz#meY82-xZ@CTF17 z-~x1ez^h7%x@rh+EaO&am4mX-nT-5vN#~uVYKMz3XM94qqIW_-AY|$R4Z-^SLrdy^ zLF7&EnY-_jGd>0USxl*>}mt8=+wH5AeX|L$EYJl*K&y zQ8Xc+_?ir4e4yaK=DgiPc9v#YWKQ$TmrM=J*$zPBU2C16{E$|aS5|%yr`GR$=;O-x z6`Q8qxbKoFV++P3^2K3Tf8+Ol4bI-KIxu%3`Q(b%vAp|Fur&SLS3eq=yYB|__xIqc zhalvlUHL56QN^{y**7 z_G0cy?*3P61;ObyCj__~Yb!9?@;sxqYgHuHb?3xO1<+G5n@@uhZ$`!O=S+r zhLCNU5l+{dCOZ*7-Pw_Du*Ft9f^oxbgeAOYrEO#Ov~l713frxU7Lgcc3fM%8PhH{mbtt0Q&!q*yCFK&)ar0bPqJv>)Xn7k|DZwhg(&&`#; z*Ffh-$%Eidx!!UYa+$Myg)=%44}C%`aoMc2(dBU_GjJ?%$0P7yJ~|d0i-#W-v*PUt zK?BAxwc%>YaujANRF;!P8pUT{eU~?W`i4;mF@`o5OrKcM*y!2|gz@~~6Da1w;&xnScf+dKG%7d{X6KF5~o2xSi(+_U5xvoAojU>z=ItkQ_5N#Tm0d_ zqyY%X*wWJ_#yar_K_+=3f;Y@!kf}(tm2^%EVNSN4USkWJj^)vb8VZwvaDge!@+H!J&rE<$BG_-X!p^h36O`sCJ0PW;#v^N2wEE;lg;ict? zH2*wWD62hn#=(A z0$p!!137!g=sX#MJSAj|;gwZIo58(<;9dVok(XyiRe3;s#a9T(t^?g6*Ry7zO93H- zjRS7Gk?`ehuQOJQS1cxAdR^J+QiJ>}9rJBGT15g7{P`xoi z#A?oLy?p$@h2Q6Vilm5X5$v1ml6>kT3QipS%K5tRR%^M-%7wzfrXVSCxpQt*+Ej zgClXZm9*`jYzAbSQ>y29y}{~a0$)`y%+jh^c+Tt41bAV|_%Id^;n62r2Mg>xBIzRr z3y%nDuyu@bT(d)5Nz+@@is1GBVYTRF09+NVHdiE+5Yhz78MN%R02z|16*n}&FHUi4 zbx40{U=v|s)!)D_T0&gNCAPR27b-5gfRaZW6Z2lwRe)fSNr-30eQsna7!%yUma-}b zx0z$?RL&Yf4mYsvIDz#Cpb0uPba5bC(k{qILxXJf)+7W%pkN1DX60Be7r_EWhVk4Y z$5N8CiQ9Nkg-23Izwd8}JqUDc(;)u6Gh74* zv4kd=+Q*C}WY^&fTXZX1&>4}uhP66XAXQ|+0kx{LOiG$NZDj(YKts5H62qft{34<*RM%}2~vVn;G7K?FZZ z{F2Nd`TH_B9_Ux(PpF$8d60VQy{P;d2u7x)XoTtn{4x%8#tGC3FcHd^*V)k)W=Xq& z^7XIQ!N>8*O0pzlWkLz1_kqt*_#wwj;u5rhX$6xcQB)E_{Yc!m9Jb?tE)#_!XsJ<| zViIZ#E>1hxKqfCYXEaLO?Pf;TDRinN)hVg%>d|X_22Qo0CZ;QSP|%58Qs4yb{r~iK zdEi4YtJ>jpHNpA}O;q*|G0pxys{qwg>dFT~j!~#?mpI^L+8D;_y?Ya=qu}`hV zw-Bc***EUHV*OL!`^@^M2!Hk)nCE_G^W1&c-#7OMARW1W{e^4408P%A`|A=-x}Y4$ zV-)`EkwBA)Gy|O1`#?Ze6T?0?WG`0F6`;xQRupj;tPJOc6o6)T9SMYxg4!p4UUDad z+(+COuF;y1kga(9@;%H)ubuLtU2D&q`_lV~`zvY=eGq81TDF2<{jSRLw-A)ik|yE{ zLNu^%Zz~7l9ze+4ea}q8*CdFJmc621pO85ST9IrDu~Ja`n_Tsod^uU+!|4XDA|zbm zzNHYIeK!Q$50UI$R3NnRHy-FmlS2XbZ%M%no>_n!Zo=IEA(H*uUH@6C;L9pHN^i&y zWCvF2EKeR8<$K?fwq55gLHRE7$%)1?@ONoBc{`2oNd8IH4(l)?@-p-n;M1u=(xAy^ zGX(s{Y`3;6lb!@2ZZMVc=BetVq{;Yr#z;t@)AI4;H%X z{{GYT-W@5oYX!KyiBl8faLVOh!(=>!e9+5m{D?y&9j#9u2y&Jh^sTS*mthW@Wjz z;z1`dzjZ8y0UuR(Yf_k{sQ8F?3&3$-j70Ic0U&pXI%OMb-nKk4X4X8&-WOUo3jn!m z(zpWAxjizbd46OH;`nuX5>}Q1cjOanRU~Tsvxzw#_do0xYFjlLbGclzaxBT#3c^YV z>1{lbP8K-|-N(+NyMY`_-aA>E3bdsTyP+eBd zO?^yymkO6*Lw!k`Hk!9i?Ih_cd=Bh)kePu8C`3{TM2&x^RY&)0)?SA_L z#ujl1&q-r1r?Za8(GQZkqJbvWu@_>3SyJV*h+S$dz^j%mmgs1uhXTi&$O`DDw(ra) z#~R=l5eQe7a2#Pog{1SRJ*41cYJHT_KYD9m5q`4x{jJ!FfW}0%(E%Y&4ipXn7*Nvm zkQ!vhXaI)CQFw!1;B-kE%S@SKRiYDPNX#_|x)`re;?+pJNe6-0CCwamHVs%LXYwc3w3=}TH_1-CkXFs-9g)#^@bNwpa#gy4 zxZ6m``_KW&kdT_lg7cN^Rle-3hn~iZXy&dkAjExKku}P0_C;TIV+&=O*-qw6tQ4@) z2L7x_w|Ku=Os{W%94M${7tHCGjJE-ll}c}A4ovDRq)Z#Hp5x5-HSxKX2ahU5kWU)P zRUF+QQjoerzN_jA3gR=ufDG9ysq?gQw70^EHjaxpaTNxxxWAHDFtXqU$WDc{5C>s+ zpEid&d=PSbdOQG$^FEn9%Uvh%Flb!5&Wl9GY?CaSxFIrmL1W%k83Zlx;DTSd>sYET zydrJLGIMl}MPjKJBkOEIk$=F-q>XLlDi2?a7U}KBG7xeM$G+p-&U>NBSo=ltcdhct zJDz31gC}CVbL|x;+IKKjr8Al;awmmH0cf5u=P$9e8cs-5{*`z?I#+4Uh&2ESRlNX< zwm>o?3WjzrMa&afTw~Zf>zt1GHg><@wk2~U)}je*i5DA?_zcR4Q&UofzZ`_n^q*r% zYFq3m4yn~c6|ED;k0_d6#3_}|h8QXJzGR$ss%oT*AI{d%Q4*KoXE~jVG^m$flSD@{ zp*PwsWUHpdB%b6;XjqO%`V&KTWh3<`%4E!>>yMs}%`wpRyzdFwm(+_=(lscYQkY<&9M;`p!?7?6ooMlx@o9;R@qH@} zPvIO}PO{B}$zpV1;fa;F9X*Y)^c^RiLLV{-ZtTv+Q4RjUhW~a2YY+lm1TbTzP*SN< z7&wDOFHBI08p0`pg}9Z{T1V{vRisU$PPBIL%@mAzus?#%B+7&8?`Lt!vD^1@#O{2- zt1IxKnvApy5Cw(@C{9RH(iR-}61WOqWAH4NAHts{855Y$u4vRnvruN$3;23^8zlwF z#>3F!Bym9H5tWama)vS$c-9*PjJAJS61ZxK>IWiX+elQ;Dp8o1#d)j_}*cyKD zwPlAL*`x4K?=xS$F|_~F#-2r|_Pn-YdlEBS?5B>@UvBZ9*IE`h%iqks z{sw#MNa*>)p&Jc;`9Xg9Yb{Hh)pMG{*?1L8oY!K{F!-N5p0vCL&a$4T!#m@X*sP0P zyV9tQG1sx=ubkyMlR6eGF?<4X|Hb0TvT27L4_PwvA}YuBPJ?q(j4<3~R~zsN zq5~kX*cOWXMLmh(hCS`@@L!@pSgRK}C?}J4s#v=ARHGIith)ca!?|-mD&G4B+kyh2 zvP96Py|(&B1kHIg7Z3H!x@1E6oaavanO8gc;JG)k2}hbjPnZ|=Oz+tXz1i@C!(;56 zp4>UYSAQt}Se3t*_vYYobJ|c;Z$O>yIr9W-2S_$qZZhim&>uqIKg`YhWTi3u^~>;K zHtYEl$W;%UTjrSe9UgupS@G(dARA&b%SOXJduPg2s0XdP9R8-Upo~owhaP0l{UP-9 z&d?%LUVczs{-z)AV;r9wxBk2QuL97g`mO{M~&f(9WrJa};G zwYjN1xt^b}dr=@%mI&H3q|kdP0Y9zO7^4K#7k7|VD@ur&?LhUXNPii;n1VmoHOb%G1W9i zoC}~?`Dxb7OIjcJO zhcnr(vmg7>jL_ten7c?!`jjGnHUuGT_b}dG9TIk{V5eD&%30-W$dWNEDbWrIm=|ZK zv0s&2c)&qvx5?WpL*i0XBu|@`BXTHM-Fg?H{BTA-A>&W8<`sEx+2IcIAjs9BMn`cYmQQ|`b<~B!yN5~4Lm0m>EAKxI{`MoUqJu0EOY_F5SF>|J zx~S(z?A|aH2pt_&AW*9CYGn9ZyF-xun5Z;Gc+Q9CN_0R&6;}Ayk3GKInL!Ua!mP4( z9vdmx&`qbU{(TE-GC2O@Ls!WX&2;g2|3xR*cc_F=^e;oXc=*utJqPVkN6-~N_5Q=? zU$$J+6vlvKTKvH0LkIp~-Mo9)o0ZFcMV-`1cgL%qOMKL@E05Gp-By3uncj`g>QC+N z{#&-^wds5I)5s#Zh)|axq1nW zEGDUu#Zzi{0`94Yr4oWMP8eAl_IU?jrCW7a9(3f7oCkl+4qiU}#{UVOyKne3Hhg#G z>Z_S*DoB-Mriv{&&4ZBzQZTZ}-@f|f+prBCnYDn`UiW$jUU82(fxyI6Rz8QpoS=6# zu_{ZB#h{?t;L|L)3L^`+N*jNk8ie&&2m?kI7%PNnw1wV3xZN>b{9q+wDW7Z_jseGg ziD51Fv?q;USe3GJ`AY~|WVjkx>~b+Y!N{Wik{i!^EI0Kr=c9+%me;93s8LJ(+?|L# zN>$atc=#u3B)6{?1H7rb?)as&Z+klQcV_te@pE@$ILImvh7VSL;FVk`7Z^08es!H| ztv$p}S0f9*cF0%7LrU2`${2d(xWS_AKD+;6pz2W#m>VJV{Xovl4Lb)WIeH(q^q|AR~3fH`;d zEPd|YCsyCn{m1Tm|8rB1(&Vi;O3w9oB=Yj1Y+;28(+QYrswWn_Rybu z{s`mY*#COqraj-ecH>S&=Q~e)^T5l<@-_gp?%aIdGk3na@!p3KG=yQ_Pai((so%Zu z?EWp+sseFv=^ljPmpy-6Ic(4AB>RybMCY1ra_j?7lHSM}(Rtqq4cyl2y?orJ1O#p&gXuv3B&`y3tj#VLMU$OW+DezN>0rO6xnzkA-EO`m$` z9voc@l;iHtPW}fd^wWJeOnqvI!T|U7ppUZq?eF#=9ULsYtD~SyMRUZGMiozEso`)- zO(K$vFoP#%5P+y##p4r+d`1FGI+nEnJ+-;XY8hX}7bU&mO4B)$1U4ghw z$aIZFBvcoc{HihS9x4XWaTNICm}l$aa-!X5Kmle zwYe^`Om?xB`xB9s5o;MsiA0li>v&RlhG$7`Pjbev4wz#{Jm?K>)@rqMU}=j%qU?HY zEqH_)iIm~mM4|##k`T-D47dtQNOW?*=S1pST$h=xii}6FO^lIaYuXI>yOCL|X0*rz z*2J`KCGIg{qZ2~R85V1~{qv?1sWW&b`J|=ZWjh#y2l=ent#EY1f*A?tN~7N3S!ae7 zZfOt@a;5=u63v!Dnv7u+Y)g=H<1Vh>Cz6D@L*Papp)G;z zdIrd?z-`TQXNfyZ+^xXa;Wf7SZ;xd=iCc6L8EejUl8}#O7z1uAy1fLH#J<#QrFjYv zSzRp4jd-&uq`NRxZdQ42M_ogiQ-`V`I&+=LGK9_4iXUz&O|otlxK99dTDPDaXYsTQ zwwL~Gglx>W+(+`I%OaOikIGHu=fIO$x8$KDjD^RtUGodX;MqFM|!U0$Z{LA zUDjllaf`Er-Rc0Cs-}Dw;gZCcdw`h@i12D9Zw=l0~eXX>ns=Lrqh)o5BlUJqElZ1e>+~iWKkjm zzbc+&fFz?ZI9*tZjm3AbV(o?*Pm*kqC6I?%O@r113iz6oIm;1Zke5?fkY$Zh@JmjP zxB*wpvf%{CW}91?!e!fB)-gT_pU4h2olv|Np9*uLXSeT{TZVTQ@BpN`0eNub#3nK(OuMBVACjtzaF!dihZj6Bj>l(* zF^CaNtm7ck-!W4#vml8R@}N21KlW3O;hhgx(vifhA-PAJix&k1^kr-vw1q=x6C}FY zg2yZi$|g8OsAO`yX~=A8X>LOjHHtrhETJrphO}|<=CwWuu%(wHdv8=rpO}kDC%isiL;ftFMiRl~7pqOO#K_X1$%O1&0v}7kobfQHa(N00 zMONmFEJBPEjk4d2HI@ocUk)R(qcAob4 z>~|i-wlT;OITwXklMT1Q1YCJ5>4~l=>0Ps%^d_tNaH|3_ok(aq1c8W=d&(5-4` zjb`D18~p*|ZU7lY9zsxB(L|6Cin_@gn$Y`4eM{X=-0zKcMs}rH9ieL5c+)!W2#f}B z^N~Vms)#I!T%*a7$R>_GCz~cRt2Uqsakp}GX?$|rl^?N%tblPAG#Qz3vTgzejamN; zP0C_0{>lbXok5mpvCHC~kw|^1m3f^KU2zg(WSgRK4ss)P+(`&-bC5|0S00=RGOKF< zcW)2Y7CCk|X-38aM3~iKAcgKwy*-;8i(T8SYC{uDEs&FKKucf4+EUb9SGA=~SP70S z$H_Qr<17uIuoS8@_!H*XDJFQAE1{s_{fh@zjcG%4Y!R(tG6h#ci0knRfa^LKn*rXO z-GO^D+gc6YaSickTNU~SGo$eu&xpcI)?t7-?FLImYhWde{}L)BuLe-P@E`{_ zXKn5}8`SB069#Kg|TL|BQ2A~wL|8Ec4^Ucaooq>0~X}B%3ZC4MtSv?-DxK^c^tJltgPzH zN1aG22e+#dTNL?{Tk5T9^3DoT1^3Ti(0vCKv%w_81Q-2L;%aNqcBI->k91Lrr8>gK zWa_LV@Mbk3BSa9($p)%A*o@`2wZ zYq!ANfnBY4QI?9W_eL8`d5|F`iI*JVK;VC14xP)(=1M^c4-V5Fgi%S6OZj9x`d2=Q z!SauXQhX7Fgjz+IS;)7V9gLCQcphxPxjE>K{yoVCcam)dOau#^l51qlt0i)7vc;O1 z-0K*EBVr^Q!dVX2Fe?{}841^tkZsT8BxJHhD?z1*dzln?8*>?PLndTf2OXo4g>pH( z^K5}EeGj+}P9wpC!$V34^v2nkA?W)YkikvHliNsWe)x(=HToBW`pzZs)-f zusF$iK<(>-K*tPj9m+{O@vy2LilI!83Qqc^)mTfTlpAZ7D*(|mi2SK<<&jx^vW8&w z5l+Vi*tW$&7WaqxiiKPHCoj2|g#54+v$UDG>#>lCB7dP&!QSM3N0EJ0<4cfm736K( z$C2f~!zX0?<^T+qgakmdMHsRD%f5qvW?xZyZ|+a;=ku=GfJHo0vPADsA!t~0@{9@`Vk06AbW+cVhLIjggVc-+Lw&`&&o8k2xoSykhg$X&sth{r(axICIxa z2-@BEAPj^#jTM3@#B=<+fr55nX+a)Di2K}tLHT~$jqliTm8u=EbSTiiT>5nQt)Y3+ zhpEjZB-~qwv7jJ(r276CP^MUV6ImMG;{|R3@{Y7o(8Pfz_?#MK2=K}H7&tPLzRVRD z6IpZnS(0o41YhFD7t8&bLo0r^xoHpBcM!5J;d5&;$v&F#q6urGKz3dTfM&72eX)?R zo6cz2f!?zV#t$gF45q+JJ8xy5Fz77%kd-}Gie#_z{oSU7RQXW7Rq#nwA`T&slMrVWKG*icU?eU`cWQ3! z*b96Q4&;+mub_>JR*=8z-R0q0zU_{ulWm#JrzPSa{>Xw9pPd;0&265`yNZIgU|IYH`r9cdSl8R@N9$r4Y~3PiPq@_p387R2)1)mxSCLYB_j6Ma{* z&@&QxS9TOgdQUK+b|m_h{<(ao(C=GO&#`YJexb-*pKR4XwDm8{6N2O5SDgqRqvW}Y zcc|lgqDgo8Aw>j|lqIwYObt#P`?sjec@*Zn>yElAh5Ig`Dp$9pLHxd29%kR=9$ z#R@k1*Xa0?ON!5y9{8+%ezYSc20WH!VFlWDX7N>m4649mo#K+f`&@gn2nII<*>2TJ ziK4I_kBIPEXSp6Cm6Tn6vQ!*QzNZdTeFwo%Q??-hsEL`0W+=&Q)FFMyq8~DvPeNu* zSEWcuxVI3au?6Jwdy}rF~yE$D%EO?jRv$N(iTxk8xnN;E5=DF#`+f;1*Bnn=qFDK@8<-nhg#ABvD{+=_v0 zJODIs50VF|ebr-%ahH|76V_D~8f*F#8M3t2g0{xVbP>Jk*T!kJ(J`9qun+?YPo+ek# zI&2~U#R?QOO7zZ?3qJ9)EH$T}EWwx068pu*A15~gWjXL*8HB*%@>8HPBjxcn%8*bn z2*c5>WUc?EY6s{&sKoi8*ov9dt3rw(;hf?#RPYT19SLQD6vl0$wrYbrUIs@(?|@X2 zaE+wCEmaQcgzkj~Jen3975|n9(n@J&6+)l0RM~!q3aU!T3-(25GfT3_v-I7u0;h;$ zU6B{xM@XQl_F>U!q4pi6`wZ+$b==QJUnxq@Q1_j*)8fGroQEd(pQAG-SXBHFLC|0g zwG?WNe{}}?N;b*BBdby`=EJ44ZzNH8s|`tKsWLPKW0K+$Z#SaMHJFJt?%^v*MTQ=0 z4beo8s7+PAO$%cRBoO|(QvFm_J6wc`P#-2$FY!JQ>gyHjw*zRlh+D0?TGUor-q_k3P) zWA1hBlpkMP(4<&4CgU#yH?2XhWh-u96OfnmB7dP^&dt!|hV>8-=zZB;0a`7V)dv|^ zuHfFRVSuqZd%<{Q3B5@+Ve%59Y+eYF@u#@A=Y>omApv;+?xT<)sGzr&-7hyBt2LS9 zE7&*7Gl~@??!4?Pl&gxY)LClscHel#JqO08OU%g&x#XTX3zw|F7P0){+AsX%_iMj? zfzsqN_W*tPw-;{GA=cby;88|i2!$cD_6vaORgV4Cz7l`W`IaV=IV%cbAdqGvRqmU# ze%Ir2&&l+!)|tNQFOzZA)a9#;eQ? zSXI8&kr}MT8po=$X_r+3`%ZBKt#&&mZi$@g1T3E6c^n|Q5$Dc~Q<<1V#BVwc&-`le?GbrA}R3;`KH%QJH;ml{=2yTX(72L01?-jfPflpc)MgOUkcaGpEt;1`hb$e{p@tc|BIs6M9eld^(OX1|~!AGpef>;>S4Am1FvyCafqzC1FO zWEaSJ1&0ioEl9hQp-mg^24#-IDIPOAny!W3e$m92#wg!p<&e?4mnP1br&R60RfQsH zt7|vZ~;wQQ}R>`!mJ^-v%yAxbu6=~k4JSjaB( ztxWmcDkF6c=HNU&D+V9OAGifJV6db3u+ivX%;hX0!Gy;RW);T|J`u+chr7T{o1^Jn zq~KAw4OuKia*{f*>|*W|G{GN3n~PMUiDXHTC4x~z794B_2epVBrf}U=01;sjW%zC) zfzT^%Xd-khSvfftPpUYc^b{1VBcC8i2to2BxeD=Q(hg&v`d~=37bpnX7I7OZSqHwn zsf=)}7-;>HW1=(8l`zSe2)J<_gs82gZIL-Aft-pJY0^Qjl+y>NF7<}t1&Nb>KcY}H zOO02TbG4PUJqhNpeZM-ck%dzBC>uf1rexwD#SH{Q&=&9T42+0Y|+VwzrbYR z2E(&q>=qK92_aRYNK1TCObG=v@RR!98iF_|DhE^OtcgW&LZnptMH^20#e6C_;Ov!j z%z6>~9O^2qk@B%Pto0|{`|!P+1m&WmW{6L`{qsdu7FI-h9EB7Qc`b)$<|rzAk-0A zr6Mx|(#ic5y|aggf+YjD_dYvhk3aO1svTa(wCSMnIX4%$KOW$rViL4Mk%6*Hv$Qk= ze4&rbx}qQ(6WYb(W`z`50BnU8uU|;qMUb}zA)31soD{N4$?7QtX>ys5LaLr{_(DL2 zm7DS8q)6`pflnbj@QHeQ@;&v;qF{WW$;a2fvPKD+gDmkmfN#8duF#iT%|!=fe5^4T zO?Iu_9B4w0RUo!H%VUuH8jt8G)YoF%T+%xT+OF?Srw2`ef(7yw>z^-JNo0!UuJ37o zZ}%~fi_OUkfxnmBmsH&FAjq!-j=laqI6!NnpnCo2ECE@8G}F}j>$|=R@}7ymgx+`{ z^kp)B#rMzBWa#~aL3%JBOK4MUd>;?Kok+O*0>>WeJX+A+&MZq}2}@5vcE>-ySJe*d zF!b>0^I!2!r>6xUa8&0VAk!^B02IYrzwr;&%2)0(UT z_fAD#Nkea~AdvDvCT_A40{Uw5PLQhy9W*t&(wkfMiM&DPtjg#xXjc_^kV0HBnqt|Y zqw^m-(wL!Gq=H7qpEe|vo~Y8+3A=%+7Q$V2oM(k~_G6Dwhqjp*IQWUVoti{le>U83lUUYZvPd6#!9V78<@$t^YuVc!;<%_*0dfhJmfB!E7C@U2rS^u};eIMQ-DqVLcTF@gPc_Y@+`27; z?Ec7*A2bzx8uH=>(QnA97lSLxN;QG(K#0}^Pf$vG10*{!e*`Ri0LB{`ZBA5^wUtGh zXyZ???~un5PW9@SAiMdH9gycK$M!LvOd=#)yz4;RfjOF6%Z6i96JQP*pN0TGXl67; zvQq`HV`aP!Ln>u>MLmt?e}=1+CO+92tvyJxgMQ!y(nPzeNE00$nE|J-Ao`i!OoX}2 ziLJV$$w_<^&n(<>UqL7tC|D$fbU#VtiKI969sb)UQ#uWb`nF6|``hgts(QnF#RWon z(D^Yt{=S18sOIBzLbo-T|7R)u;fsR{@Z-fgN4HQbROg z5(A#mC;Tjr!G)|OSn!8u?i_naQf-g5F`={KG|50=OZ9w$>@f3sTZ#dsfzn`=40NK! zAbTaHKhb2b69XPdK zhIS}fIrXD9mMrX(2Pysr$1nZ)DQU5?kCxja@-vVt)B~=LAeVq=2>kkP99E4g0=p$XU(6WAA>`#N?1@f7+t8?_>b-SI8-qUeNDex zuBgbg$eD6RISeQhU!%G~>!u!12ded-OTXgZEuyrjpayS2(U&NHP3b%TN43LigI3w; z+4yR$HLaHi2hOrTf4^1k3wk@Y zpIG)Udw`%7lMnTd<=}!gt)Eh*3dB=KhX2JHe%Zlux^w6LYwpOa>d&;Ro4$ z2aoCEcbUOqcgH`$fNFg8VdK7@gZCZ!@Rr}VZs~b?%flKf^<7ryEoW!8eE9hK4wHL! zer*!_%~KPv+!^}n)E|5`Htd2!<1Wa3WKuwWs;4)(r@(#gu70_vy-DPrKa-FmZcQ$d zJwTQxiTvlU_R-rnzCzUw&LE4zuxX_pJW1yC6UzZK(N+#%d~wi<@p-USrb_(|^yw!!|Ig9S%=F9QsNRP>ugG?T|UG=T9TE z(ZMwsL&cuvb5-q7+=V>4YCSt!CNb-&iPlawV(N|&F?PWrenHNdlqY|-H@T<4J#}|4 z?p^<_xRXTwu@bVYz};8&09l?a@-&C^KHNyg|61w&W0>=PR(@Q42R5yj2TztcgZ1}Q z%PDB+K|=2zY$fA=o;QBPhx!zV6Eue$d&*YAnLPI&Vt@ED`vZGyDqFV0 z#5C8?s~s5oHoFvyD{okK*c7N84t(t;Px=HL_6T!@bFWQ{bNVvtHc0+SSOSnaIyPMnWDfa8LbHFYbY|96*zI zoIF`6_-m#2UZwYsVa_zB`wootBnK1iiY+vQ)2*9);cdX@#*eM3x+9iIDF<1beIMTgIMMovBA9C?l%VbiBg z2-$3S3?qwR-eEA_es_2d#xKRg`w3ScHorOT_4^JjmEC5`bx*&iC+_zh2HzULJNgcg zOY|XCI}8?Och4)`*FHB8Qt0pQ2d?X$H@^>r)9W9(^dIlM<`*yA0F>Pu*5C8LpWE}w z_dj&ap3gma`Ezev_xxQSUwT{54W0LPpZB#FfnNLbR31IGXVVRL{HA-U0{PrSpsH^i zd3N6?mpv^YuqwS-t6B51q5|vgbCv`ux7DZ@O*M4fXfzdF{zZ);{p*?(2UK z+1KpZ*A0l(o9_f*s|Hnlz5Ax;*8=jE$d^O20vW)k&%bcPO~cm`S!db3NNKY5rN8^| z6VT*9&!@lf%Gyo)-Yh5xjM()%dv5y1y{ore^WB@eM?uIh_t8b&8xH^tc*SE6{HFWO z8wd=x2Y|$T9wOO(mY*XP`f8%c*Yr~GnrC})KdXdXL(s9$4J1U#R?&HOX)i?Cr9sfn zdVbS2fBD?Qm+g5IS>B*r1>=AI%IRwlbpP|_YcJV!;6L}>aMLpg+JPIElD`kUeEsIj zo_+A1U+la3v(LQoFY~YI{;UtdI%OC%0pu+JiBWu>z4^J{{QHCZdWw;7|MI{G58ZTR z|Jnx+^eo+c?Vbl0KELn!n{Im;1>*nCd+wFBA3o5%c=K65Cv!-X%O5(>edBZ2!bcA; z{>yoL&N;AW-@n|n=e8SuyZ+x{{4;Rm;wcrEpK}132C@7GdGsBE)9vNKAj?6LpZm@y zH|>Aw z;^^;skPZ?|Z3}PF*q`(>0A?u*piJvDclGVK%;%nsr%hIiYgl0NuvBC`f={z?HhF%N z)!{Z;vm-K7y{s+~sZN&NiYF7PnAM5TE0B}43WFs)8ZfzMv)fn1Y_G&%NG zzC+9~EvdOZ>mqPF4rI>~=dZLnU6+J-7Hc3OVPpw&W{`rj$JDi2ZTy0jIKOpb0Kp2O zRx4??auvgjxD9DIG8oWlwI(LXP6(K@)o7$I!I)*le0bMc3A};RQiaK9d`9e%-j-9{ z64TtIH}q6wrC^XHv8gQcjx~`5GU-i*CJjXPGqP5r<%y5w;6b-s#zzqu*E)z! zgDpfA6fhVtklR6?O^~;e?_fe9;mRMzI9%oWvD_g?-&-uRc1PO*DXr zl>6CiCj)XrnNwCRjjUN6tFCs(_*g-Fd_3t@wso$XM}V!V3XrS2#&dTAi>#>*yI-2; zG)29I(r9jC);}#X5=}{Kb=&xVO94|VopEr*;Z!5Hzf3>1N}}rcsJa=7fz6S4~)Pn%5DXiKmopfIG7*sJ!gW|efajris(Q_C8g<0EA{?8>q#uR7xjGa4IBfLaO2 z?j!}P;VP#5?HLP_M23Pww6CfR6UbP^u}DGlVTl7t0~>V0r?ki5MO!OoEMg4p z>jrxGZDI#+MVVunV2!L1FU{cjFK9^k4xX3&mwC1YtOq`_@2nW0{LaR3V}X8I)~FbdoQcob(&Rx)&?5)lN( za7?mR`5WJFV=vAaOyZ<=m~kB&><`WK;xk2khbB}YsL@cvp;bl2Hz@2+!i?11s_#Hx zu;@Fm5Xf)@DorK{g4IO#FaQ)}5>+hA8APt|(oq1i6E_F=ZibmDdmb9{?ddGqV01vR zR=Mf4L3R{mmPtcMnUhIN)_!p`1OG?S)4-Z_Q6Xx>8MIE|{&IDu-kw+8 zz4ZAoC(>5!Ew<-_{O$BQkgFhs%Xw(apz!=^(^$SxHT#*g;HSosWh*YSP4q0FpxI6h zqCInDhR99Gvb7ePgaG#__y( z!{2N}+*r<9udt`bLKUkxUIRs+*pMB|;V~vVfo(}vu1neI29QrE#2o~I8N$^Yk`x^T ztzsU?EQT!23IJvbyM~T=7SUj>1R4z7-1Gu?(D5W{0qQ%*35lj^s6<@~Ao_M1d^wI- zE(V(;rlpDx99vp6GsjQZkFQfehqZA~yh66s1vd zqv+sjMUEy}yvj3#YoOD_JODNWd{Tm25VrtQ>u5>$dz_7mwiykK{F8$BnxbV^EN#!G zkwu!wRQ?PpNJu&gWLES>qseFzLK+t)2$Q$1)5bmNbOBh_ZbC^Hu01{CF^k|`O=o>N zn}oFOoltP*7&3>1M82)8tfE5PpsehSUZrnrL7ISksz80;-ii1AOhWL5ChaP`R1J6r zAcajpU%*xKF-l<^6_jVS8(Cz@zD%+i38|}4vio|lFWDl!HJRb&^BwD0osvAN!hq@v zWLOEd6wIND)N_YnM1)(H5xgr#MBpAn(Xn9;xT8caBjcMWXye6I;Lf<~l8L4&*~yHG znMw%iau%(|9bAqer5VCBI>XA`=BuJC8lE5}R(-P=n(L;RG}{fkLb(dH1K|`))R?HG zk@L*z3elEE?SL%Os2$261kq`7%2=BrQ{`-A8?2N`n3FM}mlN$k%~NhhC1PH{q4oihs+ zCy3kV1g!)}eL*47cof%(2uhHV^Vj2A@glp@r}Vd{*oj z+^J+16MI1khjNT98ate!V3X`hCJrJHol4f!P#Eb_WEe{Tu$7@1ti;J& zE{1%tS|z(u64VGSRXQ7h$QgC z)!slGXolp_R(C!f^>KvC60h$Ir!gbPt7hQ3-Klomn;}CmQGF`;7mh|EA$Hiz31-+9 zBFUV|xLRq(3`2sWS*@fM8%rxhX$9$OQGpHZKqtmhF&t2d5bPA}W!af3I&@YNlZihY z;UnV({@`_$^a3?{{~g-$W6DKw>OU#fnSH87CMqMaL@##WB$!i1R4k2yWGv#?T0&ww zB+~&~)eil^yJFpl<0Jp@>eHv(__9LT9rogSEZ?#D>use%-n?Ty7AW8N^;KB6{O+@^ zpEBn@AN7^cQ^5`L@2@%s>vW6BGdP^Mc;>m7o@LiQ&a_4WBjH?80K=-)HHFW&cm+Wt&rY}&H*-$K3H$eiV?9=K=os=l3-x_j69ty5g)1}5(%4?J)`wjBBN z+MMyXGxr0W0EZhpN1f0Kgm8g)%c__w6ZNIozPW(XJk_`Y>7kmnDAh69n3G{ zF9$_@I(g9G6#we_{#{#ZAKrD_>{ku=E-LaRTnD!g`;jntOjy}>*-~yDlJvoDcbqB?ZoL%TXC!|L?&W2`zpLA_E=3@=i&@AO zxD=n-(UzK~%i`?=_eN{vnzdIfTwqtJjA&cb9`EY5;yYGH#eY;q8O?EuCVTe0>0c!7bs&p$^z8#(*oOTwZ^^<> z#5>yHy)uhF18>>lpHpeTck@7lM|7+Y$1WPKAd!y_YZd#@lqj?U&|s8Ku83R6RWWjv znsz0y^B34P^=qP)$w}31$$fQhTYIXjI~5U96MX|R&G*Tz)S`(xs_G8Wq_9KV7-jjusn@sd%h$f#^7 zSUK&DX8C5S&&bAm@%!HN%pyA`Qc^l*piUz~`crru?$YZA19)bkh>=EAwx(wo+7O7b zN@0{$i7|AaVGt49!h`{A{~@LbA(HqL7fj&+X@f1W)=5jhSo5Lb>1`0_Su}TsqzvW) z87;xXw_B`EI0Ph?AYgDufp?u%l(HZN33-;~4B+vGM?^)D7X9Gki+7U(y57coh3KNe zA#)^%R+HX=19I!bx4JdhK2r;cHw1__W`iQl;3+c2L{qebltfvXpaokDCQJ@Ms+o;Q zIT0|8#Mlbw{g7J+CE|o|dPL096^fe05x6b4B0dR1uzfs0Y_WX@ssp$aB>Of; zP!}icsDWTzIjse25a~`~>9eAfWI2#oJ1(ebURb|E#QXhjto5f`XI*TgBhOe6l2U5w zyP%+pjlv+Lm1HN;m*JUMonO|e{56w&QI1xaaC5)U3OXa3L+v(c(!SWURLX)m{ZLR1 zo)xXNF0kix`~b3HWz**6fOi$?-nD07%{-P=D)o`QaSzu?~O_rS_A-xrlF-L@>Tk*+8S+(&o@1zFqVoaD{l497d74KT2DmF!y;B5-el;O z4i|BG?W|<9YLR<&TvbmeAp#rC*LYK?bhtv0zcp4#;i}UKe3uIi{&rDM?!e+?x|a0@ zcd~6hSD(QFKOOxT~g6gPeny-zCNBXCmbUQkvg%A`K0 zQ8bjD-=Zw(sYC|ri)D_3RVtv>N+;HMF}mK16L=$T;l!H3t?>&*O-&adSbvNq!EM%K zRob)CdWyIT%orUk#$GE9WS5IbnS(yg2k@x`_fp6U?UL4ysH-3F|Zw-Ae!5O;}qH_%Z+1clc-i7}+I!d5JgJ)B?IjX*N>`MPQxSPljU{^ESX%(6IML||>r}^0vQJiMPa(L- zvU118s1Vw?3fv002ZhG2ng~U-*GRow22W+3;1xC}haWW&i?0t~mHK!g)HLfNToNnMZ0; zkQ|TINI@~0HQ^A)Um2O{N?Ue;Og|B{uk;wk(TMOw5AoOxj~hITOR)W;O0Hinao03?{8bqLTEGY92v4-m;i2Ute#KKL785ZBV(`itR_I% z1>W!3OO{_qdT%}he|z$PW|v()n|5Yj@*}LzTQfKBJbm_$Nx>H{Z@hBf%2V6+V)yj_ zn7Mh)#?cT$+Di3-1!CmXmd+LexvzNSlIdPvly59d`6f{*6j$QO;Q}SGBR1BPD zSe7FipDTG}cfz@!H)zbSaLGSSR?_DF-P^x|$8~FG|Iv_Z;gbiKEC1W-t%%!}vi#MJa$wThmVwpLIf{>DC~pqb<&z(b|PgYu)6wIybqGxM_76u(WGq%_L-cMP*0I+Pu1< z&iA7&RqLW{idI364CuB63T~eeD z{lZPj&3?ONy}LHJ4d4cup0~W|8f!`(f=2cr8_!uD3pgKk+6Q*C)$PPdw&!n%&Q7Z6 z5*5eSO?%-gCL71n!po8C$?;b3NLd=aAM84|nMH{aP&hcnSkak^>vWDqeoqUjgjn3A zlORm88ZjJCigid!ffsWyRE=O*g)|K}vB-yinr`HA);!EK1g03OnmBNa#^hK$264je zAwD6U%A_dM7GA=cg7F$2f{+x-iUM?~%5W-(Jn`<_DdkwD5lJChaLCa5cr_+3NL$z+ zR0;=Iv_O_!!ny}UC<%&kz~I}`#wldrYYI{&juRz1rKs%0s`@r$&T<56fp}~L4K*}r zjQ|iog@ZSRPAGs3Kfq}Sk}M^=Ov!}gKCH(Go5Iie@twb0ZUkCn>`61^zm* zzHdPjB^-xb-(qgTbxlmerX+?qHezzM-Kn7dL#`Foq^m-V0Lu{+$73@(rH@;OjxK3t(%2b4L!)a{H_U} z|ITRJj-H=dY!*&;)Q-h7V~0>SW%;{VetOb`!7oOq z2fgYnbO64Czx1Tf__tz6aZ$7@+vF~-^UJ;IdQCJIyAayM@!DxBUK5PI(mtM9T!c|l zAs}(i_-r?X$2-+n|Ih2+kFi4xA#Y2_2C2wO1HQWe0Zigf3mrLLKuAww4CJ;2 z-Yj<+0m)ZuCsmKJ8iaVUrtP>RRX58`?e+b=P_lKLzXp0YMXQr>JXJzpGl;*m*Xyro zJtMi>o0UvRDq;Z50yZZ)A*UddeN9;gWR{)v$#A_fib-MUS!~WRx@y!4ar|YIbAv2l zyjRtR3V}KzKz68}lq1V>)R9~rJjn`D>5QJG=RZfS!yhEKU7BLkdWZc8Q8YzIL7sws z7u>{&23|Ia9^Bc7o>j|2=XB`slHNG zV#?H{>u6A@XF~$XCh&2OhO}%)gnR zYBV$v_ef-5O$Jvl%*h}ljWn)vmX?r>>TK|enm!^oNs3oPUnoe)keiYtDadq597n^= ze3D~LF*HROE}Q8EWa_X`I#A7(h*&`?22JB6y2jj($;KWGlRzZ07t`j|kfg-zR%4Id z;ED1Ed)t%=r-%l;XpIqr6R+w6vweYdwDORFC2@)5YCCRTd7vz5^dUJ@h~#0P1ets+ zWEp9z@n_886<^}cBO@bv1s_b3__EwME3lHT(QR)9*$c3nIeSg9jnP7nsM5m5yEtU4 z(um$N1&`iUQ~&o(&n#f4301*h$&8rLCL};tu2s)|(9G222wP#^z(1WP9H>yMF*(o} zH)=eL07-%5Tee!;+bJxhv4$=Me!3L6$dx53l^qzVK*dh@m2?&L(S$$+y4wU(cfzw$ z$CfT#sn%lrO!vl}0v6e4Rn%rPhWwx}pph zLPu%vgl8FY7XEA{XxbuZepe7ApGjehdUPo!&>b9fa2%5dILlK)2D(`|(Qtvn+zOcO zPVbo1xr9>l4BAcp0xK^g7r@f;y6ch2f7rG0h7hy#*~hWEY=k_#edFwBU&IPCuhJUw z6JG#&DpsyPX4Z6Hd-33}z3``Nt*Da-!nv&L@?&ADmcK{jVF(ntyhz`hTVf@=)W?25mK z7C;BaTJ%?M0y!}LxH$HN2-yxRUjhUx{+1kidNw|hxOd45=Rxm(n!1LNSvMG0?Zwji z-c|SR{LYOJ-x&h7o=MMfUS6^sKDmR1oQop9@?|OpaKG@j&AU2RJxsFU+-JFTR^5q9 z4`+RH=ljU9H|<+?FF=WNWN2czQI<2I$;!=;OUBRnVIUihy(n8cdE6tCvsvcLJ}IU1 z54$$rP3EAY-MeHT9Q*lI12E-jn3S{flc+wQ+>A#sC!~nqtnq!f{5y{wHqjg;O@BuM z7^9c)3%OefV{21$DvtH&sRJ8~kbyffPrW8un@FX=4X9E210L#z)>zv$_N?T}RL>HL z#q3nwAlCiGhP9RMV{5VW1Qb#%Xm3bKf5X~~2k)Fxx$qkL93aA3mSgs5_fE#F`HaQC z#=dlWZu|<%b+#8_6CF%y&MKAW#z0V}i zLY6Dzv1U9yNvEs~^me+|#*!CA+uD9tcXx6=X5tXCeeDPR@)nZ)kwq&ypRKq$#pDe& z)dT5h<3f6rQS2)A$!KJFk%b(w7-EZ#MmDU>W!Zc+KD}t~r((czN6sh660Slqyd5v1 zx-e%>GSz@$klm9~?T*ppjO4E;#6FaYpOIXS1(!sfzoAz+w?*eP)!B1CzAdhk``$A+ zY2{QH{!C^U)-%q+Us>dCv6sx5iU$)P#IikQISUUaHZ^B36HnC*LUv!EiF>uhMGP`H z8JrKLLx^)kHnj@4dh1Z?*FezG7#|?~oqX=4oMjJ6sB3#14uClu@Zjw%kgv8XlmCE+ zHF2o{6L?2CNQ4_1H#PRCet;*@c<-@;$8Bbz*9u+MN6!u@;Pp5fH#T_^UkN)-Ml%(3 zdW6wZiKT~1x-QE4>|3kAtuP0%@vI&SNg!-3WdPRhe}{HbY3In8l9O@Nyr@c3Z@h4tv({N*t&RC^S$pghH^i z11&Ois_9~WkohNXZJO%`DuuBDmBeYcFv0e8IriYf1X=2=eufp3kg*xA8#uFN-a- zQk#-Lk9Ti@pf%Bn097^ID^1(F1>Ibi0ei;)1djx!ZK_P5ai+&4hK$~c7KYK^WHmwC zU5F@~ny$-3RQE~Vj-VU5Z5yqMPaIrR?zTY)dZ%ab7nt*QXKn4Ab+cjt$Fb-7n zahj^zo{mP#((mtSz81r*U%6juOJMMkier#)_quz9wdgGR40qZ$k?r7i{yLY9CtzPH zowig8vZn`yM#Gg@TdKd2lq-iZ)aJ*AOZ(BGJRutZ2y6AX#c8cF48y5`~* zsijsBr!6@psa*d_U8bCH1+14d0ViJjh8o(_(xYb@7|@A^B-|!N4a(7Wy0C>%(u?{7czJy7#EA24uc5+m`sP_JSYDRSyo4(@c?qH9 z3ZFb>!8LHS!e1l38Erpl|%cv~GQgG%43o_{SW4eW$4HfAnH?LQ>R|^O4%_Q-*x=u()(vCF+i{h$cin2umZkHOq zZ!%(#G|doNsyuCUL2ZMO!VoAE$yiFF6%v+jK~egdP>UmkN^0v=Y=HDxJ1IJGj%JU@ z)>>x6PbsSKi;@a7L6H;|EDcm5;+P7V;o8&(Bt=KbHoyehahL2OVt&A5nI=_{skfs| zXe&A!TwyD5pRFo-CH-#gvzy?1cdA&3$CXwC-)X~1n&cuU?Sf69fzUW0*yez%GDuY* z+b~pw35OC?gQ!VIfPP~=NLElmXK@-St$YybC8gj7n>#jU8N*R^`?0kSFmC*T5$qX% zlUMcY7AzK244wLuc;z*T^L{!o?X%9?uI=4&m1jK&a*KVRTP5Z`J19T9ZfJ$K<(3~D z?rHjL=EJML9oh5kIZqrob?70nBKqjBFZt~r@!YpgIryx7(F$?qD|K@Q#R^X!^sFy8 z&D^QVJ1eibTGS2@d8wxlc-ByBb&o>Txe(8e@VoY@0MLpYIh^Up&vMNZ_G^d5-~aD; zX!7W@V*D%Pf$`B1ee@Stm}r{W(|qt#)}C*^>qk$HyZPrsxBR#Z=JYg;?^WXtUvTT; ze}2{(|GNI;(&(c@PapoW8XB^%pJu;IAD})s^vaP{ILRDCEcPHg58@aAFde+XS znJ{O1WN4eHb>tTuvC7l05xF9x%H!@?aS`*Uq0p{f`{*wx?0it{`Q!Mn-xPWDw;$NO zV*EcJc<#NK^A3OSa9{J#XD>SVhseuw{_2SX?f}5&#zjEKd>bKwWlSknbBDahiI_>4_#rW6G`}&{8 zrY(;j<2RbHV*Kw$FlFYKdn5P-3%6pZ>UG&}t7{Kfy22XDl%pex^u;QMumIJL)&b;R zYv@3^nEQjFo|wp-8J`o`KIg9%9jN+$jpBeaH#2VVPO*J$yBIoX$`7e#*YYyz5lbQMP?+x{NL!$O;KaM=DBH~QO#0?6~R0t8P?jbUY7@G8=NNrmQyzj(1nbv`-&kN;d-iFrU zRY}}`2?1T`(BRym4EcK~`fky_Kt+arr%%yuAKD(0#rPT5ynLOS^Z37x)z;zHwLEsP zkHO=kj2%Se*9zn6>LGEy>|LO4ZN!Mvep{x(Ug}0N^=KVVlf6}Sc~0cj1LLl56!*AuGw$VgiVuEk+^P6Yz9!B*knn8rLWKh%7@YRV)2J%x zMmsUt76&REEC&yvdP`c#5E_QiJNyW_0V z%I@4>xRJj4NJb9**#7V{HHT^J(0I!>@k=73!yEUS7kSN@V~_t+*M-n~XxoQ{{N0c^ zr$JQBgVZtEI!GvnVt{^F4S*vlQ0d?$E?3<8y3#0}M(nax0oO!&>MJr?f2&Ph7ixFz zFWtEhiicKN-Vj@kb!Z-G0xgtfQ|lm+q%eoc_N6aieu3GFgTqIKp1H|f z9pf>6B#-XkWc$%`858ZEx37EQvMI%p#7N#p%yF(>D1Z zUFEGF`u;y>FhZaI$D1NQ{I>hK1J*4M35?KRiyq!14jdf!gFlD|>oK#4pD`#pJbx(< zfO{~raOYwGJP@DkV8Y?AqM1cxC(kUVQ_oZ7P-Q!-rL>j>)Y<`PVkn0Xi*LL%?g!tF z%>5Ixta{Ir*_lNobK&)$ihS>zbI!zYuzl_=Ki0pb3CH*YDsniv`|$N=U{2#-KLs<3 zrxtx#eR$}jGhc|z{S>5**_j23fm$yf&nx^6Ju72o7VmmJAA7 zb;jq-EH1ai>mSFZu^;dwnVXwgTyJ$ec;H=oUis3udk@Y1$+v&MSUf>9i^#WVW^v|g z=Z(GA!Fc#TYq)h_l-(?PDxgb&o(f#i6G;BRFxk&=mgD>OAA0F?r`-y0QUiGlO>Ww4 zG!M>*Hk3$BmF5LCAG`D9fMSOytz>N%qE^ zl|MV5$e+k@-*n}@IR*c89m{^~h4XHB+Guj+8dmVt)1LbV3At|1H?rJ^S;!xAvJY{V zz_Mi_-+SS_^8?2|$3j|OJ?;624fofxLX7br_$|r)naMIw!TUizLg^S+HFB23eK;qC zWgCE8Bjji29}dmg^YACw9O53jiL%^($OwUBuiO2|c%20#CNf+3&q3)JZb?}_o~PhW z7DE1hgv=?_8$N+1-+SSM1>_;-Ha>Z@$S04yJWNO)cafDRqau%(W&i#|H$3;=jUU*5 z=&C~>2H0-qg&Tp8{GrV+0Vw&s|8?*FL;2Xv#a0^QN0d#~-uU~38lU+hw+_HsbvmU* z*q?YT07y`LR?{hyg=7K{EkO>r>zVs5Bcu;P7DhF3Hx~*4Hlh?VOWVf>HWNkh-$kFo7dT@tikIZQUxyHDv-uFwm$}SedvJFNovaI$@mXIwi ztN9%c3z-DHhxwb)YMr}^iy^;sYWm58flpdVNIl`^R#j6mw3cZ{ynkU-fjo3{jhW#luoie>fc4OIm_wE8QOF9 zN^9G3TO5nTEs$0RmaDtNw$-}Ia9YFAf@mxAABv-^)pELlzxCA+?Nxo0m z-=kLKW8;nkpw)A_gNh7IMwM-9m9cVO=M>9JwwCwI>vV(P&f{85DF<05^2~u_;i}`U z_pw=7lKX8Bnbtuwgb)MZ1aMG{i*xK`Oj!v<$F*DhI38s-HGWkT3RzvJL7w4*3_LVo z+s1qFP`$;UDP%*Fw_50afbA0GEzp*1Lgd0H%})6J(4?~3p9a~@HIyZ+3KcZ63H_&#+|7Cuxxbhj3L5U- zP)K0qFd+k3A;Ys=n$O(;SB098m2Oj3Ht>=ZT$RsFjt#j70=?nEJTjr##i1uF2w*D0 zW`dBGoR#Dg2$?E?Y8(UkzJ?}M4i5rLxIDTJWI&RUkT!w+=hjoD) z9d?!HRFEZ}(}7rOiP$jGRaexL^CT>Br)aneXRIb$t2M6KB9#Fngebh%Ox*fOL?VJy zTUW4S8Nt6slMS-q6RQN1}FG`9PMZ<#}P8zEeXHeMi0X{8o zY@;LgB&|?l3c2Pju>+5WikxMQuE&#~Jf35R=>SzMZlKW(8I;|ywM?O7DQu(AX$8%B zweRRu)io@{j2#R&^<@OU3pmX-gVabus;Th@VmvlCn>LyH$-$J84NGZA!AQ8muLHcR zdvQ1VvW6xzcDSaIgp_Qz2d23#Kx)j59lln{{mnEtgU)uHWl6k$rSr|%VLEcare?dL z!Caq^PY#WbTar3%D2S`jD~TIfGB;b92l>R4$Vjx$=E0-F76L-2F3bsxrZpIGp zwvs6l0&>G8z2Ke}!|&bhj$=at6hl9R0L9qV)7pUGYbG<5UOGi&RGI zvUK1lc;6Xm56^lL4!~o0e?Vc>%L)R&f(VH1Gtk^YKk|vd$CP+MG1CW% zag{TU%#rxBy$po722NKQ-vQMaS;lc0St+DRNJf%8<5QGf2AUXIY?NMsJo)ujC`hug zP>M)V%ErrXMM2@bmuSe~qz1*gnOsS6_F|v`Q)K}uRL~_$=pz&MH5gku<)E-&GZmb0 zG>!^?CoRZf*>Q1?oPXp0aO)5voMMlT(Lg?5Ispi$*e>OPE`nhF?$*!m+6b&wZ1j znk*svD?~mG7_rBI`U)$7wR-nmc|x9jk%VAF_>rtUA|~(0fL41HRvrP^x9>h|Qu4sS z0E(ellN;Hw&u`rdy*)-A&X&$4%-uYy?8RB`60(Cr1MD(fNM%l-v zNlEV4k>$rOuU+&as?XlKuWq>?#(%T-3qaZZ&8w%Mx9EHK-FMTzOTJrNHIGkO9+wKi zLi)DO;?{wnz5MPteOG$?;6~e8qx3Z~WT&#Sx8S4K01_0tW31*Zy&8Nz9BaD_TMVS? zk^f8nxU;hVGmxE#cX!9}QF`=T?9k5UVAB>B!U~q~V2Xq+HVV$5?P*wVBjo-5 zMtqE(Rq)8gFaz8ZLcIy^mmr2=X|a&iT$aR*OGZKCZzz~627pqZkPFAM zf?PT~;BU&ZoQeVamgHn-i#V%^MLx-9SxEM~u{&SdJ0~bKx3C!2l`w}jfsh@eWjRV& z9@(*_jnC?Rtg?4(iw~@iw>ul6HDy#!E-M$wL`7TDiuc{WEaIf2Y-KZ>GkxSbc+&Mo zQ3Pe_-BV7j!yiT-T)c@Z7(F4#;E&QH1w3}Z_t7mFcS$eVbd@8BH7e=8# z6du{2bQF~i4Tuxc!4YqV^4TK94frbxEZ5M8dxYRg-2yjQLPI8f03HTAOpJU~BVQUL zSDZfb5gco^NC#e+B=BWs#I9(^yiC{%-w{L6Mpo7(?~lP?pL;Hk9h5+S&@BjmBSbi* z=KSQ4XXBv{cC3FAAMsO`)6q4PCNoCUVPo9TGR{pd>sP{(gLeR_+W+K4;iA8RY;46+oF$R79(+>N z+%p>+FSW*!PXfd?E2PKqqZ5UVfm1&Bu@{3knyXloW!0?U_X(|b0(19-Sz7W=Scy$a z&aonPY+gu?2d;u_E*-H58}MIT9>-{agit+sM;>mjsXhy;kElt`RZbU5KGJdqN*+t1 zc^;fJnvjmHf^S`oJ>riP_0X6Vlcm|Xt(aT__;fz^FcTp}7jVymRENkc+a~l> zG_do_iHxntuqfT4kWM5pj7I9&8=@IyhC?TGg#FbIebvX$7*Y`Ck2`9vqm06!`0fSZI^N(&J$8z;&5 zqY^?2qR+{3N7z**^+pez$IZn++@5gQv9Yq6YGh325aewJ<7Ua+(-!lx1O|5w|FCtY-j;6A5j>_;RR8Lhx;7w&X2Vu!L-?5Pvb` zHdyT?=JjD*bgHeaxZ?o7S;yMivCB@y{Lm*Jdr;+!FIko$_sKP0RJL&IfZR`1pjtnA zpaDGE1q`-OSu5Of496%?O)x1w4NuIlQ(=&+WCfZAVbwd92Tt(Ej1ata(h}S=!r{nD zg*QS2>{d^@1PkVrrLH%MRWmsk>0GiXrEpF{Y(*(ygd{lWW6XKnf<7ima09-4L@-v0 zUd?A{7Z4=J@H3X(-6n5r4sC*$4aa zZ7J$>Zy(!1le2}gN!xhn7SFegJ)2J$E9q@t<<{X+tQiA6m9`w;On6Y?;~ zYew>5ZGjNV@~IL1{nAK6sB~`lo6slAv32}#O^SUIau->7`0^Wa{)VeI%)S)6sGAD0 z5)}d@mi z+<94sdKYsaL6aicZ;Bhb!<^k;z;5-IL&;^Em%NC_QtMYu#cuWN!N)H@k4$MRDuz*A zbvzXeO6N$f>bo)@WfyA?1PMy3v`zR+VFN*|U;2e)Rhm2kw_A!EOW;Lh4b;~rJ0?fqgCfMoRcX-9!(_N%DWM2)v6V*PzU_*1-3e6$e zSkWihlk?2EEzfw9B^fUP2J2y-9Rv8Tmu!cERy;kxvKx8D-L8!-VFmkrU&hnhvkK-} z2_eyzz_D2SpGrak$2P8`XDu`XO<94zv9o+BAuxy0Y7MY&DpjgxG~YHGy}{&j9g0^G2&oD{@vwoSC7Enap? zS!vXhG+`j4&^o9Xii$WW%N$t(O_3J5CZibWc}TI|g>|ss_#}ob0nnF|jgl!e$LKwx z2TvY%hXsVcI2;@G*7;s+T2r)^aiOu1{pzXlfwb3xOH>E*%^3rF!@1#ae#@Vad1etg z^0;T%m@<4uK%>&U;0OrQjo4Ij1&;K(lI8)p7s1%ogbWVSB+c9+n|&vZOo=ZX2-9dy zn?Z7TLvS=4<|M=SWEoVLijXdlXynU~Y`LBWz#C6hCRhsMZLB6iAlX>PTrQ`9qDp6^ zcj+fBErRD0#8g2-NN*ByECB{*gUqImA;A-{LVnViHG!2;=-z0~ZOuoDrDDfsD2YD= zdJz?im3Us^hzy)gZV^@^-9xWcNnA8Rn}d-V(87Z;K1s3>NDV~^6Y`48B1)ezoyhp( zLQ+IGWJ-;lV02Hw4fWXK7*4ZV8bqZMQd+Q*3a{!(;9Ip9m@N_bFv$t zAh@B$cEHl6tgMg)ftBU?3Z`4N-{f6IP=c@@Hx4v%@h`yOr` zBsW`{;#?ce4IC(MhKze9L>xg#v>Y669FZ-lRbE-)E-I~Wc~;w!~XOobH!1c|?r++&BVHNI*i zbsn?N#uFX4d>TOlQ5Ard8DU)29+V~Vl`{UVB#XDbcC0fCGah7PMvRQbB`$Mq2uc>0 znNJZPMy5)LBvL^jPBVTDDUt|Qc!r~g5xT^`dPB2qC2U0^X&qkS?`VQ^1SZa~H)N7Q zBFHi%XOH>nWr;}G3K0ccAqqk$gonu?@kAfBDT2IgbeM*fDyX61uP?kLY+T6&O%n+! zK9!|ODnk?K5>AUlv8RcRgRRW*XyRfaM|00x#v_BAHBBe*yKzU$W z$eP3c#FA!dDAVIi-Ws6Q0#x;uq1}g9ZGL%Z_A9HiAht)u&3$z4E4!YW``U|76DIG2 zSMIyz!5=`#ZV1^Onsdn!g)qYD)=`YVa_Ha?EX396S?^K%L=F3K`r$Z+n_vOG!L&y$tw zUw!t)r_Wn-C|ge+-1YSASGIrs{MY^m)#oH>@@8Ds_db`$4x4DQk>>g2n};LABZ0|; z4@L-RkZWYOLw@Z@vbBzi4&L5Lme$QMEidZ7@!wJtX;x*F>O?Z7Mr zjGAwI1dxk$0if^=GpB>`eu>t_`g0o1-cJg2z*@|a10S0o&O^XZV^fzNNSxRJ=92<|X16h}Q zlh@%X1YzIh6tu{LMnQgNQA$CqA#E~9dD8Kt6@gFac}2*bUpjeYlFbwKf-JevOqThY zj0tjF`fK1p9sP*EL6Bo>7pA6eN-meqnyaUhIe-cb{GAAmH)JZ}F<_22<&VcYvj|2@ zZ{$!o;ZS)ZqzVS9T8PdZJyT+cnw{D3YC||yGXpn?TNVCtfu^)3Ta_K{YN3h24Cv`g z0TUYOKyV5?zX`^G7!HORN}9`nY&!~!EHpbf2BB#28QdH~n=A1yjFH4KJ+t5tPAkfS zs{wh0Lw<%uEV)ZzmSD(QQN)Z>8Dy9VCJD?DI*Z*Hs%Xiko2?98moU4J5){nk{09_5 zXuJc>7ZD?G$?0KH_+3F((I6+>K|ynzABDDsN4lo+8OCDTo`~H|glLyAND8Y@xcG*M zUNt4N8>y;^jDZ*)i`uhJukAhlV~3+}|I!$)^lV3;pt0s~R`jp%<2fpq<2XnBa;bIcgFCsT4+4p z7#Usm6J_r-V+R{T-UjX|7*AQooOX<wqi!2Jb}tVpib`kRvFi<=d=8H7 z%5&@+5CY?mR8LHyop{-JgC;u~z{8qNN?25udNo_=C9km?G4k4kr)(JQHjEv;N7lq^ z{lxJfJLI?Uqcn?}ItfcthvCsP3xvGabF=-5xl$rFTgR9S%#D1}R?E@uv~&qU#U$p* z8e#dkPD*m1JlZK`t~*Q|JqmhCt7OZdB36CzM=xTnxkVzw?tcpdf#iztq z4t{uwFCm8aeeR6$UNetuSRf)xk#<&4I{bWOo@?U{tDQVhl%=CXnSz4x5GV7Ze9d!Y zlWXZaa$E%|U2rxAPK@?!iA94fSCwJxaMi^UK84jWp-@n^05mM^HEHS2F`)AiRJhAO z{;dOLIjj;C)uckT$g$*54&YRVJFKe(%=AFQ1Y**hL@Wh1PgQ(IQLdtu6q4o3B}|sN z^B@&!GVH=J5p2|xydaUzBhV>@Jdz>2v3RuRkt7yb8hk{;d6{8vn5{-a;ZGZHQc_rw ze2y2w*u{m#oTqx+?_|meQZKbr0fwi8G$^4CVAvsGCx(|liY=)A&;O*X>z%P zM#6-nkv)PYp$IhS?Noh+{a%g>*x%_5i?_2j6j_na(P120N-3fKAH~)ViaB^6 z%+PTqpHSqSwt^04P{bh+Qhp#LghIZVB?Lk~Au8;ji@Ctz^>5L1@oia-P{>1BN}$P? zMB6D^0F-%l_3M0Xxj3y*NDd<*6v7HJb0B0>R%)Qh)mcJd&SX5@_$Cl@Gm-u?e@++6 z8zVn|uZR#z_l18Vg4IJYhd@XwJP&;m6Q}9|A!P@w-a;Y&n7t|_&!P)K7)2#YwGB?Lk)&JqGm zF#A>oK5-6YS%T7;l_dm1{yIwtgiOd10!^G@K559Z1VR>O34su^-bK_<$cijWph+xC z2!zbf5&|K)&-p_kjkY)qTnEIQ`7Uu=Sa^zPLI@pS-9!YD;FzL7h?gY*KOCaN(*Ww*^TAYpWXcV*YCV{|G}%jMG9`*f9U!>9~gRQ|G|I$@ALP3YEmcv8;@~!;`r$R{J6T?li?>KlVk$?2${_}8PA^EQQuhJfbCg2|W z_aeuBX8$302tp19{{9p|81swaZ;Y$RC!v+OEPuwX`VpJMny_QpoaY|-#L#{+e&~^B zzjgkeSD$}m|F^*X+@s{G>z;e$^{tCIH=#eFmebb(eAN>Z)M#=vf zSw6qp8uL9!B1e?0b6uw{HHzXNY{0@!+{c-UjZQ4L2MAa!?_dJlx+Wocs;e z`@f-CST#q=^8P=+|7VY!`9%WEykXCT{fAb*dOmzWjtyW~4Fu*t9J&ay{%ik#zrN>@ zw|x=yBER~KB%6G4;*Ae5I^X~KA%p`4#;+4#dQO)^2bW;|l62lFj|-XM2O%3^oRIw# zFtb#&-pH;Q^b`F_ylLP7d^4%Md7W`&**fnYQsI-{3OWFXaNg|#OZ_uQ!RgHtSiyy= z{h@ify?;vbx+>qX^un1vQD?JEHYC?No#z0Ko))D=#D ze3_lRi#*t{qtyj>Loxw4)7#+_HKE@3%ODcPfU8^~rj_B~`&jR-Bq+(whJ{4FYp>WV zqT{q*G2JNGfU>N0I^$)Xz%k7@RyC91*mhuccFLgSHBe3|%f^Msq>4RQOPa_X(O6dR z(H%?NIiJu?bk0IfIJrAM{MXP^q<4)`P)2P_CVQH2bqeZF)amib2VB3Y+J`>rp~@GP zGaPXDGM4MfGY2`5>qhh-7ny?ma{%`o6}hp9yQM%# z3qIu!WcNdMD`X=}z*t3nAW#Wa?bqE(C?>$sOcA(Ablr5fJ=xUae+`&*&A`U#y_z%; zfL%!>n*b>SAq#4>qx*p&V@K1eDwQN`94p??gvWD!f5TGJyZ=iq_<(+$v z$Pgl+_k~em>y+M6Wlv7CCN(>q@_56AvG>=kPxWBWzDa4kw^FfS@)pOh2mBEgS|#~p zU>axH4F!Qr7WD@1JB9sSPleX9nT&5XRo% zg=CB2M3U=zmJ+6q_35Twyvu_ucM#4|nS>n>YXRsfcMO4Fs*|)@gaQLZ&qCj^J|d8vG5rqre{hEhcEI}%|1;NIRc9Jaef_9 zNmXvy;1U(M1LS##DnxH1W^r9acIe|b%=qYj$xjJw1vWx~#6|v)Q#DGY#Zo1(BBqfF zLND3^kQDeJfw&u7v^7E_-JY9y;tWBtY&yQNz}n~Cs`Ju8GLOY6zRIeaS2VS5$5r+T zX^l`tSKE6f=DK!5!z6kR?73&LPkWITwgSPapfzAw-yo0^aSL04T;X;hi9jZM?aKCz zSbmrs!g+sg@FD8`NEZt^#TNJMr95sHd}1A8Q|Cc9b@ z!I&!Bk@TuHVxcJd3k>RXOL3Kf)2zmtb!0+QDLc$Y=QYBI)4)6Sf*xFyH+E>HHi~*x zv}oLppqE8McihN5#j+Q8t<~skGj7CM9rveKHN^(dE7EDfiK*}U;;OZgE>cMHG_X zz1UL5;BFu|(=viWuY{|#tojgy06_C^&#@mGjBB7;wQTR7Z?a6QFJ0pa*H3*ZkyO$2 zOi~cC!F?@h($85A#JfR``lMhptQ?rukO2Il|HV!zncNTHbh47jq47C?=NE&=LJB-c zS&j*EzlASYy>*W6hC=w^)7T+{L4uH?$woycJ#E2b%qeh%MS}+4Sxw}TiWtraYSqcI z(u^HG^l#KU{2|bpw7`%S2iSxI%?4n~aU%;JE<-kfL!uS{XV6=ha@#BH#XuoNrN-E` zH?C|i($w8Al-s-xnmDNI7=(YPIo_X&q#}M1|(LvNmNw!G9WP)8{pU&e(woC{_YmHU8KLJB>mp0p8N)N+aXOL zv<~M`h_YNDJWOur6+z3d0C|BxmZT6VNFGGl3XlP;O57k11eFcz8kklIA_v^CvcQ9n z86m~w5x7qXx!LH=^(4@nivfP>|Py`8!qC2D}9i?b=Qv%p<(8JQ#LDtQnJ(oN{j zn47u_51rx((VMc&Du^seK@`Ig$$Zm4HJ;d+1qP5|$V-}0XLz9+i85LUw3)?|9>&9# z&=S48Q21iS!Cv12BUEfOhvhSHn_(z__~eOqgWzBooh1XG6+zN>R*FV!wo)4R6Pb<{ zCMb;%c$$%gdxIc#JIE}29+5~)h7vT@z%DX~c_T0!a-k7P8|_(^k`+f3x*CM(VFLU} zS`Niw(3~5DghUlyF-K|66CWB2aB8 z3cBz-(*mDRD5C;$qfr+QYa#DwOClDwLKFldqg)WmG8EH~V5|jIXL0LrOc;hGkpuA^ z`B4gaDL`s$z8qiq2cUoVFYDX&O@kTParx}UU%BtTj|Sk7PkiI02P6CL0y47PQUtPiCA;dz(KRU+0`6sjPj2|~wZ`9{OwvAh)#^3d%wGrS$s>aIwxitM~2 zF5$t6*l;1XquD+q`MbK>cQ;0y&iE@2*PY~(s6$q&s7d8rSUcw`-^ms;;Og8cyHy8PwdPh94tj-mh=QJ+Y-b3aJrU5*H z#k>Fz^1^H6!KD(NxnVa(sT5jhoUJG&9PK#{#_BX)bBU(#hoCtwjIgwh(JpNyE%}0p zk;Lej3Bhfgi6yK`j1+T0=91aev5X2O=fSeG_tSthTOc!QBP+=4;Q41p@MK9kXd^k!pzO2^t%h zNzy4yRl?3tiuPUSD~iZ)@Yo9vyq#MICD3ejV_^t=85a45;UgYzGCGxQUN%ciN^4^Q zKB7)A*+pL#XwpaBgc6bzRM-q5t01-+Qlg*r#zqS@dP=A_e2>PN%`pg*YK3w!%7Bm* z$kz7(YvR+2rOo3o5( ztRf+XoG-*iG33K*;#ZlkiJPzYs0wzh(9p!P9N_gnFrek%i?_%cd07FDwyh|$vl zCSO>@Cse@^t7e|@UUELS4sRC5FN=(cmktut63(agzZhiEAY)3WhB{lyG5}eObUUIh z;QnR{HK7+L&|MfIRW*33*#0cINj5rst1KrQkc?QuG+9mrSwptOqkD97MiZO4?NE~h zy0(PUqL#_ZkPWWm#R)X)Ms`^ZhB83(gbbl1qSEFN;vwWY=g{*E<3R`kH!D~VaLABs z6l8L_A)^N&1+!L$3c?)rV9rXNFT{9|T!s5f7g~EE8DBW@qOSO?POnT=#w`Fm^rMnUmjcJH%PGE8%b#O*UxS1S{BET;|vV28p3X)@vERG!;)tOT! zCnSS`9#SCHSMcR}W*Ie-Hhw}zqkO)s*nA?iBTi57?;20$MR&qLJDquDx2je!HxBK*8A*yz1xP9@uZ+owlN;N zDc`?j`6W3`40*|YCAqWY^{cwE1$KUxqj>Q7han%_YiQ@~(40{3VM3mGnDIZKdTAq` z6c}#WH#)y`zJiAcfjKW_3+;xG+h~%aU8YMaxB+C~mcDrT*;nrS|L%uLn|FQYlij;E z)?T{n^t0b_@G?}0mG@r>SkUx(Z1z0~;E<=!TC(rMvs;kmYkM#0f1<$OxJ0hH016tt zx%ym&@?2Kz@5Nc;;g77|Bh|s2s|tqq!p$cRv&;SPt&A*aS=|AT6cv z2L@%R8`iCqAjL2kaS2^*Ewps^iRk4R_nYL7v?0}QI>F%AEyT&R+#0H6l{;L zOWXOHkfk{*XM|;0NH*piNp@Z_z@C~{uPW8mQXNjSO^RsORmii zI{##hq_;i5t;5M`pc(mkSaXhka#AelCuf-+q~COL=s_-;5W5Q9XC_sxouKijE{^_E zsaY;wn(&uVLpLFS*Q96r`Q{Kp1$CccBRZI>+a7dUG^8*PnEkm<3mt99jRrL6Z1GH_ zK!_h`k|hrxJA^{OS;UP22u4WgYy%dN=`N{*-*d4*>KloQ{T^n0UWp!VzMJ8o=1e5=z4TH z^!9I$RLrf*xi^F;{0B+mTBtYoJRqaHCNi=F#cLu433Bjlq9rx;=QjFLS|USiOPq&7 zILX|hY~~Kg^&m=4Yl>}761Srzr!~b)rsX#zBoP90EK)iro2`_2LclGF75{NBNs;gg z{3L}18vcXZ)>r||3Q-}q18xH%swIfVgBEL&&5~a8&>F91N3i%vn#k&o?yE#gvvjp~ zh1EKar2Mt#$lj(;iQb5%dTqQC(e>VH%hkNpnUw5aT~^7)8FPHni-K`1C$kC?8Gka+ zL>2p_fSZ_zyU-^@IQitTYI5#uj+UI(3J26!1eX_MrKU7TwopjYwI1>bs*XlF7{Bo? z5@ZBTQQ#9wh90EkTR;{+`0jC>S!8V>Yl;K?oPt1aB2iEr(=V3K>2N!UbfPVChjPql zmES_w#LZ+8d|z!U9+AUPA13(GUG}6X(VIP&Na^eu5?oeHFkc$uQ3-U&2BUZO)Ff0_ zSawAhicVn=hg=>-3`ah-j?6L=M@iKUbNKuyP%HszBU`QVg-KC=JDq3hg!tqbV+V5$n49XayMS zxurlBvG&j88_1kO>_uG418^h^!~J})$nLM>vHYuQ3t%iRTv>jekuje_mVdKv|TTQ|CEuPZtoARyq1KloJyJu&@^)k@T#^1 zm{P1@=&H|hmJ6`xoCt()mWB)=S=m`lV5M0}AaeqLZvY;pF{i&k!F1r0P{Dj5xO8>= zvw1>7<3S?wx@=k2#ga>BkS16*i2K`95lnXBL9DJA1+|NHfk9duFtcWN!DqaM%ga8e zw$(XKYPz08B`>bPTFj}*-@U6gd9D~(ld>|aTPgXD?x$~G6#Pp%vn&HJOa1z;BZ$Rn~)RC9j6K*{WKig#c*e+v1?lrDam?ozyllY z67p+IorO2s65{{o?rQ*}s;>O+ee-5~34y!}LmVP)@5_e9^pY2*)ghKP?Wt7G^u zrBr9IvY`LEAXdA|>YXqNX%{hK_^8`$6Pu{4^`qNXy11ph@!?7zDG zl#DEn4GmR)lyvM#8X*YT$Du#+#gRa?xe#OwjIoE;_Gwd&cibfHASGk^rFDfzX^7eo z5Zi(Ygt5XN-wW<18uvH+z|CO2`Fj1k$#6-?1m6-Q%!Kaxj z7sm5VEa|{5XW=Bdmb9HMKQ*6?7VdZLO2vhpZ--iSZkEiBN~o~lK|51+28oo6QW}NE z;Lfaanc$l1lUjmTlRFcWcnKqvHRm_L~$y&Mbj|UXo-D9ei?tNxs)%{(A?;j z=N03b1&0ulodDJ}`bm7~c{8TKwGfaJPIsm+v(P}#Co(u!c$`Q>Kd{O4 zH}kJYZ=J(^Gmy*^{7)9S3eOc94QN9C284Ek{}8W1bT5lL@Zc!IJa?xB@d`xseJyx6 zaZa2!!?8^S5u_JMuhjJb<=Q3_AJ>Hn{UjT-1@ZZk(BoARDMYI%GDArb@BaT2#Su3oTQYxFWY| zet1oEmM1C1W>1`w*x}?`Jc)&d4BkjRpM^+(2`p}ggPevGeU4%{Gbq)9Nr9%@U0d{B zkOWQO4+O|Tqf7^ViSmIswBzVIAtIAN8JzrdG12w3{NS^660);NWOwkJA!h@koHNJY zg?$5cJT6-{Gp~d9Sj2HTK~$MP*qK#>40quZAN;Lobw?W?n+S3dlSy(GURdC)Rx#U@ zKN57d?OSwXdWC~-DOu|(I zQ?7y9x7pK~gRJUV^85TA$Aj;~{gIuLI%h>&?F~T<1RcRsT$nAe7)>?&Vq%!BJxJ%y zs+8YIt;0K~UneU4!k*lGBx7R^dxXFhr0+1epCD$FP5Q+%X^);2oZ-^i$&XO5;19bwNrWAwh``?tR@ zY&S)I&!jif=cGM3675@vi`k}#;cho3Wys8T1a|^h24v}7GH{zIn}NjtsYkEV-(zIo|F)_ds5yFZp5*P9&2&e?Wb;7xYkk6!*n8a4K%CF$V@wmo}*6>ggp zcSiFPbr=s~FI(DsxJ+~3+v9bI zUY2MbCLcDmVE8(nt(Hp%+<6)8GBwbr=3q#Y@6E&=U-9v*9WPr+Ls8u>?74Ta-UF_h zlz#hr0|=P|apD?Jtpggn+^de^wz9V5a-G~hS_k~oj`jH_^$aM6Udh;GY8^mEF{JUo z_aMfbzTO$e4}FTrKzlRM5Z1NFMWo>|_qPsxf^%)kwhpqukX3o_*E(EWM2|`& zV+z+7_FUDcORl)=K3|B=_IT;UH6CXMw+_eNAE&N$Ah%{5%L=v)Y#rW{jKPDtbpVcO zdeY{e-ruq(raybQ+xU(lsdX5Nz+ln~3m-kq9v!vm^={*bNAnljR^dC7o@Jj%_Ze>2 z`eeQlu|eQ{s%OjLn3C_iEVFZBUk&E`A8^day_LM3ncFs;bC?aE`1-jE*|bCLcR&CC zw)G}^SU0r}zHIBT6qM!Aq3MS3v1Pq;4|B{A1Y;k*cI)4$2j_L z!+K0{&e3P~sZkjnCEQ=jD`uOHjcL%n*g=mhNcjO-5+R%V6pUpl{|kP7dSr1GMiz$+ zJ+d%qWYPO#Z*OuEyMjg*K0UHv7+D-n`}D}-s%?|NeU=&j^I^JmIBh7qgCRk$1+FLn zL;=`(_rt)=edVFm>%a8tzxmZffbH&DaM?r;h4f|(@_FF)4YOWY|0dvF0W3=jPI%?5 zWh>wOS-fT#gaEU2$?m7_ta$T#cm4bg-}=`N{LO}0yDxqI)xC>v-Tj%nyS}t<$t!@C z{p()M{Q@DJ?!NTzyY?Sg^2*UwfJt3QLV7j%sXHHjedm%LNB;@ryADkR&}F)P_ z2J)?k?)ujHH+v8N+B4_94~d7WA%^$eeF#SI&{~rVM(CV-u^4F?f(QIhj)MPrmj~8La=Un{myH5KlOJH?Z5M`mxnFQnzR2` z&pmzD?q~k~VM41tde5c%pPltX;OGJ%_q@AyL-w2S_t7;E@Bj5}8)ol*YX3K%yXm%9 z-qj_a0adLT=E3PA=bO4-UJOl^u76Cs>Z$#kUcU*NT;KV~p}SuGMB}P&99lSb*uCrb z@7g$I!tOP9c0Km=UDy5ig*E%{T=U*tu{p}_~e z-}~JkZ~NuM-QV1Q0N}f@vd`bWM+s>5551nNh}@flDBC-T`;g}TbC9o=o_C$4w~;JbIA4(`=;@R>7q144y{wm$xJlMN+>6xXVSgq+5N4?d@0t{Y zxHIJPLF8OC&)R0QDGMDtRcGmN0>E_YAVNfzoiht*)*)=Th1%z6FBL80%Smf zf*Uxk%H)p5EZrlfMKw9V+_9ll$f%fFZ^z0zI;KfEHk7Q=nxsuGwhWiRh;>{E7?)&4 zUW;1~1WtdIJC@ny&x$Qfjx{MucdVIC@yab@k;zg|@1@8xI<^3iw_?j!48QR+7@U;2 zk<(zZMwHExJiVUCBnW`@~c! zSuOc{>dJ~nXf}1FZHJMil=bE71n2Hbn8VL^f81)D&y_}5Z4lff#B4`i^Go1CPqvw? zfH`n%h?ly>&?G75!}#)bl%;m8Xn?;9ngG5Vp|c>@o2{g9eOB+WS0sg_z};F-=CH88 zLo~!>E4W9q<-r1IQa-KTw1*|xY@Eln&~&V+0z<@ekPWt%$@rzB18Bi!BaU6qgXGwP zBymGQTt?u#DU>`NXULk{bL=t2Fu>nhNDlW2gnVE!9+?4US5XBh4v0 zLj1> z4vbg8vjSL@E{3>U2O)SX1V~w-fD^6t7&YGzFd1>7fH3`BO&cGnZR+>&9R z@e9j(z0!y=$KTNsv%5pgVRIF@+ru$8-$-%4sJt;un0*Zz?-%Ce8!J^pqV();Q;i-O z#ru|B9Tr2O_Em_KGe$eM0+_r;21__b=D;UpyoTz{;r{T&03m-t{YEjg0L^Zs_Mn8@ z{jeJTK0ZKhsF{O%Y^HROGb$U5Ex0Gq@~mA?kXX7xlxcFC2a$D3@3dUUW<2=8jrVHR z=!?A8ft{k{jhyso5>4&EB$&)4DEej%Z$8mv0<#o;2fTMA?0#lqF)>kSc;Y>XD?AxA z(1f!v9@&GzRxG7OdGAQ~U(A$>DdgbS7Yq6<98aF3F9+K55^Pf0{ge3>eHYm_>13seAd=V@iY87KD^jE%?AJfoQ}~B> z&6SDQ!7$2aFh|f2ON+F?BXn?=;)sJ@zyf4WppyAG38aGI^!j;-%}p~UtpHtz*A`$` z8|7i(B509fteREOIi>_QKFKP1Ev{B^omVS45Fc#IWEEpW@cnqL2$|puO9dwV8g-CM zdf8noD7Fi{Fr~m?i=j}Dv5QggR%QuGNhgNVhBQGUN8ojHg{{7v4hJL!bn_sWV80n(4;?QyWm4X zOw_2#dce|x9JPy!RRTSmQQU-*L0sI#0>I!6u}~AYVlq~o5}d1g*2S9g0OuSGrpgLp zIxd#p&FAlMqX5tebwCqf#0n-V0mW;kI>LndiUNrfoUZ6I9{8t*RQ*07Jk$^GlZ*$` zo@0S1+b^Uk0e??HGk{Dtp;uBJE#PLU4pb~4;W`rSmeE9mUi3|NQ%4IU@Lj=1SJsy_ zF}vfDxwc`XI$AK=0>mx4-a53HrsGDzZFVShUq!kNtSeeg9%LaStQ@?PP{$SLjyn%= z3CNo%%W7z1Xs~TI`9+it;^KuUhRkRy!8$PakYchL9;DIN+Sn*x8#HN0F*I{DK;SMy zbwNOgb{~4@XJBcm`oKy}Mxkx;jF-$ujk5H7f?DQ5^=4cpsqu4IMGLMyX@B?2J{3YV z%5H@V1!-&+2Q0I`Nk~EEFpPy^WwZ&H zBSQ#Wf#Y1CoC>|8Cn<#VmUH)h=#fRvd(XMiN-kMeA$H0=iN%bNlnxrxY5eH$ibB}} zj}J{Nz5H+CN3dZ9OmQ?76k_5Up`$ar*~?e}BM>B$r_&HwsBV?cX!>o+ zd{hB0tK9@{9xBInJZQWXI}~1Thh(Y$kD8`4p+FA|NP;5rOcMInOyfuC-D5Vm_yZEA3$i2)9yr*LNOTVrlw4v@X}*lr=_<5YAYwcOAxKrQ$$EBWLA}qn^Op%%J1`HslrIo-|(gsQi`lXXP4G}W42M?M`dm3P4BMc{M@@bh7 zbsxv+-ud7aHAj>G+@M>BexTV81|WJ3Rt)*Dkf42tvKh?MxmXW<-y`ehETAkGb*hAbNkjkLb4z49M}GQ%|DLVx|7JB-s`46@pu;&Zuw&$>g!B0 z=g6YRAMBdkwHXSoAR%PsXk@wQp{G6sM(nX3eB)pHuvWKbQFe=K8s5lwuxSynZ6DaN zZOvtG-FQ1G_@y~}JRzhBSy_1vmSV~J`<4uDZpj-r&a4UVTQ{1xAOH6B_UAvFHRnPy z2g{DYC$MtE${i#mdN&FAD%MsdHr=L2oFAS;NZ3m-~0i%Z;YOM z8Q_cm6-&I7KJ+^h^1!mq%ixolHL;u4t()>l*|77wpvk{Hu&Qpoc9jPMe5~=%gtDCH zdGOqy{`>e$Wg7@zyb93B>XrA56f-`Ap5J`Bb3(Dk{J)*k_xNb^=!CC-pt(h9BXpjs1?Ma4jkd2VJ z%qYRL%PMz4g)0~NNN+436G|zgq%v3%wuA@4n$+fCMO%D-d`oeayY~_oU(cqxXf5~P zDtwkaK?ds!tc}1!jRRNDagxI_E2w+OtQmmZ1o?!POQCgW;HC`u1Gs8rKQ{@XHRypq zt3OE32Lx+#li-@%7__ZeV7$x6)L|K!&L235^iqw?T0&^GG6HiJ4lbRKxr?3I4ROb8 zikF!yf^2AWu)zHlCP!O|nZ&DB}rGw=mIS4zSub^tGIrc#DItv*=d?kW46 ze0xkcCT7g$`(tfu?5e4=>T6`A%x(ChV=70mWx)}v8(9snFSa8K%3Leqq*meCrLs-P zK5t}w06r-ry;G|a4g&-s$PIumCTQfGT2&0N+3>V_-yC;=n2l2k{_buLws{I_$L4U` z1zPV9>2E5X93i;~zNdg3aU_et-%cDlhf?PRZ2&2c#}Y4)EzDJLY|gy{VCgLku4)=h z&#O%#o;Tjc@Z0D<~rtX)|UrAuF#whD$mX{wXiv@*K&~&wl6+?$1XN^-_lQ>t=*# zp?T7KxgWQ6peWSpGseRw?))zc$vJvH7!M>|w6kl|G3kcmPHq0^Z<{+%3M(2~>x02j zbvtVL{GSL33}h3HLeYxqRVap$byOiByWaWsvL-YT50_lbeC^|{SmiVqrNb`C!HCtx zz^r~(sX#C!$`A&{+EK)1uZ3VvilO_=IQBS|4fwOxamc5sYJRY?j5F?Zu41gadF2ci zHA?bgn73Ilf?VZK9=}4EF6to462`kR<&}H_LM*BfGqQJDwz7T5T6O#^PoWU|;yT?r zoN;hcOe4mmVr+T@E$BwSDJ6ROqB4uEicKq>d=YBC(O&I0ZHwJJYB)Dl>(!(Eh9x6m z<__@}$qV_s;8=EH1%%+WpGX9=^&Hr^CaVqE!cLGm9OMAzzPUi?k4HeBMxM)=z{&YEo(`#j&P-lK*qmND`mNTJCuc$u*z?SlK}Qb_+ggBYDHP&<CpJ^9iK6X4@%-cxFmE0dNgh++#Xp9-#XGw1PBGp2nCNsgxGZ@I}MrK4d z+M*@m{}_w@ooN>7mp>rTicChA2u%?E6xi@de4tMx-bd>LQqEJz64Rlcm1fXqa~l}Q zypeNwpx^j`LO8`5Cn^R!Wgh6*J`bL5GNJ$gi84H14>>3~GlT}rth!plDX=of9B8<5 zJ3J*Kz8rE8#Xzodsv=Vv8yU&yJrYmRPzRy>Kq0ttpkqNeqdsBJe&x)LEGXpcPYSz| zNkJ5E8GB1AlePK{v)pDuWm-bnt)}dtr67fA3Ik<;fkmuU0?XJ=Gdwb;>3M|UF$8W` zlk`evV5=-kFfOsjEEE+&2)&Od)~p=yG(dDE-p&cixQm8?H4-0f9uv^B6Ek0H1e`m7;a@hA-^gaA{7xjwt7HedJ+fp?}27K}5i_1iAvI4eh6 zp+^4$>gtrM4AJOXSW+sFMm!1%qre&+(wil*tH}5CUYWu#e)1@1a(yP5=VW$>53Be< z%N#YcT-o1NZ>RtA?1_)`*Y$EI<*3Y1tMW4X$joofPaKAF!?Ted0>R(iXZkwWc3VcirO0Ff-ouMjv47hK%g4VTZcV6^gy8R~v6}oS=8Tag{<=KqSm4iQv+PINU2$>Ue|`k60P-*dT?_DC!f_>Y zzgp$#RqpCAb;Qa@b2%`0KT{c&xbC< z0~>m_(6xD0Rr}UuH8ZbVGx6}gD<&@4d&|K&doFu;>%nmyudXTCv58=S$x13>G6#sV zD4n<9LC>*WD4k2Tty)~Mbep&37l?fK`Z6uej&I`74UAYX98)P(SS0yy2kN|s)+vccVC zkBV+|n6=mLUS64CPpKG71!t#y!Prz%kgOCW+XLr|UQDtrOx-u8wBC02LqXaB=r*dFfnd>UGCo6w7f)DEa4LI~SR$H1F{y~y< zTE=q%$1JL_(W4{$A{@`hAg%?wlrV%Dm@*mO4oV>k0|u|pr91T=e9kk$5gESss*QY)zs zrhY8!I$7Cvce-R`oeUO0NLca?WLb&H^5Nb021iD(MBAMe5~qF)ofe*l^f%B=sXYVQ zZ4!bja`n#PJ|WqQH1ND7Y-MDlQv~-OFH0L)8sY8)54&GZ`aRI#O!rwDu1$1=TM|Vn zGr`rAU{P~3R`H)wW~Jd1H(r!XabXq)tJ)eHzv5mw4%|-Ilbz9<%o%IB@dSM0G%cqE z_=m$cFg#F-2knXRFvs7tC-I$B&KuRu!|>D}ozxS>zRtGkYe!z8T{Y@T zhfzHBlVylvRv4oIcH9!>c!k)>_yk3~8Jrm_fpe6FrQO(USnibbp>r8PgE`^mHmxp! zl>{0LC69G1zBk2^`X}XYIQP_cws;JaaOf2dGbPDQMFAKNE62MI4_lFJW$TN1+&GmE zN1;IzyC}5+Z%4oYMD9x-Y$j|hGrv#@}nv@B=7Uo45C zJJMu4yFgQ&(VO}`;$`PVedxq^7%(hWEmku?LbnOA*ctm(&MpyKQi7;>X#f*<8RPL9 zcc$4Fiq4(1Po$vBwI&0FP-m;jmiO9&#cBm(Qk`AGO33VjM$S32YQ;T zIG<=~2UkFdWMUYLU_R1pDS+OsnASvIDvfDCt+#`@#5l|`c`GJ)Sz3R?YT^kfU2R>= zzS_vDUs{f3AAERjUq1W`m=~p&(pJq{`!jHZ) zZ#K4bugbM|2NnWlH?J7_{ zGV)ihKC>eW?IH5P`y@k^OC~PR912@Z$CJ;3vYlLs`Ei;l4oGsVp-Qo(1fKG&H*tGN zP0VcCP%R5gI-t7@Nixn#lO|g(8LLH2JvMoag68zSq%ZI1B9kuhyc5o01-SM81K#C{x~W zz|TZEo(5Hwx0-oygB?T*LoODar&_V%f1_8mlOW+zB!yPZQ-Y4LKPc%Nf$3me7e%&3 z7{qoKACgIUXrqzDB=%dijS73mOF|Q+-hvVT4ecZ%=nM53a+^Nm9i2_vb>6D8IWwub zFsY}Fyh2ajK7cvhNptqS-8*LiCWW);sjDWdq?o&oltOs6+({n71RZ!N1i_||HWcVG@32)_F+xL~uEeaFGKJUHEk zy$9Z$^TOhXw|;kV*Yj(}9o)7`3&B$YZw@`H31*k~l91_rKA|iz3+&BBlY*EO&f)&x zFsW~OLNJs61U+l}jSyf`7wkXr3Nso-s0wga0P@00z7Nlot`5s~2^onnNR-0`_e8db?`c#Ph;Va9VJPV7jnRG}Sk%20&ns>Xj-PtdL zmnz107H06X-K!0I>fZz>u($nd>A^<;LIPwwhM4p8FcZM1gM8wVPu5i)_MJDLY_Ui- zo}dJmRK8Ebp%7yo(8for-Y^HQp&UUK-y0!=Y zh?JR2Vdd&pYwA2ZmUn}*wl)GXnFMnJ1|Zrn=!I+<=d2kHXohU^w^zj9aNov5k42TK z)hmCVS{|Gre~TA$(iLl&blT18vR`aA!=a_Y2KS40jf|Il1Er%Liil1IxV;svmi&Ei zjV`-@vFm)w~F>fdfIh8HfRXOC3 zq-v5mSp^q4W-}~J*?9oDW2kI2nL`S;9eeMDEh?}DxFYyD7@zN+k4dz>#EthP;ef{a zksi3T{?3Agn8ynFXpOi3YWx`yyx2y;gv)^eDjz>234p2paJa;x1g`>Jnd9+44? zeg-2utKvmI{~XquW~MTR0&*;we{qVHn)nU@Z{j?{FDxaoN=L31Fq`PY*S^6jA$N$0 z;jvZO*gO@_EGiMjVaSe*huIthl^RT7j7E?fN>gU#H2hOuxC{|U0s)}vBOnNe#FI(s z!52Y;Scb+kzcfr83hks|43AbYRG0h!j#zl1S)!|MeAWkSb3%1^VG_< zFw2RLNc67gC!7^~9Bv_VB>rrp5zbX8E;%+TN1;erJJxA_Z2Q*(kQ;y;^uz8?7U zXr%Y>mMzy)@8l@FX^-a?M5c!mYwPwn4>c#sbT^b@;ac6CQ|!RIAv?9%E-F7iJhJZQ zQq66ld)u1mz!Q#<=x|4R7_nk)9bP9G<^jp)qSZovrsvB*-lD4@z5B=?t}i*VmzyLSI$K8Xz3|SV1 zD5SW#zV+pwj9c3huTGhcllpZ14^t1~?TG&hhU1Ama;zCh2z77p>rIWp@Rjg)BvG-} zN|eFhFh^q`qb!SUL|#CYrPv`sRhpqMw<6A3EABK?_X)CRWyTy5Kz~8FibA-- zI1WxC}9L^EK z-Jr>ItH;cCl+lcaz%xMLQN+gARB+HWwr#n$JC?Oq=;}j)D zJc9O+XrB^zDB*F5xAT{8MXrb_9l!&~MhObw@pZG!8Y7@)?zeK{z=@0^+`&GMB7zyx zYDF7C(KsGEh_5lp%yNNa0SDlbi(X(0JG$KiSz;f_YkRQMDzJOLTh3%Y$B^yo#{41? zxZ1WwkcA}9=h>BLNlK9!8g8%bQfRT}+H5Mv!&eqzNtV6Q1^AI{8d>Bi+C_$$WVh4z zT8ufgAu}ZH{Lf3urWd=A3;`SH>6tD%{X1YIBpvFFskd~f6Zt_=RV6p%B$=~+cI;l7 zIK!>OguWXL&d8g=%W67B5AF=My!XYTUZdy<E3ocY;w09nL6w6kRv@q-s`!jSAIHe_x9HNs789`+}mR&CEk4Cz3(NjWBytH z?4N-UB~=kKlXGpA4vu?1>fh$Odx>xBzYY1(_Wa)1gtyX{-k#q59rg^n{%F#=;?|+N zdJ3n<0`I0T+An+4a>qYUKKdQ&`PW7MlS8I29NNP^wdlpd+l`q}5dP+cJ*?0x%XHAs zj6#$zX-jG7j0+?=_8*^M4-<6fn4=S(=+S46y8$NZCX~dn@PWtWKK(=#1MjWAs(0Ve zyI+{p`<+XUywrR2$(={0_rCY+bts`0tO$2Z0xmTEKhgWA;p3{79C&R>njhGfJj?)* zHFOsPMAj$LD9G2QrTNpZB%fx1KiqcC`#9tn>1TxS^c82cbwHJ;TAxjVVS1h`Is|g& z>qWg*(bL8sZZ-b!eBpIfLw6rF=LY!A>QSITzTX7yeJ#K=Mls=HrI&k&*_KTiLPdY5$zKp%V+6$O~3TV-* z2-_C_`;&)1+Yfnr(twY+b&w`yS_kZU7?XuP*(~p6>L2)`Ilkq(byx@vgG+2JAA1ev z3}_v;_qL-Yc+GcM7_Sd`EH>oPC&#=Z$0*RijU;FTP{DjI4TjdipKND=)vE(-XdRM@ z4dZhIEKRQRzv8=Pg6|h^qG=fNOx6Eck{* z)Apl<0N)kt4QpsD|JC$m6VhY8@;W+nlI z`yIK^*Spzsh(3GF#zRa#tH5{jdJq0~(i=D2^$)GR>sB2ZHu>m7*S!7N!uP(8*1`9@ z@77~XV7~z_bnnr7(K=ibyQ)xJ#RxF-FoEyhu#3QV{|B@V2Y;$the0vUv8J9Pipi?H z=+u*EGoP$7Bpq;e(f8S6(|_KkA3tgQ+s?wzKUvW87@`aFiiM93W4%+Jeeq4-#XdR5 zxT@#yMb9e&gMEcP;on|>K+hMkjfg#zy`IPA#jL$tcb~1ykTI0poC}}LaO>8g6*=Jy zJ51zVRd0Wn?P9G3SQ-gBP@^)qbpXO7!P54%7=Ll=;6dIZvux`?vay5+dylE!jfX3_ z^bPy$le!<_x^=)qm+i%(mGsM|aZf(a#Pq_}D-PT2_WbXuAzc&3yo1(3Z0!X%l4p(v zB<3t#jn<)yZC1tSAY8E;B^$~mxysj4?kjxu;_<#6SLGksp5Jr$|J=mJ{q)JL+t51v z$6?kw;+ldZs&L%lNpH(BKkK=oMO59r>HAgo&?6QGF~2$dv0i!URqwE)Xz#aUhyqWM zvyeMHT<&M4FB5~4Lgt@_DHvK6>t(S&;n;V$ikg%Yr%7pY`AK{G#DY)ujDKcq$K9J& zE%D9l&5!jAoqTxoHR($V-|Ol@pJTJ`W5wRzdw6*7wyS#o^oetp=+>cHw+;Z`_3t9^ z-A^FQnuL&|8X?62_V3UC@ob76yu0*Y&Of8E1Kzx|vwoC102Vc!6*0Osm;OFDbF$&T zF8byK;}07PC$XVB4zIegHFeeYLlfD@wocxi_PxUeMi%cb8S{$D+thkN4}1EcTeuNp z1!q(@;9b@0G_si49!2Y5tg~2mKuITEY*np4?2*%Fx>p>TI^#&;_nsWmTX50gG)5Midh7837mn5D z+}l$CKw_0H!pLGKyZr7qbnAeTML}{gxysiP_1!-C;8%RxHV%1)4OxHdglE&2Ufa6+ zr+Q?u&I%nFx+7LNvmkIFebJ%qSG33tS4DT+Y84(4W>4XJhl_9r7X-)kVhG_*Tn7a| z-2*F0@8x=Afmhx$N~3jfhbb6u?>frf`vf?~^!BvBhTq)20*Bd@MNIBR37!oJmDV?N z=3n34JM`{tm-HT<)H?(4k~`nU$YNurbvU+v)gy~-G_rX2Rz0#P(j$vP8d>;gWRYnd zhc7*QV&!;q-xgev4pIf2~nSzjO#Fq^|(pb?;3;*}b!C@1c3W{Qa7T z|Ms#)8$J%Q2YKsh^5&ZFW{_}+d@Hzrx98A=7v2I!?4iGX`XWHyGD6v15ANP2ul)0B zK$%_u=-6>Dyt?O(CA-H#_JIk%d~406H~gc9)6K}f^WlvL?)c^JSN`BjhZf#g090Mb zhLHby^3?-Z?pSf(nlHU~_tO_`UBCa@y@w|5{N8mx$fBoeFxWN!mr!4~<)FSE$^j?6 z6jo+1OZO4^-2qUhz+ycP7;GQ5EzZ9D)@R>(1?Fr!KnSNm*a5bllA+bzJ0^BN5K8dJ8%0nz;~fHY4XCM+cwPl)>Hd` zwP!YF!X!pdMx^DjO!}}jcSswb#zg)BX(l>v#V8g`g*4)&!4nCRnA3uh_#}0#sUS2Q^ zP`yJQ{2j8qb}0(&%ZC7k4CLXb@7lcv=i#`c+t$B%=x&d^f8Wi!fAEKY`7fOPF~E;= z{p}TlhA%#F2`>8}(6NNzdmJGjfV^YxXL0T4yM7Cw+`J|TAsgmgwSNCE_TDj3*TF|K zD7#*TSiQIR+THJ7CI0&9yI%VE()Rto)^$+B>26#9`5$~|%w3~q5sY)R2EfLoKtUY5apK_G05N{R+f{uX6y%UDyD^L7_cL;_2pptKcA$Cy9X>@<1U9Shlw0&CS3r^qJ_ z+RFGA_}eOKpC66gQjmPjZ@A~0&5*W*xbG8|=PD{hGnInEFdkRWcclR)hsab6?f^Jl z&3!DH*p9<}(qx7;0|1bV&(V^l+z|DIvTOFE?2d(A$v)6vfbX(oyVW9X^Q+}rZxk^U z^6IxjF<_pU%<;$;`9D)nPFlfJF2qytv5$MLgCZy%6bBXw0p3r_;dGobar_sOfh0Cq zh#v@a0E^REqGAxh7=Wo9CMZj$I`A{A1ZRO26e4ovEI?#6ms|6ItUbc95^f+I;7R~c z3*1iK6b59iyoQ83m#f55rzH>ygqpYv*!5wvnsA6-N)b08n?iv+Kv3h;e8{fM_uz@9 zFd#WCQ2AlQeliYCfLg?T33r~Ypm!K}%l4}37Wf3HuSs%76Udd(*g#hS`F4<%odY~* z{jj8&hNXJw5LII##x$&2W08@%NY)YuPz%Rm6O2!&#z$&>>rdA}iiu#NofBd{)@@`V&rWAM#vkfn-T&#_z( z$XLdMPytzDZ5eow6f97T@aZ(!#qj{gLWslIv1D!KsV1X5pwL(#JENY=L-p}Fa;~m} z)_|MD?Ry$L~4Jwjg`4(M? zo)?0#XJ)SR#4&t_OlOb+iWW4)J2|N2m8^nEdb@x_3g-DumWNjY1TnVbAKooO5`;j- zyoZUB2>O$1Z?J5!w5I3{hhl$W3e)vUYT=Da`@)Yb(k;xNBiv@^!$_T#rhd}IdR9yc z{o)Z<+(vh=@b(C)FR(HBWR@iMM#i`uz^mt2wo}N3+=wKL>^S)mOoFymI&UfQM*2vU zg0Rai&auuXP6|VEsk+I^xahR7a<92v7;JQY49zYcNHDzk)F$u^zE3dAVMAlEM8T;-{J}OljMPJJVLvu~Zl(fYQ zUW$GtZTb`Hk&!J)#zB|(i{lS6Fe3&)0#gzhN$TxcyZOeKa$*N`Gy{=By~h6(lPj25 zPHk(WMx)Q~7SxEgq7MMHMH_*T+l~YDN|LW@h9V32y3;?@l#Jo;Jf%4?2~?Sbv|(ud^^}u9ycAM zzwyXn^!^~{MYkIq>cbpurNCL3Q-J;kVSo#C;MO*WRZ*|X8p~WD;d=e#`HF3?JQ(K~8JD>XCf^x`GY7QVsaCKhT4mrSfo$sEhhUMfm{xFdsFSsED~=c4Qtb#-4RA+9Wt6q$I2Jy^5!&h~ zT6mOBln{LTJ&5CsV^IviKz8YDuD=JDPCxevguFkwgHu>*vPK_8F;!ic@GEw1SF$m<{z~;Tut-xbsMKB*q~f z1S}m^Xq__^4QwkYkgpF17)ImqFc36QV3lO00(vcKGS14O7~|w|u4OmTkAWeD=aUZY zlY2gxPiRn}h2ZMrd(fS`9U!*4j_5mq1yKJ~83K31_z98oiOebyulrNbBpj4YyhQ@# z0b~KlYpRx&Bs<`^ajVV~f=bGp+pQac?a>rRJT+@(bDTMkOku@LRiavAF_t*mz-STL zS0_KfkR=pECl5Vi`E?14XSr~)jCPQWdDlfRA z2VepdHku30WP(%JiSHm3S`dS9ajTe*TZT-dNeM_W)18b|#ir4Z#NQB2)x!WLd7y}K zMX|LP{~^vM9(t)blf29XBuYp@0=LPyAt4!;A!#aJ9ENtt%cJQNde<(1C{GAuX-%h% zx98=;y#pd2o1`WYqsQFG!I@D@2a-1VCb9<112(inNlEV#g?*`sczp!XD#&=XiHPu@ z#T#iC`r$~wKutTiC22#pU`ObE>*bkN@evk?(1*nyE6n2>Y9Qq`}bKv1l$^h`%w z&HUgZ4xd2+;jJeHo>?1$jwEA~Neld^LdFNRNS-v?bFd&vCdurM{#7|ghVAK}L(1Lt zl*y%>rhU{9lz?9RA34~9?5S14%Z+{rQcNc^t$(sR8+QOV7oe(G9pmvp*W%8tU(*<|7kW^p>*oA7 z;pRe<_g1cYb#=+kGo{f>+9By+Pk>@p-%1Z`?d`wy+8i(eUEwE4^QvRDTao9 zKO7+B)inUfojE>h{DWPIzCxRO&4pR=Lyt`d`J1b-qQ@II?tHqBtO1F&zu&lUC*XAd z^--)wLgr+%+;rnL7w-DQx?CjO5y0eqWB$xL=KNvrx}Lqa{20i?KiK-?e{9mSubHT2 z?>lg`6S4^%7z^aTapCPKoeqt%`}?lNg)rwEZ>_2M&E3~*(A?`5Kc4Zor{FbImdNt@ z$D5OjD>gr{VFXs%e`Ed#nA33#EbZy3nx0SINA^wY))jcJ>RR#R2NaC|&l}0gzB)+g zz(4SOQZwgg{p9C$$(K^KZ@6jQS4ZtV@c6?IAL!az^JlZnk)2Z^a5K zXPZq9eLZ`M!ATRx0Y1gb@_Q>>tUcNd)K}n+&BR(7C3&;3h-r0MgViI=Rd^tWg*sIV zBo?gUfOl<(S3KV&ob2dIp(n73_m_gwg4Ch ze*mr4QC)wLPp*G|Ox_OO+1xrq`GNoqF3!i-WAlMn+rGmQ>E-O=wq{o1z8DM(jhkDY zYT~TB5ko6l{9NK2;RQe*jyU8&rQviN0WDV?&YxfA&QAb~jf`J8Lw_R-)VaU*ilK>$ z7#=j6gC&uA6vF~1&y6@3l$5daQ4Gy1M@f*a#OMaELX@T2GzRkcD67H>tNuFa2b`{t zw>LM=0N}ADi*4Io;79fGbwB1f$>#f!WuTz}Si(~mIkTt5p$XQwX(k~r+EZs$g{MU- z+%T^&p*N5RW?;RTFwknl@U@07PG$Vv82nsAG@rhhuXBM-w_G?)fZNmN)Pp+sDlYY*>%#u8q{^LI5^5mhz6_x188J?HJ?%NHhVl2#B*q;^BzZZ(k-lo}qcLlF}<{?6PD_yM!D@41~?hj&hEm~(vL2wad5X(~KOFr;8&H0JA= zYG)?E?ktB#vB&1S93g)NA|;8XV!*Fr3c~=J^2Wl7R+?RYPAB5xBrePZ;9l&?K8qOI z7c*JPLcABj8mloTaE<0`Z(|&bQ%W2Kg3NpkpBE!OHQ9_{0ppN{l#K?nznX{*6zNNu zocfM4JI5hoaC4kw6mj)Q`86zm>lmg2gD}YuJK3%jzEQ_9pGKFN__SR}n5Rq|W*Q(_ zpMg~=DL1u4T~3d944(kq;Iu_VPf6g#-RVFA|SGIuP zAY1T3tg=jnSxLNrtc}4~B*$ku*9wTW!z@N3>8tjEjwh))`BY}-wEtijWmV$Cb)6wC zE6Fhim6R8}gC*nl zNRB1m?>QAdNgYRsNTixvfd_lQp3BVJ|;#>jP=PUsb(A8hP4G& zT6@0DxD#()ZbC>fbYJQ4aK7!f$V4In<5Nk~RLfQd7=jPHOl7$X+NFp)-GDw<=suaR zKJ3~B{q@8GR<9BAmzDshxW#fW$Gzb=%|Ag|HU}?eS468MgfNu69d}Y4IX-D%E!oPp zjPPd-n;Tf%2jA(th%cdG>LCvD>8P68gMVJOsMHF6*XcyXL5MYjA>cz}0iRSvm{Zx5 zq|)&eoWHPE`^4r>Grm;yN~iCZit~#dj$)vS%vf<)Zl}t2s_wK8XwC=B(%$8*?{Iq2 zJR@irBedaubQuyzq1*ysh_nIN8ZoOjM*L>FH5q6d5w!EIzl#k`f;-m8?IP1n+h)OK zMNz`I5owTlnFa7&tYt6^k}A!w$0VLgkZkj6-1gG04WS=`Y*vd!5+X_49%`m){f-?9 zvhpH3R@=_lZz5bs?nm3fO!H%yI8Zr!Az=3!ST0hSWKN#p;GNJ$ z#|*Vfy!`N$X)R((ZN#Zp@E~A{VI?}Zi?_qEEaJo<&`hzah32g`djxvBlEto`DiCB{ zj$jKuDH6IY*=Q=ndZ>NWQ;JWBRlHN%F* z+Esn^gdz0sA_@v1@*}XCst>jwuozA`w`td-zqhZ3c`9-_AV+;>D1i?~UYfpScRPQSRlrA{!3yOt@AjfrIr1^kL#p``3!G9ue?FPUb0IcQYp zSSc5InxlY~5(zDIBYTjc2=U^w!t*gvY9cxSfb`d>DPVc!c!81`A&JKf=oF25CW%a` z5ej;HeOuDvB+_v&;}wu@q|782Fb!2_awUtfa=TPoabU4AL$FezO=0KbEH@PcBYt6g zt5KfkT2-)+=t2B=Gy9T56l1OB_$`HFU7RuSCp|e#;ZU}A!t9^~*>)URYuKzE%@eZ9 zBY8s58RAq3hYN@fnT>`mWw|B4C|3^OO!6c%OVJ`RN0x_(nMw#vs(v;hEri-8T5r+> zr;w3hDn#Q3>51VCej(FPl75k5-Ve6Wn=uQ`6k|cnMaR<0EIdO8xycirOF4b}@Pd~e zRX^4!X$xbRn2JBz$)>TT-q9EJ=`1z#OYMU4_7$BM8TwiI^Nq`N>o60OtOIdHF^_&y z_?-JN@tj@x{rwP5t9IyxzKQ#GZ=Luxm@wa2^D$)Guy59RbJl&1z)67-JGpD?IU9Cd zNo%4L>g%14E!jJ{YsIPq72uqKh3s$5$#R>{^1>a>Fy|(cy{NO{*+qbkoeqf9%XZ+3 zFYLRnWX^A{N0tXb#;WyeF8uknCU9O;Gh^@KA5oTsvb%XrC2^ltpX{Agvt-|I4lW@p z+irRIN`TmIy}xA6{%h`-vma~c|2Js@#99=?ww)TS_H%o$*9vZ3gDajX=`XZ9wFiHC zFjHvvXR&QP$7YJ*%6@ZZ><1^fL7KU3&Go;q5{Q=}72Qmsyhrb7)8e@(7u3?0-ySj#+L3zb+%K&pucj>@N zGTt-i`p58$=-{R`D6pL%U;o6ky|*MaLcp?;tGAwur#?B{9u&a4R|2Pa-!H&@r&p0j zQ0a_4sP!fxi#>A2RcF*3(qw?Ya|?|$!QB8-yK%p69cbut#(=t_02oUE+ZKbQHvHiU zM5-A>*vY|BpIcOBS#MW#f{YbV?aq6HC9bhD-(65?NzFgg`?462_Eg_TW{l$KsDg-f~ zc6?D~mrPwyZ81Cy!lR6oF&vIH=1h&^DFliklBnu5g~NuuXtQPZ=NgQa4G7=7>Z27T zRAb6AT!$>($mo>0NOZPg+IREHQLX`)fp`bQ`uS#C6sU(Qi&76k!6}$Z8Q?*hj|p24 zG7UnuaF|1~v3lzV^ml?>bxOHu0e*(+W0FrWfa?rk75~Y>@%#qIni_{WIaxyQEeVI` zVJ&0Dom4U23Pp`2b7UMoxdHXhAqA_JKNTD)r(i+a0mh$Fy$3n=1IyM5+D_@2A6W=S z;iIBh%8MO!sD{C1hgI2RTD+WKo_GPirUXihd115krXK7py2@=x35aDt=g2m~j#DrWWGa~sklY?R~ zo@6LGN($aYk>MZ1JC$-B#!XCC37$^pDUez%+8!@?6+-A!%9uaU_~Tu0+Gz?ob z6}Z(rl#2TRj*Dgy5AgeCH>Kjd8F0D?LdLt(0%3W%fR!R;V)^@2xX4;I-F5@`aA>a+ zmW!P3)I6-B9^~DKP3krW0R&ri`hD`d%H^hg&hl?l_ldtfj@@!A<^bb~6blx$G@}1S zuWI#oEGkgKn;!zFgo2Su%l#b{+GwwI>Vcb!Q{b-KJVBR%oR4627S!N%Y zs`&bs%I%?PDaT30z#!FP5ABkYWE`M$y-=^F$Id&0xSP>aAp_Z6(E2Jtib&$`L@CdlARq^! zj}NKR9J^B<24)Rg^~E5buoTg-89pKKolYD=;JZKsEs29$XhaQWfG!dCzw*-rpKxF* zH1D|v83OK8lr9vAKrogztc9N%f;ps+5)?%Ud6a{t4Pb;>N$|E3eh?jGgY`4SPKSXl zU;u$s&nu*n6>wA#8}3yRoUe4DxJfh>H~pEQwyI+(l2C=yV=ZBO@CHStDI>!an5F_5 zU!qzCoUmWT(Lpf{JWZT@D-%+D>cgL6CI~`DQG5zv5L(IlT=DTZ$PkiKLiijeVMHlF z+7YTX$skh_Nx~3$8YzJigKQv#Rwg`$fx&MOG#Le%gD#q8@jO0NOz6utVs(W6k8_2; zHYR+^cMudGK1V=~BKU9uW7DmVeEmHOtwZVngSQ8(P7xON^mOwu7I>ICqmds1Omt{O?!o@0grR#ogtE!O(ghYXo|Y4+ zkfuN%1LYhUukaLJ80oZ@KEzEGL;ctZKS<^%YjlogZ<;DYZ;iLzRZGIeC|NL%;2SulTE3Kgg-Sx=BP`S%35g#z z1wzCEBsfYu==`c903U-5oPies6JI9Y68!bdktV9y%g}WQBaWwI>SWR(H3$7=y+xiQ zagq89@oyBDfWqMPKO?j<`HUD}^DR?CBtcY%bQ@U@%=zMo&KVy+9#}W7_Y~jIg_ptx z=O?VqB%F23BPM;*!hvt;+M&Zp>Zb#rVx;p+A<7;ZrETZ^3jnsRy%S^68wZTsv2PE? zbst>!^GUnbZ5)ucc4KdlZwHzz@Mke(|JGJl zvCRAFmm%QG4178V!^j(N{~@F7?wY*t<)%i~okL2d9j^%sbLy~jq&hzfXw-5z^8R1^}&j4L5tsA;*U2>ckvjV4vOPzso@AL)s zR>Mojvi+Grlg71oBgV%%W@7zO4pn(NpZW6U>(jB{s%#|x{=jnp(97;=>uKOY+yA+ODcO^gj- z;#EgZyiJBO@Sk+8xq4B?5W6%~)%wJ%SEBvJyDr{6a8BNu7#X}f07^W(VVgezS z-&hF&dt!~}i*;AO5_6vkugq6wtf8q|UF9vx4i=5YsQ83m%^QNAE$1bW@>F%80!#*C zOoOwyk;#mNJBP{mh-ISd5AW^OG^1C3)111iyg6o2zFRj?0tuHwX_eIz^VOpA?%txh z5t&gy;xVeR+sm0F3%!}n{Q62WGGt7!|HaV;@Xq(rV}&Xm2!CKQWUy1gNe-EqmMT?s z-DyU^&KPSB_Z#U9TBVu|B;x2Y1m7e#E86F@q=XWrD4F}K&QVOO=$|;hQnPWF#$swDc`w$ z;l6D*0MB|TT-@w&X@-g0I)?TU(=n=0gRXsdLxD$uqf`;Qi%5kC$>6B)cXUXJoT9aI zJ37LDaAnk(B=8-RP6z#ididvC@pXtHFxu~@%C4+brsyvql8;TGaK^#!mjIy3y8^52 zBpJi4OeHDdEDR_-(3CPkZORU0gpmZrSK=vrL835_12YOD@MgchO8(3kXXgBpuP}Z- zOVLUhh-0aw4c(?6gyao#j8(CHkyF( za*(Lt3l0i@0)G^@RNOFx!j(bev5YFnxtPPZ`~`e$4U&I#Z%>bw=!qyW)nvZ)UkeyE z!0-#Y2F3Lj(VvZCz%5|dMWSbi#iFU9laz2r)KRcnh(8Z9`3@cv{Hp`+NdWv5sqeJX zzHvXp^;cNUse1eCsJhThpc17c^`E+vky$Aye^jT!t}zc^z0Fz#Nzwyz&;Se!udj2) zaqQ6PsB#UJ?#%bneL;D7MA>`M*zS!rRmXZYb!aN=ZHWCp4LUZyMNChR_D^Bk8nrfB z)lL(^gff0VJs7MQ>vXS(8nt0CNT29dr{{JDqf{*)`xV=ynj72dHat{4E&m^w%5KwA zfC&TSw(;g>D9$ZN4%+t_)sbL$Okm*9UhabMHfMe1|6=soL9^e@88;S#+7lb*g>P|l z?i}3*47yi&h>edL$Ax0^hW2r-O0?RKGkMOy@Vns8Nsi9eD;qd2yqTUAIB z)dE89C`d6E0tWGQZZNYq>G9`CrtVmTOcaZZuXCXCWa>MFytcbM)`MjFy^8Olp zx2>Ffc>!BaZCp;Z(Z=Sh&iOrm))0u+`xsJ^jCKhC$ZcvKmj(HNt)7Lw@ynU>!^ ziOlXXd}Wb|y9r<{V5|%=lrS18PNgo^>l%~>IVv#%=TGNnd3e4x$kuvaUmkVQ8sX?4 zLv_5C9WRbP*D@AW(m6`zcTs;bD4m=z?|G@v!c0J1#WF%z3j?UokSQ;q?Sgt?FZwg@ zjcn>X(86Q~2olQRevl+Kb1n99RQw@Gg~3|OBmxYeO&JAyb(nRp=Bsl*atcObXgso)D)CK`L0a0q*+I%T9(B{(T%fDh6pG^P$q<&+9s6tSSr z0)_)tZ<3}eq@D{ zXYz&AoD4(;1G84&c1&KfqH_2}LtLcb70NVziYtW>Dkwzb4v9cPN7=2#tHS|qG>)wu z6pnLTK^^mHvou5UJOKVOWdwx@QzjVSA7?{E=eqbl@C<9W(HU{}2Kb%B>YS zOLDBxDyhDujuqi+rxggTA<{J~Lv5+EE>=fbThBwfvh&)WV51`NcW(U4_GU|bqr-L6 z{tLAOmihn*H#`^sPlouY(RFD0KWj^NCzb=R>Y#7St>%hD;%cjK_=HZksFQ{#F8@27 znk@a5VV`nHd}I|~^ZpDVW4@SJzMMYT?3?_aZ^a=u*8)`Uj1~vbu~`p;_mCef1{@Ta zi1Rhd?tZy$9qoH9yavFpKM~2j`F)yImqe!)Rs0^Eds^6h@648;7|CWg=hpZ%K(wVW zVzo(@`DACkTIu7Bx#lWw7DGkk~FWF;d2+KvB2mLzhM50?UJ z>XemsjxpnGVD?!w(3!qpZr(x%zeNvhFyAxsOIZ2Ylbq?0yScOwJtU||*0P5YxaX*k`M6{Y`$+M$1T z4UllR4i-_u{vAf#!RjNcWM^UtkZ|| zetG7B#9Nu9Zt=abZk>a(JW=MVS($x$iwwPK=o#^P2#~g+q(!$di%rm_pSAP@7XpCZbmhx zk^|XblH6KGQD0IGFz(9w2!Pm>+@AduH7CutrwH@6UDiwh%I=^u)%k-c`IL>=uy>A6 z0l_!Y#gaN3fS62MA;~EZp=v+{asJ7PLDT8gq@8x)!iOy1pccwXbSY*yU0pkLgv!+l1&5CORjLQFhnEb@Ti1rLgStX75L+`ZoP!ab=E%wmfCRAEzhP_I`j(TY zsUCkU{%gddS2=c>FBGgqURo27WC0~D&SFv9ElyNVX>WGjOyAkkT=J5YRved>@6aL2 z+RA3(+MykJm{0Zlj@kj3y}EYrt$Zj&JGK!&t+_Ws!cM$ZDMujpCyI(Pk&OX*vH(~X*YPKA@| zc5TUCrE>mO``u^g)K%ein0q`V4tV173UAtmM>eiso<;lqb>ZZwZ_0Pz0E=S3H;!G} zH1?ufdluJNqF>9|FC;QroOKV=2Y&P{?7_bi*X(sqdsdYs&j0iJ>sY*9HIT0@`3l!vnYg? z&Hm~89AMj`uW$v0*8;X9)wA$V-_9M5ehKbbpnEdVC`6Yn;-9hInT?(Wcwckjl!3mr zi#tD?=)7!=YIbJd(wBP{-w&_rV-Gx(KfrQN9x?kisdk!ITw_0aO(=Ux#_(4kIcF=a zS<|m1k#m7_-! zhvu{d;B+^zQQCVJe8I@W+_T8kJ&VpO7h|a`EU0mc`To)2Q^-e0I?gMH*7&YK&mwu! z)IHp@XnCJ|7W>e%SlPU}baxG5^z}&4J&WdW+3!1VOlEQIVCmW+d--P64xN*SE*|JR z`yUjS~j9TId?2i)AHyXLkbg>IVqS3rhpI9(u`{*7^T ze>)AxxEkK|#^u*78+kuab{9_s*zUB2&jEt)>qzF1c^T5$ITr`Z{~pN0Z+e zxn=2+H-?NKu;sV6FMRh7Fq!?_?t_z;eS6)~8~?WWY5?Tkk@ncW!<%=X()ITrp8E{& zXAk}D4|n|Sn%~~>&aQ)lUfq4?b8GgUyR72=r`~yE@n``1`sx9``+Ar7gRg#gZvU`G zW?c?(M>j6MW6Bx;&8C1)=k6N3{RV)+-d?!<;1tGjeRbz)2L}K;_RqTx-n!|jYnJZX zH|144w(B6nyPo^r#x~{mX`8Ikn7p5g`kH+2!>NB;dfKn>(3{_$4pT)3bOEo#xIwpV z`RxsRTb7S{vkL)U7Z9wQm)@whpAWhJcj1yv*S-z`b?nVccip@Fj;VLm-BI``vSIg} zr)~s5?#OGt_lIBXxZ~DW`rf{9&z}eV_3gR9&7J)4$SuEp=NCI}|H0Q&WbWFrX9L zWc&Gpww$}?$#;MytnHlwv2C|EiqCPA-`hEI!=Sr<{n#DmqdDC1UqwHRtwRFc{c1!?B@YM@{N?FumfrZxZJVe5 zWzjnaKS)m9vvki(TR#GP@x@OAVt8}!jr%!v?=Ab^Iic#ng2!)@*4#m+twaau#GvdJ z04db8;v(LTa9W2idvHZEx1h=mQ4~A*T4=%oIoT3qc~Q6Fz-**3fpleEgii~|@!#zZ z1<-6-T=9=gLT3Y56*k_r>2RzR*)apR3RN1C^W0rqo} zqlBN6e6@~~JSU9UNGlwP-eX|~mWJxsS{-Ypi%pMft+?wmO9NJ;l~EjafU?Wv(pqbw z8!};UkBlPN+v({O38*tG?xxX@O14S;>b^NnT1FIF7F)_SRQML@Ss9Jtz7Z#9rFcCe z`+_$dkaF;b{R5Vh9v4IKbtnW1X$<7_G@$G{wbpd8IwJGR5ZhQ;mp;ofoNz$4X^Gfu zXv_PBA;P&7IXxa7Cllok$R^;5m1oqmy|MqC2AZAD#V41Qhn6Yj!--Nb0r`aD=Gv?W z7T&?WzjVnCbW(iXnk1KmRFXNBR@`kTm!W(EWw$6`{nUzxa980Nct{ZdiACHU7AW|o zW+G6KMR?XlnCi_7iA2Dv@t8?ZotKs2N=xM#*FA&;B-;=z@(hOD-_0zqU&R{CC{opDO?&UPr>O%hXZF! z^eT5S0n`8iOtVpBgyi)?#j0YNKL~jK>Uto`_6=6$5UnP_D5YW3YVs`0HDs_ncOj7x zE;p);s#p!6V*P1u1wIgRs-kvG4vtj2X@b5FxIL909P5-DUhLlJ>cMwcL(3vFTdC@& z?ezX8c`;zfnNGFq6B(njtAVB(9t<$ps@TwI7~kwRNMIc0R>LL?|K@lA>k5|wqAA{H zDrg0AY~E*EP{0^o$|k19OG}~Eitm6-0$Ms|<SLxV*ca<1jfaz)gk%io; z0wAuT2q0Lc!AP*$od;~&91GkYB7`b|;l>{X71l|vE)RNrkPSnfrW~(9m13@5XG40o z7aI@_N#-a6hAnrxH3UO4)3F*z0A&}@av2qaDb7>xw8tZg#AZHVTuyuaaw(G|-9>Q;)da&HK}fJYiw_9h2*r*q20ckto9=TriUS96rq~!~0W< zQ#?*`4ET#09t{`5uqG-&*r0@>q-dOp6;*tt%4DWxRZ4{y>{R{^TlOa2sJ~X=rX@Ifh8E+TC>t$aFEOKo2fG%W)WLUXZCFt8 zp=m}%cbwp)uEmJvUQV?EUf5=V+XUday0D1J&1Y4(6h$M!4Wc<*Hx+0h2+*;m5ma4< zly*<2It1(l3VM{d&|olFvjJ5DH!KQ87G$#*H$(O;IMjZC!MaK)ELk=yV`zhkR_P?O zy_hQg*(mlM3TsMjlV-W|B*0+*k{{2f!P$!9_47sn+t$*)L-w3PCH$k%Xst@Ms%fq? zu%DU-b;ITH~Ad9*q?)j1~xI8SU}ThbcqosAs|*5 zp;h&uj`m_Cw>QD=^)^dGI)Z>Dc|Ao16(`2pR$L^8cMd03k$HB zv=ql8vjYq-8c4Y8Tq6ThvEih)ksYfZOn40fTybu>JXj21+hmZNF*cY+@G#4Xa;qBr zDDq}?1I$*QTLtU&7>wFs1~%8Rq5-cbWfc7&m}XUHTwj_4zyQ=GLq`RaA!GOAJt6qm zkOYrG?oqQ9B&o#fWa$biP*)YpNZ409=}5VV@V=|x%`2@)H=1HW3U|h`AkYcz^wwgC zpR$KdKua|yqC5hv)?jkVCK|-nE#k)JQ*DAeuQhh*XIn7BOjvHbN3fuo)97MnwZAyk z=8KLUb$pe-j|K69oQzsc``lzTRE&iUt73ThC>t-fF|RaOWq1w2vBC5}RV)~>hn`#= zQ-IUW1>|i*#r0Udj6P0OUOl_kkggh>=t*9E5!4N;DB5oH2Dp%?6YT98>0DbkbRqkC z$fdMjOlmP=nAufEjm#`1ESdo3c|=ilJy&05RSfpdJO}XE=)<6Xt;VNVj#*lTwi5Tr z)pF|>8+`@C>r*3DdJs8};(}6Dy|@OkjagjS%phuqF-4<3R@fjA>M6vY#faUAoyrD0 z{kcW+KZtFk>r?9Fb>PiOqMUGfZcDNoOM<$aO_f<%3ovBJohhA0gL-jk=YEMU_U-SE z$lw~&t0!5E!U%E=dKRuJ>`_i5XE}J|8dc1y6RXar7tIdpe8D6&ui0EVoRF2&s0c{l zj{}-*Kk8ViFZhtt=DM*TspvOk>05AJDI3#hcoKtx*h^BA%fQO;Mczi4wS5UmTWj!o z8|aCom=qHh`QUL$7S=*j@mWk(69iH<66nln&RB=Xun!6ssqg2cCS&^}{ARMCHp4bp z7K_~~=;?fF`$4g5`P5)xSt+JK13u-D(8o_6L@NF#Z)ggOoKisBhu0vd0=#b)AV=ZS zf>)Xfvh9Rb*RDDfgoJ>srJR8UTcvo}vEzOgk@6* z7NSD{$>$!v2L*T5&+o(9X?zOG%1M=lUJCcC@Nor6LEkYEiLb~oR53rPxpJQjvEkH^ zAq1a?B@V@BSqbii`0)?|Cx-;EpAug=eQ+?W3U+@lffAoP*js!M=R-l~(BWWBq5-c|?D4>POT_OX0-YCZtDf?qJ8kgxF zOfs%WQ($yaHe9k!+*0xj0rHJ}{N@I^?JZq9d@iD_hG1>SalQUZY;17^K=ha(pV;f7 z4c=A9ei(azw87Foz4jDFlpU~n=i;AM?8txg!G-%9*FOL9(`z1Bc1G?g;QiR*AMOMM zD^PaFGC1k%0sp+=%H<<=KD4+8aB~3$dz2)v>}bcIy+lKqYThXU8LD^U(`%o+_ipT1 z|Ih2M8T97P#lL%V{OIQ!8zwEB`6T1d0>@PgxO2*`Nsl)EdeV{?KDuufb~-s?lgpRr zB=2pi|eR zYU2Q4@-h$fPen;$^lpGT)bn%%FChU1Z|Twr`+ByH$ZF~JDBRGD{mk1 z2r>I?|V>i&tjbh-_*{OZ*f;h|DbKMl1VX@(*q5+P<__4I5>Vq512lNy+T~AH0Se%s z*Z*L^$Qu^dw6|Dg8#wzd! zDjdlDC2&QD#DFV$t*d6cF_3Z^?<&s?r}r%muk^`b6MWXs1@@s2q%Q;$0ywD|b%Ai| ztNytwyvjg!V>-TvEypG(F`pK2w$VTj+QS!mX0H9GZo!PvA{>t9d$V2ab`lugh>w9K zu-@#b5e`qxZ+zN_;S=29^}N5xpYX+UOvtPEy58Kv(NsNWM!`(%Z+~0gpbQ~N5%N53 z6P6q5s@#|dn-pMgUFqm-ki2nAn(G2yIA>vX9fiy5f*PmTbrr{M zWOCnJ&P#J!VMWEZxMvB0Encj~!Or~|Kfvd3P$w8Q8h@UjuckZaAk!+sk#Yxnv4-){ zxOKAYOFUkg-cW7khPxr-Xko?cv9!jtSiW^0=_&AjcDBrw$x-s20$$?=9Yo8bU3 zSKKK8x$y+#u9)C98ofHcJ3YdCU3u}fF|TTT)T{1$a(w#mpoFPpG^4^agjbb8o)yRe zc)-9A411J19g^&*<$r_hyff=W^(>C%AYr?Z8ckP3@rd@t^k;oJ*jYr=JtAe860R17 z9YYxSI5=9A7Qk+(7Djc+D20DaX%_Y5`xasuIE3*l@P~nSg43=2@;biOF0A%}4R%Pu z0NaS*zF^muU1P&y9;smRpfMBiCo<`7d@z_WXi)Jt*i%Jyvi`tS*)Mk+{2*mm6v9Se41Ut${-6(iBRS8O@2Qd7FrW1Pw z1Y?4ev`|X04aUis;CaRlStkW!?l5wJMo%;gjaFKI;MCIf(l2AKbls@8kgTT4Ko6>e zClCRmY|ITAK*Ft(SPBJ{T{s9p*|o#b0`QJiYjtvSL|(Vxm<`Idb&~m3wk^}Db1keg z=wV0DSjXZaH*LCiQJJkO1E*#C0{t<~AC}u(DOheYj@ZQFB#+Zn!`lt3s_c*l$Xg>X zVDsCdd4JcK6}JRz(!D72-X7t%9eEYd=*dNU6C}$k#}_G#KP$~~P{(x9?6U=ZIgXhg z90!}&F+*m$ZVooy_5fdO1d5-<2&IpA@eWGaDU~cnaWG=h8++Bw!NOHVzgVDo#uLF+0-pPkm;D%r6Y(z;*S}&4o!0f z_Ob|x1j@+?E}CsxA}=p;A-COxY-m+J%#xr~S-SYNz73pdAt8g1y8+v8G0JXbUhWVs z-*EUKVB>7;tkw4JRL~IkYA2g4w*n?_iithKGQzRg-@#jTTB$hakJ$ToOV#net{rUB zZf_^MUe^weuERnh)L{miYSe`c3Hk+qI6o(L5TjGf+fbKDEJ`&2S z30){nVVqn*CzE9bqqTp}xthuXlonDR7I0Y&NHPUW+o24iJdjwUx7X0hvzDK*APabW z7?v5#~{G z3uH+icwK~7hA3({idXL794`Sfq*f4lLSl3uMH?cf;?ibzt}WWe$$xXQp00a8FnrO!{u0WkCh1rXbuMP^2+B-E9tu(B<5C5*6|WqS%+ zrHtwUS)Ej}>8jQ+{nbt&BTvGQbs?8>ahoiK!pNUgBH$Mx1G2J~9EwuzE#wbT9#n!B zi0PO}hkHw;;=CgZ?1CGn#5;{(4&i%f+giIXW(GP1u$lQ&$yO0IEJv_khC~!u(2IdU zW>FeH35~$tkr3M_MVy>^bTF`5sv4R?AX|t67ff8!P>6np6`}5CB-owjsUQm<_zdkT z9%7HmrXfuHYC;iP0}9nLd&P%RiG!hq!AZvuW)TJVDLA%;E(Db2g3Jt<&Bp>NOIY4? zH5tlaMhKk|S``MtXXxG_-^$bXzoCc6=Ih$w3JkIyg%tYLVt)eLcEHBnsU$Hz&v2uK z-=96{S8G?40QGgy$Q@VSK62+R-x;y(+;`SOlD{$P>nQ_<@4WnB>?r>oY$5;POl%82 z6HLIUq7C5`8>@3{kY3Z9&0{=z@kEA6eQ@p5`*_p#E7qPfV8q2B13k6ke-ZP$SDk(d z$oL!ap(ZaJ`C-53)_uTC{s{qZ|D;Wpy$1fDB!4DmX`6t&V|}ZD8ag&I6_P9*u@~go z6CYeNz3=dKbNf!&@%;fKR!%JBTWe?E2Dyooyg~z?PF{#D`=8#enViNJoC4l{27_HZ zdf_EcPpD^D+LVCLu$ChM)w`C_YFj~7TXAzW?^a1JNvSh(aVw(i$$DdTFj)q}_Wj`w zM#8-Xc)z0;0+08#e=bEfT=_WjjzGXmTA9oQlSi%`(9(vOJbMzx=g&u8p24QNYUdq~ ztr?Lbf0vdrgn}1-y`>Rg@gAM|w_Miu>Gn7xo z&O!O+UHo|?dlpLV8{=;L|bWEyxKp-G;F3 zZDh?bL{p_njS5mQK<{eZ)yWLfh0g2adg06PSaGA*C*SJS#qpGr2~^`0bZp42wnUnRB=H1b zfSrnPs2|XQds&_#7Ij09q3{w@2o`We8YY_$*u=OMWgV|iIWzg}k zDLj;i;wj~4;MS)VO~y!smS3jCri#6Lgm)^7KlwJ4_m?p@6B9xV(mZPpzWJL8RD%mK z>k|^0_O`THskyC`Bw&Kr9eCHSpBbruz3pKuT^bs5>t_^ll7_-Y_V$;NyMw8|yz-yP zMBBu(!|gTwt>woq8!8ko@+Q_ zVB}hu^@1!9>!U2p86YCuK_MuHv-MKJtusyPZ%HfV4GIVSV4+G$VWbm|w@$K{y<`az zZc(LKiR5h)`@2gT+E9eS`0xD={f|J6KTtcI1xtvFLZ%F>`sooCI^~(;NAURx3Icqv z)w%Xkx$i`w1_M2O8@&rMs1j08LD)*fhbpHCTH1oA9GpT@p#ppuCiLS|F`F ze~E$Du8l>ygi> zxBmkebT|ndyDQi7{n1SDJozl$G#z&QCD%z^tA>TzinG&#O5%zI!t%)nHHN09h{e!z1+^{gP?`kyUt78pSjjfCwflh~27Ymg-w76OPZVnZFT zx_hwVMP5ts>$bea5{qngXL(Q#?lup$ZX;u`T+2NqT_fr6rtsWi7ceF-+vG+3rD9im zqt4_^tEw(-ZJK>Sd!?a}4K;&1w%GZwAT#M@4Q?$EYXhggyv?{hyf?q9J|x2=PK$el`^#b|%UCmZs10b4zdJ<# zXoBzHPvNbXK{$Z6u@GC;2eK`eyDZQjo&XARemZAEb)b*7AV7m*!Ia$Fn4JA$buKV@ zyV-JLLvb(`Z}J2e%j1w6{EuJx&t>9-XX@HP=;}-HQCDuQRhnP|>O6!mP4=PQ1P6tB z6D^t_D@()7&k!PD39e7g;4jhgU|=n7)*4ZNhSO9({AUR?tEHHw@T=7rt(2XMs1y$= zAF6G3LmW)N3n55EL1lP(2cIEipl-&&uK39C~KqkS2PsDB|dkPw2?v4zR zYAb|pd}_JrFi+B66}%sUJ@A*qLZVqQh^RY+|LH1$Xoi(Ar0EToSa~37EZi#<#ketC zMFnZ)iJ3uWFrjouRSB|I;S`pFGewYO6&Xc1pg#}$kJeccKR$pZ^nOnWE z5Q#X)>O@vwa*GYYYd(ycBFJq~eN+KXz$9oc9zYs5wwOvV1X)#2m?A5wC6uy;o? z`Jej=dlowRqYkJ9NRp@p(MG{ajZBkMrZ87%*)mW{P7#+sJ1BV!U9zh@l+eTAAO$N^ zi+X~u0l*7QFc*HPGKfY^T7+tWPPU?HXiBiR=hbrp&Yfc+AXUFL1)IDT&JPay*gx zHl^OkQ1~FzGj*ZqoUz~B5KLikV!U`+ZG!bvG)uZc7uj@MUt4xSh0_jWG#m?lU)pAtC{em3NU%w2c0_%mLHEz zjtB_;TEK1XNdm;SZ!7QHksM3%*t&f%z*8k3ygWA(n7n6z^yr8kT_0mDwFT!c8?o~* z#i`hrV+?(A+8Zf#z`GdpKn(B>9JwfbSlGjy-}Ai(5e1 zO_^%Yh#mV_zz5%a?!tv5H!@ix;p*7qkzvnGUU(Do60v`{W6QmlXf1Df&U|jtuC*%$ z9Ri~fzuMS$#E#3COxbbygKPIpdKxjAce^Az_bps|XwqYt68N8v1t+iC`#k`qLaxqM`yT9&nKesr4ePh6%s0>6RJp+C0YYP{E$p$b< z*#t8X%==itXiVlLKWV|y$0l>^knT8Qrl60foB>yj3G_E)J+Ooe$&`X-Ig!RiPx-^? zb^c+!oOyDu;mw_pZ+U?XtGd<>ze`TV4lz$o?B~50{SF{+uTovIdqBVlX-P0~5X(kHZW{Ccyd3+#zEta{<#k&)%D6 zRgWud>IB0s0)w&Lf^xiDgC~BIKC$ShLH% zrZJ-jK1!$_Z(wGod*fP+DgVP95xcRl_M~WGQ)NudsxGI-S%CqsLhg@S&$#kGii>?Ji-&|zPGW^9Cy>4EZRndDjuzgF?@zpHiE$omA#x`h7Ego# zZ#a>TdUpn!#o=vz{C3P$jTl?24rCZL>#>|ZSvmwa&tXLtXa;NQWIA-zrlv4Gz zk)59}{wjMG7zyXVp1xk%f*pw_@Oc>=P%NUvSus4(%825%=0$L_r{|3wVq-l&+}#EO z72YRhrX~24U9w`C<1>9Y!8J2{h=vZA=4wj>>gzxuCHVonfO;vuL<&5fJd_<;Q%Vqc zJqm}15KrQlFBL6@5b2if_%RURqHk$PrG>j2`yeF9QWj!$2rS8$4(w4Q2>h9+WI8h( ze2X#xi<#=UXG`WeMYbsF4+Pq{`8fLH=vRb5Hpa74D@+?-W!T~25~QLuXyr=%8M^j+ zF)s);wf@At1*to`HI772qu#KvZVIbLSz|-tqZjVrwT2oHMd}<|MF|o`VF^jBIMc9( zm8=>n3ZdU1X}X2!p8#PP!GNuu%wittu#J48tt1SiUl~cxRFgg+A!^ObG8@l`^g;W0RJS4y7UqrcB6X!{FqPQh-H*BMPQ`qr-vA zb?xvKR9%?EMx&+MvRe%_EF#ff6Q{_-qHaN5)MyF-NFG?La&WmJ_xhfxL9_oNKC!Gc z`!rSeh3~-4P{X|Nm|h;Ye2a8j76=1>HjE}NJh7m?-d^PEkJ!N>ZvMQA>tf!YVt{yc z&a0}<4Gz=IK4Ncmf#7RkupI5A7BEO~SHhz+vS&8HiwS(Y@y@`op{HX81xeZyF__)4 z1^IL*;nS*f$juCn!33&Q7w5Bc!!6X%vG}_-V_vwAPEwhHoSf>brR)~St_VfJB-WIE zZ7CL%$Hf|+0ofWH zGiD7AoabSE33#8{>Z`qYC)!GjV>`idZh#34fDlKKAs}msm?ws8Pe>zkl-o3`0|s&$ zCn<7{$~3b(KJP_2c_^oPqT2+NU8_1!5x$O{GWTxwzBHU}o2P-#PGiOatm@!wXo8c_ zRGm9w9Ml;ii|`pxYk_FPZa#tPbhO|JFqJbGh$3IzN@{#5#Wk&gIu-4evJ_?MDpe2~ z7*wXXA<{AB&Sx0`5A6r`HnC?c9_~;*+AZaDkulH&A>;&55mO?JgN+8oMEfYqC|jVF zE20FxPNHxVGsKEjoYyLx(hJ-o<#&>xn7lfG>@hBejI4A8NEOIrV8#Ud72(q)m0#?8 z!Bk;H4MuOV`f>#isVeyyoDd0p)CZR1xC6v98jwC1`_L5o=nUZ4`ISWL>s%BOMrj=5 zrD&q1!JA&b8qxrV1RdSt~3Z(q-xya-}owUCox&_X^1zgDUFS#Ufdfdv6ur!rh? zh7F5Uri1qzayFv=Q!?B3EESNHW9CSO1o=~da&uOp@&BY{;uGmSQ$j;Bil_jlvdVeV z#sJ4mDFwI`;1MA?0rV_TM}R*nMMPkdkW$~}9vBCOE560a2QVQdr3accgv0_TTQL)) zPIPw-Dl?c&B0nWrpqMz~wgF3HLP+9tp&qVR6hlQ*Ybr?s(L|aV1!%8ufuU>}lB6)p zcwG_oEVC4+j7X+zeH(F^3O3l085OOUU)c{QpuPxqNUXFW*%-JzP4!`O^KsBkhyER{9py9y~vB?oF!}yajN)tlta|_q?{`4NJ_ol2e?@ z2|%_h^(8Ld-^VU#nf9h79x2HU)<~-RZ<6QA@-=%Y`AX-f zZZ)<%lm2RRr>R?^&Wz@+@9&{~dpbW=>zno_Sh>!I!+&ZB9oRwNT0Z=S&ONzE<$nrYn&`&txd@nQ0>J}r5G`iWutqemx` zb>9=6C(A+8-g-6p!UG>BmL|JQ`-lIUmh+MeE}GW-kHZt_y(95Yvz8=hC8xbul58@P znaOpPlS?|SEAg#qDJfZf>a@QA=gR>07niEeC9fWN>>GDxUgUn2z5~2pG{Fc3mM;;^ zNH_p`?J4CzLoF~K;kKre29Z5&Ukl#7Y3rI%M)r11^lN!x)=NNd&3t}?D4=N{8EU?f zOr)C=IJPfwS_|-BTc)+ZwO}&6ZI!??P2iJ^s2?908>pY@?*MwWe2gv2u}{}bK>n^g zzk9+=IPWJZQ(U-KUa2efQW zPEBXihj(SdR0Gz~0PGfHBta$%+bLw%I9)_EODm7v9AT3@%U1*C*(QSp+XQ50!m;5` zq{st}cz0%E!LapUa@t`PMeVQ!V8hMH=5$js88EkqT}IQk$GLV$uJV_>bhzG0W@LRN zEgC zq^zW|EKWajk>n?8hqTJ8u^B|SjB%uqmcN{#KliD1Jul5F@C}?lFiRn>@38b8=t`?8 zYwNVm^+!MKS5GYW$-X7;{$KO_2R^)S#j3H>-u=n6jQPp=>soqVcX&+4+F?M$UH>+c zT5ex5m|RykQrKirczaB32jMuN#n_z=F5HAg4&&AM9pzOEgz?O!4_H{Z9?u+)O7qs0O~%oao%LzGOdaqA>LTY6bycd)hNyFNj$19sbUe zOg@{=yDRPN7UTD8sF>A>Br+_mB@7GYO`-Z%hI*I;@+el`mk@q{4YN&PL1uz%V0$yU zr$lTy&@6_Q340@;i4%ojLIcl#mgId3ys4n`T{Q)?0JTFc(d;Q^mm7-TnYNNjR!>fd z_x+t0P<(^VOBfbOjzL#IymfXe_Vi?N#=z#wcf)r`yihMbye_TTGJLoCOSX1iQM>$* zK|nu$o3b7^o_1)k%sHz$>qDe*BxD^<#9l3Qv&$7g&S%L<}2PPHx z!gnZM&9%e2t>oRI7L3v}x`950sz=!o&tL995SCu>*N#08>y{`Mmc5};- z_$B70hb#Rh3>0qwZ+D<-{+HGKFaHaxyRJKM`oA+uTFCcsL2^E)cv}aT;|PQQ{#mM@ zm;05V-Lz?ko&~m4hDc^ylAY{bp8paERXEF2vJt^CtxEe;8eeOTc7Z%Y+BG!?AS|9ANHQWYp?j z%eC;Lf%OV6x^j|ZfSiyo#*t*dHyXuA@*f^6Z%lERjr{KFOKh;;<^o2oAnO@`Us(zzTdM5o(9!G)uOg(#@SFZ=nBs{ag1p`{6r`au!W-4(*}lJz1wmjV-SyO((yRr9?#bF~jS*JHvs<@L+b})Z^grmEwPgHd zv2okA$je^yXVE0bLnmy_&{qy{KV)5>Q#U=4mC@WhCE?rs!rpqh@2~#%4cl-^PEBN$ z6fe1qX8dF26}xHvGUF*I|N1F!)-TaDFl>_woerl@dzW$_>JB%5P#s+Hwi_q-w;%T` z4D>8gwL_9lJHS1QNn%)XYsaKeJItqbQxbV&CtdIndKNG5=bpuxTOKV*E?9@2#Xq)E zhuWb>(~`rUzl3`h3?IDig?=Sj>q<@@fu6;I)4$hk#l9R`b9J&ArKVcilJkU~k~&ZhCL|ZJR*evFWDG&%g5E zjmvLKk#{e75wu57nML-?h zwEJNIo!9()x{dancdy=5%P zvKtTHjwF|X*TFNA{gU^NJ0A$XH!izz({+D+e&g2{+Ib8oKu#~w)X%G2ADzdd-?H7nlS^rPhfgT3iryFQwB zXvHf_w8sC)1{Gnfbzl<6){ZUeOl3>3+!l*zcxp ze)g68oA0~r!^iJh`lC(n{ok#dm)`WR9h;^?%X1H{xNTqWY3Cl=wBV5zHr4jMQ~&V% z&gr`jj@|kA155w0Y4@^eoBw_DlV^W;+d9PFb>Oz0XC&{`raCQob1o!#4az^ZZ0erg zo0jf+V;swU^ONHt;PbC6+I8@@-}O9%+(BM$`7N@TwS48lT_25I-t)@2@4WFNmiy*= z?>ad3)aQ5pDtYkV2gOULyuRt#~qdyA)@y7cB{ zi#ARB5Qe>^8Rg{8bHRJrl+#w+)OXLpB<%el1l-sA%5%3N8wTCH|HdbGO`ZbsJ&@#J z&nJ24eduMKeXd^eL2+ze3V46ua{;8<&p+-tU~p4g%E}5B-VD z_tJ}>)S33cT)-+nIr7q{cl~L{|DFNz!nY6J29({WZd`urGMi&>@Aqwx>)(3>xVg;a z)@7Q>AO08MyVuk`bpF))Z@Pc(o`VwybV1GoG`M8T{oiWXaR&;-s}PVunm-kdIi=1u zh<0LdbN@5&UB*BL%I=8NO6nuHqE@992Q25OV1GJ{1xjV%fERbO1<+}|vbX|FswT@Y z;6{7745!;l?q+3+Fk)MA*NCm698bp0Ffbdz#4H=v*Q@Gfj4Gw!Akz>g-y?>Vg^NAI zHDig$7|$~xNx<>{RAh%`5J?(hRJr9*ygrP52Tz_ZNLB>O;xR~qB)xbxM$`iZ5MNdV zJH=y0RAv@{H<;XQ7Ocz@*k2&+Zr4>3+ZgA>^LWQ+Y9Ko-GgaJhsTYyu84^hX2pIcX z%WhrBKpLQW>WQ!kEpZvfryJ8D2`q#p6(?yRiEwxTv?Qcut+F`DAlu}}d>t2jZFv^e zGomc56k%JMAOnBaVN>C*6G+RzZk9jpYyIAJ#1N2;cw;zlS-_9G5^2Q?je54Xr51$) z<&M*xA#ZJhO`@5B0xu2GOl?@$+gubcJRMB2D_*=5$isnjNrwD!0CGF^;~h)2cU&C;)P2X}Rw<)3auXUHOnya0QBqqf9l=^zN+fVAK&}r+;|d$o?IecQfl|f!zT7I z0dz{EKiT*4=uL*P*NB**XzvBIQ0F0~Q#xvOC!Acb{wPKa5dF1d(k4`Dee~CHnBug( zXrzpTz_eBSX{$)5or(zBzjdsF=J#EDpZf?9-gNkH$T|D0v(DOUt-bGlp0mF`ox*_4 zft5(BgEz88)$lB5?f4AKE+K!r@Sw-Cjq9ddO17z^?^!>#0` zx{f}m)MhK2BMG5}C{hr)1E!44L`$_`w>9olF}aS&tefsylDNw(0@tb0#4UV)M{&Hm0wHB{s%+?;0S=MG z-6SxjSW3;uJD5;VL=wG3##ZW9f+d-ay#gU*kXc!5tm0wDlNdO;bduwL3A8O-sR6^14rxCxUN#?N#aS!pqlIZHxQ@?aTG zBRrUdEH3b-htHVypm9}a70jtYT0YP4_BKFCttM9ySqU~1fHoQ6`@(p;@h)IlRr-yB z;hHqb%!Ki402@{Y4_>c;l^+(!zFuM_s zB*t4VCQC(GX&BkiFCn|88xs@El2Sm39G~Wy0bqDBEjwb}=M#A@a)(iIu^3B{@j&5H z_+_~Yxx*5N6wR$89Bcdy^R!QnR*+kD?ilWp3?(^0{zkTtl|~5iP8a*AoQdID$iuh{ zP<~hla#4ejD7o`YiSeDnpD#6>Vi8`dN zkZIsZDv5_UrI*By3hzYqF@dyK;ag35j)KiuQ$lTmj{36F;!IkU+svieH_pq);Y)F1 zmQ-FrmXrXCE5sROgVlyGdIDrH3e*zj8jR){Iv*NP)Pn#GHE$-kh6g=FVb?-_6MjmO zvo=AH0^S{{rREjs81Yhac#=LD#4X27DU04^K-5dZ-|i*{&eFTg3p?Uoi5q`G{NQ%u z+|{r5D%Iz}Pw+1?LeV{xa!Lp0C}bnfs6BABY}W7KWe1iyZ}u0EPJ~r6Su4o!Oq<({ zv!uuPop=DRJ}iVH9=$14!_dk?(Gn6d06)8~Ed)3v+{{ZCxr$Hkz-77YLo&7{nP6N* zA;Pu=V?)}hjIUw{6?8BKK*x@DNF0sfp!;NJQJ~5RdQ!Q|qc_C^pBthq}7J5XdF94XE!bRuH+V39@B2tI1+M$Xf|Ya#9oK7-jZJ zMcmaEadU7_BJS)gmgw=gaHhG~JDtd37qXL!Bx+uee^Lc*FLFaSeS8#2ZXwy+bJ{A? zcF)AKV+knOm`O&bf!r}zJ*{#bgrH3r%k1lwY=vg^5P~Fa)i7Z`;2x{K`?YMGO-w@w zvl6}yn2Y&MFb5#EuRKNYnw}f0OdAEUS6eNt%%Y)3l3H(qyd6slMq!s$5PcxD86a!N zN{mTXK<|cF(+U8(f^4xN7$1*ylWdNMY@2W$!+07%5!2WT&g)rhe3xwl#MZ@ctTvO3 z$4Pb*T$Q#Jb8c{9Jo*k%z?r6|mAJ9-X}fA-x%ObABWGcp=5=^zle;Rmc0^+BZenO+ zCYjwrLc9h_vL{MOQeGzGPgePjQBMG)(?%B~*5pnc@&Ly9o6>+CB zvL&`-rN=M%D0X(SSKLGjCIIY91p*!{DTfty&tvE$U@sTXd0ARb=>;6WCFpJUMQ&)X zW}BlK8E1)=sg5R)kqxzRXhN+jd;J8amfiFdn4Sr(< z^&N&hUaON_;o;P#u`V6db@(wq$j~q8ghEZ0qfj)l__M-e0`R&%btYw#1vcFQ**cjC zDD1NpT@V7F!5q_9qby&6z5~goEH>rjFbd-769Nq`Dr_e!+w>j&?);+K!6Ka{lt(v+ z{Nqzjp%535$wGAZ1S-228%Q#Ar%@N$HPjNj=#8>S4fAnRli@vj5fR=*O^JQn99B+) z0x6s%0CLfvZ$p2+i#y43D}q)7yRe{Hfo#HUF70nfW=Ka%L}t3_G6nG0NK7cNH|lhb z?*f_4tb&kKJk}KBYmhT#RydvNoX=umaB~#P8djG&Cxz^PIc19m6idoi3G%vBCdE1{ z57b-2x$2FDAjk3gA?xzWWrmEktVU!XaRY*t4d8d9$4^!bu7hD!jeu*+u*#Zm$eg$Z zxC0NGK^WL_9)yq~537pWu_>HN(55&rhbefld=6gRbi7O}tEr)0^)S=mL&y zWHYDC6#h*S?sQtJzf&~K8UK%tsz>c0_-d~Kdczr1oq@ZLx625V>BinG(L+yjA44A+ zn^E4yn4d)N-s-hsrIP^Mwn{b3jKr+Il#_Bw&+|QS&vDc47SH)SfUA7|;FS*QxPCtw znM*$Dk6Ycf)BvO+rByy0OTB1U)ytVAn@6JPNBJpVG_+MJm&RsQF;SXwke8J!d1r$& z-}Ngq@HhGxa82NEMm}+v@i&@_D2<3HcZjUWRaQ|MB(}p8O-&}Y7>(WX*>D){%3S$| zf{H5XE?!Qx17Oy5lJH4UlF$>H&Bve@AmPXjly77)lXw=z8k#5?a9E6*IdYZ9I1M;B z{5>CV9YYf_WFypg{2F55#<+ycv5%x6aUVwSKP6e`&iB7^d?Skxe);HCQLl+5=)ff% z12RPo83jHF9R1%nOdS2;4&9fs=^9lAoh9|>S1?OTT%bpf_foZVNZ?U^c9vwHOC33Z z#nA+lsF7q1m)Q_@ljL}WU4|s3(n)Ydgo>aeM`BzSk}J4SAq*K9o1JA1;KoLZq<0C) zu0RK`3VJ}c!n?9OS^*g$i#1V_q+J5XT+n($Cd@X<=WZP98B!6bi0Po{SnNSuLZ2EU z2V~90DnWX1VtePAt#&Sxj+gb z_~vxz5t6idhu$erkbDsEqb>@vC`rUkxJ(dFR^d>h^`_w&UE!1ul%%;v`sOx`u_#EQ zBNKw)a6tq&cYQ{m6R+vo;gsTYVy}yXF-vpksRu!sUI!>sY{T-%H%20y7IVL6mvgxXP&IN*EIk7Aaerl_ci$;!V=Eq{Nsl)=ZZr$IjHy?Hg}FM z(=={whc@S%`(b78YV7&*3_yeDJyFPF=-pkUAP@o`d8F&j-)`Pmecq?nT=WW=^O64k zdG9O%Xz+r*tA{>EI!eCOVf!e ze>0J_Y@L@O4stH%vCRuK$@_$4oy1iKujeS3NQ=bksjp^2}Pbg>jWAs)q=Ys#}ba4Q|Q)pC4wp0J#9k4q=j z@Ut;YU;}_EmImifEa2(^?0A=FcQvL|x|)in6R#czJc0T}?Wx*<)dD!ht41lYXAarj zzwY=(7RS;N(w(b3qo7zZNfN#?4nm>pm!rraQmkX{-ie(dshqmhEUEjRzc(+6@^6Y$)MUKVB zCqzb(fvbE*`_N#y61%e-pTx9di>;K-th{4Ik?|06h$gcNjx9>E*x$uu!=1urW?n~^ zLNcPA7k9}I{^D(hpS4Yh3Rhv za)Xpmus_hGBwQUO$1XlkvWXf_*C&)+D?DcI4opu@tVKCtehA#l$6d^UKS-xG?+%AG9*W7CkAxlFqlODsvPx1=N;PX4w`lExgevQ(1;O$?d1b3zX1*qq+o zrkorDH|2a)Oe!GZrbsq^u@HjF+F?y`i7r4sNeghgRoKLjG$A-$$$+w}xqHyJ?FfVX zWJQZkvfgGk>zgiN+A6$ZQ_7+dKC{7-wx$69bR4bT{FDB%f2;vG|J3$-NEA;RX=x0M` zraNiHDHy6Wr)hL2CmJ+lmcHQ=+0zmnkWoJ9pumj}YmHz@6vIui;|#ep^N+cTmS-Ru zhDc3)5XX!S3hY8u(y=V3t=5|qp<9KZ1QZM`mmqVngbTNGo(m|7&Q@@NlgLL&a!yX3 zn2QK?y2F3 z>E$9kV3d(W(PXA5T1?j0r~Dux5n~Z8my}gn5snHV_x7A^NzeoR>JSz9(}4z2BZ4Kn z3)i|#;XP6EK^azS8S6yNLyyxxuJgITeTK#+I=Xha8k3bL4;^cSRAaXD;dzTO&kYFH zXIAG>U#r`JvpR2gn;`@Bb>-UT#Gb1GAA8lq?Tfzva%%7jkoS$$;YM@dr0F7*-Q8b;kk0^}m6CinXU=S3#F8dZ4rF8HUM*x`+vAf4w>{td9{@hp z-0O|(gSmqwi^vAp_o{<|Pe&o=G{LgK;7V8-AmPpiEbYAA8U}c9+dpBabEDv`doYi_ zdopBWzyE{u&TE2w=nc0{@}03-lYId!&8y(=HJ8KRMw7)~`0YNBUs}_=1J2FM)>-_8!Od$oK3Be)z;}z1oIH5{gE*>+fiucjF5i=k31q z(JIJ(X7yxX@@{;il?vOOB3Ef4`J$Q6;{Q={fU>)AjIJGMBp``|9kaT_vAfh@|f|2GMlwMdK0*HV&WY)oDIYbKK>Cdp*KF-K@Cu_n?RSXriBMaFBr4>ca|4w6r1YM+n; z@{Zk{Cu`X@s~>_8yVP~sr5h=O-cBlH`wgCN;l-)6ixm#cf@pIJ9waMeIC3TFo#G0p zuRdBO4M7+S_ZokDiu|o}2fmI~6`{WJLN;Kb30ATs$u9HP{KQq8oyZnI$6{;xa*(sW z#nX_jO0m{5%_4u7W6s2ft9r0^?a$INSH?aW!K{sgKQ$ntMz%!=zLByejo7rEcR@j5 zE0bd@;?u(8+3zXSLOL7H4ZGihzZ+sb5lnL!f7fGk;anDDbtYG;;KgHtmNP<*nY#t% z^m#O)(~X_w;VRZn{zkH@M++gnDT}8Bj_uL7&|!DT5C00;fY;NZceK98=Z!rIdeg$k zlW-SuCw~1UcnMSIj=g5p-1w8Ls#~I-(~@HLG5^v=6d%%LCjL?*Kn1mer=0BR;`6WH zJ%N#hqK=U6uHxkx3W5$&(b4o`^JL8ODyhj$=wgA4UZtR6gzlDE;KqMvdX~Pqz#YX@ z1Ky3YY{`T)9e&SZAFZV^g;}ns!S1o>{|Q_(j%h0`0T+042pwz^BEgA2+hPKVICyhT zlNn=voN>Fe9x?iY!|h^TCu0rZwj6pv=WGa(%5^G)5kh<*BS1ES&__i_B11Ms4<>8c z(GE(If;OvaWX?xnNRvQM7E@K2Ckd0@=$!jmOgRWGh`4i_*hCR@&{k?P2f81_jezWO z;#NjMXbQ3}jQY-Be$Hbp#$!1xJj&FZxKxQA>|AcI zBu&O^G8uo^hGkYkZ{MGPXd66V8}HAACZ*#5Uu;F%lYkheB$JvugvLBR-HK#W{UF!+ zLlU=Ef!pK`-i(OAC%(U6glv$=O0A$D=>4hc$d^-IrS^A}I+KJ}>*Vxq-31|f1O9`p zEck@V$ziQLF>(ufUMeX_6a50rVPs=p{`kxq>X8EJxiaT(dwLkfCyX~3Y9~0r^nqjT znKcuA00xsL@W})zN^IMBa7&uV)sgn7H>Gt~|3Ki_gNn~-vl7{GLbBc0e@(T+AB3*M z6dm);3*v$ZN37Hj4&Dq%}cpCJO0p!-5e#-xQWAZf0cxiU!d zt~f4fPh;1`M~8yR2FWtzY(TM#6(y9l~1py;4QlY$6B9~B(~vi^ir5J3yc zku^c+qoQMpj*b+P4KYhH-sY5dFkUVi!6NcW;&qIGTYwHS(lbex>v=t*I;;;zLl%zB z1qmP~#h9cBX8a%oSGa?q=z!c2c(jzheH7*cScz+J$8&9HEqwBfnWUq<6aqrTW2{#3 zEgb!gvp;J5Z9_-&C?JiYtDtbAv~@NheWBxzxvU~?l}Z+gl%K`yH<*~fDzYT|&xjkH z4YE>>P*6WeWTdK(ijGCHPaT=e!OB=pHgy>UC03#6XmL8Cpo+XE#ECzf$T)C;J|4Pi z5;UA}GlR{(1^T2^q;YMR(Gzv-PI*Z!^J_rp(`+OJ;ZI{FJE0^m{E_ZEXgyEhU<@=5 zibJ0_meVf~LHk+r2z`t*iL)TJ^I|F)pU&&BL?*Z!#a(Qc%BJHi@khcGaaxk1N&Q%z zmMwMGNs@&J5JW+y#FZcc=nrQ&vm}^sz>h+bVo^qei|KKaBZz>N1q4J*pagYMFqefR zFGLR;vkyy!7CI<8mX%o+YA{8$A{H|7r>v+=D+L)Ua9DFiaFCF|KMD$$_@iJ!Py?9| z1nrsJ1yaFNI+!d7QYA|6hIR-pC0UNI-yn;+z%;-BK|6|-GmhuulAgN~w<%x@$)KLpco=MI0!JE-oWb)k$-TKMI4sQbHku0 zQ~DA{>t_U`B`F;&?FnXg2Zm$BN=rUAw;T-n>H{vrl)E?+&mU^hwS$@JeSv0Db3n6t zI#$mBpA;E0z(*FMQD60ZF=lCV+&|50@{*YtetRFSSv;2~U-1KA=NiW>Y^`7}$*V@ohRthlHa>X~%K}#gr7F)o`=OsU!@|)E8y0MGxpOZW zuYFSRVBX)O`s4_*VM3I3 zkZ8@Keb4hKRXLx0;ZZ6OSj)khMUmc`OGmHk6})0iin*a=p;Vm$ zD^Ean-nTM zX1&A>QjUzzF9IQYg5hg{-jGX(b9vdCyb$uO`?3Wx0sH#to3xc`9k>^2Ax8ER4Hk!S z@c5j9f$YF1qmXrykehSW(BU*Oj@923%*Pl$C3;&^DIe&-gW%56dVje{vkmCH0T=LY;0G$Fmo-_F5gYkVijnmY-MSR}dGD2QqM z@y_R<3CJ+XPcNmp0po7~5*sr2(^1?C-$x2cl5I5UL|)<}kbqkYF$zW-$sE!I&~n7x zT~4MfY4k5Nb3Slvi@Llz1feKvA~+m6+Z`*o6wftIJqC?{+}JSpJV ze#qA3?3z_>%jYb&WeLC#ch~zs4;%pa?lG7%ir#-3vU|a&Pikawl!*T!BBNOFJr2UTq8kO9u8S66y+E@W2H*jO3xpGdWMEO9y`^2 z2R$pU8}b~sZ7*u!%~W?A9M^oCK+vv9POTGFqFZuJ1vQBHbPmmsUb84_K8Kd{kuQ;TgHEk~1eJ4u=l*;$b94djF@DkwOz8A6{Y zrwIuf6+KnaV)$+NOKPNhKmB2F8+cc_Fov~#-n~U@!gERH6hsR(GD!%5f|(q-S_9-7 z?tW^q58*CWFz1t+oZffnR+R;g#X64RM(#jRjvGm)y!%M@&;sO;HJ<#vMPu?(&kj0M zvVhao9>l^&ii4b+EH~uoZ8_Q00iiC1;nuQ8>Rr@#I7V|0BLt+;GA-cV)nG&K%!w~m zN>dCO>lc^?)A3H+p@wJ`{*+M|pD+N4=@z%vSe0)NI8 zFuoA9ftE4^v_<+}aG58Xi19^{(S|(w(YQmAUr4Mlip)Y3{V5y+rAp#x@D)ktm>H#h z265NXeVx6~syIk`oR);pup*9cC+I+P!CYCCBxXU$`%!_zp@D9lu8{K$E!MbZTNk{N z0G-Ae8(iv376*xokf$lyyE0*xXFv(`G(9HGK?ecnlnExNBwJ1o3RZ2YCo!2eOOqwfWr=k1!cQ!-yag zLCgh3t71eJB$bLSg3?D#CZQAr@+)R;=yf!cB$srL>7zc7HpdmzadCrL&;#@~~yCN~t};$u(zw zIKSig`VKDJ`qX4LYy0Git$gxjTPHW!Ti_f=g z|Gto95%&=_IYh{|_ejVTo#cP&BtJn(Zr5bPeQf3&7}fY(wrdQn+y(s-rvB^>_}^Vc#y@wAni%7CHWIh1(_D zBWDdC7<=H4A9#%2`gZbmRyp~_r=DO}yuR$5*QlQP&>Qyf`;Wbl9XtH)Q=es@9^NzN zRz7w3JC_Xq=Jx%My*T{SW&565HuclPPmJ05-Y0H*?GrcIFB16|!v|tl{b<2(6B~Z- zn@#M|;s0;-?Js{~HT&;d*nhv}yx%eH0Leb*je;I$XzaFsJnq`zzRB!2+b1pDT5|7Y z|8{TFIkVopa8~w{leeA(dFuNlWcVEtGEB0!uO-=slBX7uEaE<*CWi>w_Jo#w0VR3h zA1KM!bdtk`B#+IUcSbed&j#b~A|Xchwt^cTM(_=e~R2XW4J2zIy$wCEdg8R}a5``~G#;4!^T(-+jxb{$~5e>vs;X zy>0i}o6fnG$bTHJh+H-N)cdF%-dBv>{r0dV)?75%%HI{q&7^7|^L6}*LtsRq@y?v6sm5se@%h)D1>rF8$%O{@tt9*MJ|b_5 zlI-6TdHWX&Nj|lS$W2GpWU5y1X9d~Y{)L2G@&?J?sf8@lLM|inRGs9>ne(B%BM+(_ z7;1;{3b34DKnX4xp8Dziitoryg(h!k1rwynw(n@;n~vbvS33&+t}6KCMD(uEj?ET? z{5VRo@6zNUB43gqP2SW=PS)fDnp%45%j@`G3WY-XR+iO~gmTj{5 z2&L+*Y~H6wjcjElUpy*Pj)A+GvxbMqK84z$Le~!b03df+XvfCVmx<@<#F%OCufHaH z$+_Z_}7ElCW2`?Kfn{p>C4uN{7C*}lPLpZ(ePjjMO=zvi}AueoW=wfon9 z<;Fh@KU0?&E_wgKk^`lZu}}a0T6>xL!0=;#_e1_hXva3CFw8(#61UFSU`9uqK5(pk zhumn2h7c9hzhu-kY;yF-qC}4@PL$l7At5h5MMAz#LY|c*`;spc`MqgGzMII~FDoSZ zoF-2_YLd-cNXQEX+1n0iArBJyr2Pi&7Cbd8FQxQ2c8FwEF6q1?o+58=5qEC z8d-etZqyDxefLMuhF0U5uO zG}&hS{YP@u+giaA?W(`l+&?GsD6*f`+@I3sXaz5i6ZalX{xdB^%Wl@PO%@-aRGphO zKcie3Ji?Ev_5eHki^ts9wM^Y|)`9IGx(p)=TaPSCCIfPpo%8y#(6366$|Z?IMiwO) zS$yc+KkU5au@~RJmqr#lX=L%zL-~=#S8n{p@H4T`+O@+6&i>aD8dT|Y#Z|_UH z=H9$>^@66o-+%wbeLdgXJN(}puiL(C?=N;=KJWFnZy9`V|K-oFTf5=eH$SoQx(6oz z%}cM`^8N$AyYaUV@45BqN7jCL@BYtiyzc93-g{~H(tSJE+%mZSiQC`%+vmRp0MX`i z9(a5Aruaub{=YYz-M@SPZ5mhfUBX)Z=H7Q-TYC9RKe}ZQ2&d2PyKlqR{mVAa*?I5Q zU+n(nt=lI8`1GA(;L~S+{K^xz=izi=M;>K&|7|a6l--+0K{z!~rc1tk!P*Ub{XaHe z_rQkvzxnbzw_Q8%f$#1eUiR2^JKx^@(mS8OeCH=_8T^|!FMoF5+VAcC{_u_xbCA;|dSn61s5z<$wA5+I#m7zrAtp&fDL4Y4tF8#Y7zSeTv zhc^7~vAw^)b?X(&A9!~E#ZO$fbLoL!EPnmK&L?y^A-#9~{!91I+j;NaU+lX1@@u|z z%iwFj{Ob?CzV@FNzq{;TJ~O=bw=ey}Ew>@buKss#|N0~6Z+QKkWgD;i&vhUx$Veh+3-X*l!d|^8dl-(o2 z(mqF^wn6Uv_?7**%9{i7o40;?!`}2O`#-+(@y~2{ZU1%1wD0A(ckCVy+}zI$K7Gq= zFaGTszyJeI7u?V9UV3>>$ZgO&ko_O8G06VQ|NHDafBRRL{jwh1Pu%v|JBFX%{pH(s z{^&D<-$C*D{MY|!_x}%2cCXuP`VPSU><}Ht5Ayj9Jf<;u?-Z?vV1H_$*|?k$$X;dp zHg5prs%zhg#Y17)T^^=%+dj(dvjD-WT#}V&z=?784^~~DU3L+;S?Mlu1<+IL?n!YL zuLg8%eT}oK+hzBOXQT}Ob;n|?j)i^5b_m4QuE&IPth=hCk+bGWw$Ex=9NVcub|#ig zlxFII0(-x$>PiM=*m6ILT?J)!6j`NEelJnAgnAM z;VQ^h1gAT;qQip$lR}+2pWteQPhfSpnw$ahr0E@kvzD;!*RejN?4HwsK8LJk9Ukj^ zIm~9*Wwzs1v4#E61m<;k+)9GG)N2ANBPF@$%H$+)a~2JUgw28a%1FW1m<$7W6CbSs z#Mbr%Fm?b73@hb0TXAklWq*@YSOsn<1lcyp{&Kb5WrtY2s>&VX0ZJG`0tJDSQ|CZ7 zi+XW5+P<>^t{RKHECYVBnE*ICv)P}hI<@O*!?2z%WA2t zN*7t&YuAVtCV=K5zN{!o2x$o8BlfP-Y~_i&O8Z3Jy~orJilBF}dj)pBh{tf^8j8op z^~sWZY@g?ZX#B2;Ax4O@=cQ{wo@;gCTUW>G^(Tg!8s;;D4+!aXU4B%Aztk^JbdvFj~+oJoLv`l(D3P`yC#OD39_ z&49w!#uWG9uiQD{kNQn9EFu_TEWrG_pNHv}vjkhJXq6}&)(_X7&lL+dIVPBq8`Ab8oI{BY# zAVm8_CI(FHfYNu8!5!s!pIJ&T?d$u3u|_6v#E%ZGN$!Oi8&M|kY7mI@-8Hi^XsqxR zqQzJrqiy+AlGRm|E_*0T0*D6s0)G=Oew6)(?^XmRLYVB7V8KlTv^(| zvx;6LP)caIV7FNe4|3Ll>cwFK0udBawzB%WNd5k>L!h;KA>5*K5cIne5TJupc)g%F|2;xdhE4slXB;>-Aj8)>z4VNtv_<}vGm|{Mwrj+pIB*;m4NiYkhlIx_! z?rA8`r6*$wR4lGr76%u|_?*&m9cx;@VLMG#EULK}+r)%lY2kG-x2CC*94O#7 zQU<&I!diuEmu=ydiue(_*-bb~F}(q&HsX#+#GDRk-g>fu^r>I)5%DFe5(<}EQrSv? zhuI?eCAb!wx8t2Fhehh_21mbRfVVqjILe$pog1i*88o7hWSx@E#X%HboZ@&$f0{}< z27!|cOn_bV4z=v^pspaYP3AMajU#b7IJmYAr%AU?A~R$LuV!gCvn7_kXu1c z%mtB)oaS?|!%k5uTohd#8olk=qP{~Ls`pcg{mD(Ms_RxQJL}XS3C1$0iP@8vpl&VU z6{vC@>tfr(Rs*rB0pt!^)k$m!fUQm`pko=k>#XqrCrxEO*JL^NVj!HNAxSaOi<8xf z+7kQa`xA+bnix=$vGJ&oCRPw0>XWs1C7l|Om2jv!e{$koH%vL34=wIRlHF;|T^VB8 zj>vp$4%K7&4!$Ra(>fki37YvGB)cK&2ms{TUS^ux18L>4DwxyQcx4=Rcu}&Ff$YSp z@OebNqnl>5svr+&axQw&2J|%0uuykJ zpsix;JJ>QOP_P_*2gyF-`im6O!F^aik{GqQnw+j7$!vPnpncae+>-RD7z zorX`!0{qzo`VK>l?y76N}*{@hP8s_=%IH}f4;P;E7hBH=3{`Nyw*|_%XNJXq<2?}$@qN6U{2$F z)aN$Ow4!^Bo-K2%2&$NWiOff?4>rarAGProfM&rD<82?=7qO%bpTraNQ`O`{tqgE; z!RA^LPaoEUDLA$jzXV>7w8&LD$)_j7HE^9rKWvayr4x&k$`FJ^<|4D92^_~%S$x_X z);Qm5Fx+Tb&~xwD2UYc zM7BO9R5y5=5YL?x$_g!#EdM+CoIto1-Xhf z`8FzA3;qTh9E;k5b0~z~#~@i5A*^83dNAI@03&Uy4?}&_Q(n1bNf%XP9WsS{g4zL( zK{lTD8=)X-2at*T2xaiF{w5)?nykc03uziDWWF{>Yl0-AkxnpSpHq-sG&YnZSxHZO zOki9G6ws8Ukp<&KhWeamh^%NFQY;(I9HHzc9gDgm{r1bqR5CseGF(Nnfiw&ul=DRj zju2AN`+tN?9+Mw^{8WuB;EF?oBvSsy%ia2GihO?zKUY@aumpG4M*okU$) z^uz=X;B~W3sm1Cr%&JsZj#5B*M!`p@b>oL(X$4DFPq^9JRJtx&}9pX+htF{=Qa;vE_8b1RBAN3{&XR z9!VQ!=ZAmi6( z9z$H<4_`^>6Jve)Je_Ecv63PRmoANKn5-y8Jj)`Kpz3tRc#BfP#4M4$I*fT(_6=|Y zrXJi1k8rIlJZrvi_kD?q2pt zpvhWo<+7V28rzlta)E>k9M|n+&e-N(!JO-M-=fw%j_p!@_)HgZ-+awQ1uH=wUBN5B zJ@=Z+3*6WdXX8Jv#s(#T7`}Plp6@@XJxCbH_Y)ZG=NnqD*=V@G@Nol;{0kt;g8Q20 zc~9NB{#Nq0K}_ymxwh%o7lFLz!S4q`4F2p|V?1TUqRE>3nT_9Gja^iV%izG^(%&9I z?_ACo^72Sl9yj;r$(%jwcjt}Yc>i-GWYO(J4szaP+P+oIzcJkF=e-jc|9|gVz3l;* zQ`Ox5iFXNxz3VWf38PcCnn15DIf*6J*I%pbFWvwsR- zoW6TbQ#{<}E=pl)IaRB=cxp%sss4Gq4c|83bU1id`u4c>7(F;=ZhL{d)?O2yoxTHF zw2h6#cZ(P{G4;oI4gNXZ7t^Q1&JNsK(hm>TlHNmW+}ZG=6Q47#H@>UBz4dySlk&i6 zr_=XNiBFo8ShS=z9!q*#zv_8IYqY;1J4_yo%T&GpRge2U;Xm)f_s1}&5k-RQA(;TIu4GI!3nNO>|8 zmkss)>cuy9m122XXTvG8^7wpm4BYNx->2H)4~OqqoHUjCVia=cpnHz))q|nRMszf- zs!mp?z;RQUiz@WGny}<hqUN z2OTasa6<=|+8%A|CJz&$E>CcwMk(IYWfHd#M_e8##69uf(5zGhPa_ZfS#{@1y> z?|@nnl_;_fttwX1Mnh(eC$J=w@{XCCz<2wJJDrz(LELE%gb1GQK%ue6RVfyk;@kxH zdf?`LJs*Q>3GW=5$b_5~+qI~L6b#u5NkIaGB~92aPjWu7>mD1maHI+|7LnEjo3$vX zpu_4m~yddi{xdsL*L2@rB==0v2eJhNg6Bb;ghUH zqf*7FQAuP*F+P*`iKO=6C>~U3os0*iZ7XosgvXFM(rc8Ro}lHgAz;9x4vfUx8G-8% zceac9TK01Iq}_ogjY-V4+Ff6(l`1Q%9s#+tdP01M6OSi(5*4-oRhvD1N4UxgawqRW zb_^aIB^yR3r;NW3TfTel(d6kUY}G9=9$l<}+aNs~1v8mcnFO-pz-orv(I~ssEeXt; zEvj#83f1~wB_RgsdCP33<}UVNCF=|E_?>AN+uLi1;T7%4O8BI<%NNtJjnPrc$>`?j z0&yCCt_T0P-4EWcYll;c&xvZ2hiue{gq~`1$j0PHG>X@+_!wI%kgGUhmd-|dJXXq! zm>aU?7;bmFqDt}|3*%YFqs&(FT3f{`{0r`DaJc*PxMFHr)N#t9V?x+Q1VZ8xt$Qp6 z9M>o-k~}94S|<}`U7e^wv&)P zDQICSjrl9;*q>hzVcn2DAJ53Ba3vH(V>P`BFKysu1c_!EdSjI+$er$*40CtLg!Uj) zSBC2BIJlvANpC_*;bx~H*Bbdp8SAhw?rsn&(k9D9c-jI5y?F(5llH}E_(N`DIu{OP z+c4)-I6^^4soWtKTJDw6ZYC;cgl3XsCko8LCg6KJ8if$jq@0yr+^emWI6{bI{QO+; zIjjdqaqNkaqXJ1nlNqRwIk1<}SH+8^px`%H$l?i%)saaTxg2B_wfk9#X9N2gnHEVx zNpWQ?j9goy>22+D; zow{~_uBSJU@+Mex!a+!h*Zr8S7y?HP!4RvXcVzIMX%t;bWJ9RDG71bi=NrhYe$6N8 zh{tGJ88Y!kkU*wUFBY%aNT!sT0+%4wnTd4D2c011*qu6>b{BXqTxuiVY7n)DP#k1s z33`T1**3&*mO$<@CRv${6a6vHaX?*1@k4?zj-0#Lw;?GN7?_${IuvM~W2TL%k4a+u+B|GZSVP065@zDM5 zpx3TY@gouR!tkW9kqrVbUxE|0G2}@cOwx!@^1-l!oredNU_w!68RyrGwix z0DO0KHDrIg>J^Y%Fx3l;Sb}%mdT(=u#&P}9!|l754X(IkGIsR7{JAUgnv8*v08zI3 zIn3xEtl;Lg)uhSnJy+j6@14m8RCUATftS|ad^PPvzWbV~2ll-A7HMt!r}EwgEO^Mq&IS&th^e3w>(Uah3wF_)lJY_98HsVie#@ny51+qeKlnovH_+%O8zz* z*#|rAYqH7W)wg^V8~gu$-`YvP+VghxyjQSe{d-HjmH)c*t!*2suZ2&@9DpbrZUR$S zbNO3Xw=g@_FL?C8?xkJZhnE^;gAJ47dN=&}$60(v_#1j3EiX^dC%RM_pY&a>v9R8t zF^-=HUBfVQCjJc6*~yUgasvqpgt!j8J zazyrv$Su;E!n}H?VTsr6d)P62m1|@A5jFq6Mw9gwG8z3wRihYCVU}GEl-&|*LQ6_$ zpA304S&k%Ev@nY<@*KQoZu{QH20NLX zO^=;Y7Q>nauXQlA_g6G^^sNmi2GUl0Jf+0X_-LDY%McgFI9k-jTD?;SjQ#q=yjhBLGB0gqKaX@A%ud`>O*W-s1*nBnem%#gt zzwEJ==tuk>&h0zGS7LD@B2A`^aBL@p%*5YeJa`JpPTb=`IZ2Qv_*6#&`_d-5#lHp_ z?vC$5f!I}VEAF8Xqxggqtup+{_$Itlc0F8mJvmkvh}LQ0DN(!$Uly(R{rZNj=`ibC z2e`*9*5p!sPW?t?5T z6ngMvC2Vn61D>g22uhKCItl|_Wic)*@sXsWE#)u7$cng$1+!zsjz4fC9T4e4;4dH( z5t@+I0e1)EY~XnUwZp=VV3V{vkp=Q%NQhb$%oh5YB&H283M2vJSWHqjoQ*X5XuyGA z5)!IneX}a4XAT|@;|0w1T zc@8|5kQHo-qsLMCG$owJ&Ow1nIFtN=F<5qz4Y=9RVX^h(V&peDom zzP22_GMYk_f9t=Ybt>ZCY}$lY)WSC2Vp!u=cBdyziI-ZD&DJ{YG+hU{85Ugixc+)BG->0vu%>)P^G zl8_<=bH<-C@&IWPzDH{UINj;7U@F#zT`5bW$tr&dST2Pu1XKVQe%j z#O4*r0>1L6N+NMl8*w}_WT#%X)7J7aY0Gh@TbC`OUxVbzPu2SXmo`bl0hA2~CwT^t z-M$sNb`ZKAQ*<=)MGVqS{rK(DSQ`o(i9ZX+dZr zF=Z`Cqbdctb`5~7F?D8df#(F>q^?9>!BQJ>0k@JlxJkFP0y-Oz8E6ufwA<)5UZp0R zYZ&7(Ekwkio^6-6u(}#cfK6cH5s&1AK(-XP<{6^eoj8!}62TSrU&)g4_fr>0|yN zbqwFYW3_>bCPFKZq2JlTOBrU{nN@)gPh1ISt1HX!%dD)F$b)H+qqM=uO_Ur#PwV_J zGJ+#+A_#rV9W2?WQ^E^9%*Hp(pmz%<(Z`daD5Gp=;wFXOFvoJ?3gl&=7Wlw)lwcR# zmqqb{j3Q!DRI3hcWWAV$j+(-y-MF_6teF48tZ7?bH})6bhV5gltEBt(YQa1*hW>$Mc#g zlQ8XaLk7Degg{CBHv#WB2_4fMmcFnh999TYRbs$QIRGA;Np9=MB{&3gGJ=RApK{^3 zGcNW!vu5!YP2TF6)Es~)W;{;|$S3A=f3Rc_UpKrB`M*Mvn8|;10#+d~1N`{*pI-VF zCWoQP59jUu&qrsyvgBJdjeN!0=EuPeFxci>cVF}9yay+bgh_p2%60z+z4w|)=at2J zPY&=E3NW0=FhloxS@D;JzyFs_nx^%VINaPm3zBaszVb zMV&k3D#`|sF9A;MygmPYdBuBIktV<0tjU<44>Z|J3L1die4xolnwt$vNiXOFbT3z z;Bt;VP3GuKJ7L*<|Mf@Rcj%>IPn!OY0A7ZX&<%PtbY$*E0&DeY;8?`HCPn1?{JTF; zJ8F$QO+f*4Z5J@jHeo&^RhwN4T)nmULf~`OWw5Z!$ zC$>AjFWp&9;JY$af{!Zr5QK!%Y_QfSu#Y(Z7bnH_!}PVjlU&8_PUL$9Onl31qwP#LPIN4^>#Y^SIGT#Lc-mm$HCvT zm8=~~yJb(~Yx?-Y@+n{Tw3P#EnwW2``YVOOH1uwdB>Q1}AITmlDn0=IgY0^HO-evE zA@mu>5cC<4kC{zRU;i6$z{WFkg;Gx z+$d}?-qB#k%qDFstN_31{h2lfmu-s``+}P{MR1xOa;G!|@NbJ7auW7K)`H)Vxnp}_13JWF_5@T)-kJuI<*c)&=Y`pm8AbH(mt%5b2)kuZ2B}q4ik-0UNby3U}Fl0K5!v$HbLmd_xY~1+- z`}p#1R;PSDCsPFq{IMJf)oY#bf$LyF;3hODOJ zfIApimG7u9GZ&hyn#j)xT0_#{PZvyT5(&~rJ=x-f6)reyfL8>@cr;wX%XMI*{}%k# zhx@pxk62&A3vIBvBB|4_ zQ@VoIb>klnM_Pq< z4<_OPWlC$!pUj{c0%mFNmVZFQvZRh=tI~1f{mr@(ov;X9idiktg6db&1j!-EkQOrTU#Ws_P1W_H zk}k+T-g?_XZ-8ofriE~PAA+tBItXa79VCfcRp<%@9-I=tp?Z9An?TU;cZ7S}Z>Wiv z6E|_D=<~|)L!FT+@&Bxjv_=JdQc&=Ywh6k4JE#q&r3`deie;0Pn(R(V?!xNHl9YW` z&Q)VGXjOa1?BZXGzljb=|N5cMLKbgxO_Pw$Ku;n&$}n$IqD9q5v>S+5c%0IKX<__xhU0Je!a)i0^OCi z@hYvepT1`tJG29&-HLiZ z#XNKYVv4g4to@@-b{!v22!@Heq~+jD&oiZ)KL|m^%h{AVK`}`OJF6HPU`kf+35j=! z=7U_4osRowS(r0sm*J}lNTjxP7Ozn(YGdV{S2;AzhgA^E-oZMVl0wh0MbI?pR+!od z9meWoovrkF6CUhl9ZYG)Gyd?yzdYr&!yz_1 z=$?y%sJO;Y)n%np0EZe&igbA=iZ<3Bg=7ML#WG%>q>_qAcL!Xp%XkNsX$&3E8O7{A zp0b&;q^+oga%KWJ9P{e|5t)l$yvaSO?^B5dLDEW-#6wu4u=!c6L}B*b#c#kuNuX2` zjP=uV3YSQT0y16)6`ai}NOvT01jon=&6wvVnKl#Ms;Rz0Ag-J{DM5=>LhpD`T($8L z47&tA4)LM3)5UOPrc3M6N<>AugeWC+(ZwB!;+bYS>CgZ~*)NV9+My-DEUXy1E>pltlAE1VJW|6DVj`(Fq@QldGhr(jkTlAa~!v zM?p4{k;Yw2<{mfPfe>?XrX6Sg*XgYtvJ!s58w9~%()Mq1RW=;@cC2zZKJGWpjBCYI zd(720{Xgp71u%;0Yy&G5M!Ti?ZE>;?_ zwpgmQYT<00#ORNhQWQRWF|onBVr`Wc+PWa+Lq*_IDgCMl^wSm;FfCdyfcro1nb}K7 z!o{yv{{xwwdFP$yyzhI?oH=JM=cygXj@h|#RGRJmrTAIW)L-UBj~{bs@98sAr`#W_ z?TD1T7cJiBOYg53<5Yx=%_}PYS8FHVM6hVKALN%U3rNm68U_!8j9#NV@ zY~Mbu>QbXBMx}!+M|Fwxxf7m#x#;PA{A;~O@9#aM#bUJ^wlICv+rHU5eb?zV*ks|w1cEG+GgX!y$g**A(k$Lzyl)jEDF0$Pb6zazjrdQ}F6l&B`Y!K9 zp|MeP&T8und&?!z*y6@S!q+PSH-Mzw%p^5GY@{!B*nr?g{YMa$U9?}{((zCPR$ zD)~oO@xF?5TAE3TcT`0It9{?cLO!-tm+^ovS*FR@5Vjqc$T2L1p8i&yLoCN?o_6|u z_3rA{VLa|jBTH6nQS0#L*7vD(sQ(L(EZtYUf5XvF_oBr(nOX-c&Hncebg+FAr*C)& zJ^xQtXRZf*OVj1eRWbm(HKeab{#Lm7Qg73@FYsz_aqUMeWgK&3H9LXre7I};ckK(( z@~mD7F|w?EAEAOf#VmeqdfwTZEdB^n^lEe7XI&vb+ls(8kn_nCVrO5GI(tqk{Vo`v zw+@qWyc>SDmb8YjRDmYO_)`?B@f0d0E@COzP>rUcgl!$d>-YI&5rv9Mrxw^&`rM9T z(md`X`L)>5kFFZEod|;0;VSup@2s`Halb9v*IOJz>p=1LqjVT$iBJ_$mfB2}WrtTf zXdQYrir`-gj5+U@u#i99wH__~M>iv|XdM`7qvW&gE42>DZ`HrKbr>=c1i2s$-u=UU z?B^Mt-aEYWoqc$DVR|>Q?9O>hvFxrR^4-0^A@bdQz8yFDeobZSceQ<6Urn<&Hm(@8 z&-cA_ak{s7&k%&K_L=u5y&kGfZ`;xtPp9wLzrn+%13#aiP$l$ywJdR0I5nJ+b{%K zDsh;yWNSy4bXEG1~hrsD{X1(?N>+FS{D<<>So%a-f#EbUqt9?hDvh4Bq z8Ba6ZOGn3A6!#)a)>{Z*3<3P)#(^o#vTed4TL)H>>3(P@u!$+(*v+ihEDenItz+fk z4cd3D;wS2c&#WukMPBatu3vfCz&ZoRiRM-jet`x`FTaD)6}*Hy%vV>Y3%2jxj#ZZfN z+*4PUDmr`TsO=^@GrkV5r44=NtZLu2=M|yP6AOH)h2mY(p^AZ1F& zzpd350~^KAQmD=PF6=J(+=k;iS?w9((wTLZ0e3V}*|&AL0IkE9*Ni$a%Kz$Z^d0_d z{kWsn`abu|H7BIl#Jyc#Q>4LZ=JPIQnYP{+j+Of-HEf)-a>3c7V(Fquy^q%KtGVQ5 zBHw)%Ep3M;lyUGE=?feF{vjJ~`qD46JN6xO$K%C&J}~B_YS+IrsjIR$z2T#-&h(oX zyw`g{w$7-dK3fZSz%%c+pG@B&Go0!Y)H)>1vt8!{&P}S@*%c}IX|#5OdCbg;vBm@Y zcAoW;G_HDa)KIoJZ4P_T^!<+e*iq;18`2?b|2tTWPU?H+xxJ^PzrxQ(CpADLi?uJ7 zq#~m*gh--uU3ED|7J-}5cU2<`^rD9#ORb{TfVP8?#rhXZs4E^lPBYhaVlcysep|6m z)TGN^dY%39jQW+fZ^veRR`}>A1IOHy`r^ja32QO3h!(G)kwqFKi-7F)MizZq2iHsV z9b(y%rjbR)`2z~v73;f;5fe(qB{fnP@P#>j#O zcwHFDHBNre{PGXX_Z=#R7AyJ97bo>bN-mEMwY14E@Yqb-3b3(e16?tfxaI2GFzF%Rq)A_ylP=y@Tbtf@dha{w zbnm8(7o|U3@$S3ze|$i@*avOz%E0yB5A~DMMK_leJ^f)-@w<2I`G+%Sb?y2WYu}Be zABv{mHPde`Nx$P^b3{JK|`e*1|R-kP%c_iz1k*NxZh zJqx=4?X`LKuEmnb32z$UjNkeC-yI!4NKm6<3nJtTe|k{olj4h4DBVa z+;sczpI^B6#@Tzn`22A#uYBw2*_$9vfAu??e*gT^wQqgt?jc*2KJv=zKfW6LvQJzM z9@pPKzi`)HfSj=Kg|F`X&E?QuwrlU$7an_l>CTrXFF$e1s5RvLmZk7=&)FOAd;XU% z{O@%TpYiCQcisc+tDd-e@01rFd)DJxeR9_&;OOq%@<-5|??LB$n8Gn0a_k+md?I(7;KN}!RZ=dtg-qZG+ zv1{+n<>Nluk3w61)8^mrcsIRwb{fU-_A7Ij->_xrxBl_LUT8nNblcwDZ=JT~{{64) zT!Odjr~hL|`Xhk6arKAe-a2jb(zSp7=CV0k(pNo!7;Jv*ygmE(Ubo}1%}f9GDp86ZZFiu=)3mOF^W({Im;}zWwKYKfY|s(-7|+ z_cax|YgGg{Z@l&UO%QJd?ee$oI3t7oA`#>+J#R6@o4>X2 zW{B^eQVf>eXTJyWR~NtV%C!GEZPU_S%Uw%0tT?mjwO^fo*ZQ|^*m(XA?^(O|+L7lE zS^D-LfAH0>lJ?F$6T`TD78q+XY9G@0WS9e|(nX6&_y+B7Pn!OEnq zVf&;W+76av#74KRbrsDoa2k^3%|UAz!(MQ)8r|EpcocI_8_Du$StpoU%OTcJx^+50 zh8+j(s_5O8PTHac*gHyiDCl<#`6ZQQA#aT0rg3+b#>Bp>wIMNJI=;n#oO8fLuIb$vthqMMVpT^=0*>|1NL53@ie z+tngkpxs@<&EQdvQ0|n$tLy8UM;h?5yfIj?N_lAo{bXP?cvP}mgCB+iaf^yc7m1Bz z0`3HjR*2UG^CReTmO8XIXjKBl6grf;Nt-~T3AK-KM^{E!&?;R)Sw6rt zyM#B+uUthY1WR<>bzCEwn@W>5W*iDKXLzzu*R5eCDdEdp%{!?6C5U#I!4F6=nDjmAC}{BTv27RsxT1`R`#%@ zB#;6SDGL+YH5y2Q1FJN$yChhkaT8-63KhqP-Hy~|MiJLa$)n?|gNB49=eIV%OD3$6 zATw*CQ)0Z!g>pba~UO1>GNY7n-=+WkqUvD*}Oy!rDy?8taaGDSIK zf6zcCeJwc*FZ1mFM77)RPs}%_H!ozi^ zyMvjnApMD?`(Wq78TYLaiVx;wM+LAGXO3kX(U*YC%^3AQ!f4tg>i)CoIuI zN8!$3TO2P|>c>l*hX9qx5_dT9DgvI^!FdB&DnBF-#Ss%&h6obrl}+w=5u}*pGoS@P zRE3y;z?C8h=M@NL34SCmf<>U;f>@6ktfMma?5L1~dg5~D;VF)ZhI`Q2kIooP6 zig$t5&N{d`IizzZ(Hu8hHAj=>)zgm0kJd5G(m5lL)}gCxyc(&OAtnF`Bg@1h3RQcY z8D_oZNH^ZRjR*@{OIGXT{1~2umlVOy>5F2pY@RJS`^NDo20naRna=Kv8;A*G3GhHo z4N<6$kD#;>K@y*skd?5sfOTpCNX!aQ zmV&VTT_C+`FO}WZiYd?29_6p;K$fiiN@xc)JaUmzye<^4#J)Yc5=*HXfSDDM+v8G`~|R-fYtHv* zq|KqixQNjX6|7krEG!TXsdl*wgxcf)7I>2e`EXXj49tvBMqj8_;;;^{1XeoGH|Q87 zK??+k6-Y!$yBEzFi!q#>b-_;L#`G9mpaMc&?J@N(?1o~Sfmgdr3(hRS*m`M5;o9KOcI}|;txCUZ?La84HwZ}T53y>%bN1uK(#{% zj6PcVM|kTR{hF>8N>nh0jw(ciq>{uc>MuH^wyI+eqRjO$Y0!%f!c#+?Owp>agn?s) zg{jBtIE-PXLTAlZiIEI*XjhWb|1Xl9e`a3ap@~}J{7W2KOSE8lV$H6OFb6F$x)8xI zei)b>S24^q7D1@MHy;Td8+JypRAa>Y702b1T4Jc_8-hV6488z#Kzzw=Gt#lvSip}F zF@T)PSYyOk>(eR_&b3B>GoKlZN&jtZ;sm_8uh~|dJz_|Xw!;d~Tod#C;cW)guq-}F5zXH9Z+7{n8K~#%(=!~Es$cc zdjz`3E3LH}#PtkfI7?zTf(xqr6gnbo%|vj8i7SfDcn7WtR(l1+XrOVv0>r6;fh(=K z0g9kCGQ7&}z?cag4vj8|?S`Y_SX?R+q0IHhn&66YA*T*VJQ{iYb~|Yo5s9clB(~6I z)hV;yXs?iVs3C+V%(nhACJ44*P>x2dd9$?B&4w}UMk#s&CfU6xhRJu^6IzwE`uKKZ zZWx_}pfx11NCa@*g!6$&$RRJMp%_YWom`s&2ePk%M~-)3ck?uaih3~<*@*TEjkAJK zdtfbTj|@8r)RSkd_C%8E2|z}WJK36#jLjVpKuq9#oe$LqfhAJQ zygH}?t7vH_^cOSK6WnY?Ck%XjI-ShC+Q$N_7{XbQ1vWt3-T<(59mP_Il~uNO`osu( z*%(Ufz{(x>Y+zjv5N~cPE?hCas0&`253HAln9 zqZK_yW2#wXwOO*NdIBh$(apawq)+ZjG_YzkF+pipGb^IEYm1;g>E&*tyl6&AyD6)N zjK3b(Bh-{AtFCc)^EnOcz^+E-)nP<^Mv%n0+_)fb{= za_|g*VnQsi5oSf;TFG zUD#TNw)#Y3eMR>J+9-xDTh@xt4JL^Ny0P&&-6j)c%-fhC2l zB|jNwFm~P#e*!P>SZPJr=-R?m2$LIq14D@M*R&(N9RelqkljVrPsr}^Rss4nLn1SE z9d;vBA?N3aV1We?`+SO^zXIY`IFDMTETITSvVpaYYO>pnw|>Z~>D5?75Th-OEQ$oG zCIuGT2Ug?-ed{+GY4jdY`7oBiIHafaK4l3eexkC(D3RF`)rUFLCrm?a_iLu82D39^ zH(5Wyxr4)XtG!x*I28~RaXLnFXkzqs5Gm8J*2a(y?w}39kLM0G5`&y+pSDSU@4pu>>}C+ zF*=5RkcTu9HhS5(K^3R3Fe5DGXVwr4;xM8gV;eRg%L=s3e$Fs6%*Dte3Nf1rv9FLU zF_0xdeyzk3C#*aQ`A{y(Zd7wkdfNh)fJTDpDP{mf#~^4T?eddP8k6`(*yu)QyhP8n zlsc?RoVA-7$0}@3O#3OpD|dyKh=`j~-mfkgOVYYT^Gd(aR?uplu#qx>^eSz*E%%zv2`Gg9MqQgR~*ZmIZVhMa#&Uz`*x2okaU) zHL^H-BF;9vtYI(dBV<#gmDamN-k5_8?gvlCbp#mp#=5aka~GF;NV@o;xn1Y}QLmuR(U- zndgqH-9O8R?t9eJURd_f)Jd2--wPFpeOA&+wl?e{euw*FykYx~jX#+T) zF%ZOmeY0$d52o#s@2YRIOWWoF;8NBM$0tO&E!z;q_TXsx#9XoLBFhO7DQv5hKJOJ? z1RF3fzp~p>?qtLhm3B8pQ0lW)!Yle%+!0$4 zoOZkU=M+V5b)Yq6K23U$6jln<&qPu-=NV42d~8mF!4f}kN1mB}ao|pOThh+~8M=G= zW}K0!Ak#)PC!tdBlkx?5Hn=+OPDAyl1c?nxtQ~pp}o4xGH;z5O%3S z0192OBC0h9Nt(Bp0$;84IFffs_-`Y=+)dWtjnKh4^AHv%(V1)|@{W zz#dtulePlUpxvEsw}?q)x7ODkQ1xcSvW-CcdZ`1uO+t(-HaV||W)s395L1bXsyBlz zAjV`%1mZIIx>=Ig(;g&(#17mnhIil+q`3wPfZ(|#VNFebIG4behqm<9bx?@*_Oky_;)?}nfR!aZcd8;L5V!*|mCgvlrasBVmTy>D z9fhi;Dz4i#QZp(MlhGM%QI$wpBQ%ElEOMSz>eJDsh>34T<44CBDqGSk;^3j(8MrL7 zr87V=9Db+{g>B9Lr)nKQ?}}!ut_};$PmL&OQnBnOt{gxt2G!1iXoSrRG=C-DBvRry zm|1Zl(cCO4ubejHWQn0H347w}bu`xkVpBT@+Ia?QAezM;tUS9dFtjjDfw=Aj40-|$ zcTzGSa$c$|wNyVZi9HqC%>v7uc3zerOI%2S)hj0hl(=mPJAp-w-_Y{i$zo$4vZUnz z2DO{I z0VIb_Q|F_e;FTBDDoxha;YiKzFcvrN#H#59Rxl3MS%r-+zA|1mqAdGba+p;!SA96R zgmLYz^BWRVb%3WB^D7(-vZSCPV>f{ybO3?a2%{V-2&5ch0lH_1b=zNB3Q`5@ zBFhLPf~kz%m8fiH(hQ;J0p?+U73g%)(*RE~p;)mA24I0*m~^oRIHf4d&w!W(jykfD zMLCtDn>5BGyr2!;l?eKQ7)h$-!4}k6gEs!4E$MYA7g~^j(*hvq6}nwSwe8BVL>}Hq zLeNHjmRb8?7^ed=3H>?r>5%lS3Z{f|*JVZt-9T+?|*Y28nNXx4b23c!iQL&sr@Y zbvM**+U%oGz2jUG8P^%Zs(WMx9Vqy(j3z)FN9!6r)^Mfx1-5#~!o55Ni896Jx+5VG z`16t*guHlXc6_W~7j3XZ(UHS0Ln)2a$!^zqjbqhI4S0eN11mYhrJr^?dXyxTG(m@| z!(lMTVmZJ>4fqsoBEwwAGB>06G7uv70d2_hk=5 z8&sw@(L~>b4IbCs3zxZBg2xr&^MO6(PMUJu6;G$x!byA7;`Iy1{`cyIH(d7Cxnrk1 zLY7dL*p&nM8s-*nevq_X@w|*X(4J1>gwh7~6tX)*s+x0W&dZkD8-iJ%)3WuOZ}+VjYHcZ&B+S-PRRXz{xFp53nYO+?k3 zAF9heT3Tr4i*wi?OZ=`1>?X2%N%MXf=gzdBbH}ZNeXvQ}r2@#!hL2Dwv0Hua9Q8VI zfsJ@?3WFMfrt7zr_MOZ2uRacirm}Rke}|f-w99UKe#;ZkyyI&`8mufi>)my?K>HHP z(yN--l_|8(jM!t@{Tn7f!BwO%DosQXBGXi2doI{&jn(=X%96y$xU8*v#lu=zFC<5$M)umHJBfi%NF3cz6XdlhAQY@aNv$xCI4=2|jei>~0P zCslY!2=mA`k#-F3jESbW*?4VLkJKuh1?)mRv$6a+*s}=^x@fy+SQ9I~IcC*F zg5lYqt;x}-iD?-fNzte!LoAH+cLK+t1TJvfm8p=}pLaM~j!2}oOF z%qj7zKF8FQ^mY@pFqptwE%|mk7z2L6!^U8lK4+o??QE8`+Q)7s$On-maY59+{)+*$ zE1_jJkSeD|E=$D4{-JX1&;J{T~9w@=KdYvpcqSEzBjjfTU zB-`|vWMY)D))<2r>v;X&zo9QmpR7O zY&Lps2_}T^4qZ>}_BCiBf)KNi*&sqf5D17`@z}qeh|NoYjYlN_F$o(N#e!o?)Qt7O zCNVvKcc#J;y@Z})Qy zcfeL#Kw@=irXwIeB^MJnOIMs1sys1(V2K2_8PP)w*wB_)Y;p(IWC9!4#)1l~`dH1WSgu6WMW|mpH-$$zV^|rrc41 zU43Ad0|ypGaUe)0u(=3k1KVF4yV@LN+dj+QpU5W?g)hj8|;yiYA z5@SC{#?X!kXe;%;AaF%bvWe=F3cY+wu&J_yaa^rSTH+f^BgAplMdE5HX?ZA1pu$Nn zYd1pRT2c;SN+cx|bO<4i(vs5xA9^4G8@KBE+TBMi`e5s#U?MZ~+m(MV>GgqW~H21loYW4)6Zkq%5RZko86i4fu*axYJnI_M#ZY?ja? z-9A}@N!UQ&^yqqa+bSmA9srTrDk)&T~oYy1>FKKU!mKk^6JVrKGZ= z_x@FVKmi$&HdU@zXo|QSUlnjDs01OBpaqQa9%<0lzbd(ox@bBmb&>F}T;PZ51O1YK zT*o@c23eFjNMaou0!!CWjs~QYEG2Wf`)(O_31D#@LS0~i9i)w#oP9_`QZFeztjnx` zR`3l4&j<*JlBx3HSmO*`?EZ=O5h-m*5JW*c00@z(4A+%JLaLH`DrC0)Z@=>Ya(E`t z@Gw82p}_-nGbmgk$4@1#B1-flF_3`^C0?PhxDvbmTPPxwnHnZbU_fd}%0{X{VC6HM zrrE0RK(~6myR>vWHgl`DuNb#t-8;a2#e-<$nZ-cbc+~K_@2Gpa^IYCsWVdAaU|Hg> zxCgSE3{?EH55KRp)iaBOSVEkf#sp7K zT$)rBst0E+QTP5~AT$Z283s;7maewC7oBgQ(*95N9OqqpnL&51@l-}>?Fw$yh?#=Tl7j8c1ST;)?4Bvt$qh{|{n3QSvNtse1c4kB0~-`BC28 ze1t0B?g6y(Dx0Dh?pHclFSGH!A0moi78^{shf`w_E)+vQ%G-_0h>bZLlY7R#JC6eU z-q--1hv37W#Y8dukz4YwDtGqev>}Fe^IIFi3w`6;0NJ&U9%cO34Y|^R-4DWXuYUJ; zCljhnV6&FES^gWuU8T*cby$Qkj?=EjHwR%78-PqJtBnC~Ul40>0#P;k%-3Fvn*eKw z$}~YNyBJ90-atX{cy=E~ao~`PUJ2SdGLD98!Q*>q96T*Zq-%>z663xD#O-t^0b&4T zu;)UIU7olO+9RCytCTj0&1tQn4wo2Bdd}n4I<)5#$ldtMWSIlWVpEnGF|5dBxhhYb zwZx_@Lm6#|J8(Zn#T)dliwnp=^<2T@zQZmZEV~DYUFY*aT<6J1s}JcKtZ@oR>>4-- zk1J>b^Fx)<_995dkY6E)YcT81%q+ID`^v2IIS@p!uee9KGk?)#C}J{j@XFSeHFSdk^~5b5_hHbYYCKd? zl?_u=xmlXvZAMHOVXp#Vp+TIy-vFIoVnnC8qsoZPtdMujhqkJN;2E@k(pbk=`L5x_ z3oYm3%blsAUq@@~&gkF$J;0W@7lS&U^Rk~ixp>pATxH7^!zV7bg8xvh!@sb1<94VH z1e7^JpB@!$WcrnYrAW(13op?w;jM?gUxw+Eu1cDs&pTBVfn+ug#FUgt6~+LczA%BvKEKioTU@AP~f zPl-^eEa7Uq$F9Lx1QW;6z_x1xm9$YJX@8O7e?;jWSi6+)v$Z(!j*ruK=*Ts69S1h& znM<5|AS^RR(8#hc1*^LE z4{aE?2u4b;a|3I#AP_t4+wkXF(lWIlh^swPVIy1_FA3YE>w6|kdIW94jgDFVC62^+$du@I z#S~cebuN{qVodI%eE>FGL6!g*ILpDsS5~Qh;9bg+W&&wE7)ZBsi+XzE){_~?R%!cH zs9X@TWYP9I`)B4gRnF=&K_s@yudcK?n~$^4uZv-H+>K-?IFep*j?Zb=M7t-*?Dd;|FZtGMA2QtE)-6sZAG= zIQ}^P21?b?5JowUDu;GCZVy8%gd056;8P>akHrd;?$s8**~GJJ*%hxfrkv?Q-#>9z zMECX7jd*NIm6Q6Vt@+4xWcE;z(L&1)Mfal6=YvHOXHqn?GWc%>A)}$|Qmyh#2P&I& zzN_R+X2*dD%5qm2cTv@baMx*RIk!X|Cq4aVwRfH&JhmrkVz`k6{-;F06N0=;n4uxt1V>K=XR^5kLSQ#)0 z#w6`<(SYtjpaip#Yo9Wn0QFR$zX0=ve z;|Z0r2{VRL73noNY5o5p{chOdw+@HrPbM~fwPkLzPb0%2w-9k81Uj4CXf|ZGg}bIy zy!UWag=F52tYZF(3+O_*a;076o{3l&8r31SE2EY9h0tBiw@PUaw2%V{2bSe~FH}tm zs_I0i9{qXA?RRGz4JZmGy?x#fr(aBGs5~HJxw2KV26Zc4((e4k^EYTcFS`SGVLnf< zmP>b+@ZzMl@?(+($%~wONdD3aEXZu)U`gwEkev|?^veel9*!()(BUX69YM={pOiFz z0ZYkPqmpL|!O%sfL1$dJx}1KXXW|lvXb@r)vU-vzx@f9X1`Rc^PZ#X7pDuobT8DQI z+fXaL_L)&^;`&j6wc4n&*NzGsYxjiLrj8vofOz7-;(YCO*AmF-qX}fkO9YZ8?DdmK zT>B1*-@7O)cC#F-#DsnG;aajbt+0Qpu)mVC+ZA7)bH~ln6{i~x?&ZEgo$nV-swhi( zjoOs{bgC>(yifIt%5_P411o-~cAyBlmK-#KZm9N?J8n!|yAQK;@{552yQYsjpUcIh zUlFfYS@sj>SBQR=oTUoQ3#=-Jfk0{ptyTR(+Fw8?U78US?oApo;r1HDgqu=Kxc#;B zB-)bnyGwctp3ard1?lC-yvk}n+6js*N+YcYE~v|A+1;mDcHdOkYtB3Kg`;QfJNb>5 zl`aT^|C~D=G6K3b^KXn5;^|jX@25D<5_}-FB(;r6De>eAenxNOrtbG=L^A&C6TZFc|HZ>-l z4y9rDvMXQp{b<|{uXPyLxi5WuAC}$6h-Ei@Cb8^(_`m6WSEQE%!~?C}o=%&mFIl;K zG`NJ5mPnrPp*E)#*hi(Ubnmvw55&FJfw+tF4f)KiKfFP;4%BZtyp&#>9L0?FBaOA} z*t6Fh8)g&t@QI3?^y7$MSVJJEhY94>6{CRtyuvOeajhirdvzo(%FD9%$g^CbK)MLz zg_m=7@6`y~pNFk1!Br6A-aPSfe*K1A1P3wjEfw!POU7=cLffsxZxHtSxrYSFVIP$mIHm_m zwab}7tpii715>SohSmYxrUyyB3)26%ptrC#rDaOTSij8wDm!lW_7i&5ai!sg_o^l} zyj=U{oj*7>mVRi`J71~)=)p_=`}E6+W%s3j?EBGsmjJ}eW9aDgMB^fh&HJ)%%X@V% z^@{cTjXB9<#ORBD!qY|nJ^6vo&wk&*9RUCFu;+Jh^^4TVB0zm^rh4e68d><%$l}Pw zFRUSu)5j3V%L?Qr0$D$r#3SA(@t$W0`|=$2D5ae`qAd5<6UY(;lFHfrg2H|`hh3|% z*DLXJIdS+%ysXU*Nc+^tCA~$e?^>ir7N=xK7TTYmrjbQIca-z}#d(&vF?pHX8LPDS zDZAOnw0o52Wd()ma|Z!g*O`mpAO`NLKcGUKr40O=65mhQ>xUl_B!^v`azmMa)22^w zy$#>xte8d?x*Az9HL~y#6Rx{|kZYhXZ)D+?PBD!vd}m}^hoP}a->aWAiAF6yxP-9Z zy_m2!UUWIIH=p{o^;7c^BGPLMG1Nx@ru5k;X@_ur*a@3 zIq8cKr` z?cbmMv)}KX4@Te3yBFT_X!@@Zx9|Vivp3FuCH?)yZ-4O7fByBZrGIfdf`+qL|koBw*(xHmp{@7Ghl`lTyh+jhfEuZ+{=3m{b;a`V!+_sqEwB-C0uJ8IJ5B~+?v3|-k=SaF``s>hc^NGE^Nt&4Z~4ZJi+Ajuv-z>R zp1Sfk-+O%09iY4=@!xJ(jEMaScJJRiQ(?dM;EgA2S$gB*?*jzR?@E8~iOE~O2axYi z*>c8>ZEx(EL&dOJ6~mK6G>fgbe{uER8#bP{<>_zUID7eN8%Hg<#1%h*pUu1PSp3G` z>y}-*@#(8y-v7mK0sB70`=@U%T)N}^>!=vs{@DkJ_x<08CDZo5dFRp((%)P5`7QsP z^RvWN=`HtD1m~#0zW=@XQ?}gyHw1Q0S_L+}<*%DgdOQ8zvTxn7bQc2q(IvmU{7Gng z{IfTnf6r3az`T0mRiCt?(|sFsy0f=D{rr!1?VXZ7?R?PX?)=R-6vMq_H~h*FGPwsl@^`C(_`KyZ`f%a)PKea1;?OsGQy-CHJvg~X3 zrz-YXc5go}jnsQMEW1fif?|F*{18QGlGC=)O51syrQJ$=aZYSc7*Kob^;vOK0kq3o znmXniKdd%QaZy8CwZ;_hDv>KAz7@TL0q47x2vW$*$xDzrYoELb62C*6Iv?_;Ajn=IkiRk0Q z;-CR+9u30F60l+ky(d{y2W-PBwad-Qq69`O27`0wjK?h3$*fylX+)B z4{veAStO=nSYy<{K-)S>QkFqu1+)V}c3WlJ=qT|4!$9oE4_5l0QlE-g*)7Lh@3s!o z215@f%mDjO6pTH#M%#{o?Y?3#3k@XBX|usWDu~c+aBK#Gw?9cHe*q>ToW8Im1m0ZE z_;4J=WVg8z^seo^TBMq+_JrhMc0!%20;CmkrgzjuXArhVSp-1nG`=hts!BYNh{i3a zCaFnKodx{ep(d-Tln9>pk&gh&~Xq82gq)0*L&hM0(&)^t%Tie zc1L}z$ug=s#G3Ko687625sL-dG!y(uLmfMmm@#2!J7YFze;+VdH;KXXM!ZiK7r>nd zVx8>)xHAniYf4b!iK%P|KpvQEnZRa~!F|`psTd|XcG50vf>+Y6i{ncvM2yIz8WKtJ zatH%&*|gBeQb+5c2$m+=?CK4r?Sb}gh{^d-BHDz&`uXwWM>wVJFmS_2W+X!KHJ1)u zknq_LM64cQo8vn{r+ezri58Sj4dc~Kf4UFi!tskHAMYe&0`t=vi8e54g}8yT1~XyM z(TEQ)Y`{QMTsUAI1m7Y+I^aA=ugGpir%OzPXhji|zNG`_n52qZKn8)B3h_n}(}%W7 z>}hK#S)Yv15pQT0)>|Ec_AWEhIkFVux(U-l;LS}uFg2tKt%;+Ei5EKZ zcn7pWUpSXZ!+Zvmc;MZNuPI`yY*t-O2DaP(Z`|_fov4EX>|ukRX=K6x&i|XlxDLVj z>woOF4(t<3`ayBMZy-fRiL@niBx5>G03@znuAy%#DUHU_vFcWOvscqoNo0nxtZ>mNZ)p^U4Qy5` z5$6W3>NL%KtegZ=0MbQO`x${^A-%1G|L98Zz_GIw-%;*$(PMG4MdBB4=|*Q->|Cr% zrXdH0mzQ)V3Oj|(=}n_97-_N*^!VI+aRG)TnWjF0)CE|@m~1vI33f*zIp%6X&x5;9 z+68?Qs~ZsAO5gA`G+4t)&vKp9EW!qv^bokSwf z609jT67@;+ewR3KCk_%QH&QI2!`2wIN?5odEYtx?;EDAPYzb1N_w&3Y)c2Pu0hx~4 z5I}EHuu|#0vnLox+_KiC)SC3MI&@=kKuD@UagKuwi5Wt$UC8xTz9`Qh_Q@yyak4n+ z_`JRYE(T~8qiC~my`iQHttoyE6w86dt~M^tIB3PdAltIySWgyYL=k1#V;e*c3;IF# zdSlpy=sQ@YHQfWsE{QN*TYc(WVV;bf8Bb1)J;st6 zn>I!_{*dU{ic@Xw6#|!zlE-xRi_Xbm-CSjxadwKe*p_UrKKgc+I$eV{b58BpU>e6e zi*ZFkhl4DcYJr&YiV&(J%tik-Mg+NiV$!BUb#YtP9b36GKm=26-+?Y-6sp3=2I^A4 zkE3C?ro^mO!}*{WrNFXlvLG(59DN>#wI*>8>`tH;!%OH|CMTD*vy~$dF}IaR-=W=> zk3XQt+E^x%Zbb0HOGTHq=Hp3lY5n7szQr74JB@VZe@?de{-? z*nz2MwlP@~npPSqVfF(t^AyeLX8a4QOTZ}mcxXl`W2>q{G4rGn=SmnjzJ#zBp^sx4 zSHQp`%Y(OTWD0DjL|Fz9SmuP$dVrTW z%s5&uR3C~zv_}-BK)d{Mg;s&14eAOBFeU>FRr7d?H}WDnU39Ya4vD#la6Gv)7rhqN z%FL#aDoYJ&vO?pxy<{1>tSO>GTTo36Rw;w_7glx#Sdx@VY#S(LW}!Lx&3A-{9l}2=PtB z`9i8A3R|dtaiGcSV4dAj3RN5GE{lZPIkWE`AEe%B2im|=3@L;;qtiiPTVf*|qabcV z?1HeE!k!FjZuESnSq0hx*o}`V=2o!80~3O4jW!L9h7KNGxHDns?Y6Y5sfi(XO2HB9 z1IsREvqUkV5ph^&p(?bt1hnI&eocnWIHPts9ve4ks;U<|?@nB>miJ=7RHb7NpE3l*tbrvRqZO&R~%a?-0JO^uxje{MEP8WS} zL^~WUz^0kP;+RSUKPUzyF$O~rU?Uk~6_x}8F;jlklUS57OGB>n{c)S$@SRNEd1 z_8eXUCK8GjiPJ(MtNhf~0SiOs1XSio(B*1{79PK{q+JS=#ne7G8;M4k48)dex5erE z4I$}B2+?u!$u4Xd=%X-UV-4sL>~sz4gaB&D7wbluCH75XCX#zyX4aqGxjQ4i)iV%tt}MIz zr_bFo_RZ@lR5?rX4a^gJRK2&Uz`EK$&$7OjeK>=rc|ioXk6LmsLPa3!H;w(v)Z>)c zD+V_vo5sF1qkinGI{}iO_1OKtzy$m#o!gd`bIcIlS-em z=8JpibJIQqxr1vr4F;mVSsjQyb>t$@q|%44QB1SZleGQ!rOGDv7-g}>STNA?439SB z%kVb(ndW1qEDLn9#HDxb8!8-efLMY2io`Dltrlq0JhE-}=?c3*Z&r41s{qSx zmElL0^T^9qfLOz$)9t80mbY^|N#Y@xa-=Evx#%{sq{e9DiB)$5N8fkzu(EGj!-ku2 zTSOCAg25MAmKi;_1j`zmf;59lG@7C;!91)Ym@%+0$CzyO6yU>dXqzyQ!9$AoEu=kv zY-1BXz)n;&Pqgh{Ew(jh-jB%n=I9@T^nr9s3ub5m_Nu!S_St0j#OYNb(Nfiutl+B_ z1dYY>=7$QKf@9&1*|Od5%+(UB{x}~XaDFoE-iFdqG07Bh#=!n{5K*jCRnth?_9{@` z!V;p>#Z)XDF@Ys6oi4-xLBZL!FcaMIo7`!|@COsOh!`esu{p$^c2g;4%N-tF*#s{Y z^Ke_6X)lm;HjD(zZrSkY4z2lr>TJKiMl&1?GH$`W*C6U(9gm7S+tHBa9hTuxq0Q7U zja~teYk1F{HJX2cbvkAeC_|y)+Fixg#5LJA-8P;Ybuo%!ATK{NV(S8z?dEX%9Q+`P z2kb*%YhY!OCziQ&Xsh1O7*@p4{iCB2g?1FP{Uue8-z32~87-M?5#7*9#et#QLN zDxvuRbu|s@KT-#h?!iOPOL-kO7gqJQGkd*X>VqQbs8#52S^_iXBL#e2^usfY6jphi z+9VOp4wIKS)-`6p`iQ^^tT-L!#JY3|@Stg=ipp(4=yb!)9T_ls0E@5)Q_?dAp6M|| zm`h=`3JmPcJR8Eg)O1NQO6oInd}R*}jWbvc^WCQ%QuUv)1K`5#CwF0^3 zL%CIAk41oh+X>WL$HObEdmoY0T3*rx zZ4}Z1)rwLlEnKSzBA3dj?uAoukZN3@RC>E4Bs!@&)mW^=`y2&`sfZb@f?&eTKw;5Q zs?bOW)zGNoO!KR3oG=f7f}727vok4ROpqFrYWdk*6zo)e2ca$%a2^*HrlUoRVgBF* zs+*0r_2Y@rt{xi1KLB=j!to=vR%EEo$r2xHF)Qmz?Zk#hqK0e-8KM69MbVhw#3g?v z=M>Frlo;RoRHvkfkdJ-2?OUTqFF17M;FmVR~_@)t3FOlhO(w$32t}@ zTt4#ytLwPD#SDsf9q)oW1{H(D6a{NU6@v{g9SzP0OAQ|=R$>hybQ0ohlxEzLtn0il zSXx*RyuLXoIwoFQ6Sr-Q z6SgJ#mOLVOE9P4#yW4@SW$FotX4hP!+6Q zb71M9@4#$M;&$pg=;$|4yzy+r6U+9`dohu)+ZM`s#6(&bGaa2K*OEG>*-o;-V3Z{o zd>dmd0G3^$F}v$dVIqQ~;U$qYH@bFn<8~i29DS%Aq$~?qw2rHIw{d0^FjYDLIjENd zxbuHIFOT5lwi;Kc*5RNmq;+*@*)%^jdxy#WX_B@)3~gRlr!$c6;?+g&VK;~(4l%AM zv@fa|)`dX#z&yj(J^Oi7jluA@r?AX-PTWIE+Qu zNQmz(Rdxq#qx472D1w)DmZ-Zn%sRAlWJ($hr5EC=W35SGdJ<8OHwm<=9Ndg>+@J=CAUa(}bh@Sbpme%HXfxKRDsmM$ zZ!EB|Br#PVNHjmT*$@?zF-p4-)ei)@*avrl=oJt(vgXwL@UCWEU@>Jm+QMB?3-@Bf zR-M4%szQYH(kF0Dv8SA#B)9mXJFt-W~`Q!>2B0 zwPRK507DKh>16Z3so$P{f_}$oc@o2Yvq(VHWf^{pH8fzglLc51^Zk%m6lv}OXea2L zq240NDe0oqycN$n7-k5s#I|&Mk625g2oQ2c6&+^%LTE7CsFao3 zI0Gk-Xe}=^A7{}nK{uUsV4191In#v$39D26(&ErQl9s_~Y0>#b761VT;7^%`Z4-Y? z7dU_FcpY_AE3sWbLTIWi3Nd=nj1x&!#{y3QXm6#)j*_}4nsAq@=y1M)v;_fktRP8R zSSqI~9M>;3c0i<)LCmZa^y40rq!mt9F{DCW4dw8Tmc$k;poAB$)H=tyM5Zvd600f` zowLe9P*6kYoYN^q7&~w_DobQx9k+O^H6PmEg9|$P$daM*@{ZBPksX{ltlv=QSjd#u8^P+(V~+hhIJ^rM_bkkh#P2sIfMMdue)>BqvtOiw{hLVvs?qe zqsU2DosK*D9yw_}ZufeerStj`ww`*~jy=TV+JmXgH{zc0WmjDi#)FSzT$bJM_}AT| z$Y5XIamh#DeHg^2&onJww-J`yGj7tlJ5R}v$?7L(`7>D#LX-M%&XPVnu1dTZMlOas zS-UeJ4~!xM-&nWshK=Vh96RxUJrGQ1e*TkqK#`$fC92+;pcuZ0K<L+Ku0pl)2yl>iZ`Pf%?T%^RF^OvvRG$uc= z09k_K&9lVS&S4**ts+$_yjglt;)?R?@sQo?9PC=!~rhI zre$B-vB$M!;jBNnAR{p~{nN;D$z}UzRb?idU%yF3@Z#ySR1rG_vQ+U2xD(k^mZ->I zp4GE%VbQw)qWX<$c{pB@cBY>6jrS)iRtC=MdUCI79cbv|VCrs+oxt{mp~-ms{o9DT zeyFmH5K9?1quW@QIXPLB;WLOT}kR?jRJC~-Ig z5>1?9Mt9iGuk5;>_F&k!tMgKPO>r6Sa|fbvZWxsc1h?0lqxI!u%o<)4wf)X2t;%Q( zveZ1>xz=%O{hX?z=!goxi%q1eV0|qcgP45WP=S{AF#7V)maKpoh36T6y; z8D|3`E>_L8LB0!d!TgX}4{NSLmT=y&f4&NQyl}~kMsCKV3>dhLJ9BG(zUt;+SkpVt zZh0nXHBQ&e=vLcVYyZ4mVOuIxWVboOb`~JpzD4ZO(t3f#eFs(YH5p=G+--+}C80)f zY&d#KmDzZW=FGKiSb;kgO&$|29vuM}VqimiekhuPmvM+~Zpi8i0}S;>`~2Y(BdxfT zUsd##Yxt_UH5EOrq>YCjkzg5|Cyr&RgP175Pr!Lf~3j=-$-ryJ5n%wc!yu`$$> z5T3A^rmeL*ag?RUJS>9Y#_3gN;mkHCfS5xQ*;*|^4?J5Z5ffNa!xi=d036gyvgT7c zFJUBXuG5cfWa0J@|Al=ap2I@FOv9V^0usEUNWE2hK!8o|7p>ICEuy}-j-D&JV;mc# zf`qL&IT3GbG1)Ihmf zs(~I6!ZjG;3Q+Z8a`mz@wA(?@l?0>lYQG~A{~vqb0~keh?R{r536mJfWQn-&(Rw!o zo7mO{uunv4CLyH@?Rumf?_`|WcJQ)&iw8<=ia?@@15B>n_{76 zg=^WglF&H!g(`b;M3!|D3AmR4W|9xH-itjL(udIb7qIk7+?Hn&gr#NfG)W)hl5w6n zagNN25W_b^lLDtS1-~elEgv%^{;g0uG{F-iP>`+EdTVY?66tjrqANCtysOg?XycjF zG!FMf;+yCt`4oSahZHL(V=XZyji|<28c!KCrAY+C+Epyws)rO>x(-934NcMLCnIHg zpxTixGN_5qO}uGt??OHaN&FAY`zD_2uKDwJ|t0X2t6zpwf8D(Bf!4ZXXdhJ9gwK<_?8# zsE0OK;f~gh+C)vWEtJP3;I{wACT-&J+L2ZpxLZ8Eb6eTV9Gvm4Njum4fW{85N$$WD zZTNYd zJj9UbhH>3eLP;$97*G>GI})_+^E~p3#u0Qo+!h#UU=>J;E(}eS60Sf;E-nn&xNNzA zEgV+R!fJ7_>}J{qUOyhcj5>LcU=-pjD6vC-8WuiGfTRse=Z5JjrfioDBr8f9!Ac@ioF`awr}PE@sce`aAC@=; ze@Kcp9_&)y^B`p#jb?0F?_SclsY;bDB?&6>n$L})gQ_52)D8xcrYn$$q}@}nO<~8E z#%(DKJvuE>tPI#kuw%emNRqdTP6o`Mgh<+C=*@uSt)i{ZO?gS1cR~6qdk$@8!D=1J z4YhkO(a)+C{95neC-??NT^$u@IC(rYkByftS|A2B^!*5jCqsg6+9>bzcK%GAh&@-BuJS4Da_22dQ>b>BA^4`GXm^`YuW$icrmi3O#&GGTn_pz$%wsBhxn7mz zmDEEBd`c^++vrX~dIh+z*q?al957awgVGe7RIdQ1;kzgVE7s^&oc1KI(r%+@wQpdB z`R=V>zjk%oit+h)p#7%#ROAIH64Ji_2?C#PT`?Bi!>3P%Ca(el$t&9c>!`0@$lF%H z_it?_>9y0Z+%|sj&apop(E|w5leV3`A9o9GdU@OU3$MEN!RgPBC6fE0#eQ1*e>H6- z^p@wId^Wo(024{JIkdXmCw!+LV%W2iJ-ETsd&N1gPd%A^0>f#Yn@IAv-VeQRKPMGs zmwspQE54P%n(W#!e)^tke*mJ@TleFSj9)Q+1ZoEl_;c;_8SEG}6I75w3}0|3lceDb z167RyhE#*N9zHqe^{tD>Pk;H18vGDMx0CfyaK-q?wyv)(-k1^9 zm~__Wr2UNGr;HCghjd8=`&Aq|tOf<7U0*1}4< zLE*dVZ@2~X%&u*x?Sqxme!O?})?Z$`nu0W+yPh?f&i=k&^>gIGH^%SZy1aVoquWjc zf#=vUcWyb^FLKQEony66(*8z~2mea32Q&3fDR`ve&hz&3*kL8jZ`$ZD80su{II_N_ zr!?Uy{zE0vk#O-q+ARDEH6+`8XRgFhC#5orx>im2tzXKCU%c~)q; z+f)mZQBHl`O#BB1O=mH%18|_Us{aVcPpo0K3PaVk7&xnYW}eJ zJ;H(B(*UoWva>7xa8qQ}9e^j{_RD3V>N!n!A)+i6;f;tDH^ivD6Zb*+Q>>#+Z=D9+M#%Wn7+=+3zOcn!P|yK2JKjK6~Vl~P|);I ziyFiofIfve_8ihXe^VQLeltjL*Jm2V>|=8p-b_eIeVHS*zaBi=Tz(^>}Uwsetw>CIO93cd%@&aT_uvzdh(7(^)= zW6@8qB|o$-v5QCW9nAg(o}{i6A|~ECL-GJEPhf?h3X1{a_9`4|i4+acwa3uT%{r)xPKdkI4Q4ACi^2d-E_3;NV)SV=*D0n$&*urF)-{SZI{DJ5#@O{C zEFf@l>70i!t*yl)Q9^VYnDyKEVMSSf7fmMgy~=PQ2LF5ZFkyuF_ly}N90qvgN6JW zR!ZcFP!sBkPE6F!5MQ%9%4Ho45*r|+8bku}t|;<6DX}-ugjo(HQpjMti||yUBB;Mq zuW>H0qg%wPI4(o= zh$!n`7NP58ruv$Gm*n;apMNPI~I9VxnVJnt}V){yX2TyOAO3zBA-R)Ep@ zha*jZU%+K(>hsEPVInb;S{)H0i^f{$MJWFBKR&n8PL;m26HM-?Io_6P_ZHJBT0B zxH&O}E{NV0q#%NbwM61LfWxy1j#XKM?i#r%FBD#-mRHlb1ncMErt#DuNPiYYE8&v~ zOj4T~+3C>8u(XLrUk2WkNJZ)(_1enKfLqg6PKZuw4CAuDlAarAYZ@DmQ#A~qfXhCS zph#oW!}O>E!J!c}vcvt5%IM6()+Jq|Tib?yHXiQ?*Tq8NfBW0WhUlM(qzSjBIM6Ja zqe%!RO^Af+G6(!JilmUYBs~-(jhjQ$s7QlgQq9Hfxc7lq{@`+Gy077?VUSL) zDeABciXU!#%{Hm%>b(Dp^}Xh=+dshtvjNog;-^%SF{y%hI9Zm`>Y^aq^}vCDKvU>s zqWDxII=ZQX>rpi~HIQ@`AT@+P>TnX)Friz8sB`HUUAZJ* z*d+Xpg-!`77v}09x9}(*B*9dMPa>pOk~qEI1wE>OU#w`JhEGL1u8RzOh~JxH4{mat z7Oc2UEDtGVRO8)jEqE?PMe7VZ-YF~VUA*AO2t^+fPg&o0v1)yKr&3)>crLT?;PyY zbeTk-j=WB2dXk!wz~I~BV^vx;YDW65M!6e7-^acI22tHLxv(Zr2{+Gfm%?o>5H92+ zm{Ul|ta5DA#ceqORJaP=UxidO`Et1op^M~l!{A2pamq^xJ27{2D`*cTV@D^+CM(|8 z%J>KP6JHy!x#U|{5@&%D9YwB^!di)`qcUTKjjn@a)w24I(bb3_pjh%3p#s;WVKOGl_4Y0oG=B4U=oqKJEr{s z9Dbo52ySpmu#^ogD-dYXRB7NO1#H79N9I^hKRLuWn(%B%_fay6iIX)?*1VS3d9Qdz z)b72>cxKC)=T(<1=)U**h7auTFp`K-D+-$JNfB{Mch&8G6IU!y)q4j$mV+)(J!G3&0%~Nst zmL;xr7DMl3sI>jOe>B^VkFMF#9T~AHd6RR;j3K*sh*x_`e!DMk$4xbVTooC%OkB}H z(p&0o>vjw7vQNESjIiWwmbl4T^cVfb$WVUalZ%p1iXCvz;|(RR&f_jR&6|sU}1?t2*fp3 zjM#GKO&+YJ@n~sspE%|9lH}{ubJT3x|D(FkdfpgkG<@vw?&rVo#Jcr=Zl)q1xtWR_ zr;B7w&?!8V)LPf9nESEYUr)|g&ag%MlVa>`=l$cZ4=1}Pobu&@ShraCBpO@y8{)Pk z$}L(IRP~t4m+ALA9Ex5ge>)WELy*(c-x4q1D>}@QMHd?D6JsC!X-T*{|ND-0 znUjYwrAaYZ#U@F&rdvUigjJJF769wW=R$gbgu!G<{v@nu>{f8jgIN@jFZ{H|+Yl0{-J~6esWJ34f#wNz@PS7AG z;gsx?r!sekfoFoion_WRdIyq5(>ai@hKr(UL&faW>12+K4VEtTx<>L(h|`VbFEXcC z#DrM*X0s|Z1oSSq@{)j0IiLvM(cO&Rg5W`$z9AmFwj?wmZ_`aR-3DM!8Vg|b=Eqot za3>h*Hio@NKT@SrCixJ8dz#!kl`FNjVE8l7b0JBY+|ul{f;mtSj$PUO*xtO1CFA1*eAbuAePtNeEVCfJ{mTA_ z&rbezUH5IbzjgcL56xQm(){&>wMiRHt;iC4a|K1NROU zr3kXX@VFMdU0D37Q2fQt>#Puo)2EA$!S1pNraQrWF;QsEu=Cn0g!ouebbLtM_hyT` zvL&zEt~hby_5USK{zma%r;1bElWy!bp6Q;uOZN^EqryS^@EuVgxeX(HTa1>W%64AEv@A#ako;DP1n-j(k-E0niz2S(0C(Jj$Z`b_E&Jz`R30XoT@2}rvx@VaJ zde61-9%=%Uem5m2*X-&nEnx8AX8ao+wX>XW~zd1=m&?$x)y zwd%b8UB2+8Z`KrjHu-I_uj-2%Up9pG>Uv?*t)-8CuybO`-#>M-Te@gt@~Mi#+eX$@ ze`|mBpt^)LC?=A>Id$RY^}-smm$)Z*1srQ1x}miH@4#0jJqt=+KT4*YfYv82x}fa; zY@N980Is#}uP2D)6JvLX6Mp{0^=G=L?^l9m77Yb=70trT;&qx?oaWCgZo>fBJ|}`f zs(dppW;hB1;Lf_SiE}Xk9$b6!GnSlS-FdAOpWztobtT;sFE;EC8Dk*5GXD5n=Rd4ml|0j3{G09@uT7k)XBJy%W>K=i8=+s(A(benE0liqRdG*G$)|p4Jh!iExHS^eFrKF;g_v11V_GFfY@+GOY)9?O z&|9lmlb%`R1!fjC>6r!3pRqK#tV=*YON zV`=mIHtrobqO2EM(+dWBHUfs`hPTh6@UB<&Aav}rYxXFFr9Fj$s&2oI;qUknWzSyl zv?kHgIvA{un@ikRbCGWWes;}Hj&1t@k-S9Gul|ChS7?|>k{nU?uWvK_7K&V$q$1y1 z@Lfpn@dC{f$)&8xf;Us}&p2)_!#bSq9x4*?P(c#3@np{dndfdBMnl)jPV37d@ zHT+^v^?*F+X_D3(v4l}%&rReLcI=J^u7ZLZ-pHJCyG(LblDO{=hA*Z{)BZj)2$Lp{u?NrG4U(IJR_fZxmd@!DuC(6L4To1d zhzreDlHLO?^6dp9($cTOoM2Ahjevz#@Rsr^ zP_TmGfZiL*Z^+cUB1&;{f5RjNTKc2}ikvc>H6fClHxPHI%s0NQ>-$$A7_62a&OVt< zdM}bwGr2ui#Rlpsj^N9hz`vfA1M)ZS32L8YN_ShU(MYl~MAb>Z3>ne^+X~ zV?H<6PVsG?G-@ZQa64&k&-lvOefosza%nt6nu_$e&%i`IR1bew2O7j7`XqxJ zR{GpoJ~<+A>!|E1o|+JpP3hNG0zsN#_5JJq-LSK_A9pk7NA)7>eq}^bf4HU2yab4TeBq_ZM z8$E96MTtWEvAlZPGN>zy&VUng`aTIXK*Ca}sv{sQOh#>`d3Su** z^iCC-<*FEdDsS zDS%!|L0!dZ$J%ul5=kLQhAtz$CsJ5iuMHyptW6<>p*P1!q|j-ef*G}Q5TB4H1NUHB zx-6i#_TcD%tJ0dRN|`gtZfr0G#U7&~J)bD`QFfINr~Ez2)4MX*22;i#{ui)UH5`ud z0TKia+Ja zgYiK{VkChr9v0v&Hkq0biVlHbJk?;*8Q{~UX&p#H(gvj{kq;qcA%o;`leFoQLN-2=Y zxqebQL##SGo&lYwtVMlr&Iz=QWaFOU#?1_SkJOMF!#VW}ctz~0Llj4;6n2c*3V zd4Qz%*deG170C*A5DCg+a~jaTH>F|QZ2&w9CHc|_`|E2mx_6PDPXf|?7TLev86*d_ zGDAAcgDC|sU3YlB!?0P@EO`uAx-6pndJJ=mx_o5}j%jOxKN>�GeeX!%Z}vFH?n( zo@CHSw{k`UzIWrwsc~z*Q8mHQt5bxe7qD0b zc-Tv<$##d7q$HId! zUc>w?TNbG#O)Lp>>TnHG5iyCnU4we#QkHHQMt$J4S@d=CM(AB2afgvl!sL^9jOR~n z|1T+&k1!HAM79 zk=h``{uCl5tzplxfd=7|WVMs`9`1Sh2+b^dkARHDjL{fMl)k<{y_c}wr}e2f!lVu)l<5VlA3k=C*Oi7qv&U-fXa$2Fz^ecFuWZSTYvN2jqZX2*^RG~}vuUG`HX zUSz{HACz8}-KzR?6&2}|P-Dwg4XvzTKbmA$@zMKU_N$5G{FHi!*A8g}A`eM=pu&2< zb#%D34sNC@Jml7psWK0x_fiM^@u0*p`uqPOsY5ri_Z*;a5-;UsEC|BTm(2D81A3e1JZ(MDP92Iz4Zf^T>gCXLz8}|)sVZ2kJPu=x&I5?JG`4gKFz)Z zh*}7ci`5&_goD^(Q750SEiA2q3Vt#Lixey^mJV^~SUUw1Nxcx`{37dJAp*T?4^j`OuwI=0v>6Tes8PGdVbEFWA>)KE37Klcx872cy-dNv>Ue3B6l9 zn>#IiZi-~MCcz8JNqV`*eG$Da+~W=w8G!rLyPqP{dgmtbi_GGF=WxnZy&QW%E)S9e zGJJAzhTeEPd(hun8o>hs@LhfCJ#7xb7o=+k@dR`n*It_3m04tvJ5@VJ+*KJ?9xpQ0 z6?6`LfqMs9WQfNxyG3YCUZt(W9Nv3x`8$Fea+A;tV>7rLR|bm2N`k|0{DdZWfoUNQ zEz6M3RIq^b#;a?!v`w$}Bx!~rnKB3O1|=)UIGBC|#tJhOw3|HGOp%utVYz)WtluJ=($$c5EWNZi9%;h;igber6dCY|$KBRXL+&k|E;7sbw3WHdd1v3^ zy}#$);TRg_BuE#7pCmDQspa-U0)PtS`MDc<_6A9BCFgmg*P;og*PHmyIVt44bOwL= zgG3+A^?5jhNSTZ5ka0LEL60H@2k%7U(x%UpZC5px4AnU*YcC~7~6db^rSt4%MK6deRJcIg>;(TgDKhN=9;DgzQ zWLTSBRsKoG+~k}u`aK$@h@GbfV-oj+cz{;(Oal1a4jKFmXkgZhN@PAix) zC#MHhn_zPgOXZ;4IZ1*=23+OCxW1>jClQI$mt%ct5TvBJ=5Zls4|avyDbOr62<>kd zaF9FIP7tCfMbZV{44-%k-rNhu#rE(Uo30&b0}=zn!_s&G>23C{V6Rq1#`}%U_S;}u z@7XDH_}idc75IHPMk6E?IW%mutFkbA5`SrKWOM25lAPpIRXa|j0U-3ILVA%%CQ*`3Ax%U^kr4uV!!iJqO?cv*wvsj? zPU9yk(#TRU5g16a4>fY7^}Ra6`s zeU!^3JKL!Y?H*lPFdxuD`^II(I`xD^O9Jwfr6{Li?L$ex;U-5vj8Yx`qUE zj=e9*QFT@4xkoa}F3q&scs_ZsP-l=_$WR0hnjDD=ULVV&<9RZaP#eH8-z- zq)2kPs_oD`c!%cBvU0!*Qsm(*O|sR@a^Cn?zMRHg<2D-K#qS*KuG@MD&hxEX&33OGpd0f)fuij}*4$d8jgq!>7G)9|4rW^{lmLA^w@|iiZ8;DP` zNLUk$9sFw0jcqn5H*kN?!0?dH&;t+~4PqdgWEQ!Z2z;O5E<`p-mfk^WYCZ!ivb47c z{UQfWlBqW=P3wKsNSwuw9=%n`zPe8zGx;Sccv}Vo{_=0SPxa*(k!jq^;ldM5tqS%! zDug0T4-fpK4Sq%_x-FtRfBia?)Dg1(-54Ix-fY1YgZkj7IvNjdU~O@UNVH^Gqu z9Xq5HJtXP(f$)tVK6<^wK+NyER{N-Ibx^jfroguwa8eF9i!M_SnROJq83I|Hy`|rE zgj%&c5~cR>1;rc@y&4$9QQz$2fVRE7J7X5xCf4V;J62l_YTL~ zz;O>8_dwqsINm$-?U&=|aSt5#!13PUcpEtGf#V+N+XG(jkk`4VXTh@zcC6Xc^OYX@ z*oOTbYc9op2E5~yp8>w+zI%JFe)hp#Yp(kCbv>tl?}0trUs<={dkHmiGrE7L>-@W$g9m#9%+w4f={Pdcu)_tbuwB*eUfA4{-?%T6@;r<67z4NLy|L8gW_WQWV z5Bvip-B*9-!AI6yzV5o7)As+%Rp0ryAOB-J75V1Er+@kA1AA_J2{07gz4p2t4_>qM zudr(EBkPkrpZU?C9e2Liv-=lUpYq0)oiDz*V8?@xE_?Q^f2#ft1Yp(hZJP7!{d$(T=1=n14`)7V}`m=x`z5QnL_a%`2(4HM|?2ZSn+P-Do zZq&}w7g1>-xqJI8z&FqN&hG#I@{a2v{l*8Mz2}kj&u)Kd-RVz1@P+LkS$ETpaXMc&>*?!&j)1O|mfBR3?{o{|&`^CRsvSaO|>tFoyXVw-a z*CfBQ`xiC;NqX;H@a(!r9@sM(9e?c>+nchxR3=g!CeJhbQZZ>-s|efM`3 z>^Kve>^-M<)4b+qFGBhNs(3q$-?rxN3wGZIf1h{X|G@Zj9{twc^S|74`g!;7`Q7gI z=WJVZ$(sG#kbkwOXWrZAJnpyelRF=qzu=kE{{vM%ckMZ7gBxa_jgK#k`Rm&Yo?P&a zHG7g@d3(XOHG4L`{PuO*{YtwXKDxZ;5=j36-;T%EoZPvEiwq_8cjuMBcjpWEw&<_a znYq4F0qNdWUW&6bzJTwN^fQAOq2#%rTa-lV7H-IDmd!F6vRaUdhHTo8(tZZ)3?;a} z(y3@3WyEljRo|?lA;5-+;^{@JIU=#2ACDuLU?^l-U2B({(fvhD)6i)Y!4h=`GNvvR3O zys*cOI<8?v!xlw6By4+n#((Iaz?;|!>zbwfGeEs*!U+Q2IGrSk~qR}8f_#SK%2LXOe=JX{qnYo24sN0wS~ z(OexZDh&<2<@>O5sHM7aniv$fl$Ju{N_{VI?li3fAjg; z`smtt3##E?I|eO7*$pA5ku^z})-9u|R2^#GYK}!sxXh5#qONH*H$|XuecWoTj0!Y} zTRRoGs`&*J87lA{OIAWbP#Wd4VUE?@85L*{%>?5khK%o6n_MoGm~_HTbQneA`1&BpBFn6_Mx_)uw=S!d4A&L&y`zoc1mzSw(?Q zzh+YOR0Mx*6F=l4UDX7*C?UGs%1S68IwCr-bfgl-m&PMX@_lbatEGtDaVbO;r1*N0 zl|c_uL|KFF5E*a_q(ylpq(!>{y-gV>O(0F05KOLO<4=g$MF?Um$oNzwT&tB6H_X(HYw%8Q!Dw~F?NJ<$=e)j)jjuQ_*U<1Nun#**=sF}nqI#|;9nlz?4mgA{-~ z3WdFhKf4MaaMcV)3Dg$3O2=vs6D($+8rmY2b)nF3v2cFGmQ^} zB!(&$CxRp-T7O{2qBuL2FO52<-HMNb0-c^h1dV-XG+Gz$cZcr_R<;M{IMu;vU3{i`NaU6DmBMz_ASfuEJRwF^19T!7iSj9QhFxi*+|Q6nuCW}i znw2DN=idTy(~&lgx~kN&YsH{?88|Xz?HoZJ0ze07gh0vr4 zFYcNQGDwq-7JWj5e1LLX?3(4mO<6KhvE#Qjb$cn0*drt{}a0f1nJXRMeIchdcP!f z(bshEfKIi}X`~*lmV2~(?xS!;&xDPU*pC-)G4L^_+Cu-gIf0Y%M)Gx15$uTKNTD00 z&Ic`pq!(AGq@zXDTgqZw`K+=?VVxbq72Fn+>&^g-h~`hkttUTT>G38HOh4^P?jCK$Q{sNMpDK%?*+5L!tmd)1%SScx|&R zo+MO(8g0)AkNK$;DU3~-h$~;-$if-a%Nt@6NQ#Mjl3I58qLIZLOWOcL?@+@Af;SC? zf+LIThQ+OAqX9c?d^FKOF!tltV#989Le-mccyKg^E2gt$B)%)ISX@Sqt%kox!Bse0 z7`TX$CV+9N07)Y8kcf};9J|aYguh3}4JD&RMw`C!EwNB(CajD%g=7EfV(dWvKB>(T zKMl_cgXH6O0>krrBF(}!pW0-_m0i#P1xMZ%i#}ZX`zj+})ZMnkT6|@A)=xv#@umhj z^&zqR@@7=S(@U&nog>P}4H*-Ud@}|Ge=m(ur%;eDAK8Owz7g^?3m8c_*#4luE{e-d^)_!iZ{UrLrS}voka9g7-AR^ z=?VpZyJj`?Ch3XJ;!(yZQCGc~eDaX!)ilvE&{L5n=pM~8HVHVE9I5ajOrfeVNGQMn zL&(ZJVWErqNZn6E#!pa}o8j1qZr@NaJP}u(uM4}@t}EM=2Wi(?B@}!hFm~v|x1mw2 zYErTiEw(IPW!G7adh9?A*`nG)k@#Sr@ONifC2?18qAGrfs<^7K79RA9T*fs&fNz>s z@I8~(V~5FOsCRfxkJC)p0H=~ELK}{KjMD-zE*$6#;ZzzCtP-Mu_fa!599*ob&{dX; zRfZDnBxXunn4%;-iz-Zn8`{k^#zWzGuMnQ>f0 zlxegz#miC4xOzlJxVXZ_MP;nLC5#49j_<$YsH$izY`U%C$_8bYIdkHsT@apRQ<33v z^Nz^MCd@N}x!1uuit(!!{e@Xw>)~!6y-HVWq%0+iWNhRyo12QZ&FPZMZCw2aT4P zJ!@E--AT1WBvcKhZNjXy-QlfH`|6dV4E}C{0VXbKR;+T;a0R_F60Ac{WE(|L$bg51 z(dH;jjHnu#+?Z-H)o4==|BMN^6JtRsTANU582!(%OnZ{iR;VKFlm1(pt^e*eiI!pU zCRDL2+H91B*_QkBNW0tqwX(%OQ00{kqvK9{tP&oS9Y2O+TgZduMRo8XPpj&rH4-pQ zPvCEc;i`-_Nc-eikf2pXgln^7(IWY)+Dhc{MZ7ACDTEVmLbGoq?g-jo8+9?% zPDB?bO3P!7?=RS$)RlnjU|m*$`ZG46lsDYC4elLWHOQ=Y1g1lb)Xp9PbX6! zVF27%4pZ2AlL+lt-iOj)q9W~pzdZ#{@*N9*z<5_d?^2d77)8dzpax+9c2OHHNUTEg zH~uw7;s;kj=k|=oYNx zU+>M;)xxZW@h6p|H{&8n6X>DqAnifY1Qi0q<@^@oBqPT~Z8F*7l3yfa{gIj8Ai` z0U0cb3@&EMpii+3e|~8U9Lt;97xkOt{`ZQD@!q|=?!r6$?zv+%-io;P+qdEkfbRhA zVv^Q(NOJw^=X_00_7uFnZw0^9H=HuZ*W?S?RxUp+ZRLC>(M1MeO_I_i(^U`q3TDDN zJlMAO+rB2b{C#CV)u0tjar-_=S=p=7bT#yXk4P1d3bd1x$4|?2>_M!&WY=AH=WJ~A z?zuBl!3#6F$($=@`~JTE4r;MkwNvahspphRRc766qWXyYnL3E?@p%i zx!Or@pQIad?gnuv++A1iSkHWb&uMFwH5 z3BA9m37T~Ep`c4q4Onl-)0-m?Waxb=c-fq)=oDYNk)@|HY((*rDNQnTpvLD%4(h6g zG4!sYDFMeWZd*Dc`UkupswtA8AQ|7EzemF-qg899DqTCht?Wf&;1!uEa}a$hnsQYd zhH||$`Anc1dRaN!GiMpNDN>o+NVQWHB;f#N0dv?@CTX%V+BlqE=oO_{SgnyJ#L8fj zD3b(W%^lU6kTi_X_P0G7B=M>)@=4ng&x5sWj@4Wh*%S{g!yzXcB6Dz?7X-~!h%rCJ z0zAxS1-n@9TMMOV!(G&y>x!avV@{k#B=fpfHP6m#Z(Dj2q^nUod-USgs;2ld z@*t|@JGq@eP(Dp&{M3iXlz&Wr%FJDVVy9t6e7Gr>N*czlkHIIHnQK^S2hnW> z9VaApS|48FV=5(qsZ3zUXHOqSE}O*nYf31177pg64&=!6SD!AOLnRERjKB&98|h$u z6N*qt22^%QDXj;=l>F+X8!WErVqd|9iq0sD+MDqljoVZd!nvZ@?E;9o4&R_cRtNFRTLt>oP#JCn;zBNLbc=@yqdRXEc7G%V%-v31 zE*FWV9u%pl$Z1rhk%k>l6WiX>uO3TT4W4Z1p!Id0mVwt$t> z2#)o#lBDg-A|;bJX`k4vx5cnx*d-5YINO7t)Q&A25*in4aEmh?>j=8Mr4@wUeN;me zS;>xtl|gC1c-J*sxw!$cwxG9pD0NxK)nG6;S*fd`G{&$c@Gi_igJ?p77#;tlpzANg z+TZL!C}?YGgvVlYNIIhZO?8P;hG(UWL|R*g#Pt^sLy$Qpdk`?155w%iMqy*5;c8eL z&(hp9H-J0-YYVVbRtng48Ft0!$f}FTRm(3Fd7Lh|!UP#=ZWlg$V zA*o196gYs*|AvCK_GsM3jmWmv77@Q=cs^_5mU%Vb)Yj@6aTO{nMWsz~bdjVWR!IS$ z!P05tkHA-oeFD9M)xiFK7r33M9y>U^UQ=6(?#{qk5Uv7c)WZ%G&iABoil}w5$w@*I z7A;meeRJDs*aQjgVM7yKhJAR5w3s`@MaBruSGBe1_YHijJiT2!xqx1tG||#Fn*-Rz zBHct-IoSB5B@CG+2?;XZCT>GoWmw7+W$cs5***y!zN_L`VT+#u$6CHQD5jA8?R(G# z5~v1-S?^+BK?nqD$6(_lWR4iejum7)!H{EEOUD95vIng`s<@uGPlAFVahU{pmewRx zJFXDt!{1gj>z#Hi)x4I51jAgH4(m;IDGUi1A|SzYvbD8_Oml}sF9nH2ON$JjFt=7v zyg*jwt&U?mco~ElmQF3SRJAD5G)UTJe*>P3)!y@|opb??k#sEv8Ah$6utsZ1(-C7p zqMT{<&DEBBzG=ssN8!Gar~rv%Zq~#Uc_&(i5cPnGMB<^`+S(totE6Xqj`p1<$#Cqk z35$~-Ka80LcOUSJpIko!&-hu&7^y*A3I^)>A_xEE(@+hRT-tbf%5usY9;0}Z@L+L5 zu_V=_CebI;v*DC{Y+apY!X?Tk0a?;d6{Y4TJW zGQ ztCc3uOSQsA4`jCM-P53OjQk~WZwtJbN+~!PiMlDBT-PLP@Q@BAx{4UETH?7ig?n{` z{S&1oe%_(`BCG;W--Wf;o!8P*^6IVk<8Q_I-@ilnOH9Hcgou0Q-P7;7cJ;Zvxi3VK zeZaXind2$AoE4n5>*CW$Z;mPDb1xsBY0k{mZCZNzuFs60{?^!(m0Nr(FVMz&+*1Iz z1${DK`{Y~NCqMRFh`KVkWtGJevFft<2FNjw-y9n@{Iy z+j#6i(<($XriJ0Yf+%OfS~^0~4*tT?I{Y=^L46|W4bx&dU@T@s+6mjerJJ!FVb^gG z2T~528rlgIE=> zLwu%e$5sO#9>z*Oxk{y^GpeDD;i0~(dXuE3ZCyKkdeGM-EnU>Nw9B>A1n)WUIW-8b zo#@P}l!6bBSqXE7MdwwW5WT!=6)9+<8XhKda7Lz;fojkV0>x%F%>bNb<>fod>DTHpUIP3?!dA~bx;9HaO*^F~?fD>D9MW2w~^ zYKTrm^O-{=U8yh0GT$eo>}c(%RqDeaI@ws<);K~(G53n>r8ilcBBAfjBsTt7gCpED zw;#@XB^3>xFrGrv11!mTMcWJ*gET$TWEdlA9@*x7J%T?b z$y1o)!;u+!(@qXe=(NnF(z`(tX1Ba!d;m{rg~Elatty!o{`| zjC|~Q>}dNb!xEgqrjt%6kVRGpcDPX2@Q-EOpGtwgp#k6+%v$%a;<3ZK({&BcaaB#j zGb7<}Qz`mZBYmkDL&|9dXGGd24KMvVceykg=)#}T7LT#CDxjXYG+f`*gvgsO#5du} zn!4VY;TvKRdeVUV?SfFLY729}-A>#wDiXaVo;xl;aonAc4&UHOr$~am{D)aVE;0yH zm+P5>HF{rf;s!j36vVCbrjc|AMRuj7H3<*1JZZM_VBBq zs2x-To-xUmo(;zaFP~nKH=r73H#LLgn`)8+D3kleJ#Ra)E(R7ACRkHS0ZrleFV=VQKAxWlQdG$r95NdX7XFsZIP?`)GH zeWvyl4fp#^)rO!hjgw-f41tGb&@_fJ<_b&dqk(NCmA6r+@S~oN_-NW=KJtG2-0?x~ z9rP;gHd>Co7k{g_`pdDjczdWYZXVow;Z7_gzY`MDgcss7NY)aJMeQtZhJ$*)!g>c_ zXoCf9{9!>mVCCM`&uN&fyb$Z$eB&cs+C86zO|# z+OEBKE=hCyaBu11)92(Q=`9^F2YyMJb3{mbal_I~z3I*jJ66Lp1IBx6|C!C_mF45# zShDQKOp>79UXj#%X3`yw*SCH%t4Nl9ksP~|x$o)2C-|KOYm({jwR9_^m-HLs(V_s) zU;W(oW_D4LkF4P$&)>OMOYv-mbhbnT4sG@&&lBS?}_X>(KDo+epE z>a~Gcd^3ni9!51NR?y~}AH@nTYk`t2p|en*BGn*jBdgk)WV~hRS<4DS)1tA^bhm0I z8pNnor-Q_D3OC(M4Pq%2lz9XT#FI*m*1dx` zC(kc5;h$hBc4%5P|G>dMB?;hX|46#Zt38XfFIYqUG@j+(c_LYzJQ=-XJGNVaY#1JT z!WFeL!tQnGo{S3|!avPR8LSwX3*7&)4BjGPDJfG=Olcb-_x?}!cOIRwL%O%jx+YLh zTt0xlRTcPGry+E!fv*XC(Hb&LwT%JEzl80X9-MhWjLwLx6o3i{c80ZMEjl`ju1+l7 z))vF1KQxS1#b-m47+~{EdqY{dvh! zevX&$(>s0TtT5dMaPnh)9UK6LrF52}^AnuQ+TdnOI?)izf5m4S<(yw9fl-(twM@ok zl`U0t02}_q;efLMg~^AafsR@(aw1RC`W%(ePW}!^d$5hW5-z;1GR7~oo2o<3(|(R5 z{YNMGxGn-Ey1dIiY7DWBgc!b4@S8Fk2w-=zWJzQt{h||}9UZuF;X*A+7Jd(-A796f zue@@Cz$Wp*-f^`rSQhzW5j86=#6bWG9};x`9Lc%p+#}yRXxGStdg$<+$hDrq?dm=J zC>a@U8~)*738`kE%J@?HvlkpZnM4%-DIqhPJWxJFp9fFWq*N|3N!&X5g_B9j!%`pm z%g4Lg8~OMXVn)1FF`S)P#oP#Jr)n}o zmdxBe_?~Z1MOxH!DUaV-q}8RLbY~~abcGV4lMkIgi4Gg4?1W-2AQKkrevdmA9CY#L zCmcnaqp~f$5UnBLd|%@I{5kjEsCRg6z$Q3i%f_){>f>Ya=Gn0mwv4T|w(PCmk{B}f zeFHzfpY*PIjr30bE~U4IgXSdDR`!x6UV9VWO;IxFSZjUqiS-F{VbTqv!m2rz zJUI1e)}{70JGQsK(W+{4wyF$|@y?a|#E178qU7~^y9?GQ|FAwWJefQ{Db7poI`Jl> zCi#<(cU#E=Ki!rXl6-sPrNYfycj7Zf&4Jx#&K1d?pDs-C?aNF4QWRB`bc?fl4PISRzkT}iLY({rGK3v4F1*QDxWD8}-GdPr z=AS8<_cR>Z*a5x6ZDYk>9v^ermb`CG_|3Pf2T$F5>eR$pW8XLM<4MxH<^buPd@H55 zhJ)rL(^mG9Cgb1AX?(hccr8i;gFlv>^s&UadD|eCQqa4=$-j?@*HvHNT2|8ofhuBYKDAeo@jeXd%AuW=XO; zndF|pJ8em~CC=O=L?zX4a#3=hadEQb@70h?@%~d}8q2wN-~rOW4BFB>R#=aZv9^dI z6E+X27E|}isR?83`v%_n-=z1Lxuo~L=Cs~VW}9=tVOn`gz>gWd!-*eDPX1WpjO6t) zAc1Vn`^K5EP80a1FrOjJQE%uz3b&Fl-5xRN%@($cnoa`*=wogfj4)3(k@PDY)6)GIUz48Z zX3i{%y_vvK%S7VdOpbl*!fb!{)2d1m+GBnjq`eQ* z%mTdwW)?*}vnWa?FSt~kxDYc7vnILkE2;? z-rKL*`{JV8s=?Udjo*&lB3{4fgl)es`eB|Zj^~XoLs4IU?2njPysCAeMQWN^eBcR; zD(=Bfa`MGr78n0Wje2e5Il%v!QsD=E)*UfFf`j%%KNX~hFqL3(;}`JVrL>k0%moxl37o*SQjWySiPJzrcqefx^! zbHADY+_P)`eG~%wPDcRIS9|7;AB^~?f4mFaE3RC9ch7>aZvED4dwSj&e(sK+A^7gv zg*(=;PjtA^>+ied*K77XxAXJNz31!&kKMCk#h#vv$Dh0P{ymdko_{ss=H5^7P!D_u z!AURbq1dWA%I;EB5qU|2FK{bLq>U$H(W_?Dhh-zV($~T)Jb; z{%ug0N!~(O+U+Rf`nNxUh_cV^yaDi)cf7LjoM#WL;E1w_UJH2s{A~}+U%j*EYB=`H zyW#Iko_pY{fBemS9KCY&W9vTm^efBP?0NGm>n_=G^PcDa9VBbnJCYFG0zPm(NC#= zf4S$Lt!u6#k{v&*J>%cjEqG?aH}2cr^W~m`$KObY(}iQfmQk0w=J)pv=a7C6U@yw< z7%vtUB84`~W`rNLT9C;ZW%p1*g3b=Lb39Zc)!ZV*-4)?*ql$_g9*-9ovU;fFT-GdS zyKbm{wNYCZEy|BuA{HGU4i$IFC}693R4v{yT(HbCWE9eio8^t}499AY7y?DaMWiSk zDhpdx^0Tgc^0MZLQ0F#Uamy&j`+pGFS4JD$F<4YK1mZ+0kW2|V9n-;G=bDxhwGNB} zT)x>1A-aW(A_VKHt&k>edXS#DH;^XdsYqoHDIk()$Z=MI8xf^WcgTe6-w82hmW$2T7f`O zSk`CmGJ_opN_JJ;8r6v?#_THLHd@5(hHwJ_Vnb32LK-S$Hcb^XeYhRwO$|vzewIilfI(W&{5Pa!K0%B}z0hj0MjMgnsO8)g8wCjmSk*H8#OmV)B>3zLCnhqSz`>}r5u zHvmG&a3#p)g>GA&6L(sx+Y+1SDNR^i65IwJiYo;{=72f_P?A+HIWSA(z`BLrO^!FV zK*=s|#YcBe!^oCVF|m0z$dOcnWV0?{m=Qt}0VO$rr6umW2`FikGx9|EAd{FkLK+-G zxn!~nP)FcS#lF^9S1#QGEOa@`OIo1`!xroS=iA&+?is*;fou;#!yZf-3dxDm3X@&N zaUB>>Ztv%BV8khZvjo_TJXb|YZ%Wcllh8nj@(FRf5nwaXi+e_5TC9Pr5{Y@ru>!6t z@ltI7bOT$l*gON|+CT_^s7x({0T4IhLRL3x?OF{WI-~_5;Pwkdc>$?E&ar?G)(9Vr zDM*nf<Y2U^<7;%u)k!0_aIK@M8avgV5+F4 zjyy-ML{y;FU~wF6yC^q$S3JYiq>wG?LQ9aEL*P9Jyz`{L6s}=T2U50JGhTh7Z>|mX z0LMcrf@hP6`)^J`&Nhy#0;I~gnRYCeQ5%UeN7RGvfQpmkPNEWV#?WP;lP1%B(j>!N zNi>y0*ix>j{SGp#38|kB?t)A<}E>RA(5Da{41}yp%bww z*%A?szia>%AyIO4h>JgKbJk0l2X{JJJG~by3SlEp~OAaH5vw+C~D6K}uQKO&$$-u-&C= z<|)Ub!;L1bmbl#(VgtFF+II#aL~c(hvc}|HjohiSH`?RtF=3t^j-5QRc&k?e+O3%HB$<3qRqRwT*1B4#jP*`nbu z`ZdUwD@6i>0&uq#qP;;r&jZ4#l>(}EvJ6)kS&V2w_ZfJ-BUxt(g@FbI_<inc@RkkK1ooLxDqyX z+_Pj7LJu)Stb8)qPD|o3DC?ny9f^pvgStZ;T<8W}>Yb*Q8*)$E0Bu3Phm+>}HhU zDkAIL$(n=fK<^>UIo8PJc5#mJR~T8K01O&xK&39A>Vn}I$B00OxcUfAGAtJvEY4|6 zkP&g_M9^o#u8!kWpu=~A4UmFcYNdY-62XtEorXr)4TWxGw~x~l43?6 zk1m41gNT2o-0tI+cgiu%3CDJ zK1a!EEMm)e2c9!QX7LhbOk4?(ad4NhP2jaiHY=IQP%maE%F+xGIGFf@k2k;%$x{29 z$Pm-?AU=bM$PCMnY;ea*K$cBVwd7O}{7?xYqmH2i$$1Gut^6w~HVR8PpF2RFHl4LV3del z*eU$r{jbrE9+3UUs>;jfT{L;l-nI8)&lTvMTC?T8J4Zml|FiIVkW0E=0$HKH{^HIN zOIJ+%{qHZrW*_&yj?Fm8%D12S1pyLIP?}spdJose-#0i;aX<3wXZLs2+`XMyD+A+w zbFfJXad-D4`-iS)cgiuKXnLnE3m7BxF#$ z-z5XclYQ9=#C9}rT(`b==MRD`{>iPkLcyOZ{8_jPyVd{t_fJ6f9@?;dDaa2z^BAyg zZ<+XiuDEEzp`7f_A*>9OmA|jO|B)7Kr@#K+I?h`)@r}zVFSXwHLz68VR5twX@{8`A zb;Z4;$$d%_U8=x6an76f-aAPzRO6His`^ZU6=w{E7%S4Ki?9qNHmOV1j(L$soR?F zN_BfcW?cy(6&2VJzIq|{bMHC6E9I>ubDpgrz}6X;8Vi+>`No#^ijm&k%PIhqid{;p z8yizyJ^$>c}&3$;u$p_>395T}39xKB>s7u}Mkb!9WuYF|1&Kmlt5VwH2dsB?Q~NFLE!gQJMhQ zS7pPANU{Qmb1>(u9#u}3&GtO_`(nu74G>%SyTz^QXD&F9 zkn9!rc3Dd=xDa2TU!0iDkUK_VbFJIG;tJQOM9vpRD+F;XlwGX}3Y&KeJ}-|d1x4Z- zckT0ITzST_a?3L-?2zog`cDd)s7yPYtA_C4hm)OgtsmjY;=?*k4j4E`_Y7zHL&xkM z`Usn&8(D*E1dK1FzNxzM0{wg4$pkeUXx7>9w-pdk4`48mgvjJ6n7d|)(=cY>LJbt^ zO*X3;JwF%HB?cu(uL7fLxeF^7471!4q5w-9N9Q>}#sVpXJTj(7)w$<~|fgyV#$S~eyZj~w!OQR!% zN)nFU4S#dPCzm^BLn4usB!nCb4`%(n5OAB=<3<>9U8?SK%CYlO5q`M|yUD%XacjKR zda%dJov7KCNS>L9rTFd67}sia)rcZb!Y-w&1g9RDd%f~F4i*Q zuBg>QQij{~UupQ;GA+n1nXbv%EY50@^|$iiCxGny@fB4&^oL;8FsXlO>`w(^i^{s3 zW*Ge>(WoghcNMm+mm{;<0yHBECY2R9+XNV=?naI777Rl>03l4u@@=~$vubO(kuVAh zsG&L&({eK$OzjK1ww5u%akVjtRbGag9kOMI1qjwQ`&@8iHWP)`v7A}gSaskwO4`Z_ z3QHo)`r?QvW9j5{K<#X-WkS{td$Q0bP*s2=M3eQGa6rdK9p+kALB=Fa(C(qSLL2NS ziKtbMYJ+t&4j_X&FrMx~K^&D3MK<$=gt%)BZI0;2t;vB9$3f{dfhCM5AzNUTzdVkc zIRy)i0?aRJA>bB>8`D)bl%vhl5W`<`ht-AlS~?byxq;BqRT}~>|SM!kJPYOW71?L z$V=@Lt`!XFJ-}5Ve^VAyUxCaq*}1jSQl$#Bws^yp3y=*4O&G~8LATTQ_cj5_{92~C z1v&xcCxZ}A8cfujFJc9@>veNXVp0|dnm~{=tQM{je9>Wa%G*!?rm}Kje1#@%^SE`A z`B@y&JDl^!9GQ(>d@PJCe6U=>92yi*kDhl>zm0l<5`WS7fJBENe3u z$5IlB(9`;yqKrv8M9b(YyIWtI0?n!t!$D}nFSw(yfOU*!mAFKHW6@L;r6np5)GQq; z+trQ391PSugN5l1YHB1Uak~RU3VSvUc_INR{s@&3u+m;MJLLs@mZ~%rO5|VLP*^ub8~`5l+KN z{))(IS9yGCwVpIta%3o^n5NF+&%RDVE}$LMpAXB%{`TkPlKjRVOlt4Bwq?#A!M%e1 zCgvMIe$A6Ve{0P%cTD`oGwZP3_(im1{o0dhll+ITZSiF1nhfG&fnK71-G0L*u>C+>RU{*ZDK@<|SmSnn$T=(Poqdm*-ur%VIb0W>+NvzW**K9GHxuSrl&w&Mxl z@#62z74z2=uAKPxmX#GHyGifxj`iVE^}rmMvs3{oPkiSM$o`)fCeFr=^)KzYwrlId z8eBS@WJvE%1Q{NLPdfj7mZ}|S@c3~6b@d=w!W~YhSPE~>+=)L0sR zd)^qg2V2KW5<;WfrT`td%7PHNbXjbA-LjZ?ix($0Vrt#3vTljSyIZ4^k|e}+8K%E2 zoh0*`qs2(_r0KQ5VuNgD4uQpia~dVt)z7i3!5zcG1Ze|*S6fdGfPVv-CNDxle4IDs zD&>~6*f zY_~)wO|L^XY($ca+@5xjgCwUxP`;H)_5iYjS*O^Hx``7=LBh>lKGyBIISL1pCRl$O zizJ~*JN_VhdF!~tqcWAWBoJ#}pY+i~;CKeRlnO71_+#;q9lx> zyZwTc{RrR=1xxxDu<1hr^r7H0!rnmw&q>EvAr$HnmmCK@EWzg|0vEXeOS3_{L+b0? z4Xy~%L=yBa62l0Nbm2-bNjEhwh=Q@Zh`=Pd)Kq+0Z?4D~+FPu|F|j^SLj>r04MzS2 zX4-Tr6-U<2yw`{PTZ5dGbl)e4TO7JXv=AEp3=CQwDjn)B*!_^iJSWiq3SpLYmY_k2 zC6z_~{oKhcr8)Cj4G8B8j}l}~bP?O9lV16Yt(2ywr$W(Vg(r$ zw4ExG9@fdH1>~S64!F~K3hqW*mcp|9@G>m>`z?0Rs&*;zkMSPGG!k;0va%pXyj_Ipqqt{k*%K5wRkeItbhxh|y`-YMaCia=s_u&7 zMw0M}?w5>J+)t`59A1SWGW9e<+=iVPTV?G=hwrxGX|J^*CeDL4i&EDl7LDROj8^1#~L+RD`=b?JI{<6 zyHEyeC6m~-o`fh@QSk{%l{UT^Kni{~#N4#eo?0WPk?cGBl|jWF(whWj^=_qX7$EzY z;dZ_@ooa`-xvJkJ9d$jbD_2eg)Sn1{6*4MYEgLPJV_P0BIML|614`1uF=*iH5SP>& zh#EH&H{M_dHxooQ8<WbCGx(R)E0KRvFed6Y+n~6k)Q-Hi{J) z2h2m`P|1*F+3|>FSdEdiI+xpKrc5;r7EMky35Y0@;3m~f{Mk_(-(pb9OfJjd&mc1C zO@XVUq$9|9XfQHvX30%jh>{&5gFzBa$#xPPkC1GM|M~CDL_^2H zV5*)Kgb+Q;jksh+AX~Z0ByJ+(9LT0KE)gW67XBm&pK6LI9!gRvw7rx`)CJTSfnu9F5hDr@QC<2ZUN<|raq9rWTw9rY9GKLS@r zNk*P6O0F!zd zxZlAH_Ns|*E1#S_amf|e_szNITWj7R*>}JvcjzQ91NWf|l!Ct|FxXX!e6o+V>MQuX zPlgsjl3B+BBla+uyjpK0dGy(UY5Si9vd0rzZP(I3$O|+VO`5=i0I~JS8m-n>aB`>P@?c5kE11>fSS5Gfw@lRzt7$aUss?w!2E#~bff^0{b;&eh z&L&xrcug%%te*J}k*hEcJ`t-BSxhrbXj>}@oi+L|m|$?GFNn^;dx5`5T#AYERCTKd z?%PJLhTfBAq^ee9U4h6D0CO-D1YR26qBqQWNsM*?qgD&_RNnn$ zYE0WokXv7fCFa5S-EMng57sPpbG9m4NeCbz1M$)}f4F1y_&vym)ie4D!SW8w`4=r46E0Z~lJSioW9~tbG4u|E#1ggr zk=rJL+gETb388s^HIo4H^hNL>mSD7OHGUThqL_C$9ag%M$SalX)gU(}-U9cY>K@Jg zC2wWJ$$;velvvaiaChHU3{93bB1!uEBA$4w+LN_aEpTkN6>UuZeKekMvBl0?k)9J$ zQ?b;b^?r>MG)PFuN-`c~cXOD$a(FB;I(l-w0q)(^-L0t_Yn2k>Brc&f3n(}qLhwQ- zfd4|}8XgiQa#O|h`ECckDCus!j9WjA7PWa%8-)#eR|lF{KFL%@yX&C^i_I z>z~7F>U9?<>187wD&dGASlj$z1zbc620_w(I)eB}Emi~9SxLT`iIqfyh)H0@Y@m0K;>Ps1ZdgD@^V#&Jo|Qi8>ub(f z-3(!sV7J@SOcgX{tzT2k5ZW8wf|jrKZX!Fe#FJR{PPz9aHs0&Cpo!~tirO)AZD(bV zqP8qeN!~IJNn*l$7quX06PlnPFyLErdi&;pq}qgqSs{K4qEKJ+8kSo~kmeq=y+O<5 z3)wtatC~Vrh-9A%?*-_J&*T5ReUSoy94V~X23=i47+-ysuJtfsD*Eoxkx z15!BD_@IHZWv(Kw5gfI(TE|c`?}?fC4gdn0ISvwkg++LRu}QjTVG7JG-Q1SpAR$UK zRCqxa65MMd8P}R7j#IBG_}vOhU(ocr!HNYmf3Wm{@)*2CnCX@{ht0rTuyrNW2_y*9-`c&&btb@=%AuS%XlhqIto>s zLDv5{P6$NAd^e6o0XO1)`PQpiw6x&pl8lEX6^vU&TM70fHQ8t|<7xcl{ONaOIr^Ij zohDZ8(3iGs+`}^}bz18%C^hwI0ZIp_3mt-D*AWnK22F-8Kf-8uF+u6kFYQ2EMV9Ia zx2s?Zn3Z>*$nY9$D#lP5= zIZF=`@l&?cvpRbRsG;uV9ZVJ#K7pf;ukuMC%Q3RBAYQA8UwTk{LJ#ZbRC*o?18YuJ zlo>7_0jWUoS)6f1EV?@&nBe4~g0+BqcQJ?FHbY?=!1xIzd~egSP&;6y*pV5Bwbeyf z;%_(FlMFu5;z_Ih_Z>1r6*}_RyqCz09*(o> zzSpidswVaD1J;UboE0KkM&#+UUHda{AK!bR^t%Vn?#)aQPG1|yQ{PB~yZW0+*RfXI zlvy$L*$ewF?)w||!+$jQ{i}IX^0)`zh9>Eoo{eqdKvQ8m$mt8PB%w1ay~-G#`8u|=~CesMQXGH;&4raT)tK-usagUEg4 zs+(VTyqlgay{~S3Z)9f^yZWW$9*>vqe0#+_kj48O*pA1|z9y6MvgZNlJtgx%MyWc~ zK}6mM(5?!FkN54`o&o5UypTouCPn%V0nxJb0QAlPLr+0qDqKBO6^vxl4fP-z2_^Ed7F;Y7eGO8V75w@!yeZz>HXvpLV)T1Rbin5?CV?;KlW&8w|DKx}{ zNQSua0q&uQoTIo8C~{}4fXGet5y=e6PGE6#izk#RvdNo1bLR1z50rj2bM|qWNmM(4 zyY7D3RBy`Tx25sU&Xi4T#J5TTs@fOnd(M3PQ0e>IiVpx0tG-Qq1wSn zfBrq_hd%1^wO+NR|tI3}CSNf#=r_5{0;5fRLeUhhqGK`|;;4Clqv&tEhIM zE)xAf(D$L*0akXFXBpvG`iaY3QSW{sUH1Xx;P&;(jag8~mI$7xz9`vhxF0 zS!gX0ZyjV?*PD9}nXgA=(ojF_Om@YKNf<+(%+0iYi7G4(l9Mz_yjLj`E0@WQyeR*lwK4b{ki< zLkEk9IYc%DxX;Acma%Q7yyH+r#C>j-IWy9!$t0x26mjA<1aU+5G~Q8v_3@cqrGH!U zj(hNUmVuDBBS`W- z5>gV0Of+jN*0RrTv}V4|GWQ^?Z3|`etIO1hc)y>WlSWr6{Q!?7Ick~@{ zux~6pnAA<}nXHW*i!mQuRSfaRvv@8WK!(3BHU&H05;i;K=WrGL{TYl9BZ8S?E__{N zeDxm-;P28Wp4%1axw`bUWXaCcS!I#&x7WOV8r#~i>G4VU#Un4N&lA~rMkc4;V6j~7 zV2z)A&(us=>4B~T?1|s};pXk3;s2$6=6DhP>}q?gcur#sEHzw+JV5AG_x ze#@8tAitb(w$flm=yAxzP8YCh-;(L6nNo}_zA1h%%iK4{czj#&dxs=O7S}!-xv%cR zS4c>SQE;*4er{&_Ch1++P?{0bH8gQt9p6>21bY{78g^BtO zgOG`#1P&pe^b5Z1vQFGWpL_lx<~r;P2WZk&!m(R0CGC;6~qJ99(sw8d*^7 z0LR*TWKr6th7cPutl}6}IVCqAppnIRj1@587)zOUu?6G3%RAT?qru1m5>DbFP(;wMf&fyz54~W5*Eokp6O>} zze^ff=vK}C+2jZNGQ<1&HsV*i^Zm%yn@S%&a6GD*von)F*!KQT_5c(Az}PrS>OCbAE*4IsvV9LW%tE>8*Y4Y-PI56-+S@% zk3F^S%I`1Od&Z7O_P@Aq{SDiR{QU(xh`eDz?-}1*xAw(-KLZ5o)$8|fxbC+g??1Sp z_krhu+xp6e>s|o)>77@<|JYM!fA>HB@4@?b{&@G-xBLX)qgUSvtX0VV_LY0Dd+`f9 zA12v1?s(*?hxTvy;J1%Fb@u+=>t2Lx5^^QDAG&hy+?|g-wQB!{$-Srau3P*4H~!;t zkeBTT&@7T%`;*x(p0;z{+Qs|+h>OJGdN3MMFjSZKR2cQ1_jXNIO3lCn`d&X~J<-YaTk-uLBRPSR?LE-CqPw4~s zjSbhmIR5H~_8puIZa~F?`|FB4ar?Tf))W5hV^2N&^xl!Z4-ii7RU1B_Y}j)J$a_{% zl3&>QGsxa>!wU~zy`Era|8p;y(|ZPi0`J=}apzfAJoNtJxunV3E9UM!V<+4W?(Oef zv5aItaxS1_Z|pte<%jqE4&>*5eAPo&zI!9G;o-H{Lde$f;Qsz(WHBM(KKc$%%je<3}%|Bo)~4jo(n3{Nu#)cCOoZ(f(_3b>6|Jp~(rqLC#-FnkkfBKgk~` zZo)u*cR!@z3YwDMV0$kFq#PoL@s&R|vX8R+zl-`14k)Bho+dobW{XZ5Ky&~;XVjs) z34p4m-7@~wsO8nCfrMLz$zh(TCy>uq5dpa{J$hjj+_&!fC(#J3vM=e)+JF|NQ@(HLc%5U8lx3a>vo>dj>XeaOM2v*V%QPD=_;~Ue>2F=NF)iphm(+| z&Co<~N3lFh{+{98>S8K=8IjFIbXl|nLg4Ru;!Z3pSUwHcBm^hXII=LkdjvG`yaL&& z$(;+uca|yH@TXUgw4k@<#_3fEft8kQ`r5>(Q=aB<)zreYL1b9j(gc)UWI4z+DH?i3!v ziwZ<$M8-#WK#-M2aoskM#~deOh0gNRF@dGHDM`)E0MX_$6W6U+3&$2N%q0XW%z}Z; zfwt6*1xDiLmSH#9-&14=>6Xx>E{S~%vq@G0P1R#VniUy(OV*JhO?Wx^q#2NS zY?PAS#(}bD3Ym&E6`_0V%F3ELxU#JicMFAdV@<5N(M!Rc1;8N3iMW*qn+Zdz60*CQ zBx5zVjU+jP)p01u*#d`E$`qicz6UG#JbQLWD+&a`*7-upyHnDv!J3BA27gDPH{M>f zn+-UYvcaO_Q-^VpTxd7Jk&vx(2OeZ7n%%Gx&dC)`1Dfa(OSuIh8j?)qr4M5jvy5;- z9tVH3rs;L81>7;9aG5P+PLw^VvH^L=_-Qj7OyE|v!M`!Ei42oE$qrBb8aj|<7xbQo zBmwmWANa^wge4p(2yi~log@X1Bl4EB!kQ3yAo#9t4!CnxQYq3_exzjhALiV8tF9gJ zi#@_17z#0lZYZ5WFi@88i`AEc24?D&01SB%#R4>)SQB2dF%*Rra)A{xeBP$X%%xQc z4l;x|2~2e%2mztQZ*l#QlmajQAOk_Rzz}DKBk@~cVD!T%ypv)g&V3puKAP?15;Q-G zw|W%WWRhNHkVFnhB!nnCtV{92)nR#0=3Hh#!OrKUhj&IIDvir3(zAfAW19Uu{18u(1okOFU& zkx6(SGHazo1&RA)mucWjRKXGRDAP-0q$+4MGa(f+&FJ6 z%K)P8=ch(~WKU&VO4>QLe;yb2&MMipc@vtU$ao;*DsqNJS^FZ!0PmV%Mi($6R~A0! zwiDiYc}G8+roo19FcXOx(6)9F0R&aiV?]rl;?J6f*CfIBuk(gjG+CApsaaS zC6)0B@#XNzWk9by3*662)bc56Y@=WjXuS-WLwz1=c_)j+qw(kPEqB7~up()&|V%ZFY}&V%?R)Hy$=_J696vWrHG zoo=(lCg9IP6T#X`$W`PMoDwFYpE+-JG}-R1&P=Zx;mwCR!e%hOq={iWKKJBZ3+s#* zB9M*IU12+SMmtUtzf^|y9|)5!b~7-olBzlXb7nMIfS!ge%Ge?UdK>VpYcFt$QCGK7 zJK2MtiP~VPyb3s)5Hdc-;Mj~X+~TLsL`~$DBvDSffm58Qv)ZQ`RmD?TkM-ObI}?ZO zDqz>cN)(?Gv_Y06*91iqdg`KSNnTX1>l~V z1Wqw>gFMJ;G70WHhhM#YF4S$NOagg4a$a@e&>LG;&gA%#O>xue9>YM!Lj`Uzu$yyv zStM+{pu-q%M>%0^EQFZ0SAAS9jQ_a;-%WPrxB+$yHec@$nYfQtvhKX!_1#eIK;@Bs zAUGj=aia1F!$V{gOSm1~P=P8R{xQ(2Lk&w#W{$+KE>_3Ugc;~Ddaen`TeH=XZks^! zfD>hym4bi;)D`D3h8`q@fQ)g5uEHCWx=Gn#AR~|pM)|lX} zm&BSRU{O=ejLKhGo6(5Is0p%~j%$XxkTvf_B#CiH%oG5FZCY)94WpRR_5y&x#*D-Q zB-xs>YXOSd+9gM^X=v8kI!_SPxp5ntikfFh7v@ZhdycHOG1xIz0^hq0?XhcB*35GR z%)!_|7|UHNO+Vc%cN2Udj7~xWS02RhB;HLP+?4eodobUz0>6`5_yj(HtKbND5dOwB zk~EbEi%{*_vP*mqo2rpd+OU}v99tx~XJf2T=Tvg^=aX&CwXN07m1dpOg51HNi*pZd zCotG*^la)3a3ileDp5V1P>WweE6gE1iChPN7oq{MTbfxxE-pePz6~A$ju#|OTW4(6ik6^&V#WjJvJMSnx2G!JdJ!HPHauWRh2aul2P$M zl1jnVKMy2@zjGmGh)GfYY_!f;0&U$u|c+Qr!Kl{Mg8F zhAo~Lc61|)0yvR+XB3ijFa#4O%Ogk7F$9@y%79E|9-{yhe{^9bT{NSnFiO!oHR;86 zkVkcZYEX}}DEMdqvQbfBeXEvUQ#C9~@+@#CIUay)qeCTGPny{E4ohyMUT#(0CQ6~O z2;?&-AO`VCa7Rc?5xoM>&xCHwW(VS=Ad+ALoeb8AKZgy2Y=KyYWdB`+WTWqr1cj31 z^tLShe9KL!1F~7=7?7(J#IambJc&doh}_XoQU5@x<${waL1im-ub5eStHzAJPYRN3 z3gvK*AWbsLv8F^Kg6xQ^+k}iOqqRiBCYZQ~4mA3!QFDXz&BL*VL22MCq=T2h3H51r_xdhWAH4gx7-A!TKo zx)yeV&RFARsC$W5;*zE5K#D?t5y5vWZ~(6H!UmC*Dip~EvP#m`ak_x}*u-%phM=86 zF^dvGrA%?s+e&wLmmX{(2$^S+&MUOtdE2nMj;(q$N;#} zMG9y(i&=UR_R<&>-t|u*YuVu>ePq@g*6Iy81X`u<3`-^eP6UG~?nUV;5TfZ#iE z4#~c30M=^b-mZJF?FfWmzm=@3)+=-VIDlIT*+ZIyxk8`+zAvwJV3Q-D% zjaOFY^v1p=O2`1?a|#Zf4Y%fF@~#RA8K}tse-F?b=CnMs>XbLG$j|wgKh#Q?qkw(y zepQ+C`J7L>N|Xl!EBz!xdK38W4=27(B{on{3t91N;45E#0gV62f4rn{b1w*GTG%Jm z&#VVJFo1ney@_C#;ghhx2UvL|jwK-jJ*Z@V9OTab`d3vuB=E_p*W%K5yoZPFqz`rh ze2Q;`TMWgG&sl*aroKWIT8N>96oLdCIXg90$zF2=vXzj5ny7Dt^JG(!4cPDln$X^( z1N9czGDQv~hh>xA3<@f9ln{J_tc@4I%>}tpn-lVh(nJfv6PkN`B#<4`NVsD{dM_s-3iXv7i@jb$RucDS%AJ0i z43IriX+rq3{kRLsv04T!M5b8{#nY1`lla)ZabYb-k_FwZ z9kXyNFehi_hy0`tXXVE$#CbvOEBSW+ZpB~lI_Ty>WT+csgi;uSOg*W?-^dVo6pzBLBJA`FPyiR5;Hzq~M7UzsXTgyZi&i?Np}rD;lJh{-c$D6Ezx ztPZU7_&{xWLg<26aYD-Uo<8IH60uKBFy~yQ`VNAjN^HTr4B7-{qBcto0SRhTdm~KZ z=EOZxaW^RLCM|?EC@&`*R|uiHPszsbU`W}7aEb;olqANXin}tD9F+1#e@7B{3PKjE|@XjYVmQ3 zp-H$P=UJ(KBw@V|`%60pxSb7pPwE^5>*1hGF_EOEh@2!=5oP+yTG4uDC;(I*e8NlBm}*kWNJiaSkuXL4ji<_SUD;cFrU zqQ+MS69Q0G2yqI_v)rs!ph?m`;n5Bf(n!y1*(1Qs&Ip;aTQHN2A#;?K*cbpM(h2G9 zC@Y2bHyLmB^S2CSlR4m~IoH5daGT;LGExZ0h$`dr9IF*1a{~NXXcCsKxf5DQo~v@Q z^T;8eklrdu(;AV_4RSr@jup=;NJ2FCAPSO|A+o`ygPRqd9*}K|Tm=MQEf%-}?4q($lh9J{SJ_SJs5{RNkQGdWBC>lx! zBUl7qmZB?eO;-0%M*Va66sC#r4PSUT&Ai)3aUsF0-i{jd8Rc6s=q+u0_?BX}h#ov4 z>2}Uwx}qTHVB(JlP6;mQ1_D<{-f4 zV2vaf1nWQ@!kA#FSUp6h3&vbw6m@fhbKr41lsg|C>w?nGK6fO9NPJP z{X0uZ_Vzf;(d3*a*j1kJmDe`@3d%hSlY;&2FIxXK=nUtsS-PSjOa9|U6JvX>=_ka8 z7$)xV5Hi17ZDbD!190bCnOCa%`Q-5C9M!Mk=zcp#%K2)x12O9Tz9{#cbV;GyI z^02{h%g1r0J<)@Sc#VWxr?>|r&rBT+H=y6_#C*f^IB5dem|ceK%^@Mc{!|;QgDjec zl)ZVrui&^m1${CEOdxI>oSr+L zl2qknnv#vRGhiUQSjre#<^f0<9*oVr%w-9K_q3BZ9_Vw19!HBuASE69adXh~$mkn_h9K$Ck6Yx(xD%2c;&uin z55avzWcr}OR4?uLvZ17*k~B@s{b8p`^hYr^wV;zs)B8obi%S*+=IFROh86V5#bPLP zjz~e0($7_($N^;4@$OF+ocIRe4wJbNQV<46x~Zr8afP%w5wO=R4uffe$MrW9wj@4| zIS&y^(q9e$83PK*m>5(D?jBK)ic23=RC`=Svj?rEx|GFub;@AKHjZlyRXA|&)H_vA z^9jqDRm=+O@$Mz=3H+(R{WDAv*{HvRC%4rMQkMKFh?IR^)eck}A4%U~n%blL2)KiK z8|^#rXWh1JzXaQv=hdx-Y7+`kuPSmEWOoIcXdy0OQbWC%ivCq@D`dB|Qi~l3p(jWP zWLIG8@n~$Jk_~c`3j|;6&>jUDP$eMOr@CT{IteL5PBTF!1!J+z&^rMEklhN|nE!_m zY$^&(VoLULP!36=RjqFzf1q_TJgdndvO>Z=PrXi{k#OPMY|B@vxP5M;6!o38VWKz39HrioM;8;o$+Q6JHyfaWH3**pxJaw~TC8^!*(3_HMn>G$) zHTO@qNC=V192w=^89li%HO5JNyXr}3 zBE6AmKo~3TKuBPGD+w{@C~~dak|mP|QQ-U}vt*s*ph9r#80B_;>8jd+tBOw2QCF_I z+T}zD)%8c#q8V}!A!!LoL(1m3nV)1KxK*16G9D#{oF@1b5#%P2c|hi7AzFccLU7Yh z65_H#@F+gEAZa!PVG@cCzLf?(b8G?w$Nx)U2%1Iu`pDNr;xXJoXtvR7@P*)|T$`O5 zGfOz@#JV|=@xnBOAaEsEQk1wuWW-5ae=;7EO<+^poL-BD5Ke58Sn(Ej0(aF5*<3I| z;>lG?FvxLyBLHQIdzgA4r%9YdNjiWV0V#o#tGJ|NU?s&VNpO?hikmBQBxjb4ux7ev zD!L*wuHsUW@nsdZ+ok=W!OaW^!8O+I=qBEU$NLB%BS<=^=dgB1aR?!9iuz=V4#KU- z%9?y`kR(^>Nb24MLS#+|1`dU$+02XyKsVrS5yv#8!KERH+m;eOaoDt$nlj8?I&J1g zPC{%amzNMF@dq+Y0a@V4Sq86C%YsgoBt1ZO45c^6t*j7=+H^QJx!LH&M>VogmJD&= zIl9i6-1UdYw2w3&SA|N&2MDqxW0txFzfvarDIpM$_iR`g{U{g{2FKA?hMoagbLkHS zh^sgaK4#VupKpVHIIAVy$%TFf`MkONf0bC+x!D9{Hum9>!0LXSgZeRRq56@8Q}LAd zMnQO!*_XY;|6f&}&wV(wTGFLbUKJf{pJbj_69o|vX$lGb&H8cY}C^%_$ zs&^>v3VdoXP{<3AJ^ulpI_q8f*0eOn+m^Vb#P}A*df1Y<5;$DF~ohn&i)ElI6Y( z>k3)&dm1!&zT!Slk#E5Kdtv9z6&Ji{Cyk5JJTVoI#R4sxfjtr{KhI`~HUa z)bfsURW8#8K?e?!#1q}mtoA=}iX7nm4h5iB<^jhL z+@DRj974E-OzV+=Ms5WXZXg5`3@9h01$=T+;_u5R0x`KGQRB9jTY7d2INW}3LSedO2f#!|-gu-;@6ke=IBk{2fyRj)3(jHjDZDJ%5b!ACc~ImCVV z;uALh*y45$V2f_rSMpB^*-qr2^mOPUD-<6P&GrTd-1(>pdiuS8s@e-QcFhI!IJMe5 zM;kmQ1}FEU4$-v-msCAm#h&fv;wqykp+h_ukL04^*bw9}%TdPFrU`>QBbW&ML(b!h zMOgZ*Ee{SLk^~*pe`vL3~@X0hGr>ch7Ppc^(hFy>-mfK9ccP{U~Q?J3W>Tq zSDmA#R5kHH?i_g`)!XwzHF4$PxI?nhPIl$$?MgI5UAgL9gYPa}0J4@XTdAj^WeZ9Y zc)!_}Z=6bUA<$F*0XVKUCgN3>q6}mw)^kZ+uGuFcfR2Tg3(;s{1wupK?glw}5|Kj+ zD&qq*dT{IJ)9`#v+6o;dgvhiWqLM^oTj&dEf+kpJQ64n??#j5p9ItgglJp^l7l5pU zfTSHu*=|_%!HZW&8>Ak30S-BE=-x6(9LbN&BRTanvIQEieoyC{A z*8M0aw?MY%bj%pdvFKrREL_xO6<*3LpzP{QtIXE~S?raatU#J;kz}n-vQ>3g2I~DG z9_(+<5ti>DF9$1Cf1&P*!+-y0^c_Be+7UH5&sE6-6KpbR%03j-Dn#fyFWMAbi6ElR zO}Q%)7Bmq&AO{4$Eg~{zp*T(m9Dh-kusU&8!Ip!xdj-16GH^9>4tySSA!gV4NQUd811RjKr#e% zpok87rq$Eg7OybP&fX4oxp{nSo17;?RKYrEwDY(>^w&rF0M^M1sii$E<{! zb(DzWkAuI9Ekswq`zls148y?QFStQcDOmcHjR)6qCM_Zf67lH@!@l@u)DD4n{%n_M zL8=@0XUob72r@NCIl35Ea>=uBdtqEP>fqpKa9zAaCTXEV2s zFWF*@pR{Fs+}d(5z9ln!{9(!Cj*9!}WE1!BBi7_-Wp8~SRt_IvC6Nz}pYk>tzxOv} zd@uP#agUGd@=@H^m!uX2K)Wbk1n)W}0}!SF2n!^GMYffKd;}gmTD^}ZcLtSRf0S9A zFQiZTq%T)a!md)q$9I(~K3VQ5LzWZm(!68jeSzyzpaTPC_qm&Zh4tPO-(-K+xA*uZ z#+1IFo)t#I^&fnIz;}W2x#{sIHthspVc*ogJ?tMaGXe0kx2J5`c1vGoUmNRtyy(JN zk=}PouVs;Mz72TIEOJ&ZeQQdeJSS7pw+o2L=l)`r{rLO98a(cr9K)v~Hr(HJ_DGGH z(6{AZ#+dx+8wV%!8w6X1+B3Q%c_2H>j0F{^K1}J|N@Y_#+wr6Y`1A zt(_SMB%=xefTKMn0{}-t+4Tcq@|GSrValh^gMG>;eYtX?T@@-PVaH~ll4sbeZi85(|1oE60UyCyv>K^-ZW*)>#BBm;(fs;;jNWU z)DDw>2pI1SA$IqrNBkqz4mTZ$OgRMEp8q`WnK1&(@#6~jy zM_HeIJ}4CZYX>%35WH)yc0kLQLFu0I>GR;Plut^sJ{dkK)4!ZNmvijXwdBG3bKLz) z)v!$9)6hAnbV0%|_@WG8(bJ{OnzE^233K{vMe**czWW#Wu`SY#Y(40H&_&&*hvkPsa$8P@v*U|2sm5I@As zpB`ezU&MxOD;U7`i6!WvROWw=bY!9Vi`B@Ygu0zfjVx?6vWTdW#ix-xX>cnQ`K&*X z@fcR%|3NZ->vQ0q;*V1G$O7f#R5Wrlvas(K`6COI&$qvDP4VM2vN(1;SoIgk%U>y< zOvx39$u(*arpQc@$yMXys`xxTm6GhvacgqUC#4zkV87u%jVw$xvM@2S*p7z(y(jt@ z_A5Dli8-b3&=iG)n^!wT9yl1M<;_Xvua40ind-AsJ&NC;m ztq&$9*D!aS_2w(5Z8`9>CEGkfiTgn}q}H(B%%hp&5Blo*Sngwt~XKB_c% zY4j}sBfb6?bAhsp_v3DOe%<2qn;UNY<-S!910xpPz_#6U#pIn2U$F+VcD%M~-P65i z|L#UW$G*Jh>%jY64DzHMudG`4YVY;y=e~PO-^;(c5waJzyxDu>ihV1={eSPfc;_l` zFP{5@NB(Ke{ui&?@yZ?RuI#;T{l$Ij_W#?jZUFZUYxcZ&dU{n z;^Lj_{%OsY-q|mmK?*Kf|Ap;m@9#;RbKcIMmGSR>9h!{116D0Q;pKH}x9ul$a-gbN zS8X6NVZ`oyjPPi4$gDfpUB3Q57i$PsGX5Luu7rX&{`Qf@YySD`*X`J|>Ye@HzviJc zURt;I<$bqI-ns6iuBTtT@uit*fCyg)pNuDSmOS&b#cQ@Kz7CGPV=Woq2)*|#9=-Et zi(lQ}JNfzFuX=Q!cI=gN@BQW%;S(2d#^64<|HUt^pS$(pr@=jY=UF#Z@Ba-nfumpi zHaxs;{|mqx2E_21{figuID|~o=3M!^J&UjZ?Zb=zX8(&f?f|0lz75ykHy5r7<>ijY zR;}9I`|S-kKELkGoqNu?YDeDAL5CJoUk+d$0TN6PnlklE^#Ot$lXiyK{H^c>1zmLde|aTa=YBp3K>r zpO>He;ky0*_4+wWcCJ&hcU-mNho{$^KkBW<`!Fo*)mV_s2!{{askohj8FXQFaL_bW{QWqgI-y>2wz&(+9`oogQr?HgFFwNRJ}e z&hlm}U6Y8mM+;lVOcx?LtSdFOJTcqC3-}=8Yv=%$)G0>dN1asmCHX}N+rC^w?^iDsxkcr_c$r;gtj8_2HkgI$+UF|9u4>I6i zacWA8^U@sq3*=#~&JbV3zyu=BxPUiOt0l%!b?bBymTgE=-dDHoP;Pa-Ln)pzk) zHZyAVloX5t46ri_vSChnI#p}4XscJ~l8~4Iw4c3Cs@UQXO5avrB9cx)q2<7umhu0N+e zsEZ~QA7lf_@L)NZh%N29%&xGS;j_TslJZgufr4&8W@21ndf|yIaf8)iA$P`c#|{b` zH`wN7R;N{<${G$@#6Wk{qYt*itlFDb;GQCdiSdLCUfW*M+j z>kaOZCb+V5dS?|>WUz&QQaZ^Yg?u#J+TVBW)3t*nC?0$Z3jiE!BI3D*0`9RC6wi^6 zv$&#z3V}!;=Z$1X+#0|WS<*m~@hHKKZU(NN%lWB<6(rZ#fM7L&anzJ(Vx)-#+ETk@ zK!N=tK{VO+=N0k@40NyxK{+sv7S#Yh zX@r+5=#tYM>`&TR(u7@g0k2ovSXkzFRm0adHjAg1Ncea{-iul;J@# z{{M0JJ%CYFSKjx&c{99(5nhHN4r!|Q4UqcTHPL|3)<3PacI~zuAZ4*4@O5={s}*V2F05kdvevDj`F`iV znUEm1TerHp7xL!5^Ugc>oOAEH@7(*|yT8{v)(_(iPPoK4Ui3FtytBx?+jAAz+@MRY zYU-uhiIf5&%P;DdMBFd{b6eop90#Dv5}P?$pp7wAo=agA*v0~QQj!StxXxw(=9Z~2 zs@Q<>3JU%tJ~hYJId#ct?iql{Yl%c5t23Qg!d;$qwl0b=lQ}EmVXTP)P=G|MJzVQ^ zA}!N$;jAMUMQnf}0*f42gN~mkpy1TpU}8~ZMkvc8X@Ey52TT3Mrgh_cQMQOahvn_D zMC(-7$vDX8!a2So9%+kYf%m(+Eo=(Eh%B)KsB5c@!BqfSOc+I<05i>Daa02t4+Dy> zqNX|5ZeuwCj3Cma&|(!q3Wx0PV2zmsfR#td%j)9x2ie9!Eu%*gy)9WFcb%zMaQ$B7>Xi(wZBEf_HifaxUtC)oiLk95w9CTJNxI7`=8% zbzP>dXGw@AM@h&;VD>@ixSA)~n)@9>_Wb>4&0g=o{>bFbLeL1i_{82uv-6TPH+L#?2lnOKfo>a&H znMu8?@E(!CS9aJ{6#;Jg1SH!yL~qFA{ERTMV5=bw;q-?LDe)AWl?A?+$*?n~(d#!+ zD+sK!evvoKDRfrVaL6?WB)Ayt8^8~W;sRxu0mErb(3`eY7*DXl7%S-_9c=+BSEQV0 zAI|zc3Gdu6)^`d+-RP{hWDb?h6gbQY7CtEsRYFg^`=y`?yhf)pfprvhhLvG2MjAm= z$F^$lCa_{&ok$!)l9n=U<}Xn~vYHSns+bWlb8Mgv%eG4}z)FM1m>~t8^+PgjVhoLz1vlAx6Nj!Y=a}*qAKM2zywQh@g{qf|vJ6`sH-N zynB-BdHOl$f77a3D`vEydCIrJL-8nX&6HeTGDj3 zry5w8ATxD;Fy3SEeA-G`)lnsw=rq|RhDf7@8EjzX|4msnhLL9Ki@wG?SjI;vyZ$U( zo|q23(aTHJ5oE<1jZ)(S5#XT$a#e}WPc>jsa;I|%0AC*?H2UhYT_6t_7Y-XlwhaOa zPFe3`?ot%lVh4>o()clOJGD}!hCQ6d<@B%7y{w~}`Jz4}=P+3>8~0Q*Q7`R16!Xlr zjS}_&bdG_ACTF6g%?7+H#SYr|?a1I>CU9GFO&zPzzUL~9%xa^P@mU3KiQtFKVcI7% z0mg~Ggl?aKhFvq66P$Y?T*a(iq~O*%y7P6xRn{eCxb|u*E{Ov5mD~B?Ic%t!MLP{L zo^|fR7w0HBX-x;xDD;GRaIE?T19!TUT-5=+xBf#UZ+1ArtMCqJPRMkkr7V|L!fFN* zDPU<^!=aK~dPO?XTDI|8HPGKOt;5cxBdy_hC`XPxhv!h2R(x6(w|#IMrEY!QL96uw zGF}mR2Zt+s)-Zw>t19ePn@%S}IN~lEPq72jZSYH;tH#C-`bO?GO<2u=|Jedp5qD3Q zsTlm*W)FjmLWo=vk5WSp#-rs7aS6jc32#+GNE99f%4yVK8ye6Cjdpdu=~$tkeh1LK zI2z&r2__+Z;4X=W2xV6{WCHpm1z}EI$j*gQlV8L}AdkDSVM??B-k1x@3~kugZ2sNT5k*W5q^ zAnvdMZ)$ROkg7YZ$)zB>)hn14U+8y;OiicGO5+Zw!ai#`QVQtf>!djDdso~<9 zv{FEnRnE$I*fg_Fzl<U`V-w(ae<2s zALBUffa*0|=WEYerDz(8Z@t{!!UBWsILI-^!JVKFZ6ZaHE%s&HF0a@Kz*eg?2@mQ< z{CW>kx)2usYxh$zcDlZn;{Y9|d9vdrWt z(hmEn(pr;lS~@G1d>Os%Adqm4kel90fqO0;b5vWoV*bH?ES+Pk6t0ALS>I;J#;udP zg9#&2Zo48PBh;UwcffUlN^M^Pb5vakS`~Q^!3l29IZmw#13ZSoBXEi#gnUBHr`Xs? zeUD5~y*~6}I4W^rccC}N^`FH)ehg`z(qh3qw_rR*s3e32y`JnAo*hK<36N}{8rxT) zvCWt8?{K<~0q@&&B&iUFZKMgqNDX>>++L9hYTsIuC7R5AQIg#W?g}?Wod#CWBE6Ht zAP0AN=HxvgfNef{CO{6X8C$gdpkGzk`2D ziDE3Ngu}S0l#Ay1>`@B4nUW9*bOfIvDoqx!>{T`k1#KuK?VSRbbi*u#Q*e`pK7txH zlOVG^&c%+D(4`ErS20FpoqVDSK@0Yw2}Q0PIx9|^BKe{wMAhLm9K;C-#=%?oEKm`- zmnE0v?!H5aRuS+j9lkOje_8FHuXO zB=okh!SQhk{kBmgjApb7L`7tPDGDS(?aFh%9yMVn3KB|Il*ONy1hI0pCLXzU(G6D+lk#}t-AsZiu2dQ>$ z1R2Zqj8pLHD?J6bkCL-m_H|f0f7@0pfO5}tZO+%pCx-|(ci$JbEj(3-w(@X66F*RP zzg$%C_^9y!u^sgwWS+nBgK8Ud7PLW+8K z19yhIdlwD`dMoC)v5Lgf!Gv7pxQ|1VJ8qr2xdVC=3ff8yG`nR37W?RKTevwlrQ%~n zL|J@Co^?2uBV9O@>uCqpYQ_AtBin!D3}u{jcw=blW*BcR!n&phYsn`=efV~|!?y3v z8JEu|Aq};O_LUAaSvGu&{lTG0y_Y9`YF7kTgDh%0e#{xxwzr3Jy;fpTD2YYv$2xY1 z9D6zb(oVvHzuju>Z%y|6U1xD&!YA5Q>qCuH4Nwq5&V}A-e@7@><1^Y5iz=*yQ`?^4 zONX*f!@w<577lfEG!ovgC99=e$r+4CJ%(%78BHsa0GmzM%Ean#41b9{7@9h8OQ-^_ zs!aR_vR7B5$Xa~vd;yHFNVIKiOr%A70xPIwbQPOWa2jc1kvU{M$X#uyOPE4>$DG89 zl>!Pn!@INxD`;K*)@!SG5w~((19(g9e5BmpT@HE7i@-=^EFN}Y2wM& z?F1iR|I~98gMe(EAae!+g(9_2bc;1}A@`d(KrWLeX(#_MaT9K?Zpi%wvaZYQN)9Vc zx4X9~Q68!+SzVoIPt|_sjCzsT(syZ>nvk5oC{!A$=k2oD8R9EfxVc{7<{A;XXi8;b zI}m)S`4nV#gxH^>$oZ?Oc4~**Ix2D@zWH8EZO}>&Qjz47f+j@;-%VuujOA}`WbxmN ziV%rW!ju@cb%?I%KE?*ZG~tceawhozPDh}L zm=4D}DSo4z4ht2&sumEy5IuvNG88i-NgZ;63&UaTLJ;zGmMQQn=3#>bS`cCcvWgqh zk$2dB%dYop$cSX`LOk|Z%GV=Xdg-Gt=@21%nM{xaR;Y)=0RDARz>@< z^SV^n$w&#oZq3SMrR3d*eaT=A7 zGa${%kct6}fSIJ`gPnJOYJNrc4w9jh%VPQqT`n`w3n#HqaN7Crka7PV6_U=aKZ!z0 zWZVxRyE>O;(!GVSZL!ezlICE-NoNdarJFU)9I$PD1x+I9ncz;lS!EHnE$gkQ+?~W) z$0@BKhu&D>e5wXM-OsG{Wz~|^!W?A|Z-F^ej0nNIb{^E+!(U=~tp5>a)u9OC&ti?Q z6c>)0VQy9%Uv5J|EKBbs<0h7lm;29HU)IPcv^6G@mL*eV(y&S(JMYigQ!&YQp?r*W z%B3jMNe^e4m37O@?9h~GVz&Z(%Nux*gWGW@nDDoU$=eJ~h+8!XXfmc6Oprb9td$qN z5nRZIov1Xe_J+X(FDX=-O+d4jOtRpL`A#!KOyXAztFMKXamlpZ?b1pdY)BZjUvQTx zYXht#pxGz!CRl5_Op2O%6LXV@2Jn;?E7t613$Uh|RuGQG>MXiJq>_x{9qBmLXeVAZ z6hMR9V`QaJ;9j4bfqBo%BfFc~{Dku@>HomFu3E@kw-}OP9`cG`~S_w*UjK&H7PSZg-PO8fn+QuEqQURi3({B zGmg7nQW`cR{Mr848Mq~g<}eUwsorId6<`9~A&z@x zGPy&Tv6RgK06xT`0^qxbsXd4Xcd-GI9iXN4;X&%cAR%uL2)rEpc4DY)t}lvYqMz^XLLE|I0y1fr=LP+T9L`T@kYKrW@aL>}fCrhLc)Zzcq42k)*hdq3pKF|Kk8 zXti)DgJYoz$ryzJj$_9o6Wt?QXb>P%g9tzqp%sKwBD;dm<=R!4ylyrlnJ8z;cu-7~ z^Xd^H@TshFN^>}(5Txyo!vpi@;k6P7fjkp0grvs!gp7y6GK@dZC!92~*?TEW@~U{$ zRaB%Wt?hJimM1J@!cDA;^O`=EF~-WHZ2LzYtz z`nn{>QeYxsxKhGH8pN@%(lC?EkPxYmM<9Fy7_$931H+2DRC>EXC;zT)t8L;E(&-24xl8ZW(w7KFcS z#tA~%U5QEOv7oA#HDN9qLe_5H_mW;dUKhC&Q^{jwV@3IbCO0fTe4Q@R1Dbv4aV$;0 zc;zLt+qbO+sOoiqyuI%3y|FaAG!gWJOlvS zyRSI1^ntw_*52K{>BA?j{DTOm-KT{Z9w1k}c4pHjpT7iXwQKKQ{Eh1de)ZDKe}(rR zdvR0KMbBUPZ9G@di?(ayJ#*TBO;%oa_l@u%6x=+?ll{r#c;o=?D-M!l=g>0ju#!Aj zQS?dCgLqVON`ts-@22-Wjz#zvK7ZNl;Nj20Ri7n)gWU9Zw-%yhL(t~E8`j+YlTA&R zPTEv);~4PWR@4qb_3qkqH9&)#NbkiD7b|Vfr59--fG-~Bs+S-i4-ZJTu7;w5L_Uq) zr)n~`K|BE=OE>?V6zp3)aM8xIF5RUfK-vA;%er@{mLj za#CHnDM?zU_2GCZ0xe23g0Fs3KtdvzTCaeW7EP8zlT4t^&Tay%T!9>1?U=4pYf_Q7 zgo5C1OdR$D2DsLA&vo3sP*0#c^ojX%+pPI9hb7L3-i|Z09^4yyD-%1Wutchsym> z?$*XPz#o8UgO%i}6f|jo-Z+#C^6he1hmedzM}b20x<+)Jym;&Q_(d#isC$Ozw@0mVd$=ImsosU!`A#>URA~kIIPTm@1-0GcHgG3Gembbb%Zxh=lnSjB@eb4l|)3WBU^dTUJGen9x^}-h-eh zoG1KH5H_( zHlAt+8C@iA?!i|vY@zHi@me5;GekC+;NYB`NBNLf*qSbgEgcF#`( z4;5Y4l(Nn(cI2Xf5xGA7tw;h<1`uU)yUNQ{2cB>Me-;luA}uLL0m?L+3rxwnSXaEI z9NdNOJWX*)S?8`$wY%5jQ*R`7W3s%-;+WoBgil|KUh#BK95M^`SP%wx05iotS z;*|Rh-70XOlxe3CO1gw?O9~e5AS+03UW^^Qo0irDstg?+9!Zq?hd8-ZMhj5s@G~^(@4mI zWesD4o82vlkhH5{4$cVB?GyfJ36&+%Dbk%P4Q?tZh!7EED~*p;vGO~L)B(uc3L8Ss zXnpCI!wNM-nqZxH&-ipCv6^H%yFkWsB9aXuRB35Xlk$S>A{oZR0VEr%_>&Ni8)PU* zWGw`T;8-+@oSRB}de6|Z+fl_&qUPPtIjYJo^P`GeNlD zV4&l-WGPf~7|pyvh6sjOc_$e!BCJyg@dZQ>DP~w3K{9yhc>?=FDrlnyglSiyp~eyU z;9&*si!u|S?+Bi@jhEvCH? zTxC**_HbN6ZzRaB6T6!!D41Xlnq1-248|2%j`|V^A5bLnDAEyHHdUHgisK4YNb_M! z+$5Wli$4^pz&DnxOnK6SILM;-)7)Amuv!Z!yb@J(nqv9tQoQJ6w`ycT_Wzy)7uJj(mO6*6KpR3mN<`6cA+>8S+@)PXq!{Hmky(#!D2fQo z)I?Y387c?_YMPY5JSIuxM=2e&cQWY<(!dqsCA4PLtHIFDeA1`eC-c*!K2nXTm?7z@ zhGdFGAkm~FHE3;y#MO%fq~K|ic^X=QM2$$DJX|FUdC(ykdf>7`dHC!YeFLs0G-u5r zMVyY(F(hu`2<5<|7@p5yD5bE=D7;5TB1?Zz9)lD}0N>ao$LXyKhrE)o15M1a?8NQ) zq(H@^R#HN#8jyQ*Z%xW+0R$g`R1k(UNvl)j5z#Zp%e`(pzWCQ2{yhYg8^U3#VI}dn zQjsW0m%c=%kW3f)NnJ?BSM&|Fh4G1waJ+aX@Jk8bm!NAF0;ApkJ!$3Dx_8hM!v)|| zJ?VT}T+ug;{rS)sgwvBkZf{!r>nECSJbdil>kqHZ?)?@JYd78WGUjz}JpRPj7at

    UC$p3`N@*)zhp3puGw}00y z5VCPLDR})#kNcUA{5w#5n_m8huaG9QF%f?+J{`FmMZ)-p$b;a12+wftUA%S8UC)q} z4>rBLcReBDei%;*XlflkId(TVU&6D6r=baX5Pu%9@0loaovxiC86K=9?xO6&Yi=QK z7>_42MY0wG`J4BC3qZD;ntqH!pFI8q$fsDj!9&^Ih9ZA}TK~h14eewx+6k<@bMM#C zC{W~!+RD))J>$n|0tbwjeV_@;5Z=3Z1{6~Ipkg_V-|PEVU=DqYmJKq7Ys+WQsJWk>E9BA*LtA>M4R7gvGSPx> z7JgZ6uLO8m_p0b{hdr%pBc|1VHRC;rgHGq}^h$SEx)uVa1#=yd9m_*O21I?7Nttqb;4b*P zdN>!la5zu_D|=C7?QMAJ(%p5fGf*CyHnbj7>qL%`g4HOpHcy&(MPfn+XtnUkE~>OC z#RkzBBhcU&NFeV5ckYAGyG_@nwKPp+JW(RTc>!wuEja z<6D>V;oP#pffjI=2O_P@+bUOpY^AHS5Rxlk4N|MZGzS!P%#A3rPs@hhdV+z-D6)1a z*ZT<+S@a;m|E()1(Q(Ar!>xAUH!B9>FVKKX~FiMwK$*a zxg15dvzmb(YwkdPqr~%&UYLWKmF1{?v?{Mi$cH9wsB->tG|zbeER5mH9nSI8XPSw2 zLI8Rz(&=&BCl!1*k?oa#B%Z~aiJIi=PvRaaufGw-P#Qr!-h#~Vh0RWtc_$7ErdZTe z7a({;0Ed-?EOrM-90wSpK5PvZ76_IzLeyZSsPu>cM~tW?FlYt8Vr+;4SHBKr2iPhE zmIAWIr;rI!vq_9vc33LpE~hXY5=^E7va<8Dth*;wjL`Fp4KRF}K_?g;rk{q$6iwIA ze7s?nt6NiFr)L(B2^puRs3zp~R#Fk;5(eDjydp&(D=3U1cMZ*BD7+<^#3E04GpKOH zj)Py&drgSsK@-G9kel7Gh@gI zY`XHCGnO>+#62zv+7oa)@!GUACdn~*wtwu-*gTzukrbL)vML_4RGig&!zv?RRwsD4 zMD)-LPA2&9@^uCyGA5NVMR?;NQ?S(Bf;a&&g=~jK-iBs6`I<4VL~BEfyMRfL_U_)M zyx!r>_h{K1US?m8zT4~N^^HaM+q%mwlIfm6__Ns@i?lC43wI~pkNBY`w`6HHXKPSZ zxhNE|R^NGjB3;+ji%DnPv~pYUi3G51Q!%=+q!kHS*G6}z$o05m%XFrHMJnByOTCZn z!fiiSUUv1bDk818#jS{8);c|?ph@TYvP!x9tj6Yp4G?l?Hizd50S{4D!|8%srW>?u z2yt^9K=M-}dEn0m@!0%PS?x|P|A2W1?g@xASo+TX#8sH&PjR&okhkmXvc$iJAb|I) z816MGy0PHKXg8)l51;)0*dZrypETf;F&=d2X6xOZ^!;WAG~oz^crGW?%50lvbtD^h z6FM;bt>{KePYMF?V5rh6RD+dr9(#Yf{%bHEj~f~j(~ZUj4d3d=8JS#KxQH}5-8NKO zm7Q1yGP_W@zO+p`@zg`E?xa&QgA)V#veS0Vox?7 zXram89h-cj+DdT84i?E!P;l zsK#28apa;oS_la`Df=`+-d=9I>{Ea2tfYA3?vSQ@bSn0(v?KAC$DfyktzaKPXz*jxd!AGa}EKT{}W%EW5~xEXofv`;DI@LquFFm@iz;HS!P z0?)7#l1bqPz8S<_hVDx`p-8Oy7>9s_Vtfgkj(xllKxEbv$CMI+2b_q2gN2?Ic;Zk~ zr8=;-$}klfuYxEN3Y`%onD!)Dg=1j09h8j&f`SCxxqV@aE4$NFw&UU)sc*ra25+>L zqX)RqSyDv$7=Ely15;+r!X98kb;3T}d@Z4T_)=3b;c6nA38!i(>MMObA zNHojO@K`1+KG5>UizF{d2H1DI1NDCJZN7XqX%rVw%Z?8=}Mg+uO}$U-SgqF#IOP%Bx5 z)vuL_NmVE#nCu}L1EeBl#+4z6f)ty^q|iBVQlZ+m0^!sjc`D&uu>ZLDV|#}`#whV$ zV#deGR3aT1`(;y0+dkL!JolOtlDM^dil%nS)#2AfdG#WFWrF+$=ss`2}@=XIKzUgNb(q8ZJk5ah!r7zqU!>+L9%4pSv57Q^RY zc$9|^)8QJ@#Q>4q;B~;r>pgU;1I;Wh3p+$bkB3dO3C(dPDx)NUw$4Z>CC?bjl}ac8 zO_1;;RsUjxhq9~2AAB}%C|M8bkSkq&3 zekQ~$lii$Ow?7XdWj)el&Yjy?#gK!AFK@IvuCn<+yeuyRnbPGkRykZfYZyY@B~)Zd zC&(xgvWa}7?XI%<*ApY1azcYOiMYk><_Lr=Pu}(~?94+GkKO1y@b`0`bj5{zkFT)T z9i8&`-!RHX_%UvETyJ%mz8@VOsj|=fKRb?mJ^t07Pq^;yjOEK%@aW`Kr}gW-M?nTE z7UO{7B_!UH>2vl+K-USz@8hfz3Yu({t$q>uT0TB=&qtSh#P`r4XfpZL{Udd@d-Ki{ z0}_yYFlXN^R$+<@&5M`VUB625e7vNG9NXMK=jq}4es^Sr-LY!Qs}Pd^_;0sq4;Ey1 z{leyZv`-vsI{8H0hQ5xiJArG{@D-IS9ir|IvQcTwn7K!K2O_Tcoyk_%tjcDZ22G|f zJNME3{IBJ!f0m#9^3GRB+Td^BcJR;H&u#(tD%&d(wF7^DltXV890rPDSKbqf?Zgp{ zAVw!WyI|y5wkI<(qI@&Vy*GfQJxHv4gxxs82o-BSaE0E@0^`4j3mE0!teVY zn{%S%se$SbeeT|y^TC%ddF&Oo=SP!gp74!C=Nvf7Cb=VjEps>T`~hRXUQ&<%yiYxK49ED>|+s@Kl|kqV!FKfXF|>5 z?B*S~lp&<72e)$Hv-C1RCXylUt4!ZOq%3bwQYk}@3Ne>ZktLm)d{VZ#%7pBZPCLj_ zlQee^AAt}#;RKO$d8BabDsp;A$w;}qV+Chjg+B?9pFh|SQkwd|@#*D&uky}kx zh2Vo|(4>ZaW?vGQ({HNtvnT8v8L=Q6?+_Gv2W^fn614+=f0W5{&>(bO7HnrGtG=$- zJN)QhZuSW_Cld`S_S%GhzX7F?2X*pM?XZbOR?y(sk-T^Cl5R3!mxgu`p>*oHAj+c<~*1pG~K2F z1gNJ=UfTu-bqz(Ic#O)L39?Qw9-1_E6wFy=?ITy6yEdPB?O#5aGA12mj~p#wPp$ih zy!hoW$yJlJIbSvAfsCsfK4E`$kLoeRI#}6b>^)RsESdNS8Gqgj3_khN4RBot`J{@t zOL~OoZ_SOs*|^HWFb%T21fST9!Bwk>to=;~px}iD+lr{&GV%fhc(6ruy}IAJ_vi(` z%+Hvx^GhQp{5_E=^Z-fr@!uH`LN(u6QXCuG!U7?Im)yz4BvgL;{Ose6pGIo59@T4{klzh3TSOEQ+c-?gYLLpg<9ezD> z-p5DYH*%ume@ECeh+B^=N-(l;iX#gWvIXQl7@p9`q7b+bBhuB}i4h&?PTr1i1VvuC#&(xq{MS#i zz+=7%|KZ#B_vcg$iGKG#R@hr^obrQ9jjuiw{B6c!HFKUetz7Ett9xe8iXYh%pLsNP ze~RskvdQ_0;-u|ka`zs^4vj2mSW)zecl^x#Kib*sWnui}1{QH?=mSkwP1#PaYJDkI z^4f{%dxrn)=>Pji3^;z$@sYfI8X-AIEl3iC1A>X8sKdl?DANKP`0&7kIJ?+_fOkp*0ZK^O)c zozhb?g3*>9SR*Q4yfn@NA{Exq+kws>t4gU5~y!er1ab$s-$8Zq-=GOaA z#TZ!t8awZj07@pCc(Qjmdh^J$93zW8YQhY2AN3B}gPL(?eB`JcJF1OR5@V%pvTJ=w-<;6B!yk>Z`_yTm zOvgil0-SWyk>fyS9Zx<$c&KY{I&c@rPu=v-02uu=fx&+5f#+_U-*nT@zw4pw0^9b} zPhIxVqJ0k>*?43v&{NmeKKIlOfYbffk>h|ez4Csb?0$mC*(1;2^z$tQzWe#_U2&y` zrENMeb8YRB3?Aup9^IhQ2 z-thSY-vxB+_doOV;jbJ6u8WoW z`Ps@fpa16vR}cOA`!_vp0`K?u=VzDx<%6GAhc0}r2uMsYz|i~3uRM4@0CJZfxc?e+ z+4n!c@5P-D?m7OUhb{-6?=uJ2-n8$|_Y6LFe71+48udVf5C7FHfbVX8b?s~dBtCNd zvWKpCi*STM~=@r@Y+700bi@_cw)3JZ#?kYfd?LaipU=-K*x^U|LNnO ze|q*U5OVEbT?Am+e>(F07so1iY&CFnH4-k2^{jmD_6J`$Hs?6tb?;t;mT={R9|xTA ztOGY4*!1XeNQ1}L9Xf_0_I(>b%Ktq35y<}BaX9wKQ-}TzMST3htB%k9`8|((?a1>V zAdiwJXl#@3`1El=BU3djTYJ%g?;iQF#%@0Q&KH2P3s=2BaJqm-e&Wb);K3^%{Fmc5 zJ^GmgfaWFe-TNQa=)m`1`R_-L-Sjw`zzeTj`wA5P3nD);rXfGS`jxW|Y22aJpzL0Aa0Kb72W6TeWY7+ietsXw=X_$zh%wxqv_BRp^bYK|_MQ|nSf{yr ziLI?aRFfIF975bLXdyK&o3{eEt+qK>mjE7WO$HMaD8e;4xGO_4BiiHAFf;WS@GdgH zZ1OY!Tca#niAza(Ap}4X2#*F zHDqQ@1E8vS(l@9H_+jNtqrp&z;U&kL*Z-<=kt3_#WJ-hGHPyjTA7>)S)6NE`R(?Q9SQFwbIQY+ zG-n?RO>j-8b~nf+4}%<^(F|?_#w(MP@vDN64OjWs4RV76?6}4+R*)u{6^CF>%^B?tR%j<$ z2sDAQbUd1XN@ivT|9};$=``0tw$QFp2?Gi^3`aW^2N`REqU3FW2}Xmc`iqeHMU+)+ zu{jAVQ!u`bGyxgtikX4-p8YelCV&`zmTITh39*$T;U?l&WvU>wElZjZcMW`^5;I^$ zM!+19Q9GzKrKaIHM3PXmlgJ$+Dz<}Lo*7sNE8B^@O{B=2FO0hyNRtI1hjH#0A)bQZ zeg~4h+L^l0>m3v!@?a4WAPXf3iic~29uxa-9eHq6_HKYM)dQ_|2fo5oL^c=@=3e-AEH$Bp_>lhrDXQzHaB7=00C`Ia0yH?6&hV|tunGcHurzde zB*z(0c3A+9?F<;XVAjFI#t=gMAOiqYUmE5tvU5-{u>`2b?jW_3P!{c^l=KEL7(lR4 zX)LuMUhWFNCTrt~Jc#bln3hZHRs|uo!FVJD4>Fc8LVc(P;BL7LR<_z$5XJ%Q@=yAQ z9f0ow&5CuB2T8WZ!Xo4A2v7?MRBg5)0BT1H7Fw+0YOCgkm4${Z>Hv*ARq;-V1#$bF z1m;J|l;f*yN2>~MSk@J1Gr~DXO3PrmG$RbJoV%L?_7~7zz`{zz_q%v#387tNyuKQE zL?!^J#TmL!TQMbol-Cy7Tx}&vN_$Mspl~nMWodkW$nHD0jx@=Qy`(@Ub3iWW?bZC- zeF2hV-#`=3-;b{KdI!34{$xpvm1K_HL0)yW=T^gQcwW{c-sjr8N2L@pqlHG0y{x)GbRFzewe`-LpcI1O@T*%g!-j7WRw+! zM9aw70zrUQ@jRR(;}D1E1UBAc2GwMBmC)MIFE*GyJZbMS@Z6kXR#oA!^h!aFXk!v- zdGeT7u(w2_J~D>$G+6~2p09U6Jc@t?jNZIrDIs(zo&<%fY&FW@g6Slk9t2Bx<3JZH zC6_KY@#ewFWE#A~$H`V^+9_Z`!4)*ufS;JayGbV0R4A=zNq{mIr}8Z`h%GJ&+M)ow zf*~m5Rd*btkp zQaG_#K!HnWf-S)sgLamQ_wW>bw{KP1_k&%bWsD}FFkvo7cmHQH;>{Gh`q4451A0@s zmr;*K-T9kE*>!7wzYs1gnN(rie+Um%-xEq%-eIDDuKgXl-vF%^w}$zWy$@t1N>g?R z!+kPO*EKHZ2%t>UO58mcfSE>$HAk6+dshnY_GKk*EO=K62$R9MGWZ}s)2%A3ILAci zPTMtY9l~BdYA-b3u+ryIBV`=`l*XAjGP=z!mDgZA+BPI$}`JS2|E_NubpZ zI}GntVv&;$a*biZLuk9W6?0L#BZ?w{%<9Dsz|lnP;CT?{Ay!C|mD>|19m~{A;x-iS zlX!v2t>vNw{SnH8~fY5eSh-taFW-DgQi03|8h5X0aWRz3oh-8&-!J~3k`@{&eB?sfgTc2b?` zL_Cs9DcT9fb|BA<5IM34L7ZES6=`6OmW_TDKG{LInuD$2F58mU zJ^?H(WF^}oh|NHTc_w+(#cB8n**Gb0#YugKTw}VpH5a2VlFh5@@Pp&vHrSqY5OE2R zZb^2}7WkxfZWPADs)Ugw$D%m}O#l>A?EqyrZ~2nBT3)LRJ8OP73i#qs<3M!+YdiI$ zNMS7so2II@mSC+bD0neG`qE@$zeY^nK`l02kxG=}hlz85!OPV7!FV_x*)H%cxM7LFAPT*9@=$D~SjI z$gICYuMG^?l$C79tHDmGEX$$=ad~}dS@y!Z6siF2&*4V7Yi4;}OQckp)!=R`%MEfT z)>@I{uK)6@BSzWgbZ08jvY)u?TBhwn*I=cXQK1|KY}=`-9v27&2LTZYumI3%aUG?m zsuXSpZQ#vq#Wlymgq{jn=#Ut!R)(6!jPOJwn}(}!RG1=?WG?iE&2Vb9l{^EhK{$+h z3o>52$}Bimz_C!D_3+Lewjq0bL0LjI!D>JrMh3luA@PF?B+`|JQ1tm+36_jZPs#G7~bWvnt34azL?TQxr$9|yfLN-u# zJ-yT5MoVW|(mN3G52CY6p;u$PA43MyOxJ-s1led1K&wRt7~9Mpr16vOHf#mib_a<} zR&uMUiFdmnnD z+iZ+1=0>VGad*;5rocN{F8h*Jka`x~zmn`lM}Npzx-;I7K9B>x7}q~t@3=xOm4cpy zt`U7nt-m30xow60RHbcGa}IEdA)AH};AX0p{i4V%!(D?QqvoNY1+Z;T?s00HMPYyfF@yT7aic+^}*8Hy51kRx_s#Kbq{hN|VR>^XweG5hw zs6U2xc)X-TtU50bx=vCDqj?GreM%zQLnKG31R*X~c$sFRp(FA$nVJi#YAmHFFJFqU z{kZ%WP`EEI*$$J0|iTF{EK8Fmua zJtiISyqX!3N!CnJH3CY3*8&BKH*{H`SXBEan=r8^n!?!u4_sVNQu~xByAuIC81CqEbA;C5JWpAk z|Kh}NdUg4W2Q$oxmE-$_-X}5Wd4k}LSvhXZ+Ni&<(_y4 z_)wVi>LthylB`0aEKVm;oXIgnoy}w0$%#jyrnSHC0T}Fa zKxTaaOKgl6f^}jvH=(C$gwqAjAM-F`n+kxfPheFK!b1fTt_Fkc->`QRxIaqhsf7Ca z{w+f@#{!>vIIc4%-Me|sKmqmjMaT|5|Mjg4fU^7B?3xUb_pEPxg&=RA-}Z&YM<72q`0Cn%?7xLMP5%bG-%su=qP~J#`{W$*$&H7$)qfHT*^?%_en=Rx zMGunkV-+kow*GYFe}k2y9vmxVTRTvV$5=^17CgUo8-%=g3c29#uNTPKado-$o-Ns> zTJOKzRPmozpNUm#w$)*Al^^~JdOt$ulxrAZuP(hJM?JU*ReX3`gz9qh>dpI_Zq2^9 zsp(f_{PTbJ4Xn%KDfqpfO;luj4mF696Ii%q3s82^s^GzgfU^6;#mBd8U4+`H$`;$; z_!j%X>gV=o?it!ukGwkS!BI`n2H)08s#urg?^CPcO=&W4@IAVBNYDqQS%*F#{Zpar z;tSU{{q-z?lj7OJ7}@1mLS__ywh!oP?)pnEOeV2V$+duvy{39dF<%x4r(en$Kz*ez zimRa@AlkSywClbyck&cApU8x8x&$b@gX#R}Qk#@`<*QV8$o`pnCv_X?}NkXE?4D{aE`@t{e zdMgt@tFS(qu9fYvhT%*){aCL2(k?TUoS(ES5-XR<`KtsFWmE1wKW%^)FPClQ?f5LY z)Jl6r79AU>6>*%>h$H-czO>sFnhI`w@(fMVPAmS#x@r$uc@X54wJ;}b!Y7$-tVEIw zO_Qn3fOxGQ%J8&#QOCl*TyL}vpus=~Zk4t3+zpNxzB6;Z<>* zK9K&ggQahJ(R|u~PZz4h2l_vIa@D$B>#zt5NMhUvp@Lc&dKul>Y)RI%Uj4-bSEy6Y+yw=c47Yi^yC(g_bbJg~ma-{*$x zLqBDa`780DJSBz(v9wMu)aQ1i!ho{dd63$m)&y(Zgn{zc1Wif+zALGAhSE%-A)C3- z(=dnXvdB%?jwclyLw+l+w1TUOjZORH%@=7uSMe5%EdJ}E%Sp~8LN{BwVpt$5Q?|XV zlA=#z>i~WRC^j=GC_{j!TxRsl$5 z0VS9~@xK5WqlFPqXk_41DaFF_4wIV&lNGF55AQLl z6|e_(XfZf(LRAPd5ZEK{36%xC3=Yen5R%keme)2w4R06QQWAHO)r|3+%-B`f5vBSR z$pv;uC`j-oltLP3vG=`3K{$pN#8ATNlo}|CVNR(nU~~dmJZ%Yhb*g<$M;QhYR);jv zYIMT);j$oJkC?iv47|TUzN*$_WhxV2%vZuxc`?oa7#17~L;x}#D;DbnJx@sm3a>-! z5nNf`JEcmfFM?5MQ;TQ3{^a73clvMWT-`fJM*TM0H+mgrpu5HTIOzZWq+~3{ajI;k zi!{P4R32H;W+qy=F{L5o#nPT&t*w2{_$QfGr6{nv)WpGls|w1yI#; z2njXHBm+5}f;rhNhMu`{jVK!=?$wSetJZ+63iz|=2pVA};)Zn0n2ERqvb#w^Rt7Lw z7(Xb5qYYwkJ#oXa)J_P#7~JG<7t?XMLKUxQC-Ptt z3hr4iUDx#xd{5J=c$^$-kq}NowCs+BsGW)u@^>0AsH8U|O-uz>wW6EA;(b_SLvx$C zkRLS zRBxqBMiQm-oQ0;S&O#F)4`?eB?eR{;JMf?`60)aC!|qHZjZVpHB|{rrFX0mdz@Ijt z7qmG=?xF`zWBglnrTwXjv|oKe_YQA0B&fk+F(yvJjSAd8k`kRWA<>jw@q-9%HNL0*4o?ys9qaI+KFNA$IPAW_Lbo#0!=a*Qjjz;^9oPs z!nnhNj2jlnc+3I>Uw@4oZm`W|JlV`HVN1a+1vgFZGs9&Ji@yA43ncN_6xOMxnpi*I zJPXa(?jg0c?32cWU`uR_`Pu`}8zmPIWkDCD31P(2L?FltIIatVNyg`bF9w`qMxenW zW!koFe7k(^MsRbyzRtM058$y<{lg*L`Wo(MkNv>jk z^8$J)!fux)(1Bxl5*vU8MNNh?r_&q8+hQIRBy%E+ux)J^kGkXrs0NWOfO~{1F%7rB zebp4BB!(0w>OsIEBObq6d2*TM3zx%-l{^GB+GG1p`@NRy5XYH1i2ALI;|+1uC(W z2&ZD)7M&0K{cLNsS&jh64cih&b%Wp^)3QH^8E>noH>AQ!W|}y^PNH^Te5qGyF_SGs zgahH!s<0)zU(H=q@Dw5MXfoUUows3RVZcLU)2aJ~V}_I}o?`Izq&xhkk?a8i7B#rS zhd@+KXuSyksZ~>|!5?JR2%#fR7x!3jpwEE}g=26%{&HCOhsXjoE|Fsnn~t8I8$Gxg z+lHjT98^E5+hB@j*`wgrk@Xk|z+nJ0n5A(4l8nJZSYblq04@<6;59X%;Vp>P2Bi#o`G_f+--l3? zUnqE*#6H8Y2^({aUUIBrn&l5{cuX->TI&ZJY6a?@l4xY7MrlywbV=z-+pf=^5RkO~ z6e|Uz(#(RCWO}3bd5NT7g)hYlNzyOR;73^`M7m)<4c0MGFjB)G=kTi;DaS)i*3Me3 zW>&|!7+lJsIP1O6^n>}a_@l;Fa9)v!5-7lv6CM7D^HU%Z&-ZI711jW|MCo=?0Vp&8_yvH zKY94d3WCyIeE64}Uj%09i<2n7Yo9iL_T&3D;gNurUC?B$M#2TRrwQPTVbVA-SUeUW zRO3_0gjP%7yWsv7{(!Q(chiR#ze-OF)*LwvH%O-n0fH~d)@$dFTgd)#EC_#zCSO#j zG*a*zmwA}HD?J7OR<9HP5HOHGTzLq{!w(nr_7jJA!a_&P|+S|?TMugR{K&cIgSCIJH;N_Xe{2nBh_#vsEln(Q zOqbV&f%=*WU>v;T*7n49$662rH?PKs+{TKcAY&rF9^C%g;l3zVQ4d82?ywg}e4+Qo z=G9tqr7#t*9{x^9@cvIGdk3T%K2+_*#G}m3I2HV{Qay&Fk zZby+ZSXp0hZtP8(D)@8)7*v?H4?euQ7B2x-4{r)Z&2Ba;Nn?0zWwonng}DhY?sSJf zIbULN^>pLrwv0lI@nBZ@4dUEg}9yjcchpa;+1ez%P6~N-! zp&&?+-5^mr*%JCPrtYEl43Ifi`gJytV|UqHo8vh)m`LNv%=yCX3SC^Z5<)8I`4b5V z0CA3lXuVNsX~#p^C7;ZQ)M>K7v;qI3D}3%Qm;-Jr9>T=P2MAx;#fK=HbK_)_keu5f zhBkpr3-Gsu8d{e*)=$7aR3-92!OdT0T;7_0kM%J*5KK6=aIA34zi6v1Q|!bJqcquo zYG`o!%yjke7Omh{6LG*g^V%`BQ|8`+b@QcBDYu`?6HfII9P4f@wYzi1#R&rl1moKG z8^Y~r55fWCYW^L;?dXeS`?GJu$l_#d<;^VHt&*veL}p1pBE~8oqIP9lyF0KhJ7yHu zVKNv)Z^38)r|v`K`wM2sAgfc=iWwk-qKbhpqGY)NB2)Ndn6yJG=M+39z)5jr5&^a1 zAYkB%Z5_j$0#E5k5YzZXW(aig>ZD>Kg;%CXHWH$A9KnFWhY<$yCN ziEXR{DQv||;o@TqK_}q2&8%opNt`VHKX>l}7*%!cjqiQt%y1G0axw%MQmp&rL4&q- zczBJ7WKW1V(5OQMiC&Z$6bjng3u0@lC_CXKMoTdwuljjE6C14f!nXR+qLu+7Vv9g6 z7HUlZe4xPfB~{4w@8 z9e9FdS&9}}7!P2WjHLq8CNX+(sbk?oM?E7lQE=;Xg>x_6&I^d}ZYM&=1#KnD72;%&LmucE$RfN4 z9cNy;IFUp#Rh|%tV+w&s$mx~OLUF{c%k{yp^pK*s_JH$kmx4YqB$h~qEmVo_^kl<0a3jgYxX?MXfGBIVftV#{iOcf`C5CZIw%$zeKwkszWTFLmC4X3KPN9t!q^>dAjOMMMS^xP` z>N`ls>kXK&loZT3b{3in#?kU6G0$!1HZ0B!H*CgSHDq8$&J?(kR(^`Z9WJX z)^rst3}EB-o1D_|Z}S1}%ZXIIk!uHR0Q(X^?$9vvk?~?TxNDZcd*keff&dM+X7GA< zf5HuZj@6rkMgynVsvyTY4y+uy148QHlUdkoo*awz%*!3TBHURZGUNozF>>K^?J8>d zun#?Ps{mP<8?L-kH;B;2$*bAl?6!0nPu!idKY~n2dU=`Q_PP|^!FMe!WKj|*0immb zK%g~AczqtAF$=dgGz__~$<@i4(lGT)qRu__`MIy%Q>9|#&?J#24)mS_fLxYaL`hbJ z2F1oVhxbtjWcv9#b*AC%1wcB_>aI6wf}Fn`%Ou>P0!PIMZtB;OWDgI1gq5Fuvc2`c zsCGCibls)s=+%l|XH6b4-SxAKjLnnaI=N6qYBl5GT72>k%tvBE8O^g9Bb z1J0gQsUnj{8W}zV0Y6p|1zsEos$02$f(6hH|0AYPAi*;fG-07f#!MzuMH`OqIk90B z{HHLZOV_kxZA(ymG;8dqSlo~yJ^RUQnk9^sr^F6dms$sD+Pb$@1Ds=sVyY2z*CSqVG`*y%6}jA}Shy zQ_~a^Yzrg=TMr04KV z)^y`QCJ8##5)DaFlFFGR5`Bmv@TY@eA_+_CcoRO=&LoI)OmO2V!J5VZrb>*ws6=vE zay-jMpA?HJSemVYP+J$P7Eged((^#_GKR4t*eP+|5oAY%ez6pSpzudhRUAJt=ZpXaqne)YZI`*GS##HjQm)>6W*77?(*tY(Tsc-M3dDk@nY%T7a!OcC4N&Y8y zooB7?n9#m))s>i{UaTQ+iTl0k)g%PpL(t6iBbQ{Rk)gK-)r-04KlHE?s{}paU6I_H zsUCvws%?*G*&40(!0kY0O+LNtX?&aj+1uB8u6lLedRq7Z{_O8h>B;g@Z|a%rBWosM z31)jcwDHJYnrHGsD?ui_ zG%v}ynEoEriXm*}SM^x6F*aK?skw!%OYLh@^%zLc>{kj-a2K{LPjD3oJ%HJKz}6Xq zD*$|2ec(bjaHFG^FS!`g)JW0@V={YXECN#!!`y2CiMRYm7BtD9u-{8E&}HR#!0F=C ziF?Amsg5?Yis){v*SzSK)YN&bx0Q4}_Y^lFYv@8ZXa6KC2qI_Ep zEe8H9Z|yrb%Y801vDFP#TVK%TG@S0(>6*N9JXSbrouMsm=wezuiG3Y^kX<vs~9=MRq+HcVrM(5S02H?dC;-Z z^H*ks;9CWdfqe%d#65wOb22_5q`WFGFK0MQA4{H(r3Mm`pUH;bl)NumUO`^E_O*DA zv{Q&hLXrTY^9mdEhU_G=Sd%>=1FULf10~rIb&OhkPO~(WrQA^50+uk~g>k7ESzN51 z3vnbFo|REB7IoakQ3p$2qzTGN=$r}3;_#NaSW}qY1j7gAc>uYMSR-256t&%P(h1aF zjg>G3AZ254bary3yk%I6f;Is=Oe-^$Hw|Z5(Jyr=7#g&c;B<$C;$N8|8n=jIbGd^Y zg7NnZs3w0i$nG@9v$Dg3pCPiXH-EvtlAk~@JJUe_yE85*=29n6p>t+q+wx7AM>ybb zQc_|gbfW~9qxi!eb`y0Z@hYBA8kjp!QecZE+WQ5UNqDWI-d=_7czPoQQ~1zJtU?de zz=SjtOs{|RVi*n62@<7&vuU^n2wq1x(im@LWfVl{^;Nuh-rz>8r zN01%*vVy0G>;=&g@qX!jG73^3bxNk9xSMj{jvRZHfFkFT$-8#H(>ZhK9f{>iY73Ldku_ieG&rvxN!F1Q(1YY<&8Qe zxfnjDK{5jF^O9s7S3axZe|_-J>N}v~@2JkbHi58hvBcd;M%|4TB&mS1y9o2#)iXms z!L16&L3KW12mp9dL0b?Iz(haL=h&7HMViP*>!;tT8p39Xve2{y8XmogHzRil8zt@m-Qk!Y! zI@}+9o^TA7q6Iml??9ad(p&l&+F<2YWw31v!Z8?cC7abf9Z7nwssJ}jWVr!Z*jmrg zUHN=CH3ZyA9-j`F-e>mHI*zt-iw4L|uABfEV3;PpK%|5JLg!K^Z?;D9s?Yn1upfl5EffU%EA$R4a$DKq&JIEb} zRiByEJsbuhv+Ky5TJ(^}Cm@5fr)*88*A%*3MdsMm*p(^F34B*lra3zohO!_e7#o83 z5)$Ig38Ih`tXodGMs{!8&=ImN>G4skrPXpaD8B+@iD?6Os~ElomxGTB40@^vnC0vB-UpiH61k zOElp^c}fZPMH5;Y+@B{MCYRb-Ou{A(`DcchCULWmmPvY0r^E|bF_9ha(S}12T)~Vw zM4?3tr6f>B%L}4rgwtw)bO9>1M$@-c~&VNl5Sp*%uXKZHRRQ*%5()F^UH?fsRNHA))gS2J2HR1YHUl zHtJ~$ijeKYs~Vc?uOCFu%M!Y9d~S*Tb7QK6ZSe_8E&$1B%sHZZGa8dRI|xL`gngJ> zrq{{gSvZ9{o<&NSAmQ68#su|-Ohfi((jXV2xwjjz!8uef1>A0Z(6p}b`duhpNFPNL z!9tOfI;Ib%GVoqDG8t(=8%Py&1O@C|!reIgdu#^Vr#akO_Rp#v{)*M&^lQjUWDg!r z$yQRVMlv>oDS<}?87L%f(7vYGckn7Yvng+NkjNL@E%kZk;i?r>VrbC;3k*6=X&~rj zN{V>rji^GnF5`aI=n14RExhD#tk9WH{JLP_h!qNod$${?p)k;}6cjR>Sb34=YUE6! z+)xk<(X#}jf=POyDJ>{<77h>v$V>3YVX^)pzJyawC4RgNV_PztJ(?1(0x}ET16EXv zu>kCp;4t9^h4u;BnSBgHst_~0+jL=2URK^)DDcLWOv`?99;&Cr3J5#NE^8)eA`F+KDaG-vMp!*QPpm%l#$a0?%2Q$R@q4h zII`2)Y8J>Zr$V_+<$f0H>sUD_bB?zZmK`l4?$C&)avvLiO^ubui?0JRtf}6yYh=hz z+_$88VLLA@GeHL4E^!0ADjmxKlGMrKX>B56s#j&rg4g}2^2sc=ttM;ANpT%hNAmS^#-w*l9O8O#SLfmcE+pI_i7zYy71hyEG~?gi+-08lEY$H^UUk6 zcZY8HJ(DcaBDa%?Ik1uxH*x^-b<+1=?Y_P9bKc6}4bue#&rA{m$AWcz%J32W{B|5T z>-(pR=9uXd&+bY2(uX&+v$5&ny|(hQOLvz=%=QoF98THO(#A06I|6nt-rA>=+(`l` zoS!}kII5h!n%rL!30Rw&d~%Y1bSmdayzEFSC;iLx@lDgxSEUd3x$Dxh^ivn6+p^NB z{@1hg?-+nReeJ%{0OZ5XTER5t?oY!sgq7!RwbL)iKc!h80Q8=`zm2VF-#M&o=OwAr zSxJe{WO(ql|AyMZW+^-)T_NWbaBIy4@36F$`@ZkjFZx~?-RI4=oO4eBEtjQAb=$fx zk0pHR8oc{B?KqzzFHohqO<_OV2V_{(4sBfJ94+&>o5Gl8GgKnahx)w6?#tc@a9Qdn z?oDZSV|#{dPWNPoS$ba%OXQqVIc+M^m;GWhU+|$n6=qt9FRiZALRf%}_!esjv!{)< z?P9=FIVa<)Ppb5o6r&UBdG_SfP3S9f(k)i$PhcMAr^*m98BQteDfc^2B4;r!F3G_kzZ2M^67@2b{Ue?C? zOrD!QUNbG-TK2Ag{+I3a?KF~S&^UZFhnCC>t}`x zZq04W;&LQwAo4iTnCAnCY}D=pAw*6U`PkTY_F%g&Y8xO^l8m^07n1CX9BH)4cpoma zSl&pCRgolQS8R{wYwqb;=Dgd$ojYyAocVTMI(zRvIi-ErMkUVOp(@yd_>O@e8h<#~ zKe3JHmzby>jwo#+s)n=G4vpJhM0X)a7o$E4Q^eY>b<&UC2+&5~=7Zk89esA%mre2e zBCMtI+;%1MB3B(}f4Fc{d#Ul$O{g7uzqp6JL#|>?uyV)cM;rT$mD67LPrlxqmBoBV z{S8Iz&oHN^@{wSBQ})|aM;uOFdc|!SD^(*OC?jMgLGChgEI9-_?UGtr7mD-@XOPKI z%n3w*hY8Lin8PmIG-r$NmtX1gi)X#sp^wxu;+>LYgra3BfJOS?Rnaq#C*SAihjpsag~GY%hWq01hvD-DSh^~1yN~S zkn*bC_cgh_tXFTv*9HTd*f~41rXBy@v~!Ef-kot*q%pnvk*fK*M;MRJncU9$K+1<4 z9X?%Wp~5QL+BW=&9uqO>Qe3k2+*IU=+l#jM+Vo0$&EAbywXv(x?PcjLsFFVWwSzYn zTadxCpxpTcf|n?!8D;mx%=tBQ>_s1jeEp5wX=8W32;|c~d*eCZ7`>>?cBSEK0{8eS z!>sLZh^eNSJQX90UKlI1(a2((V_kZeA0vxP7Uj0l5CXk_kjFXb7mE*F#|}aW$T=wt zIBrRAz{tV@h%WHckR(PH0HeJywtbj&`K85GaS;#J%(oZrdOZhVv@ABpu_`XbV1~G- zW9U-_?%eF?ynWVymwHc=V%mq7>`JMAue00PRmWok+l_DR@s+9G>*rsP-Wm8HrAz({_I0>e22jds*e{ z{)X}q8^>Sc@GJK8+0oWJ9lU5h8~s|<+-ohQqNKSyV7f*ACtE z)&^w`XOJ;|`aabT7G9vvj4aB=-u0$$@2h>%EN2dS=qyt^Ow%H0WFf%KXk?*l2kj?A zk1Q;VEYhidx^_4}JtAkTamkDAmpp4>WN}q0T=8D{B-Z=XGRcf0x#7!jY}rmN6Ng*U zC!<##@$YKu1E*e~sCM{0J4xj77q|C1@ho3^G>~S4S8SR_Ba0jwS)9Vi;@qm+a^F># z-W5+j0dD3y*}L6fIjPLZ;?*4&{hS@~lJFW*^c{ATy&Qkx_VPXHvA=%Jmrmc0k;U<* z^VaWNsJe!TdV0dU@3VM3@_XHP_{ULpzqzLiDfHjEus^%Vd)5*eAhrY`s>%OGaH9a< z1_jJP*{p~l`Eda3WTN@VK{g+jD7Qc4l?vp=QvEtCdZ{}bA+w@(#lNa}TYyIz@ zJ@i58YlrXo!u$t^48L;w+JFDe{%?=j1C-rA-Ff$a9$s(C=O01-?Hw5u(ouasSbJ#0K4Z-GwYU7> z_jlgC`+|EQ`_zf^YW~lG<9}KYOxQHe?|9>9_sx9zPJ*RfaVY(#{~WV-?E(VdC5Yie zCl&xsckQ7x&VkAMqeCzBz*_zFp}(BkyY}s8zkA6YTW(kguY)qkhhCBoO9^ywo$=p7ae-@z{OB->~n`eM&vch`Mnpt1|jqJ zUNmhjKx~Qp@)07h)#Nmhk!d(TH(aC>;`DX8yd+HIHgedMA{ zIk|4twmTQ>`TDZ|3&`7*Q_~k6{`Krzel~6GzpnZL^#1yavOlDOvU~rC173k31-0I< zotU#O8+yKe=%-LO=TT%DWFt$zvF`4NUs^SgT-B|7Yva2C7tTGf>YWh8MnoDAdSXKSK%{~_E=dUw)0!hZr4JCl;HHvC3j`oM~b<6^{ zwDWY5z&{1B@3%r`E8}hBr%VFmEgCx+s#_>L6jGg}5%+^zZh%$LyRx6@x?IGtt=pG6 zggC;;3z_v~$LGCJBcfc2WD7zZi*Z%;x88n$et|AX2 zNvzR5P!fwkvwIWGI7flF7yhKE{lv5>!^qBc7gDzeP)6aXL>+$Gr3lgRwM zSiS&`7b&>Jy;o$BtM0Av6;&fIO<2ifzX~X5R8|dXGOeQ60A`zYPE1|aXsQB^56;c+ znb$H6?8`*8l6F0k%#IExpP;yao1v%ErC>wQ4-X2v0NLQmeCW;DtNFy;6ua8euIk2J zYu4fHEM#)cr;|*j%2Z!1@M;H*X@p%joR1CrGwwQE10}icYG48NkPW~m*94$mc_;*g zBp``ZA!T2(q$<92nacqobd3#YGs!08JvY>s>@%)$Ia5EpZe}=y4mXpmJkKn~-Uhg7 zsaqVo#;!6HBj{MWf3p%p0S3FsEeT_j2D_14?9D2P%^#f-Nl?9{P`v-rW)+QH2L%`M zl@JoF@Av8$vN-(uvs&6n}jKG~}&i zOsaQSWYBcxGJ@(wM{>C!O|%evgaoiIO48u)FF;ju;S&KpT~kBHdOq1g#&g1fq9noX zkz+V_d>%@a%7yF!z=);K3W4MVh_>kf{!4uyOa^O7TG7hv{xByQ4&=Idrc*5mWtXp% zsj5b84w8W0);K`kE^}r9$`43*3pri_F@tr^c?lNDQ-F?rlhA>=69X)*huj8Dwcern zWDPV6xn_N{b@RwLl4y|C)0e1bu(FZyzgiYND0B2Gk{0vV_cOb)@vnNkCK8 z93>%j$oVjfla(cL_@us>%1IT-jx`}qlO_46St1)kb>tI}Bc#cHN+{?T)=c=jJNPbR z12=lQ$qI4dE`B>c0kqm4+i_}{N2bjvSdtQWa)hY zi?v`P2xftaDr1U!!LK7qu?o|0uuFJWkf9|KC3u^FH8$vrSutXF74lUW35K9pz%-;@ z=O3yh2zXHfM=Q(E7MclqA;MJ3w#)#lQ4v%t2omE^U@NHrB0eT17bM1|Yo3k;h^BCs z2_OdPWrlJoz-Qwk{$t%e6Z!@ylA;4=O3|;xgdY8h4igclHF_Nk>HT&;N*2dyZ6}Fd z+v*mI6rE%?PNyhqVJOR_afcoO#Z&a^6_3_zw6F;#WR(;Vrw|O=v`Ph4xJiU=fk!32 zJkIuEra(}NN;C;!am~%LK;U)}B_bOIIhF!m8pbiq@LCp=5z$0SOBvB*xr4Y(Np@p1 z6*#3ROHb+vn!J$Y5{_RIf?ouZ9ao;Ms*@~8O@VU?k`5ksF?}bG&+u;r#YEJ^MO;A{ zF$Ii+FprP!Ko)yH!u#pG0@($)T_=r8Fhk;pWks-ZD~ep^^74KhRXeL-BEkFuGO;f@ zZZW_`8wOBN`lt`WE~2-n^vVwuKn$->2u-2l+r_I8m+(nv5S_74cjPq>3(IS?P|0S1 zPyZJ7Csm7ogBz8-htrWB$RxN* zSfOw$s~wSq@!-a71Us)p)-|Lz;2qI-K!+MAyY|u~Yij5P@J*1*BiWWaLpR25l8c63 zc0CdaUsDZO`sB(aG}9=%-PojJtRd zWH(GeIeE^h)IXoY|$OmWb%pjAX;p#AlhK)$;QJO4j)EW zH5r(mW?^;;=ZteP7=;Uchafr$bQMDK+(IVMBL(>~IMU#5O&@CZT_0RE)2?UC54ci; zx;}AKDsD4SH^$n4UFK{Y-WGFtNi;Uy(*!Ad{V8;nNOm@Ul(-LL5T=I03M7f42uccM zI@!n_a5w0@T%AQ$w*0L}igMa}8o*7@4$eE0#5p}X{{VTzKg4~eY_IRIHG_5oZ^A;- zLXuVv)5=kKJS%x9I(wbm_03(}nvB{Rx79aGxQUQii>;f%jnWHj+qi0Gs9VruMD2hQ zg|teLWKDg756!MB2N@pYj-w#5WDQ?A z&JOtNhlXc{S)RWD$gJ59K$a*e&!h4;=)SN~;KH16c`mxk&dMrezH;!?c-25j?^5>* z*eV_#V><~|7r?*pU>22D=7GU_9%S&4%$go2$D&Ak5Uf&rFrHPV`}5>>>ZD5TSng#5 zhyQcyxDrglN&x#NdMovL#+trZW!Lki&47vy;CU~J<9T;~D&b*l@lwaFnR7xQ(*qJV z9X!G4>7w+OOn}~+%rFj-$!e5F5?Ylv0Ot)D`rz9S#$#2D$TXB~%AF<%nP9s~7*J*Z zk-5!O2g*A5yZUBkQV%_DE4HsWJRPzvsP8@45!BA)LHrnYi$IkQ^G+*OT9Y%$E(hkh zfrk&Wag~I?u@M`Zg~xH1nFU!;2;`LpWY;G!lFQ~W2i#N#CS$-?X03C9EIvJN5vng# z1H?_{aJPB23})lORl@o?9&8#8+D1Mau7r^Y!^&g>C0WmalN(y;$7WrYB)vUNI-dqK zT-z?1YGEGVK0k29;pfTQ>PhEeCqdRBfUYe|RaQV8z!Sh=rV{w{kLRKX$nm`3Ns3@y4IeNh7>ks1 zg}Z2bLqI1XMU$oWp`{42B@(agcs*SR!ESXCykJ}a{TRo0e8~HKAWKt~B5r_zMRoex zFn!K+RMI8jBBXFM>A-?yH6(Nfsblo|awdX{8C#g5lrkZ6-J^h-0v+%DW&%Ydl*12o ztue2GnCEvKDWby0P+X4yCrk(B{b;W;l|q_uF9HptJPYVXh`~GKG6FWr9hs47Y6~Rs z8wv-i^04J6Vx6Y#zzHtF!3sj~U#lS*zP(f--6_Y67AXRjkV{r*eqk}_7 z=(^ZJ`Ivu6hmRp#<*jIDKvh3Be9nIm@|SH} zare8k0Al+tLB}p2**|#eq5Ma%VMaH-AN@VRcenkFxPhBHr8I*pdg`%Ncdprf{Gqz; z+$ZMstzUKHDvgI)tA$*D@ZQzC4`k44@5{d*TZ6oN%Yac+-|IlDy;_6szPF3G$4muU z?R)^uHa8zxwfRTCL6W21eRmgjQCUsfusrctCo*eB!RFPK@620s8qn-~4}w(#&2D~z z;9WI$Pq{h7K|Us$#6h2JdOe9w6YZ^nl0X-aa=Gk>g`w`SYA z|GjMSvOC_rdF&`)#5PYo>0zyIT=!7pwiN}(Z((v1lKc&_E)eiH0CKmj zLpIz4A-l#*{l$URZ)%`f;1vAqJIfY7vhL?VHQud(l&3uNSTV>?JXA+Qe!eUJ+7qk! zslVL%Ov{(wUbSUie<*yl%dM`P}$w^ypV zbAR)%Sou*x;K7ap(Ovc@OKy62o$fot@X4uL=g>E+|3pa80o`O5_DIqV{luyoI2fCN z#;WH!Ep<-w2H?|SE0+A&0DPDI{*8vkOWYMuFaYvBRfDUqv-7RlGh(x()9MG=warC7 zBe-SU0FK>XAlv6Y50mPb?^M{01em<%z(Pv0o~(QZSgS5R27VnodjM25He+b(cV}SJ z_J=0d*N&==UKh&Go?U2F&z{v%lWczKF-j8Kkyhb5-u=Z{()mNHzt~AFDmUwv0#0`T zChCS2Pq4Vt!V3kfUvf=d?dO3JYXdsAqIc+mb95Rbe9RI*)%31hpNZN z2QX`Yp{(BE)YXtV-E;o$M19T2Vw4S^#A25rNi_8Uq64IE*otF=U68}r4rmpC2{wn8 zF0UuY0&DO9$ri13SxIyAl1T$a1&d9o6tmyGVp*x|LjZQE+pwhgLH*iWB0UHwNo~L&v@FTq_ z1Vajv^g5f~vEvf`QrA~WR$^lzfy4S>mdT>VeQ<~5=wh47$0iy}i%hXpVXlH^^C{pc zC2pj6JeS+gxfP606kjuHcxXUK1$YR3PsL~`hCugBW|3eZu!xnwzgu*dS`yi(6|l9s zk_!-|sW`?Q&=h_8CN_vg4Ap|uI6kix7XUuav|Wn{jLaE^g(;+ilt@&l%Vwhet)OpY zeuiZ%QqaUX@{aB@Bn}Mc!Vny3WYKj^lB~#<@R?)^^Rbq~C5CTzD{xWaPr8be1jw$t!&K>v^J55HIS;UeOs}yXk_F`O63RWe?8cbl`Ati9y8L->~y6I(?ieR%3eW?hd zw8P-HuHaqkI{(bXjGsNA`wo(!N<;-s)grbL(15L|w?9@g8u8O**JYB-WkYn5%*mBZ zILgm07bv^j$PXvtENi^rQVCvv1s*vP5@MrMgb~YsD9b&)>nlQj+ZP%%0dGdlntP*U ztjnALHspvi3)^>SJk&a@c0jg(Y#BFV_#zrsX1OSaZh)X;jX~Dr3M)43-V04WZ;m&NBp zL9-Ql8~HltXT_3s3|*nK6o`~01x;38ABL+`I4h3lE>XD87Rk+ibV+KBk%9%#DYGog zfn$fAO~~I@ss>}a&;m4aMI(eXEA$;g{cTnij!l&u`?+x802-Ou=y_p{+AFcuj>B@b zf+c>KqYBWan1nZB-ghP{r=+G1v)A>ZheZfD2n6hVSu2IfxINp+o#S`dGjKr?rPCYsx*s9+a=#UYxZ;xjO%c2C2R;7#T9niqY{(rR4Z1-bCO$FIFHf zLpNX9K$JDPeRUN5gy{#iI(-=YRpW2Wf6@@7RH5&*<1%?5hXJTYOWM*FR#w@zRhb zxiZS`?^hR80vNG2YgTqVhH1&@SjE^de-KAA4~-?r5^ZcxO$6Q*0XZOVj4~_5{r<83 zup7tgBd{{=SI8d06!#ZN8yyH_S*;y`kPKRFm+?~P{8_A|Bs<9PAR+jIz~WMYED__V zW*G~h_GPRAj&+PEyl8Si%@z6plx|@oAI20wgQ3(p9Q)QG8$zfQme>haYEJw^t{gnf zAsmE?yg5R_iBdJN@tmQW5T<2uvl0266^hS6KjT%%HYU>abZ0>>M?UuS2{WZ#9fM;) z#v3O!q)8^zU=A0jaZ`biVEJs2S>+dW?Er;8!5~gg%gUA@A0Ng1%JSA`pqImu$=ooGkh64$W zhMm0>@`Ksv-D8LmGltffEkZC?ciicfF;Y|My9_jn5^RQTRDjedBp-sok%bS`vMVIs z+!3g2@Q)S2p}3_H&1VG^we;P1>;yBl&K)BQQc#$@#W9P>6fzf2B=bA8oPpe_U`8B+ z9GayMN%)Nq6*S0#NQ5Fv;_nR5+zWK8O)3ggp?TJlY@AZ!eu4Z(EIY4J;0O1huY?FQ zYr$8}>~L-)p5AM1X&`S5K{<~>2el;hhJ;yOu2?z7Rwk^#OJ67;QYwakDwu?!cwwI{ zNJPDlo9T=KS#Hz?V3lRVCX>Ykkty6q7qtrB`Dgw3Mqgb!OvaS#KM2IB@lgN&pm}2V zcb(*FPsny4;l8!vb2~SJe1ZUSuU<9nz>(s^&tX3L7y-6^-XjA}_tkkn*uLz}RltXR zBd}sjERCsTYzdCPKWtle`%5r|W|%7v5*Tbo_Av+nc`;<)sk!@1oefeqz}ACP^07HN zP+zeb{E8#bZ+qjC{@8CF3IfL!NVxA{viy1M{=Q<=lq;7(?!Yngk(}PK{`p(iUP*|u zX^=Chue3q=^GR?ICrvVr{SqnJGfAyrwblgt#A{&R4({&wvs12IG3NSTTn+B@)7Srn zOu72|+t!Yne8a*~Q~xq$-5lsWaW!^NhX*&Wc<7C-1A#w#4KRPFKRxx;8-K8EDPV>l zxn$Deg?H>eboG6E-h~f(#cS; z+RMvsJMDY8xgGn&Lz9eS_15$Ukn@MUo%VlD3hp{@%C;4yQ&-Tl1D`Z~lIzBg#YF!% z1nwwo**R=jOE45~@=$icUCd&0SGAfBWDk$ir1Qk`Dgx%Sto@w8cQH_pW4xMycOAxX zhr6}dS-Sj3cFX^XuvqznqbEd%TCoEH=&9VT9T2Bo<-byHluk+x4Pu(Qb&*rpGT_Io z#aL2ZX&CXESv)&-ep9Ow#qPLJytOZ;I?6*+VUFiPB)K_6jvYj>v_Nj_=2&l@yByj; z!SQo@`nxtf|gBC)w57@OA1dHQGMFirxBCl3&)@N|Ra25SGmo8pAJ5o>!u94GgBqjB3_|t|2%iizwU!G6mh!XS&}D zR?c}jde7*1VkklZFOt^Ma)w#!K(x28Q6SI-MaS}jyrv)vmli={9_wvdPLaX0vB5g0 zl%n9U6b_I*fqoD$$_X?vmY_HV8!6IMxEH*RsL&_MBXu1`CaRgyBgSF^j0<7P9AB|w zRE?=c^qXrRtgdaAgainFQ%Usoja-Y>vd2AhLkzki6yU-H)4)8AeXGRRDsY-q+Df`g zh=L3Q0UFLp{IQ^c1I+IVLPs^3I?yF_+Qd@QZ#1%rV3f>kh+Fn;h+?#_yXf58_etN_ zb&y@rGh*cAj%2;ukR%J)NlvAaio&Vxz$=eedS^ssMx&|lgRF0C#H0=c*sK)-?qv^P zC}qGY6@YKX*g;`@WsyKINEp*(m4%cD$#%F zgi=FFWkNQS9nsJJ@1C#e+ToM0=#sSIyjK(Bty!va2`4s*F9or)yqn-^a{*5UjEAWv zu9%JwBa%0|D#6+25Fl?u1O}U535?jpH=G(b;agOnZ|7nC-I89to$a9Iqt+=uS7A5& zS>qrdt<$XOPQ97rM0R^&FLxpXe77Q*1dc0L0k55`z7m3*eSCs$$oZoB0T2=nSC2CW ztE6+WmAu$>_dEAA4j5+DhgB3WZko>d!(69Gd0nAQQ^DAlWJi00iTsd{tnARFTQh`Y zb$bKD|4KF}H!9pUcvmo;r z1OiZ^L<^z5!#H%zlDt$4xshb|!(TXdWxhtjZP1F{+D7kr2 z^!qyJU$F;Q_re_;GOkLB7ARO(+ZeVs8`Hx6fH{DC={QJ-rcQ4ClyxW1(=M#|C;f5B060K1<8XQ4@rjyKS{EkyII!`Lf3PO zj#N)~)Wt%_6&*h&vVkj!rMfhJ>=4-(zLte_z=yNrIJmoZBB;puB&U5>bol7Vk;P--x` zFa@W<0C+mGQ6N@=Kfxr9oDQBGiZFw2q)B*E1FKq$@)!@a*}}h9gecZMQ0j=JaKs~8 z(OA?-3fMxTZ*NH2e!-*EW^cgTKWd16T_9Wg^wVg&PqzyNENl%MCgf~D-_f& zlFTfjm!xpj#1RZS;C&xOdI(a&6h)ZwkD&D88YbsAg>nS7pbnHd z0)G;J2uS7hAU%S21@%smW^yC&RIOy9qKh9aOSnbhfDbRQ5*)%s_ydBlctdan2L4$_ zj0sS21s5cKki0%9I(7t35vZsr!Yw~)Ur$x6`bfI-e@3{&`-1zJo?72U6P2ffs{RwP zKaZ2_X-KmAzzGe(sxe|Q1AGuK%Y%IPt5`q)sOsVWeFqf0qw?T#EFj#uX8r-ZDF4!( zgyVV)-$M{a?4(0?ejj%{zis`zwJ_z_Vwx4-HQX}?V66~BWY5YB857Pg>A7fN@=}uc z^NfG1=EgN$+~kul;}6{NP9g6A-u0cU*GzqN#Hy`Y_Lv2SSLE+LxNF_iZ)1vjHF4`z z2%Y4CUylN=ZAQqvmY%ZptoY)eX1Ft&oR$sSSNv@LfnB3+I5-!0zjIgJ|KdD%+ww8T z?pr&m`oyj=yFKnE@(Je8k8Q(J2AQ+^R^;W?(By3_B8+LHrHp-hVb0Z0dFDXLfgL_6 z1!;XJ8MFC|S9n6YX+jXgG(Ugv*APNr$C!wJX`cVoGLV<8d-E5&O5gm`ur)WlGvevP z@JZhlnE!_sq)9g+J&lJDauxnMbLVW_w;jYsEVs;CbHnPZpC;~~ug&PaVieX{P#_@S zKS;#SR=gvQhJ)H^>m8L7M-dg>?7H+n(M(9(C+67HqUnKFMe2<8ka? z&z+`IkL$j}N*dqP>tT<^9s6iZGa3o~1KbljxtlSh`Y727Gr&5@u>xRm&}7#6ON;P! zPyO)gzcLe(08wXAEaEq>ne7l3TdLlO1H7!-n&*4CayDwi=YY}-*=ZWNL0-WM&)qsbTX0zO&$6@NX{RykSxVXm(aIcO@lRn;6%!c0a6y?8R8& zcuYgf#mA3ZGFT3E25;G4+}c+bzFZrc*m8fU^op52d`SZC*?zZga%gjXs2Zbkt)SLq zKbA4@SxP`>+DflLaO8ZHrX!Ffum-UN^M#Og?QG{E0NJ)IjtT{ATU@G+Rg{-P?#h@` z+zK4SdT?WAh`4;zJd56JfeWv&% z#lzY7$YgP}Fx9vAdb1Ve--qJk=N3OuJ{w55$wD(PRNb=p_3N&<)d&KncO^=eX(Wn4 zzPNSi=a?K4ieC|B4~?IT)r~pDem7*9k70g_P>tsTRFEb`GP#4*nOM<)b=OCohsP%c zN>y=debu#YMiZ;E*y9fEL9cv&w#fFTPYmrw{msr+1f4Y9W&8c$On1&Qlttrb#s<-g zaIB`Fqo*h_m@rbh3y7Dmv!l{)+G4C@p!mdG%pxr8#RhX0ZEuGED*%@5XTHCC^zP9->Zfw;;O35zX{VdlL1T7J#BL;qunf+=0PbKdYkA z2u#jqaZIm3Du<)Zl!d8`{yc^khAi%wkWIa9Y-YVS zt6@CCvM?wO`nlaCn7PuYIuZs?w~)z8gGOW=InzXh6!Vdiq8s05JQ|$)&+R*4Vd5j( zgaoIWSfO>ohYx^v4TTdoIV;sZXY1nWN!N`JYJ3d1wl~qM3jASwb$Kr^dA*t#UkJ?9 zA2qI=m2}wa$wF1%FCUkz)YTz=ozsjCh|gV|ypdYII?NYW&(v6}UcZEd*n?_Rm{8qI z<=i9`Y;LHZ?le>YWj8PgvVjx(t;EF>X3Ww}#W+H%1xWoLL*Xlv!@`S5K$Fw3#J;z+ zzQ96*I4p*JLc$WwJ{lJ!2~EPWZ{h(FB|kwc;?^gGstw(%$*>Y_WH+CjLu6|@x)q5o za)*!(?kI#*IH?Nz;?~7@8i)*d*Xn3GxxVh=QU7OaF9-P)vuFD`SjDT~i96-$5L4}sN#s>C6^S`M4!WdeVS$;Gd7L%yR z3v>!NmBV_WNg0r05|j->ztp^e0ely$A6AoD3G!H6OQ|m^i5b!1!<(`u*^QR4i(G-U zIX7`%r5MI4zGk;&Uy?U+>;@zca>GJwKZ6&L%b5uoFabs=L=dEI<#dz_{o@@Ktu-K+ zS{WTZ{UACCP?Z`-#q1)<&=U3w$dHc%O;_P%a{$f4PA*~$O<{#lU}R{@un&!3iA``O z7Y!+VGm7^S%p#$Luo`_STBONV5|g|(_RitZLs+arQ2Xfxw1Wnv*cHflNW@X$kFHX{ ztxO8WhBmMVMCJEOm@)odvjQ50j3v;d1b4Z_*Da8OJ%UFNph4R+g1#y<>cDuU9D~zp z3dIE0L>Cp^Qk%IMgi7Kild`G=aU&Qo(U@hbK1Fyb+Q1z(=vdoQx$DF=b=d z7j#e!39BSx!xEwbWe9IZYqikxAanHs2C*ZUAQ_doN{dh?QIJpF8Hn^*52d&M_tXxe zhvVR2FVKS};df*ZVpvU(l!FwtS__mF(2OW1$UA{2JjO6(&WQH^plENR| zA#nvYLxovH^+O27k?8uqt`aXb>9m7p^thowCdiJ6_uHs{a2c8?MavdEOMzxr2$Mbn zkcQ2Q@iG$jlYpcwNtdK$2;6~c0H;e;Kwy{zMeUN>`=o*`#o@;6kRfq1gqHB3cs)qa z^Gr%K;D}{kX4MEoGQpGqB9(f2FojnoC{{sa@c=%r&<|s)wl>$mQi_sbvM}J#nWEqu zx`%{7Jskutc|j8SuLNAiO%{h1j8)*Tn-DFfLl9ljfinqJL~ayklt;)6d{fIFC(EFf z#hPeL)PP+vs%G-*et_@3QeL(Q z0IDG0pFRp)LwCWBy$$lhbZp=-fbSNLGM#hUE;*PQeJXY0P4>BTY)p-{m1`I)vu2j< zN@E12X60-^u8ZqT5hp}hKxB=0qTHVzf6Z#^@{?jzOYyX$i{7zo?n-^IogI76clV1v zXi`StyFkubsFAZqyyshg)OTnw-@7B{r#tw9)P<8%>DSo*I%jPAq*xvU-fvF&zt*Qy zWvLJcR3?=bphWe3FNL;DLpD*3ktT8m7>)(jr+1d6^3w#7H5NE;?O^B(TJJQ-M%f&e z6YqWTv#231nwk35FIf7=zV@5s-G9nXxAk7%HljTh&?vivJX`~m-QsCGzmz_@5FT70 zPp)SleBgVl-S@$6{#IL`KhL@7zt}~94f7DcR>M_dlquTGg((12VH6E4EDs1Pr>#>H zFCs~Az9xMPJ^h97;DXcz?=p6R?Y+rJ|I)VrkK(hw4^m?e??@kGFEHb^Ij7zK_nt2J zAo2ASe_?yg3#`}nZ}w`#98x=>>>g=rPx+2)-%v*AR%26q4G^fv-@jg;f?MMiao?#I z0hZ@ax88dzaQ=c84-pKEG+z;^G zw|%b+1{@j4_ov&+(i_bMJ9amOFHG;t)7;s-X7Iid^HP`IoO%-Z2t-+xU_M~-0%aFK zv!*Q3i9xnxKH%o6I3db%iQNOk{`5X{FfUKjCLX~1EeY_u*wHoY$ZNh!M*DW9RoVLh z-{o@x40{a%W%o(r>Dvg~7HG9EG+w$Ob>8u&4}Z-5Gd=dyZ6c2Y?>C3g6j3{rj%a7^ zQL+GZ&RL&QZCzlnx}bGb13}F#Kuc?k*dDcm);q1iAtic5!95S7mMQob`HNq%Cte$S z@;2Y^UPRT9oj%SEQm)`}sY$Km9-~TZ?dery&Xx}S4a*nq3+1@r{ zeQHFCjAx9DhfhXf{*by~WmG$WtmoN`Giry7Iq5cnFNOzEJ4|8hN#BpYX1x2SzFT1Z z@!&Ce-oaFQkCn@O7k+%TgYQ*B+07Yp6}x!!=m*-gtM(c90~9yoZ=jg7!^an%QoiE_HjFy>~m?y45$V5)iw9E*&wNm7$QdvB~MT)2D7)kbWS2?*naPrkr~0 z^7LQM{qTF!(!XEuyBS1Yy$oDuN7)?+gx-`r;wlujR3+8)x^~De`yW+1oV#219Sr39 zCl^jf*~Pn$=;EbD`q6#%@5p0~zDo%8wO=rI=mr)U>3_q_jigd|P-0LW!N!07@v7d=Q*7Wv4$9Tn&e`iMK? zkqKqj@7rOh#(`f3Ca=ut!%DJPYuo$7*^xP$P&;fLBd4`VronepBUw`sJ7?ohwir(n zwr%#aPn8{$eK+m+uz+2kUihWacAl^AD5Gz@t?Z-(bZn00VK1WS^!hWCC}$nb$|_Q( zqjo@1#e+>v6A)$7?R-96>6HrKjj~4?7I`$9{tgj_mt-YS@wOHAmPhTmRe(zVmJAmtt4th^rVMJ(|FG)9vg}##N{t zj-k0A55j+Y(pR5)mM<8=zNGwRMDCStOMl2FO-q#>^^M-t`^jf>PQ3W#cWchOx9#!* z=FdKoes}5ooQdiCCLZZC<<#oS+b%r!!&TFKI~V+J*Rnb6l9-y)tsERtg;mE$mCAm&tgQ6lTb20(UFChC`Pxkhs%OB7{uv* z@rYv>D`ZW{BGlJ&w_bX&TsH4@|C2`UI^)3n^V{BV2AlUEUvz%EbZ76_`$YNVb7^ET z;D=7w6_eX9+L{{u+s5@6S*#@RT^d=m05^Bp&5amY=-L5Lwa9GZjszFJ3vwt2n7l^_ zXm+4eSn3u}eF`HB^}&vlW7x4f#@_w7Z|7@b+A+~a;JZ)|AhsjQ%G*Em9eSU?C3Dt4 zb?&>VG4DQf?w48c^08M0V|l!9wwXO=)cTWE=wfCEL%NfIk;NB|aM9EW25acrq4#dj z2idw`j9~@-pPzmyD^ojouF`rxZfyU_d1WX6A9vpZ7*%oZKj-Y(aI!3LvIJZr)j4@+ z;&XXeC?d=*5l>QT*NB)Zm0d&&+V+Cj>R%CN!`UUa6eIGg?Y$;8pjaPVAEgB?3r32H zkXkJ5RSdM+%Tr>}+Dah*-#2Fi!B@S#w(1?oo^!r4=bP{QX3oqvGiT<!>wtswV_XUAYEAZwk?sf7cB_IHD(XAT!Ek5b-bKjjm_fU56<*o1ZVeh;( z=AF&H9Xqs_cJ|r+;IO~3A=yv?q3o*I8h;l(3o|9qvxqQ)FE%%mbdTV*L~s0r0Cmu_ zfCc5=hPiKx&ObA@PON*y_r{ZfgVVXcWB#9IuUNy$Z=h4;+t+czqaXXiP_;vWsvR!c zI;uxIkWA3CV5(=)7d;Cy)sZnBIs|;=(4UnB;XA~?{_yojSE0{E4SDCE(6jJ$HXJ>| zj$Rra-=g2&Y5uga&zMyuL-Z%lY`y3W_Q<5`I}Y{k+)6!*LvK;fqP;KmEPSeG(FyXY zeQuAi;3IJa}#`R`;qnLcu@bGgk1QtjaXzt*!T`?jhb{>Lc0p9sk3 z%N{20%O0+18!%%DuoalRIgrmKr@XwnbHmhib2?XF_vnAGxv@4c1)$UE51g`N_44fQ zlfT>cSh9Kl;n^?zY4LsE?2Hg}>=EFh&LZg818YaV@WxW0?4GxFQrlzufmRFNx6V8A z?yMbeoV5Cdzn%W>RX}}h+jHx;+Z2wgf?$39Fz{!AaO#1op4vp9sz-r83ouy31}5*E zSKIEpx^(xgvvL9=_OOHh_3W)Aw>;QolkXlrZQtqx?{2;mWDlA3ms=tCoWs4h0{nIV z+SxmP_HXxIhy(5)INaVX$$z_d^O>`E{PKHcu)({dfFQeF0k+Orf$Ntaets~NKLbgA zOYT0Ol7y*dxBbV{WD~{Y)jhYK29({>1Bbr5<4->tc7V`obLvd;VtaC5yXLB`t2e*8 zXWzAL!>$C5?(7|JEFQL5LEirCh2I=F1PgXPcHpsDZAYK~%fa6ucRU#2+bGEwfL5C~ zclD9OH-*N&y!ziZY??j0ZJm!l0`fWEha}$}();_XANuQlPr$Db`3{h8dUy7f>t6HJ z@oX}C0m#P@Ww+)`b@Yzan;$DX-21B42cRVZ0bG85)dFuJ2+E!n+^uXF&@p*gSkM3E0p!LA{vwyX^&rc3KtC+lS zc=|2Dwx1Eo?sq3W>TBF{X!eg!NiF%-+UaXX-LrcC_C2@W()PsHPXE@S(H+6Lr|<7P zZ*9?}$M*kb|1ZvI8}ijhFWNWzg;CGn`OqOsa@Y$%*`3%nujjo79-F;m*7J|;Iuu`X z)~jBo?RX-mW!vfl`$)@S51pVq$la9hDkrKy9Jk4*N6wY+u}3=*+OdeqNbwXlKr`xa z@`+=AHkA`o_c-q|g~{9GC3&w(Qd&mpv}z?uxu!!B*XS`AL-AJOB>;mpml-mFEe#%Z zU8CplXZx}^Jp@qIyj?bi(~FEoLj%w(U@F%0s(4GtSe_)L(83H$o#v@i;V^szRA6gb zxW;gU{_^Tj97$qwOoS>I!vl9hc@j*fUI|Io^V(<@HnDvgB*_@J^QsUnbD$)Prlc?q z4l>0i0gXE`o}VppQ#@sp+%CvPAVWah2NRoZ!j{yo*63&Klp_;;u>(rmC({ z%2&fCXEkw0Puy_L?Y3X*MR>m{rjlGwu}=cap13x$$JOy{LX!0TfWnp&(Bz6qijVWO zROEU?FLoB%akHt|aYevQO)g+EWGt(Mz;}~P5{|3urKIHx3rlaWYy>nfKGsSXJ5mh3 z5Z^j6r@tX9^8t{HauT&o#k;Y9iIWXksv*gQauzh zvvs$qVljd8W^#QFtdi;z%mt#mrp3j1PvQi!C*^rETfJUVzWb= z5Rrlz94CxMqN>u>|g8e^)zPQ;;^K2Dq~cjFp+Cx16*g5M7~u9$+2(9gkm*Wtkp@65kIW`3(5rDV3!jWCQvpqk@v|U)5U|T6AaJ%4 zo4zMpn*#=z>>UI$t}fk~P(HA&g=`X!WTZTE;26Yiv1P)rhP)M z6fF4RuN2w$6M2hOIf?f8i&s0aPd-SGB^|t;D^~=%W~%ch-aB=%)9cgaV%IZfAf})> zb(e7ovVrQK2^PgKea~d-xo->l>8ufVy$2<@V1fZ3vIHgLjAoPG?C zE1h;dL1KPnAXb9?l-PONbdOE^Xwv?8;B+dFvDa`Ds{a6{8EzPKkLl{ZMhh>yLIdoe z*MW`?c~D_7R!;iE9QZ+W#wzIpV~KrwF^Qoya85J{G9AB0A|N#IIF9)A3)e_efMk%` zmWGEAunrWK5$YU?aHbQ};{g|Vo*yH0*9x58ACNf)afsqGCL9D3j%g}^(tPm2tpEo( zgcu|jKJ=hy+%mG-?LJEe{Zil>axs>rlRT6Q1j#rZDCm^YrkSUWgARUfXp^xMS3cEL zs9u1(iX=%1foB$}XGs#RS{Vi)7|3o;OcIdH`w)Vdf~VD}j_Sube%kF!aqD*d0jI?D zUmfHrJG=P~sAT`AXr58j)c+_X=rmMxH9(j>1|S+xty2<>DOFv!3)5%070l)_)D8wV zbgQLya#=Rui@4Jm4^N(eHo-otI-+YUR-#SF8=f9Sjh2P>hC4B2ltOC6H@`{4?m4zF zV`Y-zny{Wf9}xD=8IU{0J;2OC;jt>oYP1Q~a`1*^iwtSQcL)X28d^Rbu^GP~2UeHH z-7emWTu)@VQmI1?A^}gLVZk-Qq&gJau+j1XPS=ML6pJg6MLkkuEvJ@Gr&_scW;Gji%6Cw0aKHz6;x11kiMy(n2a_Tau%5to>+E_`XCv{>gMbok zn?k~^SFuZ$3ADi(+W?{`I$p8~IGVaCRW^juAe)m1MAxYlA z0e0L1vKb45Nrkh)3e>oEP-&?lcT|#u#taNkyB=t@Xx~YlNCxf-$Tb$4{iFjeK@KQW zk(R0q3a4kB)B!T4B;R2}PD=;%Oc}^}2=+#Sh%12Ho%rYx6$cMLjkd+#{UB5wstd(DawAm1i&-X=;WXg%5G0Bvb z3+7XP2`pxyR0&d^X{e&%a3IP05IHwZumL2q^MMl^X({*H^|81_&f|*IIpY%2vO?vh z!V-QToNhtR-XHM-mPd1@f}DRp_OVy$AC0X_RrHq&sCIZO=e|J|lqkwDuWVC!ggyQ- zvZ0tDAHhulwrYyEM}}t$?^I#(YWFA1SU=eW4CD9`*xD9>;kqu9wP*%$aF=_= zfH&VMZmOm-EITmd&N-vZzguud#TYftQ1?Uad z%BhSf-nup47B(JoS+>LhIi$!EcUdtyN?D2qaxIY^RCXFGHDhs1+CXO*wt0|BGWWhe zjv^0oOu+gACtyNPyR4xM%Iji^ZMG7ybQ1(rxVefq^K-y6fQ;D75_p|hQ~h-o6Q~Mv zWX@`on~#SecN1b?2i#oEhGAVzCaX4B@RO6ra|d{{c>m29&l9q#JYH23&Lp+6sgny` z)<{VPn5*>^;XGzEiJJ{Q?ANlVhpA9AF6KaQXsABXLthWf`X;={NzpJ_V;ft10 z?O?`hCr~!<{K+Iq5&}`X(3|R4Hz%Nm;zQjNy#2z=K-|?d z#K%Gj>0%xVkZZ1kq=Z}3rAPiIqnC2qBSBd@B%%k`N-7_qPXCDS+%Ml zi^qe#LBs|}K^(F>@q^eP3C)$5lV2$)fG_~@obfNN^GD@6*NHy ziW;R8gu<*ksC`Uuh80DgP|DE-6CFg2lV__0!4-+9Sm4kVbXEY*z3b}OQK^TkER<)& z$rG33D#wB|(o%WUK89Ud@SU_Si2~(_AJ(a=9TZ_VqB=ep7LphozfwtsU*U;^GqP_x zxacvQRx;R|2^pa-7XoJ@ii5$33G`7<4Wc_<5IDeSxB~-$NiI-|hUmINV!6;4M-q{G zaDs$Evg%;!WO|-Ycab=Pm4c^lMr0i#!=kGw8FVy;Voe3hmks#nT#puH!OkbaYH-wF zkB7cOmVqP)J<5ZU#n?dfQP@rs3TV9DGJua_Gd;o@R5qdK$Dtl1ISaqK6+uZr#RnNU ziG!?=s{6}0u6&4x8|oJ)6c%}h&&O}H@<~-YoB$xY9Q$Z|Dj=Uv99=r%jVmr6F>&q6 zhp=7BBP+f!T49#HdQxcLw=WtT2YTubLQid;S+=q0?!|ye-CKPUwx;*MU{7CuX!(B( z1~}>52&n^5)s@&p`~wh9e~RtQe@Te4cjUC3iX`_n?V(LdGAAgX1Dd_`IFs$Syh~WC zyA_;naSoOic)w@tz5Y@#@g%tqFu+?+u;A?z|90r%6)R`H4#WQYf~7@Mi!UFs?XVZS zdHKwTwybFYlM#E*ASR7n*tX5xvSEjZ;QR2y%V*kK{#?{=%hEMR_ii8Y_1!CPhujaY zSbpeNTi1La&x- zWtU>FfjZKhcD1Mc&wsRd!O~lvi=A}K#6v6dj}Q}J+ioT;x0BrG=OAxafV^tK1NDnY z5Nf9~gZ zr|($0=8U~dJtlt}4jAC8m%s7Ae?76~u3PqAcWKcV_MLtIz}sgo=wgyxG=lPym=uya z_hAEmm1&4Q3A^E}duuE>T$>)dMbk?6#?ciYXtDClI9d9=z+>eQe`bpr5*5sHJY^s_u$dwF| z#0|fzk8pnbMYDb%z;}~Bffw1-n5p}sn`w+VHI|tu_dCvDt@#H^?#o=MOJ_rWGk?aJ zN}Ya5EU8{NK26JeU!>K_U^4UU<62%i5a7GvP1DMv*wWl}ujcN8hD*|Qm>!(~h_+~% zBNAGcAaCpH#;cXNFNVU^(_oXqcJtzrrsgFR`qkB8`}h#n3BOt5Y?_g7&H?16GXPx+ z^{>5T8n1S)vD0Zg;jX}x=AW=n{0;H22{_$w(w2ygCC`QFB_Zp}H5L$MOR8CtyX(2; zVxA65!MhAwU7IXhkCuYmj$YlcebSM-~771foZY4h_k1pHaw_gxpp-c8s9zM&8s8iVau7;1XD%bl-<9SU5h-t~H}vE>0vH?+6(`n5+M| zYC``aY`}AcGxbatTC&~?`*l<2SYZP`o#KsbpxmJ(sSLV`Y&oq(`9z+o*#_oZ5!1jj zaBEymsK|+O$C*ZCyV-@QG7H1wu$%w53N8jDY`0g%H7#`uEv>G9xazwBXjxWOTUvIn zKfk19$wC8JTr{pG00I5Ajs{d?0OV%wf+P#qOeJ+@NJmWL^V9iS^MbpE$~=P8#d7T^ z1e~Fgblt%OFChEG#_lDULclT!1M5ytlTc1pcHO2UxPU2oI5CMXSV1w%=TodYXs_Wb5Ii$P z+ko4@W&;0g>;glA28C8G1qKATFtdi?1aziF!|=9QDUG!Yj*c4qUwFq{S6;r74Zgb+ zh(rB2M%@Mq4G<8QLNKW`5K@sP$P7Nd(Bj4Pu+SNc@v}H0XLUpB6|qK&2kHleTxuuW zk&uN5<;Yg7_`sRK9}NVmLxfg`VHX~Vg4rc7Xw*pp<=*3{mao#KgwE7q>(5w`xgJKx zI(A>aOow{nrYDUf>vhI?9cTCIp!jeDMrW<%E}o3yyKAz960}2%CZM=x6(U^{^JpLY}(0?A8nop*q zZTFIlH$<-A_V5%deTOyF*4egi{T2o6lwj ze<2>q%NMUnv)Z=fjN3-qVChVeQfDf7PfZpGU1_OdD;9t*TEopab7~Xzi&k;6WdVzB z!`2%!&TfU-!)vbB;PLd1lm<7W^ z2XGG{Z_zR-!(zq-hPC24_KBY^rI|zl(g-8gvo~5N5oZDoqL3PIMp1+BfOiAUP%axt zZoesVxf7W>9vi}6XfGTv=#PazU}oCw+mFpfrcE^RooIPf<61f;SbBwCh=o#Nv(oQ~ zT}`5ZC*6nQQN;v1zavSLnmEe&JDCROCYSRDk%h)pfzUEojGc$Qd9rT3nSfz!%}R3v z`=S_lHNu-~S(TWg39F3Bw5)9@4h_XXuW4Muocf&waW=?jbZPl9OO?gk`GBPjQHzaN zFKJ(>8Mc9863A_EFi~<(^$TQi^J22#!tqQ8c1^qzS!~Ck&iu3qatPar6#-7ys&@uK z=wzZLEeIE95LWpE)mSiq4ygj+H&_eGAhrn!qny-NCF~e-o;}0C1SKJ)-i9C?5XwtR zvPC5sD*750#XIYf#Tqj-qtbA#@d{u0MyV`_*vGQ?V=7PTbY;W8>;?SrB&|QqSGB`G z2m8}sNY(m>@b<7)FNq?G1~6DjsIP;V*cP9HXD-(^oR*)`3@KRF2oFLD72jkwz)3YG z3obF_**sl%GBvoDh(?W|OnGmuUq2Dxm4)Nk_zf18TQO@)ROi_!G9S5DSfC`Co~>cP zxQ=wAeI!Z1xZub|nViJ&I*D#})MU!aLG|kVMR(i@nzOxQk!gzYuuHuMsHnfas zdHq66vVvATUkcD*OXT;<%Z@219baSy{lBQ0(X)m{Mb?5k1`BB8a=8;n3eXa*J;->n zze_QSS1-bFPNTCP;Q5LdUCUQY#n#CeUVT~`yt$5rV6hZ6~#?A{?8Z1>qo<_(L z@cyDvAs{yK&Z!dznUYjYj*-0rp@FP-i=AMt6w#(1AJ8j_3HG21TJdrCnBkHrXQWP6 zFEIK?EdE;mc%{?@@ebyH0ZD3=Ft1+u^BR`YL{t+6;e3CP+{??LWe~*&UdkB!0IK&i zIDJL~(+X+|*fT5I%9N;J*%`# ze^jwo<>dX!euf5JquVEL9i&(1w20FEXR8h5KM23AvaCNB??J-EQQPhfrg;V zvy7!VatynlV1%8%B;pGVlxw_~f;BfHifBML1@5uVh=g65GVM}{aDY^og_}#_C1K1Xr_VhLq!Z$`L zAU^m~&~^G4$-EzDnl3a!qR@_nq!shAWuW6NQolr0w}m=6_Ji0OC`H0>p&6((-Cm)^W(@ul~@x^&HDZ`^asRSJStZ=U$mLjaleJ%KrkmoSM?yYF&r zdw=y)7}3umoECxn9xZ3kR_T{**?vC3q+;{)*RgB;LmPn+i@oz-!rtY_wZy*V9!x5k zYW$v)2t5^&T)uqE;;WZm93mLtZn3+P?1H6T11TOFuOx|5lHDX(UVCKb@{NnJz5CKp zL&_+i%Hf`2);ybZOa5K$Y_wbINbsPLhm< z6bHsVJLJC1;vtZv=(4t@4~#!>Dfk~MoA~UN%NHyjwEWvY8+_T;c`y|OTsiXs%7*8_ zL>U(9d?d=QSJ)Oq8p@rePz6jdqeM1QPypE9o+QDp@-V6gkh}QOClLE>{9#Z2g%kG- zIalpLzj)@^uhK^LOGeDzbNTsS*;8@{7?o{VJTtxJF2DdUy@j@>r;Yu;(xv=8Bi`A# zboe+VS?fUZpw)fkejM_ziSdWj_Y7+QWNV|(^) ze6d6M4%AU{Pr!Lo!^kxD`mZ8aj|Gk#2Fq)cC9Ye+-9;hv5*Gpw6)3yYc))!9Y{MON zwz0&D7@5#Op)VX>6&)0;O7dqU2A#JwG#HC8?jKt?QXCpXyOF0C*=5+@p1PVjINhr~ zE$tFF(^qqU3o?>)l7pDDtE~C)3LATmvSv&-06jG>eEu-){O%^pz@Q+9`dX`Eujk7b zRg@V0i@>{KqVR>nT9UD<8Gb{;Tu5?0ZNMM1MmkAskBeR3T^mdWTXKmfNo}$M&8_X` zV!L(N`8`JPZ`^do*zSCrm{1QC=z%0)4lj+DwJd>v3#{5Nk14_)^h2Pf{frz^_V|WS z1RL33A+m_Q2N1)%%F?c4ViCDYgeF!^TOM%UEHf5ixC2_cnB~9<6YxfoGi;cOx8&up z*c3UJq{jrYq0Zp&b4h^j+JLRA;OV6ybiYRgoTqETzn_7HV4B}u6tSBNLsoXl!U!_0 z2nfC`KUvZ&iHQYVJup%B&hbu?mdB9tkn^PJvBCzFT?j}S=4DzLF^MRf#6q>>lA2kS zOfHg~a>vRj0T)`%9$&yLD`{~tB++|e>&E&0uXKbjoLJAFFHs7s^4ly8$@G87pq)EgV@DwF71nK+5IZs8iVx2bOSnoCZmDA=5~mkR^+2 z2x2&{MR~C5LhjDj$_iyoeEvKPppC5=0J%?4=cASX4951fjPLzS^eiM?TDW)|zajJ) zOi|t{MPouTJ?_KS^I8O+cPXpK?E(xUDE}>hjsc!3ATJqjp2^Y{yM;+p*U&F?ShTVZ zCo~UGUI$+jr*I?bmr|m@;qrRUWNy@3$9#Y+gd?ukkUy$Ce~MD7;vqH8Qi2({ze<;& zX_hU#UIZ3dv4C!b6-W4*L5#P1{K*9;W{{tH5kisCv5_nR*+4uY7&8BUa_#dtW3}pz zIN`p8M%H=;rtu~XV*#Q;$4CQ+h9nJjj_qYNCLx+e5+E^jy66aBS!%kW72{mYN3TMf zTyVO>=}B0Sp7H|kI>BRt&ap|PL_|8x1x`D?nk*laAb6!EL++W}z2&TYxl`xe%PBDGlz zQ}43F)wV6MK{;@7G-~$6`x>pNYKDNGih4Wf{J%yw{q~vZd7-{7XcwGup>S;km4D)R zTZYQNax6B4PbNH5?OK^$V9je;(7*nQJWOW@#E$ZoocD!k7_=Y}#N=LVFv{S&?mI9M zelfWd%B64{(N?1Q%+!U#VFeuvCK%C>31&cUwTu5)@J=?-&^g2$VyOK;COA-Rsdy*vKQtZMW^nE=Cgb|J~3F&zneGBFTNV#@w zhQmQ`=@-ZQ}zK z&#+?$7dcnsi)irPoU}K(#eG{~Z*wdrn~o(3*OM@L@d*SK2pgEZ3C)#)uNYgTWmIAF z@P3a8I5@||m)pmo=Cs&?S2mXq}BRgJ2`&NUeS8nPPjK_!i;bG#xk z477z-VKcXggRcM@^KHv?4b~WCKL{45q@h`64=jE|Y%R+S326=8WJ=I649K`tgE!>l zMpNrG@q&Y@aS06#T`S~-vMU$^xmmLdB;z4tgV`@Hjy9zlcHq=3fTu$?F{XkGi%SS7 z(KPeW+X6#-zA&_`H-@rR ztV!FXufnd`tP)MD#~ZN`k^WeWevXmpT+)x{TB+Eo{Z-xx$QXOWC_N&W{$kiF%B6uP zHZ=G!dxGzfAqUquZcF@$W4x^sm50(NCYeHPBpGzb?W4feFTQnQ+P&)3WLX@!M$zWF zV4&+@itM+T{W}iF5g)!FU+_T*<{-HFb0*LaV63iKHtMXGN$LYo(`Y6p_|sh}^BAi^ z9yVasHU`ITFqlG1pR{Nah6%EXl3U`6{=h=umu$`$hBmA?hN$1Z_?}x{*#{8Y{f98Rd~5pEr*5#{SbF|jdxsT)w*ue2Y8Q=M@;oPXW8Z1Y$#02Es+i9lYC$H?m*#A>A&+a1UEa(lb z&+y=M7r#3ZlPa|emKF;tAjwu@vUt?2KY|GYcq2Ie(tgngJ`WEFUr`=u2%Z!ZRn$*FXmdDHwF(k zlc{C-32u<`DJS_^YT97!gS4V>jE0Ts@hNG5--a^5E1`H&mr8j|FPPIQ-B z2Wgf9PIulHudKQ@G_Wec@BT6*$&1>?BE&{EOiP4@Ycu=}LX^oRN&m+Fgf&WW(r(6#kDFO&I#cwC zPDRNY@Hg_3jczbB9K$FU(3p$FdAz7lAUO|qu2*QbMa^B%`5sIHZ->I%s!`AZ`N7A#neah=luz;N^i zBk+rvgCXTcmYoYv4i2Hknc=5LMT}WPF@k=To%PxF-!8(JfUE^xY0&fc;2!!}%uCat zgQOq9RI*&3F0!~>RxZ$m#YuT!D6NxFZfuwP>^;FaZX4**h+bKoS{I?ui8&O;Hk13Q z_J3y>#m~9v)>uSVf+B`w3}c5liNK$g5|bn3x8lARC|v}h7a9`O$~tI=?~@@Tr3n%R z>dZ6E6pYQnH(?qZ%I;(Z71>&LJKS3?qP>}D#I%dD3pyEy*9#*!g5>gs>bvyixaKUy42kv3@YHAL#y*ffZzT$Xq`p2FQwH@a9DWvu z_2_w>T_)m{SSgQwi)5T@G$n!yFL+tqb@d@f2@?l2iPhI$1Y#Ss8R^gQ7a-jbz?U@= zk(FBz2bxM`rGyw#VCE=GF|@(=>KAgImD>R}9*;|ANWGHjMnkbcsUQkxF}q(x7Dpu4 z(2HeEL^*yVl3|~VRtfw)-7*{jS~UO<-?$=d&cH-dQftY4C*|H-fY?^} zE7ukcz}96i=;{f+$PMMoc^I;XB(TXcXEG)Zk|mkC!2l%A`vsA!rZ zWHLp=oom@}r~{wpcK6<-y&hUN>zqdO!%;g4b?j&AAosj3ZvZMKOF=G$mZha`w`@ot zNs@clT+H|&8^R+A)fia-NPErLFxf^&e1OaYlJFpzLDpkO!s&WWbvMaibrywHI88GZOvl4QNz zU?!3V#U=-&cL-!E5a4YmQW=sx@&$a=0e1x+5|NYFklgs}gfgubE=5G;PBLlefOR&= zupV4AkzB!xzgr_JK&>oK-13h?oCKH65SrNPGvn4(y(Mw!*> zIjjx9m=OSSkw#%7c*!URF146c6@M|t{p%Lhb1O0is|K>%%35rqj47cw=Qz?I1!};C z()5QVHQu1d&S`v+WBG-Y23APQAWK;F%wW1e^NyGZmc|+FC87dO(bh=(5T7otG(f5v zA_0jKXa>(x1dd;PpPtHQ32)WMq}ju{jPi>RgK&uwF>%X%;p)B_0ID zK{`FMTyF3Ulre4wzscJDth7~+fsQyBlQS5M(G_wst4qTW5DFBMz-Z`-LeE)-)QGAx zEP%sCQ1TSaA4Lr<7#w6VzZMWODp`tId4;B>L0j$! zOmpcZ2;$>&bdzxn1F4z>SLV`>9)Q|0!-1cGuQo0}ayz-|?x{4)3=Wy(^{IZjv+Dki2OA|zz-!!i`2Hh$bdGN^!)WhCeU+aE+Z*1!IVp3-nHy zR2H*kf{W6azu~mFWfsSN2)tMoMKZkp>WqFQ<}?^c_aQ>Sqz zafCaIcN=#EE>sZoD^Z}x$z~k#5O}AByIR27EadtF!cIX#p>=LnzKz%tS6Q;!Aps zI9D7D^(214s#`cl_Gq3Cn07Yq|NT*9HSkZ+#)%;B(A%n!yEi+gG#HiFWNPCg_M zH{?0p#j-CINzgA%RTY>LRP_7aA2bWMOb-4u>BLglF&0r#PQZ=hEf%!kyDqL4JKKl+ zg_XROeWa89i125Dvdc#r{P3#0ec2(;W>0>No!;q;P34c*%4*%RIGf*2jE9hlPLP>} zTGB7DPZZ449LOna#yPjbI9t8+i zWAsjat+d|lyrPpu=XB(IZ4F~{jy7JN)h0cVx{QsvzTF(E_4rOZUu6JsZLaX17rrCT zMMr#d-tKd#@$7Gl-m9~12MIU#ohN-eZYvwL({5`Ywl90ZtuOlOfm2*{`P=45jWE0h zPtSixobyh$4X~hXzS$kV9RrQqpygYm_ckmB$}ZCZr#lK|TC-1uO}xYa$%P;kI&jI> zw;yR|5Bvxg#AkEyPS&GyumAFneplSzZ_-An+UU*)&uce2kFMGdB;2C_wFLO?^_?9G3k%@8 z8`d3e06^}8a|k)>uAlcgWC7mmOyrJ-n|l-B_VB-qkh9wJvOtDwWd~z(wtgA0kBs+S z5bty7ivZM`)A^eZPO^1QFk1Ow2rs>wCn2#BN5i}jCf3|&*zEW?>nC%-vM>M z?_vEH+w?U*4;+XG_CG#2YkX<8)u^%G2cpvrHfCqAt-a5wZw>j2G4h72zZ2ND$XgCf z-jSLNw2wZN9X2P6!T^-ru`b_hI;p^ZR9qGNb9ks)m-w>nUVXvG^xVbG)`hFcN8_ZEV40#N4-|VX= zoMPWwr2M3I2`I@U;><&G3n0Z`@!c@e_Zy`pYKJUb?{e6iv7NNli!WutCSGb>)eblZ zI}c(z+95jUufBDowW9Vutt237b%ZV>c2n!~cY5oys&;sP#(g@f7zARw3Lv(Dr&z#+G{ zcLHVi-PTHczoMXH@bo!P>brAR z8+|aVvC`gc9tJmvecp}tfj6)XJsy-`Dmr~%u||5agLC$vb{N#?C+OI1lE`0e7dsjH z2)=BNp|8UVZs{>T+vlB*esi*?u5W+g4ffXPr(5UyT3+y@4jJ`e@srGV=tj(&05sUQ zts$sKPi+_atkL_}IqM@-JDmLV&{e))KMgH=KDggU%9r}7%4>y|Fx5z1em0!uspsK3<$^6)vGf)AVi*LoKG z#rh-DwGQ2nrnD6d$SpWAjLV*Qox7@TNA0j_baqhZNYoB3R6F=o?Z5!@2{%ia>(Qo=X=JYvL>g{ihKKR1um!@l-c!T>M>hN9gJk;qiedzpl zR(R5Mq=d}Y$JHokdeHMHNPZqqY zdLMw;KIwbv&E7|3@6HF${DWnFzjY21k95MvH??QkmN;vA^3=Tz10Qa9*^~h{_eCGmdw0eTdIa{!yW`Jo53I`?0N*`CJ&VMU zBiKVmh2WgM=vfp@dKb0BmpigfM3c|G#dr3`OJ66wDAcp)HQr}D^GIjMklu3ik=7#- z_DJB#g-^U!8j(qEtWj$f< z_w+2tFFGrWpA!R-&xItT8n9JMCt+}3L_G_i>RGS_o!ho&eVx>^=rspD3tjar7WZQTwpd}UPCY#PcfIH0q3*D>ko)CXihOwX zVLSwk*tOqPfUPf&BoN!p-Jwi}sU(T~Eh2kK&fZRvbOKKIj$sF0yk_k!fJyBH5^mcQ z0Gd5K`)f)-@J4I`$Zfmtt4{#fcgO6P>F(My+EyQUdiSlfUtS7G+{2?ff4LRpIfu^y zEbV{of9ztQ)joP)-&Ji#+ZG=@d?Nw;xRFcF5*Es=~f?M0q{tYO+vwwe-FnMnx zbq*-<>du99_m|Iu_w;uG_j+I*L}+{TkE_1bg+KcqWL8*^kZ}Rco45Uo2k+Uvc9aL$ z3Q4v;0Sw+>oQWjb_V1g$^=Fd+BHT@qnJ?V4`|zx`^FT%tZ~SWYx7J?vquD#|I{-l9 ziEWP&eDQY=?|rWHD~B(7;3}ZicEkI9rFY%1gAni($erDNCV*u_jgK52wd1UcSSqVn4*Ey@N(9`en#Up#zf=g$tjO!i)V+oK2W`u2|5uimr&(3HdHZeM-i zMS>V!@zqtkU!HyEsOSF%n~VqmlNY$Ti0x~99X2uNu7hC*MYk>i`Aavo4eQx-@bFa~ z=gb@S!rHf&0b=;6-~QtIU;g;cE7Ldcb0!AnKB2&lUw!;QdiR&UxaE(N7QS|Pq$8~2@d@#+J=*}ra7+hbpSbpIh$stz2!ac}SES8smtuV119A2LcuX30HS&Txn={R$9Slh};*?0upp#X|oG*|DsSSVjt%{;kYEWBByu? zB6tE8k(T%8$QrvYl<^swlw?a)wwCC6S5edrKceY$8KyH_a~5>B(WV6 z*J-ZdZl!Bz$*LNV)4Wc+;nj+FS&DC}G2Hox z21!m!t0dj@P)+Xzx!LytG>f!S_Bh-xOgEA`!~_S7;(G#?rtBz#oJ3C4G!VHqp18qC zX%HcFnrryRdU(IZUD=>jL+&5v$HAllnK-ViR80*9CBqIF5HPr2Ns>p(=Xjq`*oxPa zP3kKt3EK(+-#0G4XrxYya&|*${Wyk)IQn_m))P28cNQ1u*^nU(?F?rjY_gstd9+YN zfwxvp9l~8imON}I9OpAzm*0)j?V+==0!kr-uhUK-)LF{{} z1d|05Cuqq(Soyz89!FV>XOT7z{~(i(B?;L-C~facY9M+5p$A)~U=ADmmbkV?*RG*} zd;YoPZYaByq~NY_S?6qpRO|05eC+mPI!MoOk{*F2fyPE=z48Ulp3P^dWnXv{>dF(jm+KdBh)j(SH zWU9p~Nsk=ER4b62beg%d&A7veoCxC~SQxxQVYdt@yI@L8h<7)`ngV3aE(cjq9u#4oZ5vx9`FIG>VykuVbfGaQQq80F5UYX@w!Sz}M&7UG+T zaCLtj6W7bwrkdJSw$G000~YssM#Ih*Bsa8VfDP1mu%_TazvK`A-)R&8^(IiehuV?jneGMNb%G;aKIvUr>Tn}|b03pr z6@fOCWDJD@Kb(FA{p1383jEiRZ;W#yiWL3oUWb}a6+2uChRB*!6kOo~nXj%e@2!p= zq3cOQ$%Dll8$i#~yJ9ePAAG!L)Hgr4N3-%wV&_5xKmItKP>LTMJY945c;W*hd15qr zcO)IWXVfXreda8PJ_vs~@lb>rOVJh2(XjQp3OvOby%h#_FF`m9WK)x1sl{Lg6JH77 zfkMcu8LWOfE~e;`bNa9tchyG)f_Og71n%LLpkD+{of*P2rKYolp2Y|8cnHfRl_69# z`Z2(~D+rtzT}%W%!N7M&8r?)3A>o4we2T!NhK2T|HvS92g~bf)1uE!aW{^6pfKI(f zwY0(LdjFmCl!av^#;3_dbge@))DmSRA4Ki(YedsSf|(E+RTnEp90-Mo!aL$O7i8B- z?#R`Vn0{E$)eU8v4~yc_KZ=PL<6|-T@7KJZ1uDMxVSoNB&^*zU97oxuN)#CJI1n-` zc>}5iAu^MLaM0Nbdl~r-ZW4KboSTJp~v0m(&Wi{3!yOvDl+VIX|g>?`xJa)LQrIWQ95^1*K#_ENO z*amG}jH+ws5#&P&?6_Q^>^7MMOWSPs#O`a6v@Ll)v>+SBtTEO$+liW!twqTTZD5O% z@_}kkc}jB8HOPjsVF*aEEvvZ+Hi7q5!r(htZk{YL$HM!1hU6yak#P>PF8yY#6>z#` z@ErmT%?ZiNh1DA`X*h;YogTOGX-n~c>R?ha#@vkk^atRr#W#};5Z-#mhYB@zd!sH< zd7_qFH0XO-vq${5-%9a;m62v9X9Tlg@`IB6crcFz&&KPohb5zIsI9Rw$YKHSs{(Hr z%0-a*0UE073=T*j04*3_8M6uv33VFu;j)PklUI2V!v)<@c9T%vBYSV!WMz}EmknOd zdp60H*sj=JS^OSy_t*7fGEoHrW`BQ@p6{?Chjv4%z@JAn(>AGiUvwX~VCjOK>wIlInM$9`JX4X}MAik!rF3Ngs~R37 z{7t202IK%zMv@@oeuZ}f=yx}FSf_~+CrVXO>+gy>j%S@VxI#_(t%-tvJC zbHK#PyIR=jlzBFRVKaD{P!r|ZLc_)=@U~XopI{-8#*5+9q$Fd6WsPjm9H^tGc~3!A z4qlKT)ec^juE36+vDTs?BzHIEl}+e%B4gxi65~ixpmweYlK^64PZx9xuq#+{Dx-H4 zWbaj7POY@=o`)>f`=y(x?q2galTE^B`Sf%GeTBS!D)!{)a^^RJ*K$^9Ok=G43T>Gt zwW&2w&7VM*!Kby@8mgE=*(A9+p;odqM`Z@yWFd4RkR;Vp(DEvkX__hO^?n%*d+)?h zP8yp?d1jD0zM;pJSLAR5bvUSnA}u4wb6zQ*d(+qlle=u<6$rA?38wm|l2I;9@zNI0 zcYvin!5}|^7s{1#*t$jGD0a$eQcfPqhB~f7jGm|$5IYYK#Zkzya)3$fYNRlEeXNfU z6ihhd4WWe zr}aJY!`dVCtI&V7xmlqu7$QYD9?ALhAZ9h1J>li!%wcmbv+qYGe=f>X-hP@(VQ_f zMtv;Y&U%SL-QjqGNx=ji5hA_uBS=~f2O(HWRA#NhK^9BN20JY{HK^MX8Egsc27^4u zTVClRdN0t)a0X+%b!UX>k0CDl49EvFi_nq<1WcV`qr;_d@pXMSv0hZut95PGk`srfP@J4)*7D zdoRac8Y@>~uXP}^-r7h&vzv#E*gN&|;RE+QxUypx@KDn;pLlM~J&U(4J%8VIi2X+e zWxDUaho&!o4zb667?desQa#?-UgNzut{xt04pEk{Rtd)yv45&~KajDp6Zx7zKWy}& zP<9Wmyk9YS2-|n8x%&x_RqQI3owA+ok+X;C=On11Yar z+@+2upn|1+RcU$4-pSjC!&Hs4Zkze=!%Z{O8%Nyz62<=Z)&^vZE90ZaQHNj$kPR^(f{<<0{Sr^&EIY2tk|$z1{EZ+dV=)71)g znaI^3@BIZq3_tvrv#|}!nuU;i@*l3dY0X4H-eOCAXj!tnW9{8{ZCQGF_w|!TT?I+L z{%q$tr2KPhmMz|LCo*jgc(1(wGF8}AUMl6m`|JBwYHxve^XWkFUDI&t2{xf}0_1@; zr!3xl(ulo#Z=%?@V*?(LXFjs^UF1CAq6w$?;7@NyL0<7EpzL~DdgbK$_v9tip~80D zR3Dx@A85f3G3@`A+*mq6)ea7=o=w}dQWS8bSl#-sgajRoBnNv*USn55l0}f@aY*v` zy#A~Dz~W+z$AtZKaw`c74CA|o(J-B+8lKPwqr?_)nd`Oo3i<$ z47$j)M?CO;ZyrRkZPzW)u!h%KP8J*-2|7=6Y+%|1!zSGY3&8|?xx{p!t9eQ0?7iXy zdzUq*?I!G?QrMgcjU*Ug@SUo7(|U4{b?mJhSL98b2HVna^X48Qqd9ZRdoqn;59R0% zV@eZpBgurF_BUbwl91JCgyK^b?-L}!EeN;}NfH@yLnX-F>zn18I4ZH!cYE zYwQvF@$M^zVW0RiCx03+@) zuq8mAmV^Zh8}qQ_z6MK9lQyf}1;gfJ?R041gLx!iO)cyJAqOo=S0Hw%(C>!gRW;Z_ zc!o{6vuJTeiCo&>O5G8%s%m;z)w_H`2;Q9PN!!y9PO%lO>0e|fG^ci2fty~bwYZ^| zTs;(?ND#wXWGYPMPgj@*B*D6DOTevilI3JUH$|Adm|d5lkX0tS5p;R{kc7NDzpXA$89KSB|+23i+1aAD!dEQO7Ql*SCqYGng>2lL$Os zuql?_ZHvVj&qdE2$Qza3xljj0M=0!)hh>?-HTk9%reAug835&PLte9yoN0+floVMX zN7OEUFoi5)2}Myq-d%sbRbN|9gjI?fP`_P2aqq+vLePchE@Pb|2Ow2|fs0$ebGxFA#vFhF1u*?!(A`c#>GGrl`S* zSJsQ9Ekbsq@dKu%-J$`!Z{LDx+gSb0f>(y@ivIJm%iMW`3t6l`U{a}_EIqCcq3mLB z@i?LEHVIaotOswo(F5NlvPopL?P;vV%!K+YM|8$N!y)g2(**^03bKYD=2mRI!_K_Ex5vI-QweWfK$#scp-^u)Yal4Eva9mIdGgW61P_+^DhWt%PDLM1GHM0s#3SFHku42Nu{r*%T!9hXFj;Fxvn5Bi=oaqq2#Wz zD0f;kHxIm#WbJg}m2j&<$`hh3$TgI^tVEKQ9*5-l1ySC6sX3iivXvKtIwicjwlF^&?N!>V@p)IdH7Xx7xQvoEUZLhJui_cicU6zATvdp3tnh_E>X zJfyVF$p;PSwE_H$UYLZ42g<9*h?-i|bC4q1s~5zoRj*9K<`{b;Mv8*IZB1-Isr93^ ziWl2@lt{T=1b$YleboZ@RxhY%EOM<9Iq(0O**zag`0(~a9LVnMGtWNrJkQLTnVs34 zKgubFwPtj59Wg|OND#RIy$-X+NW^LEMMgGi9k{`#jQ7b2%ncd^^lF9&*h&*>tOVw;LW6odkNX^u#Z&`?j1cbYeU94V z#=^DF4ZR(h!?<7#D6+xB5F$uHr=DEZAe9F#J5o>@l>)|BSkE}II&%c8 zBS}WV@CNQw;&YH<>-!7vJCm_G^snQ{Cx(Rv$mnJGwUGyLpf@LYjwS}kG+UQ7UZ^@~ z)?rn_D5USh99}9VW}}->XaNX`TZM|dpcK%y@b@$sFkd*MKnmjwCLr6o!;G-evAA3U zuwz*tV$Ht{V)?hUq*OGYB6?W8Jf{v5v2|3G4xoDDK(o1irZCIvrcN-K@#RtxRSMR# zd^2E4=lVa^Q#rsVpjnmDEiFPc1a8K@ zO~wbZR{BLu2B#<;55!TPW?^pcfM$l0sY^nV|=$5?A=8 zXc~S3T*;wdFy-%<0!LOd~q-^&@(}(n3U>d3Z)H6(Lp~u(Eyr&ZX81Q+$gL8o zC=8HXi9@J`UZ4_nv1*ltlmc5Y)p0yr2rwrkt8nNE5P^PS2wo9e6z?A>AHyW7N=6La zol+2aEM@C-Q{p)>9O4oO6aodh2eC1tnQ_Xt6#K<8?9_#TvtU8&Gie&U(kN8>dAbg& zi)0N*CT$GPWhY{mA(;t#t!i7=5gqzcpMqdgK7z3-x=>+O3A@|Ge1u&&j@2_fzaYnu z=qRDxI)g0`i~H(evtm{P|5h!=w=1JH= z{kq!OA6(is>tjq&-?{jq_lbOG?d<88VcxOGgQdNi_F8}We__rn$X}%4T~Ea9b-s`$ zY?Z#@@f+?}eAy81Er=H?zLfl&RAfT$W`MF14Ns4Z}$b`Uz)k+wu_%Rch#0V;g>s63~Os=|HrvdQfu=3<7$(9?GspedDbWU zeSg1FHfz_7k6^EOwNE@u*{k$^g?xftj0*lC0~9uieWYZ5ztM(fL%O@MCS zI)FKbwo)U`&76jZ^-F!wHI*f@B?L5DDF|;&6cTq!Fa$W=7-VB-*aWcH;*c#Ot_hUg z72q^S5waSd${{;K6WS$;40K?uaM&AnfLoCv#0hV#*Rr$zc3i^b)mcK&I1MdF#jwmL zhi6_<K*a!S=P`Ccp%ayBu$;)B%WYLg2Y!gMi${D=eiqCS8IdHOW7p6iiHLfe@u& zP-`+H0ogEVFyyv~TH#PW2{yuZ41!RsJatdwF#D#t5_M6 zOEH_zLYOS7D*ncT0w@@fu0sn=;W}+4VbEqP8y?g(nS8EXHI4jD%R5Rz zWRQrwumbgDoZAh|Uzk(c9x95Oz$@6niwf%krVMaAIk283p)fZ0bEel-v!fOo7T}Am zVapgG4BoKWH@`9Ua)RouaIHv1PjFcbI|zrP4Iu`gW60)~TfpViSq^Xvi&1Dcwh7i% z6G|e>_FE=~2}>C00Cx0)6T4+3(%lkbZo5+xWu`q{aA(GZieeWCzT|JxJJ(7jA5L020fgMCiN((54O?Y+&p;%&knZi5L5X1sPCFui3iU?c@k||b` zrH%mEq)SOt`YNUq8E4ao%og}$Pl%U{bRF;}olnv_`B&xjeoUQBn1y+VLSzD;uwfC5 zUYntbg=difCl<^#s#jtJh$$jqGmGjrI$Htvr)eYms*5@2J2@cn?u zL4(#qvk08%FDHbM5FEk>%~>mCfk+(6bUAY74rcgP7B*kZ?-|w%a+~=VoN9ge1H!ZiEaH zRIeFsAo33C;*6X=fjX6Jmd=Pa-Bnbgy6gVdO0UNXYjwm;b4uiFs%@f;3AsKDFoQXDnydlgwqeJxAZav)> zLX$4hl1n<`{~&rJ%_pOyL)ggah%7N7)k3`hE5;up>L@9SAqH3#H`2)!ii`*!oD2>- zir1MvAt}VP2e~hVD3T5&EhEHYB16Vhm!Bo4h@?X%ON^vA81Tx%h*YV}6%tY87G_9v zpcbR<+{V2eWP@Ym!>Bvwc+&_3EV|avNz$RkWI>FIDH`QC7&JOy`0z@?u^a7)AV*lY zz>FT|GaWM(2n*I`m%7F1evh*vrORDmuyP*7+sHrwU_4%}Mk~0RUS&owuj6D1TvBgbm$mTnE@7djG{2BWUQRE z#qgfZM7JdkBV~t-Ybs4{7YbA#PH)#siCI<_DqO&tx&2i& zLS0EY$n8+v3{q72g!D#`a1wIGjVx-@77v_lRb)g#wkvJBbG%`ioE5^)5=mJr5$8ns zqL5ROBkajSInQ$+YmkCH!xFKo&WNbB)nr98Ixy_^ zem{P3da|f?`2khJ3&M}|JNw)mboygd5B#Hw(qRTeHNqsiprY^4wMTfVAbT-p`4N2v zZ-&A%NtK9+%#~MvaP4d2iOXRDJ3N5jv$iydpAfFFh=`*KxYc%KCN6Z0gA5+W(kq zVc#^>I^<6bV{-LK0Qm&|ENLJlz&${>vG6`AE3dXzO@RRFb5#bK-qoaQd;4-e+#%b z-Cq0hv=KKz@5!^j{m~;geS9N?%mLW(y1kp99zP4{zjBaPLbhpKXs_f!%JcUTPVRhaj%poN;tAAE zs)r529rI!9{-)%a1GB{QNZGr1_wD0&cgwPi+$0`$>G62#Gtj$>AXs4vpylvDIu9lhhMdasXGC^a zW3pV4DNDmma^k-GmLMLaHTg+!FHhpZ)PJm>ryy??0-C#U0-k~I#za6_^*rrCNl}5tgHv0{cqnN55N(ttpn8L{CR(obhM;6} zyAlF0*f8Ms#@GP4!yUTh9R)-eodZD{xebVOtFSoXSBTNkxn0nEBqkVeM-f5!{UACw zTf-6F|%3;fDqnDXA(a2ul0U9h>7ik5W-JLB?csd+A|KO-_n59_0 zx)gI7CE@wH7{XK`Xr2d;^Kd|TW_@V1R?q-hnbVUDt&3KMYQzUXCNx%A$BPQLWm!{q zGQ_6O4U`obz(9Vcp!=?comksCwFgP!GN()syy2AvDRV)jef5j8mnN4v8-d*noZ|5O zGq6Mw$Fu8dPgfg(C~qRr~~-P6BQWwihs8t}!^1$bWh#L?3) zk90)iksfzkmAh0y`DH2_bl3jQIM(;~5l41LAAKY}S!4#U1`X#}WhZNK*wg~`wAvhF z0m~j)T+a-Adu=|7p`umOY+?(Jt(YlDS@=G<6!&0whtV#_TSJ+VoW-JVc;E@alr{~aGhw@WJqDvsUtvEpNi1MhbiD3K>adhaUqLXHM(KwU zQsB|gwi)Jt(wi{w5Cr~7oIA}WShA5A*~$U|8y~@j;=Loh zd(;BgOyC9FV4OoQi5u}pk_G;dSvHV|C~$Su2c<$ySS4YB1VPY2mjOpivN(=JR;+l- z36n>1`-YXh{H?z?4^UhfH>okTAU+(KMIHi6@by`MbYX^dFQ=+D_<$B)J;$XRf24$nL7Lw?|dkK(@Ka6?6?U}Q( zP3hgG6jbEQ;Ksd0x#}xzNszA4#-k|QTjFql2`im&{3;?hKY2ZdhtLEo9HU)q4}+o5 zlQSY1B>bmgCDF$x%SN~V$gxxNf~Q2G_p4eH32uqf`KP%k{Ww|ax*HM|x7=4Y1`0Yh zd;;&i>J2^FU1fmNHJhNfvkM^2(S=nmh7=^kz2*Fp`mtrj(KBoa;nsZ#-V=0gb#K9! zsADtcwBE7<{swoB@w#-r5M<}(>d$TLkkhmpJlo1DvWa(CnLM+IO>|NgeWK7As7pylyn>KJ0>KCw(i0cQrNo~Xv<1jrP^YMaFr$b_&|sA%J7`oz z-?#v$m|K!a49UbDvILHp+N12$o7ZjStWy~+naM4-bx8$2LHH7DbL`@|W?KqLaX6}> z(6vs%D-Ug4;BG?-nlhv^g&YH<0fEEYl+MU_vIHv-IMO&UC#1kr<6D6{IHQpnm(Vqg z@8lZlF+8(RG1_2@1tIi8Gc8)M`_S{3*!Htrim@Pti+{+R7HK*y481?C=Ggb=b?%bkMuta%8W^mOmRQtjqWiAPeH094yw#8m90<1Ss+DKBWekhU|s2%p%NHd z=COE}c_$j*>cDaG@6Z(QO65vFSO{;fZonnI97Q=1ZY~8u2XHq-MK!mt86=TOGY-uq z7V^|3xP>xBR)T!!P{jpz=oYLHuzFT$@oL9dhTK4U##v6HF%suR_<2Ib$}TODB-5W4 zz&;>wO%ZegD;%Nyty>P2n7vKXub-<-RSMaP=L72jCf!P)8A!&yhp!;$2W_KGvaw(G zIE&-+Y8PLo3dg2ZQoDifv1J=>=Bx$6NJHB3iB`IiKWbD&`I$Nu@;9rbg9>H=I3)TK zJfu)Saz{|`o})Aw6pID@x1q9nE8Z{8Vej*w+t}d%PMY!(B@iA{U{N*O{lK^_y@6lV zJUk^eY*XN-eeC1+hyV7Mfh{*p`Fij0$-N(aEp_@w>)+kRwmij#d^$D#sTjU@c<%uu zADm1@n0CwvaRG}p4QyXv#3^O4@fx68KNZL91>7Q=l3J1ih#a9E4e2{J-N%Oaaz)0C zMmomQF^d7zRfKzv`sWl|{1T91jdW4^IsjThA{+4`$<7JJ9_m-cw$T;)Dj-#D<1-_le{%BfLidOeeyLhXL$MpovviZhMX68 zjmS`a;B7?qxjX9%)68rpCahPUZsBwACA}j)-6YcY7XMGPeWp>kSq#6qci+jWWA>WY zJjgEk5&P2``>TD!0r{Ekz5R4&#NH)+n*?qTHnYG4l5?m)SiTv5ldBd3&z62CP!zwj#^LQ^b_?`j@r=*Khbo9~zH+dfwT+!Qs6po@(B^e?8!>cBI(_ z`-i8~leYDpn*Kq0ac_G6qP{*t0Sut})Bpn$LeiAwe|?%>fA7azK1vT;{NGa)H(T8M z-}@IR{fDRfhW_dzHl_D#9|KG-_0%iBO#?Lal{5GJ8Gx+EY}-CGy?3!-UrVq56eUlE zCJtrx5t)9}>qlDa@N|kCMfH8y`o6$p@1A;g@32h^o}Q9A?f9t+PGfs+AMX4z@aE~0 zzFsqYW$%X-smbTA@4215v4;&Os9Y4-a6tn8S0U}t&L#9qpk@F8wMB)DY>?B>qTvF3 zE>&VLONn2Z(%S?vjUP5?QuEC4bcz9WH4SVxmTqH1)sOvjU$&Nv{SD{i1}{K}&e9j6 z>4JGe(qv`dAIGQE4|@t^uRkHpJ|J)P3up#FJ*tmS%4;2nD~&nQsUKZK(ZN~=B73bv zpfiltp-2kQF!6c1!FJ-K=pkvqS3Z5zA7uPYwiwMoxc7sK)R&)r_Kz>K?>td($us<` zeZ|A6b@)J9r+;hxerkra5R!9<5J-oicb>m{l=0zo+EL^w+j?(DW0!u`_sQ@*AF@mO zru-&`EHC=9C_?LSJGBn``syC*!#rs)-FxDVEmQUfK7G;#=IJH-=T3QcQM&N%^xf&c zu~YY7)>lKV!_Yo4c`lq!tpgR>f2Dhy@BR4p^s~XmZ%s+tqth!{AHQsWT-4~PPB%_Z4WBH(^k1nVBcA!XY8?O> ztvd3*q;=qWejU{_zjg3sAGNKQEq(^NVGRPsU-T$|s@aGdF{~!@t0p>{4`5K5LwY61 zsKlV9&m$V8r279PPiH$Rfx=jHI4O#dkidR_>_8Pw2|*VJD?dD1K*L_PTb%lIYW;t* zKfgt{3<#>|CFppZxZ7kY4AzSt zW;}gaaUbh2MKaxMZ|q(0sVI5+nZ?EI>3HCz2ORUs(m(aGj^0T-?c>j#dKcrky@*2y z{vR^HRpdUeEKv*@4{GE4j@}zPJN+jVgYT2!Z_HwmUzUCpv!9Yb!4G@CvG^i(>XX*u zzV(Y=ow7HELOXHuk}2ESb-Xyeb9mqP{%7%9zgawVYx@5V@B7-+^}DZ&v3ob6L6XBO zzr2+dzOnU8WVt{7`X|l6*4;y`13Me7gUwFom#yEf3>ZG8@B1I$B&Yo8><|9L(*ORC zk8KR3EB|NP@w;CSeB5{1+6BYj@BKuue|%*9rYX90kcYJU$YM|rQf!-hq>UZ)V16o` zHT;miJqZF}*wv04N+sV58b(PQ^~D#rBizDK=> z5cOn%4{(NjDhjNx_C5phuhTCvjEm3no-6`AkNjCL&G{<+qn&LXVrO&&r2lVv**ny?$hrb~*PZoDu>?WtZZ^W$L8R_3&^vW~#=DMT*Ad24Wz2Q1> z@+WNEMeO8%F1Vn^p1ia4#n|xg$9v~H#Utu}@DqUyf)QqZD?g*buV5wg_R5lqVG2E2 zXyX<60{vu>C10|4HiiXLUZ%0b+YM~W)6H+3hu`GzJ$viIqo=*RpzsZG;>|7hs$u>| z>4KA=($5N0(m$AlCyVrsPd{N#-AxasQ!0<%%7&&p(vZFX>b_6V^S`i%o-8JB!E*?W zOaD8)U1^QhVd%%7f^hu%*PMqZi+|g?C2hW+K5NzNK+UGw)&;}GEM zfuAfAJ5=kSPn{LK76j za9BB6Ytlc{skf74kIk#o1U5sG~i{sVdc*Q zgj@W^@$Z9t%~NMxvi614yTJQh`*kA!e)4aDgsX+TNJ7@0{fjGoA=f-L86dWMb_4Qu zttVv59?0Hz*0vSzLI{i}fZ|JDoBZ1+9{PlYJhtmkAYanG$sxmI(PmR(8Yh7Oe_$Xx&@1;}bM$TPGi zGf0zGPC^RqP(m~}$p&;R$g7(p26nD)Aib~er?(bTJ{z;xo`RLlSbRj5hqm$xe1B-& zn$f#Dx(s{Xc9m0>Roa|jMo5=-)g5ue$d~N_%_7TD+Of-ka4?9gl-`wOB~nR2k_~)c zMnJPog2+m5tJ8OtHs0eVvf{?|3=;ATgiLSLS(5RZd(15$1E&?-hhmRX;) z@~qxD#o$@#X#xeSXP#d|=IBBrAtNZu^5_VZ&XO8(RRftbJya|Unk#3P@lfy%9+lDZ zxy>~O;VHM_ZlKkMif@Hur;o6flpDCV+A73?aI_7z z>egz5qlnuIWNkFm320O39bIPq7#~5|jSX#S-RnsqmX9934?X4#$d8_SoWsz%}es$0jh&KaS~w)O%j1apW?#h_eebyy5!N#uU6 z!o5B>rNSp=*?N*M1g;uP_7Oxr>VDliNP;5*;1fQW1rCuL!D=p`ci7*TTz#M{@6eh6 zn`wZ8T}p4OstAZkgXmoib3)6jV2(!4BJeT@DI_ZuS!Y?KjV}Ts5(xn+RL62Ajp;;Q zK2G_BAn~f}W6-3^6Vl&Rz)K<|w`h(ByASNa=;8U>^GO?CbkN2FCNRP|6wWQ3lxKY5 z)S6agIp#8QRfV;k$Q96=5U6Bi{2VG`L+RZf5ilq89LUi#iMuQ8W82#Gr;?Cj<*Kgv z%A5qS@9LeqKvwv4?ms9YNsxgW)dO<1f+VYIBkt8*0{$+O88T{Bp$UXJR<6uxOGIas znu1WdY)Sqm++rYpbu|Gox!&!H#;6!7Sh*^+He~6TjXje{$Vdsevuc3vF?SWKkD0t1 z{w^ffu!_PtrLOE)6DHW@j;?Sj{A`$YQkESqYdb0mb4<6SC~ALiYj;GHqqKTt`-Euy zGLyF!KobT{q%-FHvL2?hEC(K2+@3Sbg{z7b9v}hzQ4s@Y3y%FUY87zvluyX9k!nSz z-%caUAy+9fWP|(j`AI>A+o|Rg|lvg8xLduL6DJ|`+?@%6VqDTOHA9K_EB;iF>d4hG@1VqRsGKp~qI7l0VWjsDe0BP1MO@HU&^>sw2SEhsNb2~3 zH~TRN0UdAOxX7|Wv6u}KsoHq;;uDh`1XJKZ?<@f4I!@eNLb_oJiLMV+#%d~+(wyR2 zDRu3D48a|76oX<^N-?hZQs71DNF2GT*tIOp!yGl?5)-UO`vXQ%q{GBl`8trE5@cD- zL<-pi0+=-+V{Lemt5*^_40wZ0RkZ={J(D+a4JS#hC&y;R4)z_jSUF1}fd#8k(b}=g zC0>=2#1$~j7~=-Fg^8OvSfq5rh&&T(VX1Np1j&`Om!I)UxhU@*gXdlLzi8_F{KgI%c0Ok`kP98# zLmi9Xy_HWq z?lu58=JrnEX{gTKiEtc3k_o}Xz_wIAAx#o(M5exMj4~(DHcz=~C9*7`(ZHDc(cPr? zJmS_vAvH*-54V6Eek*JmZq>@T&0_APf1)f`$}TMP~x`PV&0?*hG8zu~6`Nr+X5sLZP*@XD9rh z`Wm?E#M|)Y2nrG1&Sj^(1as(Zu0}bbC^oYk$e1*6vTp-fm3%89JzHU=VB=O~sRs$a zh)|(*Xz~e)Orse0*rJr@Z)ld`&acRdJdL>X>h}QduDlw1C~o-V5LsqQ$3!s<-0evP8)^J%;4=)S9^vQv7xJ8bXj|NxK2rGf2odtw{lqwI zQ{4_LO$=4Hm79c9U`xE)PX(sPrLNJy!)7^YqJMl9cyHEpe(+Uj(ipBJyBt+Gy2>r0}J0UW?-xsl`Dk19k-Wnlu zyoyF-Wo3u}iEWW>G*)#gD*=#8##5HnbI@qGiX4(tK(1$0|Bh1+1?Z8kn_D!G+9~2Pz)p8E|@b-39)tE4l{5sA18@yK}Zz8cMQd0C4RbHB4VFE z8gDX21X`Xl_yhxYG*=Da#t0$V1!I8@9I+E&bC#QsXhcYF9-f1@GLw-)VK*X67O5^O zm3GRgo>60#wypsNcRgVV^P(%@6L(ede*&l2ip-~aG82HrMMCK<>RCW`bXwAGEK#l^ zRPD|{QxOzg3cnNwQ4?5v^!9H^l-7mQ!jK&)ihXaW{=KW_EAyh~MOq!~M#AfAN!r8bhHfWP{LAI(Z{0@8k_IvHngYNJEd>L=EBr{9-T? zvq+dj1TK5QZJ4PP$b{Rf29YcvC>k(C3a8;J>*E>_jYV*i5oi(2JnDG#0QLzJXh^7p z6r-|ZY&}eIpMofpk3u%XM*v_95}A)7{bdS5N&=K6I}3ky#1S~jnn!U!1eypX#3pVl zqDVNH-eA8Pac+T`WaB{c5y*JDfkwZ`*JMInoK8oN?o!kny{g3RU}S-miVGYKgN~`~ zIN+p?>VVf5y&##S7@jKN*wY1x7L=P(Se>wyJGiA>Kzv3@0QS~`s|;3&Ym0T(Ajb*` zvO+ah*uuywPTV>SFU5JnFzgH!h-^ocK4L)7Dv*@{ru1ec+YVBipNbMf*36Vd#tlFR zZbaS=y=*L@0%=()Q#dvRQYiTb7HDP#jPyoTLB7g$hM>Fxo#v2MaHLohi#c*4e$#A3 z%D~4J2uEW!fgz!eZxz8*%w`1}%_XI*#GFAsVb)M)#MqCQB}ffy1o)pbIdcmUGpl@hpx=kWa!a?GHDKt;|6tjM$<(v6sf%Z)4;0^=rDY<;Y;% z*wy2ZWDibPBb@$pEM@s~f_DY#>kw?;(W$U)AHE-(h}7P*g0lQy;Gud#RxNr6Lhd*` zAuY=IbwAv^qUP-@F2PnThl$Ku_VyL$LGOHSY}(@MjZI+=>60w?pdQRK=jA+e7WR|9 zF009PtKM68&u#B5uYLRL7t~(-&V#p7SteI4`Y#U*_PrSwtb6^Pu4A!jixRS7-LjGI zeZO|6eL?NacXp{_=!Q=KU%X-qDR>O5?CP5R?xcrX?#DJP>+XT8YTtey+s9C$y}#&T zRhFN;c<0jJ*6yr5^R(CDlQFv%{q%{)7d^K5=R-$s{-}26d^q-xODPMKCg5>qwLP* zH>j~gl)fu%jnH?ce}9zSLudJ|eqgZp%DPc)A0Lg@McmsWKejx*XZp~w-^w$mDT{Er z99AyZ+@l9#31e%MPZ6!yQ zs*kT<#J7c6GB|Vae#iOTAS-Sl_#(@S>GShTM`X>(78*WD1{wR$dqS{1O=Cur{45n& zG~!F^L_f!By$9jW@u0g(`-IGi{w@FowUFl`coNH&WsdP=jsajnJeyn?-BBf8g=1Gm z5^iwW$X1D6RL(yM`_L%kyIYMeYelHEn4ka}ov?j*iI`u|D3j~ch z^&ew3Y}4R((4g=$1RCnnI6sbi@SQJb979f!9LX354dZQ$DODu@AoDLfp^*wC#Db7B zpscS8&*$xTg{@eakN^(UbbTuJ}1wIq@%Q)a-p&jU5Dwpb2l;1; z93<|t@Xi=xGixeh?2JT`xPuxucYa3i9i%rlR?nF8Pl*VG>>zH9n_EU;ur~HZ0l7<) zYexd;pnd1-j794z2vl_`xUD6j6PSac$}DD}pCknj!zUu6i4sykLI_Sb#%7{VIHZtT zv_}AY--?mOcOo&P;Bb*yn-Ymb$i^-z8K2~6LR}n{e8z)(pp|i@x95`+!R+v z)mTGxMg_PNKQ6t^Y6vDvN=rha_IOi+JZpXRc_icvtHEuVTqlj=qpU2bcG9hBjb&M@p) zQz}GLf}dkl#BXm+U7wUEolrL-6rStQuR%NZIr@=k#N>>X`4!>-WRyIF9J=Ile+{2A zx3fdP@1PJ)H6E%_K#g)9>T4Yce>1X?D;rIIOfVCg;3YF;X&XQ_qS+>(z6v5^911dq zd{*h`8uVc?-d1Br>KdgW1r4C5mQ1uHgxG`;Tf!aUZYCkw<>t|aauXu#Z2ewGS1*E6S|@sMPUWHUJr&T=@m5s%H4 zri0Rn0+w?W-b%2X(J&RVTkyKZRCyFLtRUJr>laR^EyA&Duy4hVMaZYFpcJ`VvmA#@ z=hZqHf)ES{3~N~N2ww1OubymVY~j#ml=^MK25G_^ym%27y%CFjwJwDsIs>br@Zf02 zN}=T8g1VH$>Lcxe@Qa<6Rm0I##8Pd7CB&l`Zkz$-bNo$Kn#xrc8^lWT_W{T`LJp@a z4^YH^S2FwEx7FBzTyUfXI64z}CYFhyJ?Diqm_*>}m^t*j%+L=fVqi&5&?I?bp&@XC zf#PNzmW!wvq+h}z11gUfGVGoZMXnb*)jR2n0Y{8YBe1oIVbp6 zJcmYt;HHc&ev%fVu5vw11WF>B#NQx6dgc&IQ546{43ngo)`C)Vf{Vt5+fED%Bn9-U zkj2sZIfjK{etrF1C}`M*M6L$6T4YBU>WZkzZN_<2m{w&$WdpTE&)d5#E)LWobO9@jW4X#ntfqk7&Xyxk30=)VO3xlER!Y!{#ti+`+O--`b zV9jEr+Q|Mq+Q@#_1?v>q%W|nw@P>RLYJYopuq>CnX{6dd{xdnYW*~P)$Sh^fjj$3r zlQ}??Jz${$WY#lh7nI94e%6|W%2gSk0KPcGo$J_s<}CU#mCjkY^#lbrm?lIfy-z!D z^R}$)?<(1k6M2&oa?iz3Zuai1f|`sw{31?tQI45VHKn zE#7H!R9_PrY=nZ?*0VmuHYU1k`%(bSMj|v1O?uDI=shlL4hg}GK7=$S0MV9jC3aZV zva2R6pB8eYY+M>$0I?vfoIt}oFX&|fJ&+SVk2k1OlaQ=>1MU!cH-Qs@3ygQYETIV}ns0Ar z$pi^G0dttRh2symT69E6sUv01DW>nx{-7h*YZ#V9+zS}bBPP8obrR|rgM0)-nPV!S z1Qc(LhY%nsnTm}hOY)4)j>sxzcuq7pIT-&*h{VYYl9{-*ldeLF#MO<}Bq|_rH$_0x zVkAWn@RVUoEPj|0@+9QdJ8XQMl$fBnIpeJiFu-l3773wKC$c96wlfx2TrtX0sQ-!! zLM%=~R6PuU^9@XDU4ZeGHiOU-sSq0I*LlX>avi_ES zG0@my1C|Ad8&=BP(#aE|3T*=^IFWY4C;7f62Q167a6>lB{@fU897qV(M8M6n=7h#* zbBK)U<76D0D>!)?KeQqZe|b!2=+ zY0?CYK^WYOoEo;zFl1+(cC0U4j8w@D2BMs^Mq)jC#GLxWc**>?T6P0>DnmX#h^`a*)4mC`CX<05dbVG!RwXW*dF`iqfIbfqooXplz5AQ%QQNXK+4 zI24(#aJO%~MTGQ(n z$N;Uimq52_tGGuwoRjdF&<1(24uEhW>M&rG(TheZNUH6`p}9cTS?N?9g^F>;Iw_*> zG6jv@wMjyqr4?{k5gaX)uQ?qBE(^d!d^WOV24^z+3j?>70A2|eW~yV9qI9q17T4M9 zOo@yeK!cJ(lEU8oNYL4uh)MkA2YtIG^@CM@+Mri*Q0fb=Zs9RKgX-1gi({ zswP;EZ1R@$6d;^V=~H?y%-0+13K?@09_p&4E6Vn6zyd;s3=0XcH3#^V09$F&`B!S0 zBx8JfPa5Pj;9cL$<<4={dpSaK$eQ~Gm8B;HSq>BeC|yqz2+C0~y#;`+Thg_A-_Ijw zxFO%?_8@O_%qasTZN5*k+}cVq{)UW|1N6?w&T!wA#fXJD7wuk@-u$a`bF$RcXAOA} z-$N*$XyZxtJBuCy`Ti|WDJMu{Ub_zFoOa4?RSd-a(B>5r_io-c zxeoxj_$tGLUB&{1_BVu3mQP>2d(W0lG@4vF{rhR)J!QzA`1YpP8ru6Mm z+{p6kRRG^zyXEQed$+8pou!0a{q*c(C%#E~pQU9hRAUmdO9>fZrK%_7!K_b|2jLIW zq(2#?FCMv5TB>zeNsm2A`ukjAy*A|nx_QuY^Dw=hPgW1p^XR=OzH(!tx&V4N1OCk; z(-WL$PFJouSorX*#0&VN-3=30M(2HrxbN1+k0b88@U(yZJoUi4a{dnT3Abd3CgUaW z((D`|c*gd!RO=zWY%fd5RtpF#=PSqhLI7K*CgQ<8;ZXjTuy8CiF(NDNNTzgT5|788 zf=cgrrqDE&@VHz}WU^7=(+#4xkE>_nLES5PjUEVdzLjy6Hb)D=Hyoa9&zunqLYkn( z$_8bnrwL|PvI7rQ_`CzC#xv`9K`;RTy-_H%W#JjnBx(SYw~8Fw)zdN&3Yuf;<~3pl z#DIe1lqNDXx}DZqvgY`OM&EGwWcWpsCn_++`SUakFii5f;l&I$-1S8vJNNwJNPDut zkjui~K>{UX6xi&uNCVG%6l#2mK5JrzhQVkj%|GLu33>pWxl~zKMlot+9T;^AN}&09 zH3FqmHXtwm=In@6CHrK}%hkztQaI63s=l?2+Oi zh;GWBfA801iA@4{C*5ofZ$Z2iH$!(k@fWMP8ty4+q5xgNj#bi90j8e#mClT9WREH` z&FyrU9i$CeYFo(B;FO0UpszWejRc2*IR=6GDa>^^5fk$m)_tTf2X_?kdWcFM;C`*@ zWCH@y1_sPI4J3Y1DOdl`=RI~%9l6)G&L=2S>T9Td)u^w!i_>JFzTTD`0l?O9*q&#y z28@IL8l0@#T zKRxGxiI{%RHqCW>d<M`Vs*y>ZhcEOXOjc;}SwBU``JL z1Vt&;3x?!~QU8NLLJk@@se!p}#gsA4G9(Ci5gT&x;lzOh&q)fZcrGQIm?K1IlF5pA zRPKV#Y75BhZ8}z-LjV_(_@lEI=x*s>=(Ek@h>-D;PKGjvd<5?+mtYk^tBcuw6@)r= z=nJ@nmGG6)Oi>I_!xoi}s8J&{NlsxO;txGMEk{I{dcQurnaEmqLkFoYH(xnEY3|6`EOpDE�i5nY9J4TV}jEt6o?mMI3NQ&YC)JeQPR$n zGz`L<^RfeF>_U<=2Zs|($tqc;`a~4suLg;NpaW&6B2ZhM8OL2pi|mvwNLg-j*%vds z@#^Q@It=1B+oMrI3=&Mxd=`~#4*n|`UhsqO4gc)Z)mi3U;X;eRw+SkNQ|;~_1|jul$+;$O`tt&QHVB?BXg}~n(Im>a zfjAA$Bo+YaXJhDBl9T|0%LY=<6pYgoOGy91B8XxWTY)2k)xs&nzE8A{NdYpsg{4je zNm&YmN&1ttq@u`Xlz}zEkw||Oz=(xWoH+hTR_GW+2OxhoP5vs} z{WZx7^@>iGgE94^@qX9ds zg8Dlc`2gHmvbu?@v9bgeLg-|x0_C1;MaF?TB7}kDngL`9ij8-B509Jp?1_q7YeE@$ z=H$xGArtdqX+nGl(wou-5i*c0K{}964xnHj+2V&VCyyJM4N{f|Fn$18X)gzmby*G| zAAtL?$#HP&>2ML1` z2jOFEe1>WSen9b}1sRI?Z;F~LGX&?<6vbC%2-YygJuXA=g{ZossGg8E)-Y5u;2YMK z>{s-z%srlv>I}gXQrMfJctWnv5IjwOh}Y(}1J#xda~DfW{3^5MlYre#1-g#ePK3fo z>8OGyWWImRJRx^y2%aWm@yweqL|K_Dq$zWcC*)2R%-5tU!{M7Vz$eiRizkF$_0z%A zq|(2}CcUb3>;XPGCBxzgxh+HRgj4|9I?o)vPn2Twe3JFOC*;;P9^4M#Ulw#@k~quD zZR1G{;8RA|$e$9yzP<&o!7_YkJ^zduL@>*^{E}pB3@a*p1#DTK( zQ6R6nv6%FHci(%8dk>HqpU1V#6VHwza#{yK#Da@y~aEa`P+1{o1z__qKN@-MsC7;=bm!{r>^ki}y{wS8+p=_fOt^ zBLPo>yr8yd+uAGl_2DMvlf~P9z3mqdeRAznm6xr6zn=nk`sXkB{=RPCWMp~o&ZmIm z`2J%r?7J4SPrh>Byr+&kckPuozWdZkul@WID6C57%6(dsSEv}Ce*dxEuU+*YeYiUp zlJR84{o4LFR$rOE_D_{a{Vj2?O+WU+Cl|l*@cK#bXMJ+R8*9J)X!ngbzwz*$Yj^Cs zY~97%R`2@5t{bm??TPQMf^3Bu>B-*z?z)?|-IIma{ABWJML+uJg+E;WldHDf^4+Rc z@ZkP?fKPedC$-zYzk7wsQfcx6^4`7gL{i~hrMIqZ+m^0hv1{MW?;L+NQs4czC$>HD z{?GpireFWZ9#oHg$KU(-?$_S^*ZsiJ{P`REZvMenmYket<=Ub5?f&@Y9~{4A?UexI zTnvm($o{9-9y{j8$oY-Q+tz)$uX5kDd)B_YekbL8_DPTI`hw~++Gp?Oz%3YAp)BL>u0rCbOPEUPITSOKLfcm%N?2C2q8c`gpkrZl#oi2 zJv~QuE6CGB4a>YNk3&vzWVwX68ym8kRFjZ`Re!{WQOm|k*C?NVev4x@6D$g_E>DdZ z7cL{cfseQh-OHQ{(7^vqcXO>T|PfDo&>+AttQagS;XS*yyYD>BEI3L)!0 z5*h+qD+eBBnKr)0Nec7Rokh6nFl?NkGFl4#Q12P&Sz|78QRD>Iv zd4R~$G9U!sPrx`tAUgthP1?F@Y%~-OSzVlDgPVK;Zm>Z}B~CU0I7Yx7VlHOpqoGE~ z_JmXu8;qCZRiWJ)CvF$q_P>WJJ1t}E^fFdsHP>k4p|?2cIJcF-$~FK|D!sdJ9cLJ9 z#B%LHNCVcTz{d*BFlPp{+|tM?QKy4YPNOlB4Iv5^w4i2YDCP{?NJ6eg78&ra_=922S0RMFh#$T%2pJuugh z(GYg|x-~K#TZJKu5+Xx(&BkQ{XrcE-CHCmKb*#Z&z`6)Nfv65e9Qb=aN@rU0f)XZ1 zozd2Cju|bBIF{h^UD086RfFu1kV;*|onN-ZC=|FVOVWGhM66ysFtHGIvhvo6g~s${ zMq5K6fIgWj2FR{#E-y5-COm3l|1gw1tVfMBjF}-T1-qKaN;p=LYshM zjN!Yv2(5y~x!nOCu-C7!as@ zO^WKBps9dUSOz;pDF_gp?utUKH&zTiA!g|ydUqiUtYZ#pCCDTsrj0LkEsaa!xP~nR zz)m8D0yhB}h)DRI`a&Y*v>}}44zbFJT^A(6T!n6<0ZlBloJxy+4J=}_O^v6xUBpeA5ScUq<^>fgj9GDY!PQY6%xqM8QSRuNBT#>;)qQGJp8IbUsI@f3tP>sY zMrJbOQBGAu1x*$V~D?4o_Fp(5DrGeE)GNfGJR72d;3}_rj z44Qz9ZWFO;GJPj~u30{En$AKHRp5v~GID*Yu01j(L2sd$B*rfk!5N`}Gfo!Ks|r|+ z6OLPEGJkjWEFDO4Z@Sy>tUvJgjHD0hAd&mFu`UB2vS}e zjhiij1KwOPOi@xFFF{tcC`v)l0ajs*!7gWK;kAZ_32JLj#tJA2Y><*LYg~G#1sYez zO(u+Iw|n=y>;Y{bhOLT!@I&RGWUi=E9ZjK6>UhutKWOSVc*KW3?tABWGMg6U-M!&>@0b4?t9wb26n9Zch}T zqltmL%AOW8(e0owD+(u%WxNby2U76)aAO%#WA?K$nK%R7qm$&=E7VXZoIsDBjI<)J zJJ2CcwdY~KRyWcV$ENStp@MhTm)ymg<8n?D%sElRP$wjVIn;etpAQ9-%J`<$5s)v8 zC7EG_r#T_3Aj0aRcqUP@9XOWo0AXcUn5<0dk|#~7$x4^w=Llm1jPanel*vhU+$l1t zNNwAZFbC-1E*g=cA_f$`MaA$@jXVQm2LWz(Qt?#!ff8_fnbQru+sm7~QI_o+9<6tJ zCK0G*u$}g-DZ2Y*e2X4!j0Me22|E#Oa9rNZ+0=829d`lz9W-8w*iJYI?=xOF%~=jF z6xGK4<o8S+!7^sBW@I#S> z&s|M!(%h&FAkP3)IZB;Ibr~V#O|)JlJ8U`_#lR!!9y`>W$g1Mp&iY7$PBr(do1rfO|w;SDFA}lCpGg z_x;t79ZFK8a&AWwzxyNkl1F05Yq`o&xSzf`%_;;~ zBxG|`VlBH}u#iAI#R~Bp@?%sy5s#4&jOU%!1dH4Cqu>+xyM)a7#*$R1sWk$GNq|Kf zj2Fe=So-n7N|wN{j7c}r0Dl8I63C=zr=Y?E$P$GHl`e!it&yfi#|#%FP*P&#O1P?x znbJ&F3FB2|4x?X!A&-L!_$72j>6d_(1zE;m&OcH6$tpQnDWGSH%u$Zju2TM{wuH#g z8_zV*gt*bfMB|05w4KUw9w0C~x}XUY(y-(72sch}HVuW=8JSuy0xVfsCg>OZ5YU0? zk>SfVG3{X13CFf|2?-6QkX^EHZlDatlq2nAW5kBq&&F zB>)aSZS-p9;>QIn;iSST4ofss;qw8*iso!aIB0ex7{mVas?h3DXp%ZAc0$KEdLlXj zNam0|7p1a@pn>|^W0u{>(G%UlYjSd)d zF$4RcQ@j%8!%`dv<6KwZGz6_ailP`t_9(`#cpgpx?s4b{B4eplG8UsWLm*~bB&4^J zW3b5%7p;~6Hqiis@g8WU3(aI<2{Jw?~_J}+MKqYJ^T5$Hbgkcrp-%=MHy zJp?*5r-Keqs*di0#9?G*HVBGRM)XIzLtqMr4#bFrI3z(7e*VNzLf3-OLZJ`E*>X;a zZc5aIEfPTPLf8srBl>o)7;LyCMT+ZSe_7=)MyZ(sGj48S*D#Y_%to)y0^o;`VkY5N zeVfp?<{Sl61)(Tj&N-s?gOSr998Jt9QV`r8kX%6Q~raI>HtlmE&+9aVWA7 zL}sQ~4joh~r5x!&ydGAqLlz?NGeYhCHLw6RD&Zg25m;gE+w(8L#sUYx0(|hH*Dt?% z_3Fp5LG(|4bmvkZ?(x9~XYaby)1*uZA;iOd2N1Gm4K_m`I(Veow(B?pjm2 z>)eM|KZFnTwSv#jxnTUvZ|BPXe76y>u$RHZ zonPJ41#&A{sbuF0x#!OJK%R4S?d<=^k~gd?yYsyt)?P8^0us_ytQ5R-)s|)V+_`1N z#M{Dxn>`$}C19kH!SDagW(>o8W zxp>#P@2y_-T{8ah&A(H6U;GYSwR_P|AN~m2V61C<9J_9hCm}o6fcv_oz@zkCr4@W| z&FpvffQy;3-PxjG9w(}-ENMMdS=fsB8t05 zk@fD_jb-$K-LoX5HBtrHvs?xNFRI-jRFlqGSq=_<>rqNYTJl`V!-M57r#XFk6I zpPjmHq=q>0y(75G!qXF63sG6-7FrSZIdoeSv|Dp&EA*bOz&NWi-0uJ9?rQ*}s;>O+ zd-G;^i352V0uCvy_vOO|ZMz|8OVOG;A>t&>+A*L;N_7S*3)*&}tku6-xd|^r>{5&= zU%K6GqQTbsU2ARqSO!cfx~QzHrMtBXZQT_=W0lq_IRD?d_r1x44{hCAaWCY}ednEb z?m6e)cR$~|zgUHge5)fFQ#&rUCS4a(6x@8pU=DUxlc zk(cKmSlA}PO|<6pDTv)1!JQPlr>yDza>m=z zHwSx1%(Kn8l}VR(BoppX_hR1v0Khn9<)q}S$~Zm=g*nxO-jo3b7%Q)<4^}!I(V1A1 zz?Kr}S7r5Ujk^1drTzi`Ux6s`P77RepDKMHnM*^`fHkK}d zEiLhxqJb%eA~TU}{hBbR`y{k61R^#|GVFSQeJ4Ym)i@hhQ(DT=r9KOyrCqVYF|FVB zPy7bPG@aWRO=8nE5$dzM!jzr$5@QxFs{n{D(xt0vCWLP zk`zd^oL&nt@TVM_a}>B-(vNgK(@J5x2)yt>oCqe9*3~Fwmc$9Z1NEG`9Ikydgs})F zO&kF_4ZCEI+Kh4qxOyritHbaAI%szVn*6WDy|aK?Pt^{Bp_%B$5D)DQGf~N<@H$Gy z{cDnipQNERp%xpXb%pEri_C!1#4~ELXtWerFc~Q53D%7^f%IOqq#}8V=9Vxg5JKj3 zXmYljrSO41xIYqa26?-0w#?HxZE4i*}x&YBZZHM zU3ZQa!iLa@<7I>LWQEle7+;iW+n_f#f!fNUpf?A`V=5Ksm5Wj+#!D9z=VhF+O4JE9 zCCOYKS!uKGuGpL)=g~m`h$y#|2b(I`3NzjU?n_)Z&8DWk?urz(lP0=C>`VwrXGCP` zN^783kiP*_NyZCbLCOZmt}qW*stW$r3VIB1Ro3d=*8Nktu z+m~QnHe+C#6jpjdt}LG*%&PdJw3lrCG?kN#*F6WWYKS|fv3Pn32av~9C3|JU(OC>~ zN}%byI>)LrUYJpz#1bfMc8a6eb4-(BV{2ifzY}47*y+3@0U=KJ>+ZIyB#}D63LR*2YBP?|grho6iCoM~%>4IKyS&zF?sRyCGaU>0 zspedaEl$L^-5IvpBybt0n%K($&EP)p)wMpvQ*sVkp=OcQ?qdqdF_iW{yXZZs9vbd)y;nTdBHLt(>W zhPB2(UEd2KFvmc{WmsfWO%Vj9LpJngn6-s$G+GscTA~gCmIO(0Se1lN z3h0$Y#xvpu>0u&&Tn#}lHj@1}&GebJFDTPx7;?X(Wl0#HWxJgA=AN0Qp~UQ3Hszz(9$}{CRW=)Jx~Soua!f*$gxxTc+MGJ& z!H@Ss6D~T#^{8)SxqLnjn2?5?yuc0aR^Z99M1&y|HY!4(D=#Sy284Ceelt6z46$667O2~No=w@(Fufm{! zgIdK5&YF=~q=v($2#H%onz34>$=Vf>4p?ZB5)rJRvL1m5uF`S`1^O|t5GgF-=bDsy z++~_BU6!o>O5#Ii8^mmb3ZhCAF+cDia-{#-y{dLN6LYWgp2s9BcHqU|#z(L$0MZlh z4Ozid9!@qU%(W(8JxG&17vHn*MXlg!QgGdjCm!;-7ccO+AsggBUGLTFD~Y?KVEodJ zTOoTZgq$8|LP=s{>%;j33ls%c0jhGTuL*z_*U$JV{(z6zNKVDFz{c%&eRU%&9Hroc zNbba9f8V3C0b5lcBFQO=`A^)<1@z@Dk$-eOH8S@Ak6G;Nsaqm;>8UivFRebKE zBnbsllV7@P#GD5pWDgv>7)~Wk)@s=VJb5L|dGOWmK62Nq8~+X9klWW!e{#=k5^_In z`TWQo;QrP~?u<(EIBon$lEqf;IeW$z$b(4oU+#J{ynW1!Cm!7y6wTY_z>A~ycK!n{ zE!)_E&8N3cn7#3{>r2m6{yyu{d7FLoO1%@bPIBixQ1G^MDKGE;)PG2B!}``U+fQ6}7m`!3pl?D+D2KoOtHHfJ z0Q#Igy<_pRTRQe!3|B$O0Xa|R9Fb&X15D}vA4Am+H1s(lpm?UzyzXH&nK~`HT21nI z*k)fmxp*URh&nNwk6Cd-w`$suY@!V$-FVCS283XCxe{cIgJRA+9^5wVLb%%=-^&Mn>f!>`vt~JiA=HsSS8w@aiCbiHMGJ(vI}5F2DZs`ywy&; zMU(Be1u)00gAfOUbsq(il7xavHcemz+=R`fQMqE!M9C&BES)=;k{}_GzBmvjJ5-X; z8Rir#IKoZjAjuvq?M%XygyN3iwLTf!&_)vhf!^HxAz8T=T>YqWxxk_coY zS)iOAq&I1UB&+56Sp8rYyIVH(O&7aiyxRh@G9Jzad3<~u@IP(r8$CFM4`pM$*6J8? zz7IH>)lm|1^HkSc-N)p*nDf~tGmaG{6pA~#J+6I1LUOVAIZJEpmYLXra%$~hSwngE zR#NciPKTRe0M#02sWl6j(>E9IdB&4_bT+`8$9=~>v=z%RX#jmDd{|KUWL0}TpgU8a zP1HuWEuJ6{2WOmFL^^bkL$?~k%%qCqAhq)L%7J`hIi#|D(JYXr=@9iuy5ihJmNHM}g!>}u#tF@33z)Z*`D|OR6zR;yuq{%B)TQ(6uh*&8=Esqrr;`TK``;Mt~ zRrwp!X>Vu0<)fX6llai6&?fA5v$KMphC)*WHzk=?otrM`93k0ClR$_k(I){}2|=qj zx>W^QsOBC@Q+1Bl!Hc*v0|ECcU_$%NKE1-B$xeAsS9u)hl~7Q^RpnQrKLwqkf3Xna zu8t6yw3r1;k)#a|%FOXK=udSM^7SlI@Qd-bQ{7e8Zs24)M^}8;iTfRplkKI3)$3M6 zu0cJGq{;^9y%e%ttv8XCkkQ72tX@(n^2xY~SLn6Gs~PT&Ih;3c{bE#mV2vXb}QCI(AkS8(-5-TT}gchi=aF4&I9RvzUw*g z2_RmFR!1D@eF+t|MY}TH(3?eK<=YNr%4-nOX<+_AhE@ioTs}t4nbdYtkFyChN;#v-+MET(sLbjs}-F(tFaF6pIqO z&2a!(;719Gfx3uEj&l>-cpI7~GSNH{w*Xl%E+v}RE`zFj8>=C>>Y$nQ6*MFR8Gl-K zKqdmn7LFX#=9+A&7n!NCgGy-sBxHkuWHSRTBE{9gmrdtdc0iUOm^6KsR!J(dq2^*C z5<(TXAvt0?N!v6f1Gk#Cz^noAD9VxAIFt;vX$ zppTGE-%3ynE{!^aRUvT;i@6*xiEu^%7D=6#@qpW823ysNudK{|>c)ISwqkvyPFid{ z>lY|wA!cSep&-5pV=iZy#g@I0WE$Ku_?0Bo;xLZkN#|7{ld?ea4t_196h$!)yenhmMRJ&{l4ic&Wu4)5-dcqu_3Ao=$}>3aUv{o)#QS z%z-%+RYFF50*XAc$n3NQN7%>$o_^bq#8oOZhUOBKrvlEWp#4uV!_NhZ{{@1d7z%{? zUnEgokp+VAW5B=&qJ?XPh^=nog>ytek?OqId{uh5BCA8eJa8)!BbN>x(_WDWTohap zw1hyWD&eCT`{_&ZKTS;`%^k!BsGs`#F&9pj&g`^7w;4s*fm6Cu z;;+cSAnlQi0|WSKzy;7NJWyEE^@CCb@^Oz^PVwbL%p@p1RGAmTHIfU73Q%moLyAg< zl|vAMKWI~9lt{C7AtcfuqO+hCYC#0SFrGkL6QdKT9ag{kM9>f2N5P}3#ZJNtE^}Y?lq=E?aCvV?Fh`^T$vMVuVdPo$=#mjDLQ#04E z(hTrLzTTLKSKKfCM9sMGUG1B*_+Ti>n{dhJehRb8SU?Dj4}_>GYQl_MOFlU%;PxlW zFWUO8%6ZR^2vOv_eIc6Mu^8(W_hV*!{S2%vfP3eReU#+$nByJ|JgJiW`htx#&kb$> zeIA?*-?vMg zDDEe4#}}~BguUF1_`@CA5xK(R{l^-p8&hzUpg()z8Ib<*R?jsXt zJhOVIva+Bc7AUSz+?RGd>+Ai;WgENp7Ac7JEu}YBW|ZCl`utAAll1H?UUu8%&tJ9| zk|1I{3#eKG9F~*ldc9eOCc7nNXaWH82S`ZRQ;w}n&c_>hzGG))+`(+@9IvZ%g96M{r%tkaoH-fXN|QjrgEDQZ_cIr|&z|HBVuImVXj6dpnmS01_3#&NbWYju;9>%`oSIuldWYR%O__zEsOBtSe;P zZzP8k*6JzMk<$q_%uI<{F~@*8B^c7LhTaianXQ0NOqha93(Ofm$-6=OyOoT`_&u9B z-nuF_X^1{!SlBhWwbptf}|OY-+MDjUw9vMRd-pI`J%^47;Dx11I$O)hpP zw2BGhG$EkR&v;!$l{{H29u6Bva{xsN7%?h`hBmUyOyKQ zWI(g<`Y*5%1y)VYIh7V8AlQNc1o2wAUNSnhQe6`&L7yx53GTwV2xv+}f6T1Zmgw(R$t7^BBP6&GftF%SaD)FV^sg+O zc5Y({brOObI16$mdq_!U?BZKE$+)Ibj+y_K9aq3 zHAL)^y6$*!5feCqh>!-c7CVZ5F)2i0SRGX-o(m3*5Clz52#PV5V-d)X_Q#+kopNZ= zi9v`xhH<=K77{2bvk}9m5{59EkfMMe@OOfBoyq~@1X43@aVm0T0sd&nB$X4Pk3(w~ zBmg!uj3^8iLMDcz%nbL`A!d+7i2O&ff{C#sW^!D^g%3h_W#jwbcL1*AR^5W2G2DQr z$ctn$c=cV82{V#v`HswPYMQhCeJx%Dt!mr4cwCY1@FFg_30oPf1oQD?E7kHDqZ{Cm z1en>K{bU@?z810ooEaBW$dj%_y-nnf3|gl&$h#GpW|ws>KL8=RI=`;+!(M?51u^|y zsQf3A5Fj-YcSe)VN!f9g(~}Dw(qtP=mV-N-Mbqp7Rr@QDuM~7i6HLNS^6<$=(6ES$ z3~Fp;1Y}C`YZLLl1E3_8>}t%PPr_@u9RaseP%UL>ZkR*HZ%Z5AnE3`;>`9nf2MQ#R zAYXx%suwe$l9Z;cx)h{|z}JPa)T8ztZ!bW|mq506*Gh8LvB;fo!IXt??D-kE3g+Bf zEw8VfvF3)<?`P-*vtkSYg^d`Q@racCwZ$`-2NJp+~sbFyVVl1;cH!d(BTup zfDq&imXEUKlPXZEF8MuWnj&|voR4xs1)`mDeiG%*+*50;NJjZvda-iy;G$2vKVG!U z$im8sE_g6YR;tAyl0DjZl1;`Rd1NP1_Wt)BOsb>{fht+4&rla5&^yxtH8po5N>xErCAHPerz!-0Wbagh2x38kosvLrQO9Jg_t zL3}y0B)oUUkOKu5*nPB;;P6t+?sp2@HcY?W zG_SYj`mVZ2u0P3fMFqPgh~cyl4bt)n{zM_JQ?le71Mx7kB8xssvnEg?rr@}71-=_o983so&lN{j2z5ThEK4fGm`rI7tm3$k ziC#;Uz^{!YN;ua>cXAeu*tr;Mvh501!qTch(S4?2kR~LGGcyNIN+QFpHc!hWS6vBK zDu}~cp^{27WeahAV8W8M?|<#^=Q#_@cGzK!=AsKh7Gi&1BoHCgZ8Er7pCYRjfl7=D zD9Tid4iSt4Xoq6MV+*cM=3>(oBp>>pgHy!s8o06jWaRgscfYtbK^?*cAA%gY;o+X)BQ`L2xUBWh$8U|_D z&rmOQ^@FFA<9VqerJM1`RRY8zafSpq{h;R4KR9Zcg;OLY71b2Do7}?jr!@?2)7^4K z3pL>e$k0p%w{SNS!!>wGHsS3gR)hQffbU>~XdO6o(lPLpEj%eQ2v80kZ$*(P40}I4gH_4pu6D z@Ejb#9oh$<3`6{KO42y*jm|SQAL$Jte{-+IPL-4*=^c93D0|&1^Ajc_I$ED}jVF!T zc~))8lFvGwB33@!6v`)0aqZKdEc^O!+2zBZx;S@A{;?4Jy+8DalS40WFFWb>vH91g zH0B!fkCo-y!z?+=wJXRcfRBJonIp+>8_XBOZ}cBC{9694+jl-OJO9Q5=dsV_pC5a< z(U`ya#No)M{LeR$@yKH3*pqL2bJ*@qO+bOzncMp+yZFpdeqU(+oH6;mWy5nq;C^DR zE)Y~w4EPqglLa~7b#XqGXDw%6eSCgj*_&`Isnxh&u!~tfPyWvT!)<_OUlh1wYl&&apC!ctFAITp6 zZ5aEuHg4IJ1G^4NPH=Jr|C-lKI@0+Y zm>pEl&D#i3xiR`lC}hw<uB#r)X=f)8pDA;&!SCo+^DuiBx2aQnu2n%Do8hGN#kc=9V zjbqP73^Bc&#Y6dBCQqNXt*mai?BhG8{U&$v^4CMK^5M`Y?+g9x@v`&kqc6Yl8LAy# zXL&o!cFp2xsvU;au)w5N^_@a9yE%8v-gz_M>OXt?D`jtVp2vn7p9^0ea;ScdHX`SJ zOEI)@TjR%H&*zHtjq9526gV40m3}!kaD)-xs*q1u62GgV=r@<(mizR)pmbX2CIix;Pt=cpD49!shnSV`!n95v}rlg!Y* zqm*olqb(EYT3h3&IMM${Q)R;?p-nj^$x3K)=%BfkPok=Jn7T3C86=5YN>i-yIU}kx zQ4);Hjk0}Pu+CBxc044d2#Hctq{gzk63pe7=W1ALM+NJx`1`VnysUcOv=_w5U-=@{ z4ktdatS&UP6Mcv1t}n2uxyH9@Sl$Y=9kXOFWR?vJl5AM&hoXlMM;phm39r^u?eM^6 zRXbRXTf&z!)DFi$Z#>x0yWrS|%l7UVo7xw8o=ua(CswhKPFq2h#@S{0-tpxtIBNLy(C@l7QSG2c z7W)MT3N*6N+^FqnWMQriZaPqiq6gdolmB}V`S^Y8Dr!<~Mk5OZlWK?kpH=Pq2zM%} zE`nQ)EbMz%JcehOktWB}$ig`0jqgoJMbEsTe|Zi{lin)aHq7|2y<*d2A)Mv~4xx*J z+JW?@;YodL91U>rzxvTRNOHxkkD0PG|8mn|YX{A(d=gb7i}EwyD6SojOGVe`tX0hX z1CpR{6vV&QEJsZO*bjB$<;U8&7EeW2JnO8*$RgKNc3yJgjrN4Em)%`owmkZ&uZvUu z2cwO-`No--V`Q

    ^wF$-?8?REt`J!*qM4{(OEKwy5hC;u@mzI4sRdK`;NM&|HR?f zX=Jhefjo^YFmFEgauXwqV^XDRWTCTIIkxe(y{WRskL;=^O04#?YOwAD@33L$+}nhq2)y6$lCfA|ypV0O6HKRqgPN<3)hjk~t7^-@pAXKxSP}=LHPp?*>4#I!Pa5 z71^ z_hrj}_0qS$yXO^{;fs*A4>Wt{%Xb1d_o}xZ zg<}uO%ZE>S;8V~WK*~RW@qJ%=>TaMK-+Sp3Kl;bJUcT%rZ;qRJ_sjqB!o6=1%I<@| zykZRia-aFHB{RlB?;S6WzvAU>@ST@F0iV72{F!fFflPVg#glN>`rU{B>1+S~$(6{R`g@{J-}&Me zmGg=IzkT!aCr|muJCHj+Agtz}DPZ68Ak;5|NHXw=&P$Cvd=Qz+$qOSG$T)jDA%&tj zTK1nu_Me7@Re6MAAcb0;yz?DEK1Ty_j&S$&;O;6P$905Ekf6YEh0q!$yRYUtBtk-> zS90R6xw4RCT@pe-R@_%k2ivu^;I2|hYHqDyA0gpZ5jOyGA>^w_0^HxI>9>q3TWP|R zbxD)RRc(Fazzx}?Nj+q@EAGGjRzzAx|F#(ET@NJO_L|Lg*iop@0vUSY&6`f%YGXC5 zvlifHu`xwta5JkD1|=0HFMeXgjU)pP)^u4b_}^+FZGgPBI@2x#)~fUjzDSdktt0;S zJVG>8NhXucaFv#=le{(-xw@(qvZGf{ahV-p2?LWCn6F5?=Ek}KegR~|coIVQrU?vo zac5OaEV{-r!GtwHqpB6_yERw41|T(R1}*HjI?;6C43f=cT_7OZXza7-@?fTvKnD zEheyUp_z{nYYPD6V!9t5>mt`_@-{@qb-Ly7H@WJ%U47-T$V{FTqK~qHJ=|btBPUbw2QojYo8yJVlW_E^Jgi&gYCTU89-e!+tugJYRdRc>x0 zU|;wo#+{E3C|9ANVx@aL$RPj{Ba1hyOjAiBi6Y}EFaJa`TR{c+PvwTtcg3w8yYp0C zJ4nJI!iTBuArLqJ^5i;z09i=ufFzF_Ap?{tsc~}?#3qS*W=d(I;YJM?7_ksSfI}c> zpm!+j^P68#)?Iax#d}1d$S|JO|koayn+Ed-JB^CozFStt; znUaJ#5CZtE0f2S2pS2FycZ(rA+8yqgzos2&bqRZJl~-Mj2y!P3>CR%a?6mJqjDI1!AQ;gif7PrhIb0P$H#(|3FS(FX%AiJJvb4YK}uoVT z<_sML$F`1K@htQ%Y3l8k*>c>3 zS4h&>^{(_B$w zhml=>=p+xrtx9aEIN=&yJFxdE;JHB%bTH_^EOm4w{Rlc}GQ~_;PCt03ME&SE9kmcm zl=P#7Xi1C_w-RD$O5tw(E)8Ci5p<7FrXNRgqA-a+I&Ugk7AHw@R>e>rDVU5q*uq?g z4NArfDjAMa;FC#UAxaT2(CwV!`sHpVjb3s>z|j}3PU+x+DuXkjBfh>}%6Od6SK4-3 zUo(o6^cmHw0_yFICMGBaFyXCUxaKBXbF6rojej#8-od0B;v_vJzxNzxTwk%_LrY7WH`Z2tvST6Zc?^?|2F6BcZ$o zudVnLnsb2|CHn-!7IXvI$FP+O_RiLsFPKyYK}9T%a@>n|rRwp^CgKlCqi2*;#^YRo z#0{^U8~F6vcE$(+Zm5ec4ObT_Eu+UK>wV)9L&%Y@ZPpa=C<(mxfUZM5W@O7@x^1LP z=mO0UtOPD7=arB^I2D&9F5oNpUV*q}fJ|v3)JQmqB8LnT)Z(j@JEMb2M~={-&|fL6 z{9IArf!eme4$3ZSN5T~yK?+SNT+x}afE@Ftv?Qn!9S9R!5hQ4jBD-OLbCzrF>CpQ^ z=sgQ9bl5F9&AHj}PDD{?F9$Ar27QO@0@Wrf?#JR}W#t)3^bQF1wY45dxEVknn1GVB zMJd`%G+K2?(($IE4T#xZwPPgHN_GbnLLoqVLPAP_wg^aD=B@%XJkHVY0J+*uKw$|X z@%gH;jW*S5*m-QTVDYf4VCUtHc2h6V7OT-t*5F=PIg_}j;9j6Dy7uN|Q!i-(SYVQ! z1Yjn0K!7}qGeb3>+JjByL)6%sK&zD?Q-2Byq|ixlOGJs7>bVNo7shAm((#yKO;vq| zRje6FqVIqfe7-UVdZQbV>16iAPKgZ_I%f66N&(fIwrgV949r0i;I*IBJ zC(4XWy%DXyg6_N$``1^XpCVE*TTaE(4unsY4U=wmBD{_In6kPDvManPz~lvxGP#Pn zS%B)L+|gNFzkPJE2N?#S<1|9vph^`L2)Js539J zL2`n$LYJ0)s63K|@1ER>+88}Xs`9-7Eqeg6(K{k?6M^qyXogM|qa*`zJ;?pUjV`Tp z?ay9K+);ys{1`n8B7++}S>_Gc*l|S3#$Z^HXBq2A@A<%>@CG`-JwXX+odkg90UL!F z{o**1EYBJwB<7jjtd6>d-J~F7xdyII0;p;xF7SpfWC47)Ji9=;Y!;G~tmGlW8wToT zI%boso}9KLPBsnMs5qI&>ws-5WQH^`))|ug9Y)S$+<~+QHVB+YG9G7h%e$@Ke!EnX zs|2$zz_%cHobWt@8$L0`T6!kur;v9ws=J)+Cv#G>;3}5tg$Lbh+v`2Hk&KN2{I~=i zcX$k%kn!*+8IK=WiK?)O%&_CXi!6?mZx}!vRXCpay4E-sV9Kxv?#080dr1=@;hNqg z)Klh!As0S8dm_k-?X%FIf80pIoQgHz257LV)#d|?*Qz40V}}i(IOydZY=MqYLkHQ9 zr=v>Y<|d9(-OQRgqNg|xW=`N(O57)W2oD50vm6H$a&+6mM6P$5ajYTPZCynENhHD8 zarG7GkU2hg6F67|{X;g@nT#Xfg(OWwO2#Iiu8?p~M(0kl;d+ut!HUq4t1jPfnP6;- z(S@Up2`{uYI&h9(!(tZ0H-AKOK86FqB7hO%42mEfb!1Z^|KNaogCJB7Qk^+0tf><6 zw5u#g6f8$%`KNs*mn@a9E1tLcH@q3f9;LWXRDI^-%tWdrXeSK)(20m?Ys z$7nPFTNiO04JnXMIENXg#h{V^K?OhAU3N2_=3p*fF@}qgzONFUlhf%SoVJ2eL>TEk zD_7~$99_WEI1&)22}^Z32PddZ>?i#SAqP_sP(4L4SilRIy-=S0I*0fckU10m%;22v zvDg-n&9Xb_2BcHRTbCk%abcEAIbqlbD)H4>!@hzhJ9!nyr@;JVi+zqvQF0hwl*YSH z#Lw6qz;EFv$|R1a!2PNmqHIhB0m9S~w~Ad5j}u!MpHMV-t=^Bk`ybNxT?A6(&kQy@ z{bQ(PpgElspJ*ZRoAXU&-R+{ZhyNeRf< zSw?}uBFR9=vRi*d*tS0=jMyUbW#FE@aqB(*w3f)NXP<;52e1eIt+#Gmwrl%wmEG9i z=DFjb_hpm~8tfRdzw^lYhUYGvGvo4?2d?bca|VQ9=a+joY{Z5z$5E0u-FV|ZX!741 zf5X8|P`yf%n{IkuDL6pjyWd#*$l6Ab0eK7AMF_s56fDj(E#$cyX^WRzZ|Ht?<0IFR ztB%uFe(%OPdm3)N0UOTvu6pP>WSa8eS8x3h!Rda#a_vLwr(bZv&l|L31K8zz2u}C8 zOUYHY0Pj~N+5OZHR5rYE!P%AI{vKckl)ty$)3^4KozUdG8Rq~X7f=HPzPs_MAFFI2 z*yS(4c;de9=4n2baD!6tIRGjD5k9#C8~of8Kl)Mf$;Ax{F?q4Jaw}Y=$RnAiK!Y`Q zv$m3CAM6ul<@-VIuf12*4oUiewA-amSu51%rtRvx()WbidXVfjlw{jM)RGzW4x>Z1L0Oa;& zV`qARj!jn5hsGpi$`89Ue9aA5jm+XR@OGwTZwL2UU+)M$ke&++xD0O<3hy_A54#~G zHUpdFS0&G~%z!JGs-pt=JaEPdb}i*e%dwZ`rEXjl>jHz0tnekqPH z&T2MK1XAwQswp%k5&D`PHI9;%ctcuC5h1KasDKo)nnzJdhta_j#thFGo4gu_ za^}R#@q10GxlZ)r@v3P@`VNh2%4ug6tTL42(8UDD(r{@aVVBx<5WpBT*Fh~9_;HTk zL-&wPVc;4*{4^n7!g(TxA7xJ55{Ne0UsOVJ;4_Fh@>P~)W{N?H6vGjN04WRyJJMoI z0RfmSl~VEqF9k5Fh3hs(hNX~^BNcpy;It5O9CxW@6O;&tJ~SB#+`=?0PURSEErVYb zXrEkDVr`41stBM|f0s#$(6Gf~pWKNVj)}?nsh)xub;qocQ6~f)}(=( ztNa~tCU9RtJL5{n&45g8yVm;*y9b(>O7EnfJJ`}hX;SUyj^Zvin^q-vNjTOO9J0eo zlL#{#@UD`j%yC?OJeCM& z#*@tz%szjLDCba6T3*-q30R?-oa~TE2oYg2C&gsJ-?p5~luw2*yNe!7Q8u`nk)*d$ zyJ{qNv$F~9~tHkkvQVgq{HURR$XuwdAdVq{JOtd!lDTF+K`Ab+@HM;ExO zFLK=hFFXX4-O5i9G;&8OnY7bxj*>i8Ft2MOrWJwvS&_Co9^_5T-CY3}08ck3e* zz$|UTodl;#D7&^%4+FO+-96kZGB_Z`XHR?q_=Zxmub&wrGbFcK~6$a8N_g#hQNHPbD5KbqwjHl99 zN64|AEODx3mBzn#mec+X%h~azaKCfDD@-qNEVf1Ib%bCyfZH+U9kg|tVc}uJ#HN-l zjCx18DkvvA1QJeA>IE{_{zhY-)MWT-P-g>{olrj|ShFlao#%vk#qD6}CC4ZsITjwn zTpsyIg~Vki$Jo}ysYu@VrnLbxhCiHu0*1ndS2WImPbBNMga=opkUA7ZI*Hkk~&0CK#uuWWNGZ#^5rH6p#(zb5dudO(t59U7=Gyk!!9+ zIZ3h3iZjxpi=QN1(@JzlSW{rU?{6eoFdlK=Rf!{t%*Jea|3((@1O=&%{z2tA#gQ3@ z4(6fB$gHAZQqdb7kdG?JQ9pFjDI}B_;Iz5aH|k<2>GZ~rmBgch9T-syfG4;c*AQgk zKoKTJuB&r(h$ZppnQ7tJy*1EHu&gzxF3_3=FVfFbRInfjLB}dOC=Z}WBPIn>3MC}0@qdXP?%@!_Q;r~_$t_MQQA%{mQtFouyb$vvQYT*^TWaeQ+$}*OMWm^(@p98K zGo{jzyc47vu(a9s2kfMAKrAfFv;pA2H9X_O>YH(nqe^b4U0$W3@37!Tg5@Cqs52rOuJv5LCb z6o6hhY#THFA1>&u4O|2PaIJ*4tjaR+mILHy?Km^f&=3}uXr}k7bl?m_F*q9Dk<2p@ z5zqk*6%3%T0k4i#_*;l6!UyQkFhSK0XJTIWuYt0A?qbX?--R983vi>An5FemU)A2? zPrPeQ&c#;gFC7}QG$6k;+RBH(o%J=@bJ~n}{?%8Y4HR5LyPQLlHxA{K)7Q_K^E}pD z6nZK)$iHjZcY*a=r1wU`ab3N3*`R{c{mzUz7oInx?aQzdo1dSKBq5-PdlcmDYV3!O zZP-VA0&RS5TKrJ1noUXGqGSi=EZErg;Ig}3TB|l~_hkd`SHZh(eB{ItAw{zPrQoW{ z%Wopt8mgBdhOsR@;EV5>_dJ$LRFVW3JgxhYt|h0c-SB76n6u|%U+toYUdyuURp~K2B$o+eI?}XBy$J}m&_pr&wS}emr@r0N?8f= zUCU}g-itqw?+Lh9>rL`C6aZE^f(`iZ0(bzy=@R$OQ;2-|o&_5pT{D}6_~iO|dtUvi zN)nD;efXSLLeBR6tu!G84>G=xX{6wL$L*u+zS6I12O9eL`>hu+*4{uu+7%WXgp@2gzesQF_6gZ! z4rVIWc;`>WCbs~w1xb+ySaKQnJdCqfwX?w$u@vU#r;AMOVSHkjCS2)5$6`!5DcNM! zV}iekTjAy=ff3uXxZZ9_)aF(h)kCX$*-%$sr9F%0xe4dZ@ExnUXEpd_=za>vDP`&i+4q|Zi@Gm@LD6+WGXMFb*aqkLK>!G`dDUUCQk{9B(w zs|D~bA@L1bw1qslZ)lGvx5S+7nc5QC)KzA{UFyJH@n{p)EilSzUCaj`pMq%vOxs@- zifVkM>dKRWqvUq)IL~muzhzCcTW7H0h(^{Yjd@_`+NUZ{>AY^0D|EUnd2M5oeHne)YV|lUqw%mAZA5SQ|;VmA+qDsuk zWn=R?nqn!D;gwi}^1NDdtg~h?c1Ej-rKvjB?Vq^cuv$1b4 zia_^5HjpMkl1Cz06*i|Pdt{9)=q(fe4t=j^juJ z(W&l47A~lljtoX$$q2?nf-X2hGER4>t~F5(2-4_hqg3R?pty}<`CWKz#$wVCVb*1b z9SeP6UlH6O=^h=5?~z2(T{3yX)eUKNhJ)tD9GD23Qgj;4oUwko*o*}b zTTRY`B8XBHFwH#X5Ek8r#-c3LrNCRSkp) zbXTB7Jc((0v>+=XJDdH8YWdnSTx*h6Nn+|gzNoo<(%eia-m$ydvoh{aNt6Eepq|ba-wK#r~hIp`VI;Pn6T@i zAY@0XsM8cjM*(QHDjRxMmc!q=E!&pKs3d2_%Db~4ig#>{Ihcr#r(IVL;SRtT%hi?f zj<(p;)}60PI?>Lp? zU5)8YTYVu!&Tx_~Wjp!~L^ga6?zWKgEL%T4*&J~ms;`bWKQjD5BO5@%9RuE~Oo+(Ju}XG^ z96%l%%8ob6S9ZjcWAJK-;- z2(pw*kOh&k2*L2OI3@{6U2x+LLNV8Y8;Kfj&jc=kSBo(Z%yD>6G!6kUht?EG4Vvy` zP7+P}_l!Eun*@yKBeIW!K=gr-+tMVmFzq%*D7yu{jZR4-5R~nhfTgIk;A@jr7}qho zq!kIeT!T9Issp&;q-evrR?*ZV%QAk+W!AP-~}#RQdK z#e_dGu3e9+f^lIXH>4HZD1ykyuM7&x2+5E2k5H6E4^J&)SJ%+dWP*NlK=I>#)bM}l z2joI1XrL)Z@$G(rDe$shNn*z04?rIjggTC-;-3bEOlhZR#mN)F6gW`usIEX&6|kD* z7Z_5u}9$V$?8rzfnEJCVup75TI;BC8VM> zL@||(LZ8!7;2&1nC8GF}gbV!A{S=l-AO+q|ytc58R3<5`|(o(btLm z0Sf)t-575}=~>#HGzofmJXFl27Uqj-miAq6pF{J-z7S0Gj|iC!@_d@59j!?KWqJU4 zL5p%Ho0`5oFSN;?V5_!(zWw*^4G5&mzQ$>1{PhP@2_ty25BSJ=5 z`8H%M*4#FE&#MIQx)D0>g&=TOrbzGSA>=N6Cb2f~U?p+mqY5a9l?Y%7!}zw*n(Tc9 zn7q*a$v1b-IPZDlUOw>19T)dQ=TANQos-~iH9t?Q1q8mknlf!2KxPA8J&3OxsAvulH*qXH zPJrP1)muP@CdVo4=Hr!>x6XU^^SNx@Zb|47aDlRWN!BLrF~O@adPHc9sX_xDxpuz|)-S^6W$FpP#4 z(zxuefZv6+1tdu`z}qOv^W6=LMl?~wwPH=uG-@UVH$Xx9cmUiW(H%fQ+v@9mKH<1x zCVv6Q0ZLh5j*nK`evOwMAGek4sow^-w`ys%wK{f&*EM_YISXSNJr$E87*Bc;O0p|1 z{#y&dVA|@UBm-BK0-vsSLkk9_fd{+5`FF9CTbA|eV#l^W3w`9z2nHh`Pn`?n)=UNfc8z8ng)w{{3n4VEl-cNYIiH3QKS`}g;3~2bn&bj2 zmrlWYNPIhe2r?g+mg0+X(jp@)vyb3(r=KwkWChiW?`4*{R<^GM1I;fae;X@FB;2cs zJG;ys8gtf_Ue_lf+pPb>(&`_>%8l7{21^;A_PW{$V%Wxpy1iX#%x9pitSr4IyA{() z$obYxZG6#Yca@2C94|I@Xf;+&=EpW9pkNDpqI3QnZw0hCmNWr$;$~L@!FOnVkKw#V9NFXAG|E>Ok?COgP23qfkzK<4MSoNOrn^@V<>KbWe@z zz8Rh9SJ!!Q&r5ar3Ith*v3@@)0#fh^0iE-JNWWTsF@-V7MhnK(h-abq_m9FzslH(X zC)7ujOf>uV;yqqSZW1OQMMWZ=>nYZ+dYy)f)43AffOmr97RHpFP+Lau!nscl zYRj!f4Ps_sq!K0ETnJdGUJ%%jq@I9UDVT)zOtm*8yKRBtMW{;=0T~Mj3y;P8ywS4= zixWZXv{iGn%x=gYfRK!8_9HCbv2bFXEy!#TnanD0vpdmiH+ojZCN*gVdq}~RqmpC+D_Lhg^~kvXS-bC!zK0 z(47R3FCubA2IkB+u~_5wbZE!Q?D$D)B7R{dOD5_zv}H0w&}4^&-q%*3?4E{Jbt(T| z@)frB2J#?v%A9!Hf75Kfv7uw>0Q{Zt#`Il-B*~nDCIAgqdSex$pa~2=z{-N&u7Rb7 zNu619GI68mAa@`D-67A%DXu~X2U(n)Pv&5~A~tC#`;q$=fg76O&&_2M10Deq`~L25 z0o4n*+w21Ll43uBHt0K;@fL&iUgFkOTn9l|siMwg;Min~p%t7u7J_<{Uu%eyg7!r< zRH}wN>^qb-2}>B{%!Uqu&r#3`K@X|qx)h8*R&moZNd&r^0Z4O|CX+ezM@f$4Jmep7 zWas{q-n+g-P}P|u6&6J`IgMD_kW8YkMTO1)j5`jscX5#VgosJ}(W!8_g?lvLbe2ZT z1~ScjVRjf3Kxn?D$$DJ|nSj&94_YY-lRLE*0&#nMn%Y{#a1=~(X7yAVtj)3G5y7JE zXiLxvgRF1ibV^aFMbRmP=8RJWIgfk)!y zZhX98<2D=19q3aatU+>Ugwvo&I#;1tkoGEZ!zUf|!+i?*73QF^HLwbyEroUvN=>mk z7qkkcf&l{njw#3&kX(=RFVW^cBp?)Zx@_ihh}9>N!@|S>7QjFy zvD9(2@S8+m2kCV7ux9E(O(8P`9K%U-1KOb%0#YhQ=eR&2%sA^I1x!bdNp5k`FLE3K z7c|QgAkwuYGd;SE-0*=4xBTY4s~!F%M~JuK(pgtvp#JEe8z|2Y8-%{1ADSJuz!Iy7 zN}8KDg#RQ-JSje#&f6)}$2~aEGgJsFNsd!&1&2byI_c4%`Fvk!;W_p1kpAz;^?(3L z0&#U86jQ+pN~J!fgiY$&;-Qh8KEJ>R7DC{(34hGR*Nyax04YfwV~mqtL_=g$kT_F| z4ay$TEO8gdAF324SwDsc0ykQN2Fg9}6|73hK~+o^+Ct)2>!}_*eDQ(X@r@nbjG%pp zTMrEWW2&#Dz-G48ksK`xH>1iDWPAm zj)8_sak^Ra5dDJ?et7BoTs!>boP-Q91Hd7G8NEX&;?7@~pK3RM+x+@)*}b=a;`c0) zGtVR#wF?zmEs&hJ>Kv>U$Xat6n|a~0B`V*86rA7Z&2oaWD}=@z`VP6%o@SfwZF&0H z(tq7w_C~HO|NBk9hk{f-0`OgR1E6CcC%{aC$O3fi%zdnE7#FmHze#60jY zr}1|221`}|;m=^DgB&~J>~q#$n7j9B_U0c#PrVSjcz<<%uY1gE>p>Q=5J0c;Eob8; z5uXoK9Du|Iu&}D@38HRQu8<5&B-w`oJ2t+4TmF^XhF?47KDY{S zx}DcnxtIdfnt2I3>Ty7O4ORp1H)noRP)-8FY!M3$8==?xS$KF2+_x{6 zp96e8AbQ#l>|@JsN0N=mVsI;d`uTu_DIfnoQ#+8y>9M4+Vx+`t!Xb}7cOH3|K5bvw z{#5Bb!^aa+V;yomud!_jBbEj%etU;j?ScHWu2U?p{;j-SJ}OBP+?dNh!R{&V!EVMA z?;%jj{OcM861N86yGly*Kr(^v>Yx#2eRGPjgaO^@vj9gXO-Pj_WM6LYGWG~PPN*-~ zSg?$X5+*OFGEDqcBelcU&uDTUz>|TfQcECO-&pVLXSV3twyW4H zzYG1__E2N~s{C;0F>f{I(4m+f0-SDsR|qX-NQQ?cvp@zWZ>R^`#R!m@Dbc*vmj;R8 z7r8?!lG;U79X*}^a`$$e@_ng{w^i)`BvK&Z%3O59OzRYlvYUSZfSFLeY#5-HCpYFk zb%o5&X8CCk3( z;ig=m2WkfvVw;cI$G(lKF=sf#p=Zs;-1KSrcwXUXR-jhv4>9{>yj~)dTl4G^R=qDY z=JkP_{LVhUg4~XJswj(tls*W*r=6v02kQL%UkLv5?iapyvdHIt zWaeAEm;HU&@UGH3=N-RaH0DkS_p^EuLWQ_&Skyz3?n$CW4?Qg%l#QaW>1k@{(FXa! z4hhr_!}(q8rYdoCFZ)snN^sfl{-Kd%6ORwROHl+A9W5^)7akgLqm%`m0ccXVfG4-~3V5d-T50Yj{9RLfNeueMN3|z+98zBnJ?$VfZsf?4$U=H zF~49RE*GJFpsG zWt*a(_#=ztV&U6ZqsF!+j9C8vsrwqhD5|UNJF~OPBrasKEOCk0&g6$T!JlrxRzzy< zE)h2r?HUnNi@1xH7qGTath7ksZkS!8UxbL^=kslA;u};{l-g=qXv=~r#TJ43Q>~~0 zwS7SiMDdpd@;&FyZb&F?wbl2t7cx6@=FGY0oO|c~&wV7Y$x>?lqi6Az7l8=p$a7@- zHur|du-t3=;*h1opy)-1SoZ>TGYX!uqmHB>etY5O4;=;3T$UKI&I5pseP(GI=Y8a} zC_Nkn`}HhX^XL1pgc-YZo?~vebw%V=d670r%h;26`6m<}j5G3drbL6bE&YhHNLC@(lSF;W$ol z<_=@udiY)C;jRys_P|vzeiD@qJ=gWPzR>$lv6<@{VMy|oy_b^*QB1g_c}H4@KFhzn zfOlP;+k51?W9!sI-L6VAH*anwWeDRK$`UVA&^>XREJG?HWA@nj9~5 z8T)y-lIaVMR!?!UJk5Rh9B+4Bwr8R5K$`)J;@eBR)L*96m0SX+_fA0n<{c>bTFa2u zCU4`I%H6#|zNDUSJ||~P2YaenUsggri%g%g&(eQ`lSR%->V7D@-{?L8`}5=BbM|q+ zoB>spkf0evS>U1G)z%4w)0aEiPPv=#P)96%w{z3tO&6RD5|lJK_s!?PmcbQ00h1Rn zsl7k#+;hx<1Pw~8)ie-J|MVEl*)#K{V|Sf<{eOMqr_(z&cmDXm_ZQ#w*iCEKe|_;w z_uSETefNLZ-!yMyAryS!z{15H z5CVl?+Ih#Lok#xj$aEmFuDJdtfbZT^x~qHPOE=#h?A&zYBhy#5b#D8h`dh$pZH`08 zL%;oL=i!m(-#P(ksS?}v&?D<_TKL?tCjq&7wXMJD#*J70sIBwI`>uoW{akh9{nP)?&7Ch--@mxyy?*4yn+guK zbsoER(~mpaPHQ;}#vePi?a9OMAIa@#ySU@Pk;S_ljM$E2yAb6`GTSncr)(lv+UI1- ze;!r>d3X;J?S}3}FSV`T^X4OqJMQ`FBfGj6|LB5U_jW!I9S6|h&fjjQd_tOB@X`-n ze)Rg@c}FgI?lBm${PM}}_ntr&IQmxC#)6J}4?T6@x(lY>b#)8;{Rc$yBv5u| z?rO_q&95g9Y+C&6IZwBBesII4Ass(`5x~Bb^5*r>8; zvSTHZ`0tXR{QrWoyW>bNLKgrdfNfJUpPR2;c zNJn;wlwCuziz3jQB}|1ye65X|0<=`@go-E~bCmo`d|FCdT0hB$uMchq{>fIKoy3Hb zK3cOiC1*Pp^m$rLa1X6o3L%7q3n7He>Q9*4Lwth0#j;qfuOvA_sfg4x2Bw69Kz+T; zlPE)!D>MxNJdx3X(clL8)T)HZ$ebn*z91akXxJdL^yjJ)meTyaLdeFoPc{54U6E>n zjzyHUKDVu%;*a){cuBoq*T@_%Mefco2Xcka* zs}dLtt{w`#l~}beZmj(c>HVcCw-gyF2Q+dOxe8G#RzyS*1y|U`K5fkum#KrylBO=i zrJ7)f8^&k2*_N{HB2r){?pE~bn&N<_lyKnYmWZ-C!G|JqL1>}2#;s_P1}J!oIZH6) z?;^^4TD_LYiC|Bw5{N{uvXQII$to#`D0E6KkZZJriIcy2)4V4q_vhX|z*Q#`(x1Ek zTl|k@59F4aO%&{ON(TV>0JTWM6Gg)KQ6zAW20)xol&pJ#wq?e=PZ5|AgKU-E1I!(Q zmNHKkC1C3kP=Vmz98Cy33JNx56%+tEg%I405^9viObCT+a0h$_;Kum#9Ncb`O@a_l zOKzA=HMAC;*UkZ|t~>5Z3|(a1wKch5?{7 z7UN;Rtt1s}EU)?9@mfO4OJaPYtOht*3ZY#!F!6wtE#i#fb+XF{xs5pr8iZzt2|~cX znF?X@+FFVfQrQrzj3aKlxSvnZIkxd061Sz8P(es5rQX0xo9IuHl{1V6ElDuI9>x?v z;!RSp0i;FW7FkSetVzad$rb^8y5kVT!Yp$<2!*hhrp5SnJ`4&f zfv^H|T5uPxYQZ;~Giw1ssLUb&Ghs!Lh%9NA?M08s9^*2Z$DbN3peOc^wOcOaJe;3Y z6svyHa3?KI$9Oo0G8+;xo5YS!m?Xm)wkESC3RQ9y5R{R`Or4(=9))M;#h!a65umLA*g2|PHMS>mbP zkFk1pAiM?;!?RgvvIlt(9t0B#DX8l+ZDgMak~ouNC4e4_1~nNalEox&K3jG4<}2e2 zUk1Y}d7Uw5;F~rvKZX1a#||VzNH*KcC<*JWUxxTQ+;COKvC@Mu@9)Vz8Ml0;_=+Z{ zbYP!oaQfqpNUgtQ!?{4&ji51ZYD~z}%oBrE=LQ}73!&@&K?+qMP4NEY6V4q8;Fzc& zOL@O1SLhfz7VsEmE0()3R^KR`At^`8ezX7i=*%;QXX#)tjtyJ|&JE#_zh#*3*6}R? zlhg26N23X~%%^*E5D_fXuq285Ra!tLV5d5R3B1_1;udq_lV``zc~}y>h?4vg%G`Fe zk{2Zz66O^C`X(YRgEESRXl&$n_L@$5H5zQL%Ie@H`3QNN<(5gS!q)B^xAs>s2=Z*`DGNFzqm->rh$4~j5a4vF<^yaZ5D$a( zxfKtx#0HSn+@{h%R4+tvj`ci*ye>i{L;(_JEGq=YXR2mhiKEhTp#~AGeo}sl)tzxB zxN{n)em7YYgy=K#0o98f8&I+I3GeAh2CP+aniNtRnJ5#MRuLImu?B40AY=!19<4Fz zB)&Yp8bH>7`Y26Eli-ewmFDqemP(xQ^j9?Ih$c zsK^J$f5fr$uN?|D)Bp*$4k)^fs;*h}Xs)1^O_+kBtV5%K@F=m*o32JvabcFBnn%?f z2yeiaOdMnvsxV>ZZUwfeXwfI4)Pt*{7-|GJP>YWM#h4nymU68+__BKM7Et(_oH1@pg^XA!ang zkl84oXe}IBQ+Qcjsh2aK2STZ6vdg*o!*H}!gYihTfQ^N1kr~W(yDc;lTH(Q*iRd1| zDtM4!L8)a2O)Ti?)WNX2!NPrz$#}}h_&NTjl25q#~SKd;GwGR=I3J6cTmWigqv#xJm_g8TkZptY5uA>k%y!F zwaRJ;_!RFTWZNx}4a8`sl}7-4%IyCHH-Kg>Zt7FNmO|DE%nYc$WE@zxHE8_{>y{ed zJ(|a=%{5W~e`8H75@xA5vm$6XA{`?W@jBVo5Jq_$$y;`j&t_T+T&xz_YzSv_hQ9`L zSlEwk#&QI*Jo*l3p@(;C*wmg!jV2{kUd!j=Zu9V%e%UaaDdlUX0%=lI)NeH?uT$&6 zBt+S=8e<2(N|KJ%C`aWwxXm?lZMMoJGDp^cm3WAZcRaY2l0m^oKC+KvLQjD=A%J&M zwOjP)@LUE>l(MN&X8qSQz32!*>NJ%-KdU!Nd-#du(6F2 zZNgmUZ!E6IJLwlz!eXJuACiKl999%+J}9C|=#n4y>@*__sEx0J=a;VG$dMu54}N|Y_c9YZq|g#_h6o1t?PHYT#9 z6-Yx9HU@CzPk{^sU+9hgDjbW4inGSI5Lrfv6EdEDnEf}%boV6OpE_Blr7!f4dKTJ= z4H&eX1_ou|CE7nxha#xxC3aI@bNc2EjV-~9;7C-YxQmw!cN-ZZ5xCtT+fEje%_XnV zVk5HVkx`5wW)U@UR3U_^)kLlhXvFO(k>;62_##a*P08p&8vnp2VRr5oHe6W4u0Z> zp&*?}TCy~vCL)RsjiqDqT!17)qZ7SG7iTJhq2Bk%8)G?sh}$e7fm^2GfD4Flg2Ca0 zOh+f(j#JmEbc5nT|ATgLfdWCCbBfy}>PZ6$C)R$!6#XvbsVNPSr(ne+j)O}$wsJ!M z0XNh-vW{(Gg1RPN6RkNqMa)v8`*P{@LbzVIm`dqxQ#v{gevW&C(UO4jTfg3f3vD!a zG|v4ZMaI$;AALKUwa>W=RX~>Vao9(u;saAg84l-kRPi*2UX7)FPxd<@woDM&lTYH8 zRQ?3Q*E?nD@achkN;qBYcd>3AwjCkB)<<`4dSUIhq2pfv_7!Kp_{O^ODV_6gy(fu{ zEdV!)T{n(v(uexG5*udpku#X3#7*Q`Q>ErDv9Zd=J0IP-y1P%vI%x7A60P>pV~*^}4wUKL_pBZB@UA0w(zYWR?gI|~EOvg$ zMtSNu0`|rJA_wnDVmA^%-W~+^!YhJKl=C0F=PYcl0`6UR6haeHkanwo=rQ8nB=tT5 zVBZWk!MnCB+gO;yo-1<>O7i`XegDDa{5BHOr^x||@_s^nr6@t(JLigpFL(a}o55cO zFxc;`-?s6`8SYWjURe(~UGm_(3>YlTIkhj!zqH=uCfP5q-UurfzPNwgy2VH5FMH_T z!)uq{TDWrC>I+_Kzl9QwqP(OpEk`YKvQO5rju6u1vxc(Uagi(?BJ=@i(xUH5frpCkN&yK9 z(kD$GhEHY3RoAG&NVye`Q~;T^*t8j@9qU#AvlLOr2n_ZgYl4ru9R=rryU8y7Mr#{p zl~UMjeyV4D^@XLikzlNKCCteJ+`vAo={FX$SKXmC)cIJ(O2;QAeQ4}YU}G2zmS!d#h`P8ug*Uoa0;Xm1QP&AM%T3qsK=I3ThD@2ZGu%dlo*x1{$*p zxdk$b^~gR%IUC=q`;6pHWFH@WOK$O6Yzm{r6w=$)YK+M4f}p;I&DtI^wPCSq?A68; zY<3%9f27@CC=V*|3CuZeyhEl8@!299udS!j;hj&Q74p$UlnAuI1ip@Qv9u|h^=j2z zG}@wQex1CJ0SP|ww zKtZ>HlLAp{+JR>3X?(w@C**#+du?GV8#LSj(^53V1)a(;7_C!fH)b8^O2q*8eF3L+ z>fDw`(TpEmHloQ>3L7a!sfr;YLQ@}MdXS;@Y=VnJhGuFLuqawyGlheSF0+Xve4sW- zW6;GR0`$imJzNyRlSO(^`JG#DVUZ%giJpU?rngF5q#HLP8h628`O#*^d{Kx|bJA>s z5oNJ71~b^LaO_}qUnVI{L4A*GxKy4`5^e3$wpCPQ_m3B3Pz8KubyUyOi?!(7m$P`Q#IVy zOaR@g-WId>1;gAWO&nU7`OaXamW2u(VR^Lfbz0|HXAMX>D+S1iR&8WCaFd>KB;pS9bKW>8}9Fss#4=`f6{(RgjDzcPk&Wh;m%Z;Eq!>8-eB(gUjdZQBO5qGL^a1=Evk1{7p(-P9k z8b2$dh7+qtTdmJk{n8TKyb$@en`M**RwfUE9CjQ_%^(b9$b1rk@lzS;jnC6l`JaPN zu=hL}uY?qMFeUV4YN)?a_0>Y&E%c&9-aKfshfA-HL*S4R-vq>;$9D z{rx$kARB3kDC2>7rUsO4%5268Y*Sbrf$YRqhUQyRFxy@+0%@reCNH%#OgI*a*5L6C zi>WnZtA;T}-)CJaxnqikT=g%%Qcf~BFNu?u&?K8WpBmX1{TdVJ`qqiaI{aO=LI73}hUMY_Lm1#O$s@$qaIh5&>B# zb=E#Be?+;~gqomau0LN_e^Lu_V125SvUzJ{uWiN;Hn zNN`Aq`&Ke*n09MXehF|7MHN7lP$k4etVt+tj|~(T(u5n)S#B5O&;(_b7E@W(IgWx+ z9|w7bS>|$k3^%i}nHZurqGoW#MRA`2TL%aDdEQ3i7Ld&VOG~Fosu8dC2Vt5oO7%34 zfb4?ts4O$ahXi6KZY;CFU5&O}hUg*fVOAYyr>GTfO{i>{pV~$QzRQhJ1d>7*Ynq>H zP*6bcbj~Aw&IL3X?1elJWE+j-SW|Id$n`+}V7E8O{Qha?d%1z#5w_I}1$k@8t;K%x zpzZe;>3ogh#oR5AD=Hff1RoaF{8LDiODAhvfF-P!Yfhq7`56Fp43v;IyL5sw8Xm+d z4io0!HKHgp#yi{&XfTnXG9_`2yABFwjEBBVraa4$8DgYQ8jrWn1o(2L9x$oA+)eiby4iAFhAqYTj~%>kOuJ`N$uH~jTJpyZ%DX2$LqM17aD z27%0m2Q}oXlNg_|@NR zaa%Rh7}MXn&AOROpZWF9zg+>fV&T(LxNk`a`gHDoxqaHE!d=(g6aJ`4hN~t~`<2aSFB~hh2Zoig@ zGG>*yh12K2eJ>`Bl~}mOWb78=(eOrN-qj?Z$oV(ipq>M3qPsHaBs-y)q#gAkRZa!G zkD|!8K+~!O?|+syZ3lS@a8|E+13T?MT0tADU%s<#+{I5olLPaU)An6+ zmc*Z3b-}ZUHtX-J_F)V5N8?HCo&PMhkFO=@*ld&oe6o?qa@+eyF%z+R;pIE;s+@eF za(osb_u!-RABNoivhf5#$C8zj+$}X(KOH_N4`#^+t~mciK+?Y0{XSr6=l=#|WQc{+ z4n5aT6LQt4DLV>?biM=)?!3v7y=oMt<%8r<$fZo#&e24b8AE?RHu^>tEq?Pka$;#g$(LTJQ zaM}|KmQVX|0T~bS!ppz4dJ|Ho5Wars#RHfLbGCRN=-9(q56X?~<(~iFhin2QMzn3` z!-Jor9qXsOG5vyKYqgAhtoEkmg=IyR=OTg>0Q}4 zstVkt^DtTI)+dLs?S1(f{#IX5vt7Z-TYV#{Ll)a!YIy}yu-Cew)fMCdlNY#$yLpL`i$lH(3rQuZ<`n8&d+5@=Z-jX=@XNEcew$)fMCU7L3=H7uyYR ztOv{bD9X%Cfj+OLwaK*ZS+*joH5s*K6WH(wcLPz@)P!|Ss~C-uijDfD@QMI>`#F(L zQ^QXCtuj|VC8OLP50R38KeIVeZX7p7c3!=D-&gq29*3{-CnyUq?ZFwhl1TgS^|#X7_8#6m&B`4}cvWIo}uO&|}m z)~1;Bw{|mjtaqXup!f1%Hh)7%9GWP$2T?9Z_Tdmzfu@Fqd{SX)_E5#HTaf`3%pfYl z0iWEPhUk!%WaU3}EZ`A78n-2lpMI@uJN&OTcQ7>0u(J-uhla4I!>`l6Wo}Nye-x-` zi3TcykENXxbTcuKteY62!siZjE25}L41dxowa0NZF`dCoAXC^>0WEHg?O)0_6bA4S<#>>EjQ0r`k8y5=tQ=4$$hu5o^6HnsU2*HY`fuR7Q&{?2 z;vO2P_D~}sTZ5dTQ3IrIppZta9Z2~qL<6eNJ_O%khE1#RL?8gCxA z5(JZ|4!5lHxRSf|ex_)u!1SqZ7OCMTo4KI7gI*@7K>lm)1P2F_ z0SmVy_pwI7Obo!*L5NyRWc|D%ot0*U=u8MsGY!ZOCNe~fRih7qn+-@+=EKAaE6WY2 zX%;Fnna|X=;+CS0HQ5yOLU0ZRbsPm_{J7q@GBp?~_14uoe;vkU(XcgKCe2U~S*2bt zPU&G1LP5|0Y9oAx$?WYNpH|O;9P-z}gvUAlbi69M8>xlY!tv5y^L}KC$+qUOrOl-f zCYXlT84W_wY|`_jg`g8Bl<@4CLMMXW4}@GySLSPsb5=5_h++MLR;HNLB!nz*na&?A zc+!YK0ZOZk;{>UjBb$zt%y>m17-U-o@;0U)u?>PLn2MQ0CO}s47{y6L-~$8Q$Y?{d zonU59oL_C=rJBo;+XQQbR9-@jU|nz-Jz1qnZW)hbq-+CA2?i_4-ghLL)jBU>)Q8F; zqmU*(zCrgjGB!*qV@fs+uNytdLQKS}Hc|I}fd6&S!x2vH1H#KO#ExW^eZ z`j`PC1U-UZ1o=Fdr!qaIU734a5SK7vHpqrBF)67slVir$c^Hp@1>Hp)4+~`LJ;&cXv{}ycLcN_>-N47*b{XGU)e>GmvzoMOoI>2pr^VT6f+IJk&?V{pr>B zN4G859k^%b>dKdh z`;7$;FFG)~m3*?S&x7Yrdtgi-_a&>x4oKn;@l8R-gYe5$Z$4M~LFfBG9-jB-owq>~ zin5Iq95=0;T=kvOoxi$_$Xgup`S^0;;jbO&Uc2m9_^x2}!ipWM$G#}_UXYEFqWgzw zNwN`LHZ51nC~2lZrX|RfXfi|m5k9%b;r_*UB)JdOc;3C3w8_pZ9Njrg!Y%_KS3(R! zK};Y3lecZ$BIzn8%6@pi(_VjnA+%U8L4$t*zaYvTE1?OZTsI%GFO#yDkxy2Sn{pj| zf@uu6s-NBqrK@Joe|XWMSApI;|J|2Yj|TEE$d3mxkCU}h%7zfheVpw0`!h&x9_^Q< z13F>oAkp8ahTjEzTi@`8$7*ULBUfA*nr+@0*;}fmW?QYc5x|sy#4P22BkR*d9e^Z8 zgZ!y@1y-#0kwL1L-L;D1XO{bPAgBf-Ggj0_lo&8#nOzsRT8VoZrUN8$+l6cNcyVhg zR%|c#4HtDjqk1O#eY30)v$~3HF|V|JneQ~aLDj1-0(9)Y;zrI*%|k*66>fz-d8V(B z&$I5NC|AXcwUqC?R?U#Oxw_Q5w0+gw{MMV*91H|pSv^xdom-`idVH|9io4qjd^rtE z@gc}ewH5*#D=nA=*#s>&5>pN#3(NYbQ);%`xfCTK&IS6or8(J-`e~SJDU4vw!k*`9 z%Jb#;m#ZT};I0O2oif`J9vUC;<&e)IWH=82RB$hO5U{jJhPy|zNgf|eDPJ>rUWI*g zx!DS|T6~tm_*~xx{Xht78ew7F5-B9ZGJG5}vu+%^-9~lD+Oev9i>9vlTDuL*zKf_w zZZ=fIdp2fI5arKOSSvZv7uDnDnkQx#Q45m=_X-&;8O{K;S7dHbEZ=3JugRikhM66PQ?9=4&onD_>VO%^D zD^4?+%`xYB8^${dD)2cz8L_Meq~$Y9G3)bMI9MCp_rr)%+WHuaQzwMxf$g4Y~|X59v+Zz;8G zHT0Y@RG&|iB(ejDRcnlAB$@cVzj_whqY36aRot+bad&vs(kr=?%;+|{t8`S#66h4& zhXqx*&=jTFBC%XiSkRQMQN?V=m;HA`G|v@L+zP7nd?Us`AOlgR$pai+dB$RNfFtCO zPc);q1-nI>uF*v>aZab?5R-nhL8UmeAqXa3CRmk8G2#x!ML6O>pMrxYE=_O^QPSW@ z2SK4`GkOAbm{0+i>9|^FgZ45!zs%0Wp%n=-PjrK;0FoJxQSewo{7JD#uo)4Lv{!0;V5Gl;wKvfhX9$>;1L>f96W7~ga_bNJmu0s z3DUq9<2a)rZ#bu)>EqNHpHSNYMfpD{qfmej7e&4e;h9CcK2JfdYT9)%suQS+)4w+p z7_=uAbjJ~8vHabsh6=J^uz}|#cZ;oA3cjQ(v~RA^FCOJPy>?#l4{PHkRP$lH_&j@? zua?kL)B8+7$70AHWKYp~c8UeAoN1ir#S)9!SZS*^bL`-o0VKad)n`q_)Oqzc2-e*id5Xxnrg@=$14_SlCsDgK=p!r zV?h7{qB~www88t70-Jo2t;?vYP$1jX1Sx2YnDrMZSS@Ri zfY`d)JuPNxY0c^O&7O)$v8k9nDc%v;qG-#oIs)8q7c{}FPskcEc`ufQv@jbh03axk zdm}kkxo^_7mYuLHVM~8QK`05?St~QH`l$7!#8=LM*jmp+kexE|rv?DP8;E=dn7?u5 z14-T&hd)jupVWQ{(=bjRY#LPRtd6h)0gZB1C@yR4ViH6?k*-=3c>+0>oT{MO2YDN2 zA#NOrnW~oOFSd|i@fLW`5NO%OX2^*TI96gex1-f4NRye4l~R)y+#=b&d}Ou}aSO8d zB`As8?{*Y)xSr^V; zbC^jin5b#al-vOPUScZ$t%W%@IDMkt#z9 zLSd`~Pnl)l#sEJ^inGBZ7pOp7hN)MnP2uoaMyRfgDI?j>ZdERYb}e;~jJjJeVUZk7 zHNz}7Nb80LMj0^%@531vxhCC32r}g&rql(OqOC#8#JQIZGq^S!Yn(BwahU-s#TUda&gqkRnUGZJ)x3D)J}8+;P+z%F_3%UrF0d|gn^8tAT3?kMrZ;%#bDmS z7E{woJr=DnmD7DNk)q7xNK{xLiWpl(H%uabPqUGpUydNh$vs>ws+zd@+05ov&=MViRKogXBlhEEBc z05%PA<5C!gg1$zTzzxbI7;ZLL9kc_~i<9sq3eVzjtK`WDCbGQMh=~cDW{WZo$q<9a ztWdQCo}G074_0GicC-D#{ z$RJ!kE;|vN%zsSVvx~R;kRC1{fh_lUwr;!JnldHf46j~ z_#n*=q{qF=mU~_M74^n%<{jOgJ6Ah1?Vv<`49y)@4hY)bck*uP%Inx&xj0?j!vX%3ZjeKL8fmao&1e`ARb*_OwCV2 zjmW7L`b9^r5zM^$9YFOazRUBvc<<-g%MZGyyyPC=Zn|Fk;6#+XNsv0cwii zptQ}s(bYhdJsMs>D7zq=o4fLwDJz}}xqU%d-o^3&@7nuELj3AAdf#>Z@lDr~8wPjG z9n$+w;)*mNZ{0fp9u*mq0OW1nb(*_NW8(=4H-86=ub!HKIo61FfCg7q?k2on0OT^j zSmjLZ$?Moa9=wiS@J#x$_u1yt*@Ab}9%cCvU}0$u`we@^Q?9P?<)^W4-=O|dx@z-# zm0d3J0d#_5FzvB4XVvyc+Nppb!# z&+Br|`yCy!cB*5gya52r9tMbEJS(xz0N-|H2B%me49_URU%cErIEzu!V01N0D8Hkp zmn`YRGF)&&8K@xx;V=GMghx;L-z^=+zLg&TAs_c!_SRJ))a3s&6Q#qDC>ukFhySF* zT)pjiUkQ3&+&$)!^q3#8C%?endB?SFyo-{Y35FHQc+tkPW~@%xiw;SZOXQ-XGentz zru|q~I^f#r8vjez(>-~;(cB{vW%san@~iAHA)v*WE3ZpQ7(-ZEqpX9)MZJ56m@%db zfbXJoV4m~%*nDI`w$~4+-eZXoX>s*CXT0Z8=6o=Q8NDC4emX^c_$Lse=QWS|A8s;J zmJW|ojv@u~x`v#)WFo;m9tDo8B)i0eX$KX0K#+V zIHp{&73R1RCEGhh0P;5PRfS3iMpow2qNu&9fH?_65kYU|m~G>sGyrm?Pt^V1r#FxX zm!wDa5<2ihy{@Crs6TftKcW!IZkb10@_~ztclAD_9+Ix=JyzM}VU-_1h-;Vn&<@wR zyK{dP^-}2oSA8H$hrM1lfnFzg5T%1h<1iS2#C_o;r32kt-lh2~)7cCG2+RpU*(Eqf zUDAStqnU9P$a%f2@|f_CC-P~pD2vjro^KQR@K|u4AsB;-7!2;AIv${w8@!btGGz(9 z!Bj|>xiY1LUI{rc{(08>1-$PeDjmA=-ko0A+dhbo?Io05?&`WmCYl>rsxp0c8oDC9 z+S_vtDfm`i@3H(YZSc3#-M#F=Ubd^pb@X%1m0c8ZFDm#ho#vt`0$?!uAT*X6U5-(de0q`K6lXECr7h)ypKQC z?rJA#JP3?f9c$@a=@Q0vGuG&Jai)fh!5T+{@|=8Dl7HIcrnqC!LE zudq|_E-Xn8-rM>`jP8Pn}rS~r#RFRkhAZzl7EFI8D=<^^I z1IKy>V^e@L>3ugg0o#`8Re4>}ymzDEK9?EnYI-e|MTtf5P#RiQ9%R~*;Gtve2wVD| zaP|0P+d-?`mCm2oh4G`_t}U(}fnh5u9j>Z;Mfb9EkUCU4?7u^n4q#$urq4(_%0GR$ z=Z+pFulMb|!$(nq4f}G};L8~MkH>#9h4m~54V~-e8XKFaOq-`?j8*T;oP2hF@X8=G zCjVF44qE;xqVm}8ufES-MAfV)=jA`#yVu*Lv$Wdu&${Z2o}+p5Hw=FN!E@gh=kD5l z^aA$&*%LaRalMJmz|5fD@QQ(+1-cMU&%&z|k88CIuRTB0=FY!*!VMp|I<_dA*(r~{ zbH*Q-=jq0dm)N_nO?a*XE%b+bG@$G*?J~ytcfZ>ec5RImB+#?4@`Gm2ndn)Fw3=Vf z8LPgcLVxl?a~d<>>N(@EvGny7W77Qdy?o0erSci~2evungUTIDu-qZX;dG%OAa7T9 z?_Q3cMQ3<(27K3iRXGDbVQf!kd!s`RPZLLt zrD-)UO+AaeEf}Rc>s?J?ymCDCpDy1K27cW{i;!@~+AwExZ(q-%dg?mee>Csuy?Gsz z&;3AL@Z9dpzQ8uW6Z(A6^-I_C9u2N)c)fAuSD!-P%zZWg(f8EO1L_jkZ~=N2;tx+< z-di)Ka>5O7y59T6DZRVhH@ZxTQ*f!Ka?&kz3e=6|() z^YsNsruMdVb{zQn;ys&|+J|A2E!0J+!w-w)4Uw8OLXS0r15hx&xT zL%((n>+J589z>MWN%qm37ViQ6?DcP4apcCMS;xZo?#0rvo4(t92^8G6A8e1^^yl^6 zGkeH`1d!XYy7T2HHXZx=``=%?e$V2g$5tP{{=-9?KG*Ts`soL*dvDLL5B=nuA5Q(z z!BhX|8yyQaUfr8I@bWJf-gxzD_~iS?PCvNnv2X7A&pW3>$dC8k^p}@z{`T4@yQlu? z;HdzAh4FVic4*H5zyd#Z+P?iz_n}qr$&yVY?gIBOZhE=n-g&JDH_5cjdhqf6;BLEV z?O!%6dh+1P%_L;z)ori6eBkRBT)p`#ZJl5HT*t2;FUSDR9(b;^d(mBE;GFqiei9yj zvipnO8GPkMyT(w~T(s-pVAO2wFkjL9Pj{r9p*tT2tpAX2}Q_ar#H@P01`_I3i z<3CRM?F^SJ|uk@BCHxVfIE&;9d*J7I_z!PgZ8-TJ~tL5JviG+;FTgox3p?Yo1LTopt2v|9pbIj8y zA(kd?r5@Z8BR0b8u1#3j0CdHfNiEbE0ZfxVyexw4^_mi;3R?r?HDxR|ur^pRrOp~{ zsZ2|6nw;VDHG1>S?NMIiRpJV})aSJ^ZL@un#N@5QeLV>e0+UzflR{}_1dh*G>Fu9= zWY)A4HW;q58Ob(`Td=h=&-EuPlg(bC)mP<958`P|->mQprm(oLN>t^5j6O}GY%-&K z(wxsCh3e&?5hn-r7o; z3?=)gD5H?ei0mXeX&1?x7`_wCrys$#%$t zi2S|J>2#gJSH{U-Ve)3U9S{EXn|5;9AD!FDgFPOnbPxotgY7l&5pjeNdK9|0HC%s! zfT^IM zi8Y7ZuuEMQP+*~;k~4$8fwKTP6Z56wA?rR8lDrPTf6v&SHHAj_KKljo!G2_q1ujNVb^0V2}#VL+_9 z;e2 zC1L9T6PEHOTWXmx6`z#@Xm;vK5p!Af7Y$Fbq+8!=j5bOL&}|Y+Da>M1&#}Ftw0@!w zIK?rtvbMo;S&=INsFiAlg3zSpJ_0*N=?;Gbi_LS^EXHaIM7)e|uPI2zT}ot5APFCp z$*Dpteu)4N*hFS?fMx^g8YJ;NJsJTx8VdcKiO@t?fr$Z_qhNn;79cFFoEb(tL1az9 z?zsdL81Vo>ky+~q#tNyGNrq6w6Qz{bw<`51vCcce?@^mvfN85y+bzW$HL)fw#QMm8 za@Ei(yuiXTw#7s79Map}g5{Dm%#J}5PksZ;2~09PcpGwMGQ`WGdJK9gG8s@0>0ze& zwenmJ58Di56kq0T5X*Y4R8F$e! zbmenq{*bwKT+y1Je4(0-ND-N(xit<2{FuQ&|2Ig(`AUq<0$L<7YhH>|1G@|=c6g$d zOe$_+83o1HFZd{O1M6k6AWCrO2E_>~WXRY#SLTf}ueJ*@Cfa`;kF`vlNYEK3|Z&OxR?Qg0)tHtYDhP%CJ~SHTH65PKpV(J1HMx ziVlS_)XHkHaze@H^(?}Yz?s)o-Q>Gt%*+>vEzLsMZI>IPbp+UMDoU6Ols8QAV*Qq5 zG{uY2M}Z$8Cesgw1rbbgi4cM*G_XK{+=D0)!gMe(MgkS-RF_ZCStgj269lLf2Rw}b zG6?Bf#?mCy(5ldo;XYp#KG1}NkTOWP8Ca_^Sl~+Wi4vXhRt@7I8tw6z+sx`g_X|{$ z_%-pz?5NhLu}M`Z=t%FnMn!7q6HE`!kh;l(V78L$;*+y_hM5VWa!t0p&ry`>XrxJV zat+NU@v6ZD@&Sir`pX8MJ^2j&imv8~Ej?A%G1mz!!b7sr7 zLr?_@A+;T%O(>vIOxmpO8Y+pER4GeGn7g$iWUiS32-Z|fE;q29386q18Jf_5aEiT8 zgfe`_SXF(nqMqxf&l6`sYEob$0?1;y+pIFK7ZunoRF@&4MM4J}J!_{Zv3Z;Y$_y&y z*TH60O%r(#k*Q9&ht(+!6N9SWj>e3`?I=i9g`qm2sv~s*nw5Hs79z`v8##-FpvHh~ zA!Rq90fOuHVrgXqjOXDXY7~$oMY2he%aMDeZ1Oi#@R(hkNrqH8WD_|z9mz%3By)@g zriMVyv>kMMC|EWqm|}`jKcf+-uN7;gIe4|A6CBJ))vxNQYwDwVi9vcB6a8@2(lvhp zI&eEd471@No4H}Cv6aBc0Lw)Ujg9*AiL6g?!<=~mpzP|+01;&_!YyTuuMiUHPXb{- zR!p`uz)A?=u!|*CfC$$_)ttdC`fmM|z$yh`$3UxuUAf^}w2NOE@?`@c%T8}Z9tkW0{hkk+-OJ!CZak_DOlV#qtRBlRgV?gY%T1N+>8SS*2ZE? zATOY~42)<)MN1bMjYCKxPR)9B65t)?Pk{`WRG4Fu4-}h`Y+8tiAqdg~xXtvwJKS0f zCxrxJ3(@qpq~5^GMl8sfXK@WJ^8B z!|?X=TF9~#EWr*^K2f(u!-&brY!x^mpHTM6<`a6WGGyrk75>-xDeCQLxR)@X7PCfr zHI;BOUdHvAQ$S{n`%|n{8G=MZN-yKyT;S&Nn0^hS6vYwL3s4DBvMH&tNXsyq1a?L3 z3}6YPT!P+B(Az|D_A@40%Ft_30H2FI2p^#2sWB)SP&$y1vM79p3;~7FtODLTdWkGe z=0LLw7RwDY$|qzF-VTy2eS#kbA;>Ir4RV;sCo}&4KUt>6`Wc+28EzYOQ#`3 zRge!DJ|e)(>|8wUNCgvSNO7NH!7T<2IDRzzVT&f+q~D;RUW;>sDQO~UnxLOXI*z6% zk(P+hYvMX%02IvzNHak;p_jqO;-!L~xD9$z3%CgSR7i)6&3nZJb=%IDIWZj|-_k$9-%GC;G~odx9SS+av!dXQjJd;c~#=@tk9 z%5Dah_Vqa~?7T66yAhg@kPPrCuvW3zyu{=kx1VGa_v`^y9{JXU<3j%XRYLGxx%1c1 zyW@QCt1ataTnFxZUnNl0rk#Tz`?=AzZ#+P_xewjbI=}RH;64Np+sGB;ypK2Ecii7A zhAnFw_j-8AaoPQGT(2ms?K2)*(`>9?jlJ!iM0)_RwDSnw739Js%z1szYKt@^~ArC%4K(k}u6R8QMd`9p4ki=)pBqrGd^gbTt>T&ze z&m;r%z85gS+p^pf3Tv;EX-NRNYk#-#cPn3BHFgeZa)0^%!JaE5YXZkMPaY-7 zZ&3DmeO;MM%Ov*Yc|=0>zCPz_a#i_NGRkw;{_g%eoz&?k1bSyQ>GO9cbv_g1<_$lP zr9*_iD@|JXw$$gxUlzpn6DKz}U?Y($0TwrVa8C6+vprdAiKV_N_)fSmr{+sWWS)yd zlk{y?F+P#?uZ1SO24CjxZRxK`>&@6I1!Qp6lHLi+#An*XT?_Jv?fZ%&5@;6Y0L>2D zngk+~fGQgYdfPl8*aoos2* zmKnpqT^K2Zkhe+$kvXNUD;0d$ZTRoG*;vB?rwajk;6kfiD^}XK`aTB*1Cgot-ur;U zBbM2ofb1c+PiaZ57zeTu`LkKeL#6GvnTnRWecC;+vX+F*c1J=X?4DjKlL1j?tt8{y zozx*skU9m0)w2QYJFmRe-QsFp?b99{Qg>zKk2Qq^G)r6GBrOGBDqr48JJy3+?pRNt z!3u5h;xD}!t}1{@BqVOxFh{Ifp@F-Q`&Jb4*$O$fJ&Et8?^$sVwANu}TrBq~kJYVsA@)>(z9kgmwP)o(lek@gWbmkjvRjC)QX-KU zG%*#HQZ>gMD48Y0RY>{%WF9iLWI1-l0ohg!!nUPEn~X$D9reErqkkB%;7EK}WkgYKY&-b&P z0vwnKhX$BElR-6u7hQoN0)fSP{vh`%?2^TlFv-$ zR;WpeAdC&hX!J+Yp$lOjXC(%kNQOBIk;zd~?1W(UyVm;7=}e{X;f*3bgc;#miqL+x z$~o-9$b=w1ovfn(qd4>+Z8;u7G}Hjjv{p%wp#Sg&8AM!Q7G4lp86iN)k06Oi+Xm;d zIwT-H&1r65!Nd-FFPyp3N}8ZDGu)ixam81HBX*~>NLMaJ3#P$45N7^gy64!N`GykL z2##K+JZFrD1GnaE+sYMcdMQNNQqRW1G*NyM6lt<8e8EiOJqts zMN?!nTT(70ZggxoVty+?*UfYdcKjI==?ZC%?=y`GE`pIAz7a?OR;M)zYiL|zoCY}&#QUKw!pkTYFx0MCb2(VT`w#%o^ zCA}NmP7?sR24<(Q`N?)bbZc`G?pntBNC?wH(pKCFdqPaH1?vG!)RApMK@-W#gxhJ6 zPugsX@@gb_!colWaXXdOc>4^X)dogSv8{3l>8M5vCKZW;`v*v)Xv0 zrq(bjqa(1V?bQu)8P`5BjTp)!(gd5%ghiNh$6N}%J;$ytBm;L63PO~Bz~8~RBOS-* zcFs(@OGiD(BB(ww+(>#eXfjEWkWnOiYD_Y14kfWrL^cAS41TelVfVEjaL;x-vqVN( zjtI{8Qa;KWz-_W*2ZXRdbrdpSrQ}3rW->KuqzsIsWQeka6Nd*=m;=qG#sX9Wny``9 zeE6GFl)xED1x7O3A1`L6qZmcB(VTGc+f;#>p7F=dY_of`5O71tOlVR&CB`b{f+pyl zxcz2@w9H(fjM|X3$f}Gc{(B~;d?IDdNz}t}kw1lgcqaj)Nk%&`aj#OKM z5Q6=RVw14;2He_JlG>Pd08PV-AUok?CuyIMIe-1PGdN0}Pmb-XAqxHjxb04F_m?Ap zPv0xZXSG1#i)@G@H;e->qq4CeB+R(dWX6%qlATc_%Z<$Tcrt5zI-E7tS@&R}TC#CP zki`r;W~jExu;fY$so|z+H!8^PgFr1LTvmqq%hZUn6s6w|x1j1Zidkv~WM{x#M$0YA&IpMvi^aa& zsMy3XZ*HsRWi|(3Yt0CzzP&JRNKBV81tH`r1ML}sdQ@UqY+@J$BDWPiDDeQsDr@aPt~+T2)O-Bgv%On>6&&Nl^To$`2u{T$;*6JpXp)& zDY$1y6ncO!#u(!yvn&d<+FC3tczA|XQceupOlcv!X(q=m?sjamf{=-}*c#^NS4wzr z9BNWK*0wbinN((vu%Muendlmd&7K+U(|X&i8s@SRHF)9LDpE4RdVdomi++xz##r z!b$@kgd~a*zN?AFcn52n1Oms;zK?a9F^1tpQr-wBh?`Gis5%NJY8P zSl*24fUzFDV=!f61EjI>YBpz#3Wr5TzkssHgobztJPPox>_#qFMO&uZ)UjahB*R!- z;W^ruE~1bXM*9ygU~`;!R6@4G41*z*GVq9kFuBwU={wkvKa**Yq=R>ceEg?AS5l;K zF2fH%s~a{1#0Ze+FaMGOJ0S~41!5!qm{?7=d)GKiX3}jzED<=~2GNii6kW=Yq~vJm zhi1HkpQOwv{W>vWCr>6aoR$~a$JMNiWh&w*>+mbAm|G6_a0XUpR%fJQqYW(DW`;09 zVM#h@JG63ir$e5Uzmud5gy}Lm>y;GKwkz`3)O-T1>zY zHj39X8|&$`C}|^42nnl@4X9qR1*;c^fs>IAgT+x~G1I!EBSs_!ISQ62MvJEKrpJLi zpa{x?AqA4qv|QF$O5j!-M-iC{*DP*J9Hqj6V*Muw4|*8f7h%j8xT3TfcL{XtI|6b3 zE!=DC-o%FOpSbR4Pyej;^M62;cV2!r?fQ;U_wH>Y$F0Ta@^b*2C2ZS*CciELgVp5O z_h5J(0J#|MEh5U+LdYP!muYj>SMK%@PPdeDf3_$aV6bHn^8C|4+4Z9Aop~qZcJ8~w zlbt66?-xk8Pv3ma9ZzpuKVsX60apR47ebnzUR4V?-BT+!eYSPc?r&;Mrrx}7?Y8HE zX`n9BkAndb*&6S-9H0iQhm;J7=;RkWA; z?hD6Ww)dK~mpy)ADR=wb1KiWKkoC{~0o<=&{8PXHm$+*EbBH!?{J)W7j~3+uO>Tk! z&Hdk(uh*$_Cz1ET_zBPbVa<7qc3*tnA?OUe;-$+F<@8nGAnxPgSR{jva+}s<{6Lf! zmT2^Ilj>(`^9t zADtvBu;XX%3f^F@3dFiQmS6hmiGlG}i;o5wT-VW>DC|=kogAo}RuG~Uv@y33oEAZM zFo6jK;>HN@%H@Dy%@C9+wo-*`Pe>mIv(++?jlg#ygnH$W-2^LR7}p@Y-*)I-5#8NH zndS51uo zM2{47&^?CkNAqBTjBQjS7+&Y1f;Qj>j_YH_@>pMLc_{j5ebCzESg{sLOR^F^Sx(&K zrh@V06EZ#;nPC$;FzukeLU50ptR&=NaDxo^VkBBf`N@N9e0kWx00!@K$MC+D-?6b* z{CEp#DR;M2SXt5Q`gZo3ftX4yXAm+$COGhC%f6=^jwoX;fC+$VJVDEbDXt?BWeffa zne7;P5RkV{UzbiafE|hHL-YzGRUJ(dk zQ{+pLk%`meE~F($A5OAEdC;Ep^AEIVp)du&gn^DNLd`IaMq)KOZ`5OCwi?O2Mreg@ zcA<@|*)YgZ4D=gPxjY?$YsJyce!+wybVay2|xKLUtyu)VdHB_vLt`Yd0MrA@3)5MLz zE)Y0b(ol+C04c)fuY9L(>1S61-nDKkm8}b?VxgidNsWYo z0vfQcs25Tnt9F+T;JBhR1~=7wg=%PYbXFobpQ=wZS5hN18IZTA-^-y13F!s*xA8Zm z+#cgj9yJ2v=)owX)e#)q(?baUh#Q*7$c!7Ogi+as(WF3qmKP!?2LjN7Dn)40)3>s^ zg}8yWT8HWr)w5Hk!U;^BSGyg?>S@z!E3l?kYK*4|H9+vj zmVzdEGDvQM+73lc23dJDvd4Wp1WZQL6M9!B9AzeMQyrtU@zJ&!&^eCX_D}9~!vd=p zsuj5BPp-xMSWlhYd?TKBT@L;L~{-#NlwirkbaCsA+s{$i1 zFBgoSzdRV7M9I+O(c8b$hknP6Eo zB(QeDPDfM?tFPv)S!A%NfX48M8>5#9SQiU+U0vjbbObDk?!W{FJ2c!c4Ik255$MZ6pFD2k>7ueV{68)&$VP!1v#)G#A>gwZds zs2gW-@Oa!>2!=xuDLdcAVu6R$0_+fRF$xScM$~c*IdEf&p}V(5T|9-;kD~ zHw#wMwV*?dqGJagFy}}|K?mppW?h|X52E!UK(o_d72psR%3I4Th+A=+xM7A;ERcr3 z*iJAjE|khrln@h4TSh%wAxzY4;1-hC;|=CVs|qK3Zzzi4?+G+FRt)6BBLcjvG|}Mry@A`t&rsq^6l5X?%|>#) zE$5B#UX*kaW~1j4k4DGxflFNR{A~=pb_V&5o|;i!Zn8N=eN6JJq9-K*Bh$jWjp9@< zDLv~A95Uv#jDZapE28DdbjXZcFnWk}8YpQLN(Nifilee}q`TnEa5$cmImRT!zX_>W zlVOeczz5JuN~>hjb-ocjm4q2{%Igs+WK(nbZw;o2xfT?YlGRw^+Z1mF^o7QSxU^tH z>XSYkkuHYkLB$%;6VV}W3|-?=;iOom4Ejf)FUb~6;)p*9qh(m&hCD6tM~5Z&RPm%R zE^SO!bhBt9rKiw5(pLvhTK?vr<1~DuZaeJ9xbFLi{kgs%`|`cle1e9NZ^FRvi)&5+ z`Mj^wm@bVMKLTi4f-)t9QyKxDec$J3sP~a?dO)*Fv=DqHaNlKn#;qloRL%WPdWZCQ zF$RXG=eaS^U(|%giy!%BHwl@p$r|!j&npo3ns2}-t;F5_rG0sr)Nv0!z3S}!PcNBp z`N17fus{a)MuO^{aM>HJt%r6KXfVmX*a7Kq9t`$R#Qiv?8Xma@LWn%!<-^a@pmXbG zyA$BP=01>sZ99&l{2W=Sg+LI zUEWFn67T+hL@v{^Aw)a&!uu|JOLO<+$%wM(Dri!4?A_0mXtJ+Je*G5{E{1}OqNEA_ z)$F#d&j|ee3J6u z)kxy=_CNid2`|HgL7h6+6FRV`_jlH}?}jF%;BHJc?1gME%0A42@- z2ZI}6Zcwnr{UvF#e6+iCgvPd=5^q@@orsB#1jgqzx>{ZIcj)wL06mNgK*-9#CGOH0 zo;i-QI*Q?lR9-=VpF)#b^sjHVuI>TwX_MO#xS+QSvk;Rz2!a(+o~k+!;uPhZiL#>9%*k!WBm#%f4BYhJ*fx}X73-qxFPpyUt_Vk`!! zL$Gz!+lTDxXt)JfgRpWpM#cl}-@7SrfA|Z=cnRaD&S${v!yAAv-h!_L<{9HLy#v|k zyTkYn_@qOF#Rb^{vJ&4|!1$HRN8>XIYbvDY{Uub7Z_B1F{Rf0d^ef8y;ZMld!xwE^cjG=%_7;2IU7-?<#cEwd_f&qjB!~ z6HQqkSy>=EtEW&plHQ*2^XCOd&nHcIgWHkdef}D7FNcEA-2n>U)d09#fH{kb3)Gge z!L4z8#?b7fD`q<@dK02|UEmUPsSP0IPX^n=_N_3fZ)F^VTw{OeZn4|NyxKZz5<`?D z-Ht2Zml>noI|BCn--LqEFkg=mCli|VrUE~7QyoFs78vb$P$vV1A~5a%H<2c!V0a9< zYQ@sP=FrUn~;F(loAzjCc_sZ*_I12)=EsO1v^mqi; z;ZQ#r%Zt+i8|ZtIJp@6FJv$sdW{!^rbe|Rk3If_8N}+GOgVF}lH6Bo)L6i~>GvhNY zTgXZ=szXZ5)_8p6!I;EWEi7GV`jSJb8%)cFupY1=7w*h>-#C~O&m@`Sun`UD^yxG( zvy@`s((zlSyf`<5wa@+6B(5`q4=sV_ZA zxD*0ww8_0k1Y4(*0G<9kRXsMP+0myWGLl0u38_1I1ZQLk$)06gnnp&bEFLOV-W>{< zb~8S?u-UgvdV~4!1j1;{0EvWUcga3xbYo~mvGUUvrm3@n`IZnkLncSZz-U9aO5(N{ zv^NYIK^q8Gmca|msb>)k*Pw)v73ihXa~DY#GvQ33xxJ&l{O}(p-0_cYI}8;5gNsFu zB6qYqJVI#n;~55qr^XxlhF>jKqV{<92Z6Nw@4)z}b8AqDa(8LMQ7PjrRLxZ1)M4&@ zb#!5(lPVVfh|w5f4o;glf>s%TJBgB*Q+)#n*3n+g$5%&pHF(vhu7<{wCKhqeZlH2o z`H4IO?GhsxDF-(RNT31;jObH#^)z!v$Vw!rIPcR@+P9#d93MRp;2^b6b)3hRc~*9& z#-cA``?gO=G}SSOu`UE71aT#<)uYXF)-dJ$|bPORI%=QGUH7(MCcDY0^oq zniUw`?m-MgliQRZZM9(>dP8>DS=|mzhX1g^|B(?h`)&$U7K9*$`uwwOy*7_l@Eh&HdG&Qu(2B7VWh%AM*|O4q!edKq zjfBglIO$-bXK51j_>np zzUT})=`_;PpJ-93Q77oe4M2mT^XdTw>pa<*v>~5_(IAT_Qd@!$LQNq%_^VkQ2);de zb1*pp@|DnLkPwQJlHo&3w#S|NL9`tdib;V28`Wc~5yfd2#;m?vJsj1Pl2Kc2!LrwY z5j63|AC;#l$9adY$_#C_P0Jfm7+=wn883vI}^l_|#lBhwNNz<$dr3F6wtZlKj&0+J)FwUuM zrBfPz_$HJtj~WC~1=#~0MWed5qMJ%*%>1%$*JzYCn6zh9h**SnHIz;=iWjaDpyDh! z+F5>F^7>J@Wu~ASl$qtU9NJKf9YB7_!K~NG0 z&|q1OtIxKLSz)vTIc-^)eT*4VyarvfHG)_9M3!M^D+3bnqGDX(O>F{BBEteO3~pmF zq@z@bE(s5@<_rW11p)#$n4Q!YbjSw?6#7yyiOVc~3;<(Qz0JO7azk`0>;u(^wg)w; zn3-i!S0}fA=bz^=?#_R7=|CxW%n(KXDmj5P6q2nPGtD#0S~Z%2|rseLGeh+HewNUpd9bzDDSBBJ<%vAeZ+zC zn9r@3-!{zH4fRrBPK>!=<+KFf1j6)JEz^iwQ#c!g_NKrKqA|=V$`ufDNn{Qhr4mJs zmS{qtjYckZBr;KuN#00LDkU_sFlUj3Sn#6t4ZgvlR5{pGVl*SkBv_JCd1ug%nz2)$ zjaiT1krl=r*DU%$BkQ2&`JfaoNGM!FK8s>v*nq@dBH>9R6Ot~3tqUKzY(z7koAh%r zAs4eO|rRCh%wKG^gjFC=;|O7>*i941&O)#9xfn2o_OE7-%-*ZKN*p zJD%fxj_3b$;np8e>F}oyNN@hf*LdsJ{bbPkfuK+YJ(t>Iw|5s=c7-M(nVq3$TK(1sn3 zo;Mdj7EaL3U1I|l7u(ZUZy;*gnZwmTMQ zc{Wm>J%8-Oz8w#rv#r1C`u^M^yQ`nLZ|RrATC9^d`JahZE#A3rT=u+!j~?!h_|oSe z*knzf%j(RA@$MVvX3ssC{ptaB;O(kEC#}}QU;A{%IU#f6H&j>FUUZktRaIVd4&T;z z`ZKX%i{l5+%${&q@z1k0H^9nMbB9>fo1@pPW~X$|n-`~yltZC{>C$|&xbtTTE!u`nwDNw1J)ahpKDNL0SSvs-d;NdZFF*8yivjvT_*r zPEbIXw`@ZVicK4WbR3e{)=+KnsW{n5bP)I#jXva4ApGG>M{lSgsoosK^fjrNsoE3?_3~ zKg&W$5KWXy7C)KDR61n+#vIev9V}1VK%#BKj+PvcWuP4pchyb5*4kWW%=K#Gd+5RpcDs8GAskdK06Bq_?h! zZ2$s)>JWp18P0N>0Ygbpxr~gTEadp4!XgCtTav5rwR^!;LSxyAh98#x_ zvyD_b`1-BT;=FO*X=8TKZT=<)yxm;ZmkXkFsM_pb{5#fajn1uRhr}1&j<@zF*SwWH z@sKaqR`mokHllz4_oEN5XX7d-oelCL_AmYH(Dwa|eKeOf;MI=|yBK6b!>mC0dLBV@ z9tLz~4vsZuD+U!>`@I4Q+?wnok^MIfE*+{4@f|-Q4Xgf0#}gpi4&{dJBiXG_cO$dq za#T9JjVN;{q~tS)kdbf7X>MIQ(rX{~aMoH~I^Z||5Ny=7D#kQIkE zoruz5AHRH?F=pEd2SVl-P&$-jA*7KOxwOC#!^yO+MN;z&0bM)#jQ~W}kVW;& zF%1Y*HtZ0Jay7vS*BQS4a#oKo0vge(8?G{#!Vt!=#r+KAS1n(6V|hjl7eo#j@)pDQ zS~+_eznexMYdG&=W9m88hx&&F`^%t7mbf7VQ8uz0a_T+Nw*3-SJBo?}Uk7=>XYutpoZ1I+$z(e(viHdA!{Zz3Z*(ZesVJ zZEkzm_tib;oMu$L*+CxcCs&ngy?tOe!svJ{-o)@LBP&G}%Y2GlMUMSVxrvR|;i@!Q zd6HD;Xfn9rD%M!8$)95DPV9%P?t<*G6CVXMF>5>@$uQ^i`wf`^*(!V0I&mNC`h)q* zIX=0Ef&0ABMNlwg`O=MmA+8WhayrV8!8UG%DO{NBAkG7!GCOcRaJ4qKRhJHp{ckCs zE*+|L>2O{*N{4MI9jes0L%z3nvi@#%Q-7!x+?y8P#eS0Uoe2!=)zHM(+W!pN2#d4i z)z{c@x^w`Z!FRJL1~4LQ{Dg`DgrJ@zTho8yV*Iet8ekXy8B|}cv2{oP`GZRb-yy#7 zSM*NR|I+a!a0xULa&H>BL+9rDec#ROIXC++2PW=0z}R6P%&OKy$jImCG$ zUc8?zv=y4m=vic_%{_cB^(<~N_szG}c4~9`woN*4j_=8b$JO=^yS{(_BHcadj#Qz0 z(qf%7_k>rrA9rPc|Ch2S9C-Apbfl{PGrvq)&un6Kec~;%^|8PtK@RCzgl;t(&b6PIPYw6O8`(n#{l9;hweC5!&ZM3N^wvF#@_y-Sv7Vux zg;UoD_o>{o95}%+hg+ZWx)3YaEZbc~t^Zqe&*G#ob(GFpfu04q>Mq?0yA#|eowy&a z`qd(P$^S-vxGhqB%crj4*3(}*3C6E6U%kdIdv(Ms8`!;n9=7FS>REt$Y^WLvLKAfG z(35k@b74tANGv?x6p#1o<)`JS)6zO@87<9CVCdR zt^RFhq;`;cxl2xtQzp?dMzVTUb@9;C~_cfloWB+~oLZ!O-4lmgF0)(LB zLaEaV1!H4#pFe;C=}_yA?Pxn}TfBYa+Y=AxB+DJQ?vKamC*G*?|(eB>!m&GfhY^|hHGE=>63t9y*78(;&ppp z`tpWr7q5HcfqS0$!wdI5dHYqlKkp_))0bXde|@g=$_L(h^ZLs-KDlo9>+^D_J+tnu zm+sv#FSqKdvG?V2Z~XMhGj|{S^TE?z`RSgQ?)}l?!;t;kZ@&G)hW`Oz>$SPlUfTHE zgWG;|{f+})>b~bCigMlVH?KW-`mY~>CO?`7nBNEX9z5{E!%wcuZG@1QHtv1t${#Jt zLC9l(GJWVtK(Nl+cUtZ{hhN&Z;mNAodBui#cUJ;S80K8RW9d~7?ET60FZ}fJHCG%w@1@g$E?_@!?=@ObX}6TrRekHo#^ihtkp!1b@>u2_Hgt*Z`id~)sEf4TnP>HqP` zFT6N^!lE6%tN!x%fwy0I4y*OUA6n`t7}8<-)Z_!t4)gd`@__o6Je(&XX>kQ-H*Bc_1tat09Fm1ffZm25wy zi#sg-#HdQQEh*;v1JGn=6x?odQ@NOG3&e?XITpasImpZBBpnAZsf{aKZe#!2GsgvfVZne3F3iP04x*$C^pTBJH&`idT07T*oa_f?@kh#sxNdtnF20BSn-o zf_#ca+&yBxY&SY?XmX4>8JKee1Kw}I-y@JZ!A74W*i_b7LQd4dcxl6wEO| zmTghHEs0rWB!ksPr)s-0DG0Q8N(S1#Ew~XKVP^bg@%a~uP;;4>-w_YEJ!e2@#!Q=jF>QpXIjvhnRXa12pR~K9 z%Nx^eo$=@hq&1=x(GiVF0hNH8TgjWFwEz>mK}-X-b7OMsCZw&IZsegT6L#eoC>UK{ zMtZXukgY`2Z;_Ds#707JT0%l8L*QKoOx~m*^ZXq|)+oDiL|Nq4*J8>UJ z+-4KVzzTA(f`EjiKTbj-ox+M+et;PNH&Yuy(~=%8iF+OqQqh~fEA^A`Q+7%nIAt63Ib7O_so;)8;_-XdryCG_Two@~pH7u$9$oEH+g}LhzHa;`n6|83;*aWg6sq;68=t zN3hdK8NUJMj7+U1?x3gO_7(V1u+6|)RXtjWMprY)9886g5J!_uXoA%NsWAn|dSrKj zwUw-F%A>-mo(jk|R*W3Ih)PB+SYrNke19Ysa zw?2DIN-0MJYMIV)@b^VzjuipiUYcu7+(bnj^Uv;VB_M=c6(!@%1QLw^%ozO5z&*El zbI_13$mL{?YQ)=ZEYp()w*&%J*6Ii>qbTEKC47J~7I87+78q!nY^PWTxrjWPkPOBv zBpTtE0rV;uN0cu4gaEaeXpRISgpqL-db^Ev@#aWNYBoJ2vdKW+L z5^hhEue5rl1AZs(7`#ymtotD@`Ye_)9>*b!R6@Xazv%9IOtWy$DF-n948M1UG-rkt zWXxi+jV6@2Vzh=SvXFxn3jkwq3t5J|I8)Q=+q)bSe&aRF5X z{y|q96y8JNf`sT`>Sx~4B_hCx4qNF*I9MH$F1HIr-6U9uXKH5Af&d5wD;ZDbmj?X= z1VxdOV-BC|+pYBEpJTq$Bu!l6R@SnxuUa}-@NU0c)<7MXa3k+@6(1HRT zY{4qO3s;#YZfnGL90gQ1>1S+f4oFqrg(RP*@wm}jiKXy)K7xT)M`LvY{96g{3ay=E zNIXh2aD-}HTq;@#qrimgBpf0Oe&doO2BGnMVPm~CIBRPZ-C2g_h-BFCav;osMfid` z`d`rX#yh7%8V0zrdMqnea4{U^md!pB+61uoMGZ+d)h@fgn^vYt2!KIQ&6=k*Zkq`O zxT2Nwa0b#(fdf2kY`7tn0y(Y;l(N_icP2YS-5o^Kgd1SL^JQ z%&Y1G8c$6{0PF!)2J+U;nLdgV+k&`RFA2%UC`yoP;8-X$b}Ud|L9ST_GLRY}y9MM>h|RWkZruztTHfGA*<#JJ z$F`V2f!z8t)VWIT)`bXa!JZm z_$361J1w_9dWM?_JJzh~Wz1~?x6Pi#GVUl4d=p84+5*)W%>ZoQkG4ZF8}+f26Nc>3 zs8wN35FSMJIR&ojNj8D(nl)k6i#Ev3XpugUnjLl+ajTXn6tqo5IYm~dX7e(b=b9@B z8kuAxb+R+N8bF>EBy#gCs`lY3lnJ`B-Aru<@}SS?CalY0@E~hGHG(bL)Yr-*p^9oW zALC4nbeohoVd7aSrhL|xkYTuEDzdEJ-V*1cYqQ;Je(zK$7zy^Zq~n#5pFl{{@t+O3 z=8u~p+m3NWIYoxLoHB=6jWuY#L_+#Uf>|EBgLgV`G{`+xBxW%DD5UiS6jXx!hFSv+ zqalRDC(_!ScAZW*cKp3a`Dg)U7m*-J{UafB2ou$HY7=7FPW z_Gpo>W)%jSSPI~;m!#~p2>OFec7t0{>D$5JHmpb`h+D{ZRwsb^>L%D6tb8!7v?C>x zj*6caPa7H6X`59(%XX{N-H2Ck#hT-*jayQQj(GXJ>Xe1I8JjGS5~wDji6O9p7EuDa z7foa{5tV6;_uFWF7EwYI7%v-vH=XW4UUp|$6{8`f5g@kpoLbSN*=m2Hqn^u}E_VNX zB0C^U##W&27N=!-uc1PgaR%uPENgNkGQ(8lZI>Jwk-g+^Bj!Tc3aqpP8>99aTKjQ^ z?;1HAEtz7%X`Y9+)QTR!XF~{DNcaU)o4Y*%JC%dajEsvEgp|)9JQl3meMN4NZSB~wE(QuhIArI6(~VnQj+w`Z4Id@iIY5ISa)9q$G15I-iHaEj zCNg`Xvopr5#~ffc&-w)YRLf`aF80`%^cR7fi(hDr9oM4HV2+aXeO!680`SEuF)eG| zm~rdjIwJrDne{}?RLHU}K!YfifOE+00LTSSF)q-BkTpmfr+G4RKggtDrz4r=`!=N| z^~JpIcLceRlDG!4>9=H(Gc;l{ibj;EIzzx!NEU4+o`OvIgt#e6oDk&)PNtaUb-#Ic zJquePdm)szM})MIa}ks*A#(xuzzY=0^brRnX$%cmn@0s$%Z{gpbPcutSuC50GuA=4 zuFN!vj1q?)C~${y=IE1{l;=a^H63Khh#TvW^$AUe7*i3D2|Binl>r(SLJ(qR2JcJ8 z72-FBCq1P|lYS!mve`0&EUJORkix8%5Jz)WNnEy(N!B8)-GMobQIxWbrAa|*W6~#( z{dfhkaj<;&Vvne3{Luk0>n`maHinv0u32pyX$)=Q*8xq-wSCHy#3ci97SOIS}1|^IZ%|^D2O;eAd9jcHG z0q-MVPE=q8M3_}8ih}|^^$~EIT8N4ewn}D((7R!vxg^&=^X0n+UGdv@NOf4})>tIoUk zOCOo=^6QV>IdfND_J$jwN$|!0(dOKM&4bP(Ox`U>h9_2S(B_;%9?bjXfmW9~?-Ms$Ak<-_BC(t8FW%F_3+(f0T; z%~|Up%2L@}1I->I$`YT*8TbqrvdL9tLtVx5WX~Mh8l<+iZrZLUiO-(Rn?+AwYLw}k zGcFC!Fkt*Ry`}!r9(*D0`MX`4llc3RVX#k}!H)IxE|_yW6wJHosOIFkc~QvJyuS&P z_gJnX?onQ%0b&@R#kV7wi5q*{*L2d?$^{S3B{I2+(ozEwLyLCOq@ZAttnq#`fTdl5 z-E2J}jFKTpN&MKy8{FF=`%!ENKVw{G(?r&uO*Hj(&gbUJm7l#CLcTo1XHT8P+Oe5U zAHTCZJA8S_UDgpK&|ujzF4~MJZ(1O3KMBdej7z`#*-@7gPO%I-{!IyHl7eOd9zpx0 zE3aUe8>pL$w4Aaa4A9^)Zm0gfo@sMt7n)K0laty|szf*%9>w|<2Y~{0$C&V*; z26hTcjG-v|9&|XOeAW{}9vqx#+Jhe?*?!>cch|ERXl)F-Drw}Dwo;BHE3dndKQiI9 zDj);Cs=_4HqR}`z)R3<7yi5hvwDkAI77+n-x88<%+4}qZf2g`7=(% zr+Jd}&K?=kA<3lgCxy04N-3Bx^L@>v=qTxtQj&U6ywNa^SLj(qnN{8Wy(E+MOO$Cb z)!6K(PIAq7@JOaCqZ^Xha;>I{McLT$t++>6(nd$@DTElC^=zELJ^c~yaKkh#eZv%y z(8b|#h;1}=-z0b%>oFJ&j`&!&l!joeNoQ(`tPqCUOOi^T7x?}U&n-2&bdbzJxy`8T z`}?s!mA@#2D#N46mWf23Y!srDF=iFGkx?}n#cdJF)Nwlhih_f=k73RbA%hjPwej&1 zO%Nq2ENq!QNE1(Xu*6ju5D*EFm7A)rF*{}iG5UqV|27#Hz7=H#PcFl^!bUCdex=p^ zNB;AozpGJ<6l5d6A{h$C7s*F>FdkEIRl$SBL@V{+F$=8Nx9;#Yri@QEmGIKxVB60FD#kpP>A0nq4#e4CLrc9*sKjEU!;=b~dt2 zNMeHptgY<=;5y{kp5B`kt4!TGGYw$h@IZ!8dB+N*&eWM04hkc6DCKQarGg_-HU!(Z zgPdrRT=*(`5Ty%Xc5q|DRU2PS`Pxw^?Y;bcH70Ah(^m%WrCuI9rU!=zDgM$1%l^lZ z?dvYkrNa>jry7M+(fs?*7`GPPC=K?oIe0FNtl7s{cWR%CA5Rg%X zYOV8 zy9VQWSf2p}CD#fPx2a&I#j`|ays6Svg!dbA<8~~|9uPb%#bjwB^_h7FjORgHg7E?z ztnvZJS|pnZPsjk-a}{aA0FVm>$yM}CCAdjJF35w!@~N}Xo(UnEn;>s-ImjpU4DGcc zWZ=&h%mKH=0!z|_h3Qb>MwM-A1+{F75~9tKl$JHcD9z*%QC<*6%VCfvGo?C8t|B2^ zkS2q;3sF+@<7UsCY7EidGE?Gch4Cc>MG4o|;9h28VISUa2sLL3*q1YQ#swkP7qVrX zXLm~6^C}k`KKQFc%vLqAEV5rX42yYLjj2MFNK2R_+koJE0mqPRxDBl`UdO=J&a00lU1w=|rtQtZ~v5I2k_$`~~pm;12;$G&z4wZaOlk z!6XP4no`1zbwUVuf>1)%_Zaaenny;;$bl$}WRG#^(bF3*;J+uk$RWHdN@y}cQojN> zn5w|6BB~2eQFoXfW%Nih;UK&VPZOpGqzjKcLHaHqlcJjf8D}h5)Q{=lvFIN|ksBAH z$S~+4F9exi2W^Wt*e(lvc@|N)FFivr{tt51fF`Ak`r1oQyns;99zHmB(5xhIFcXnY zuV;ZBI?-h)3&RKIa$Leth3Q9B@Yq8iKhh{DGJw4#Q!<|hf-yyGmaA|cmBBVr5p)J9 zmtavI&u6(9I)Ctk3r-3Yi|3X$h9_DdK@TWoIyeI`0*VRTKn#QvzX=ioHkgz&#f6A4 zQKq;uJszoz(=1xPeldUhVLTnE)TP5k7?RCnt^S>`onOM>a1r}+hQ>pM?3uLXc#%AA zEjAE;?&+J?*S&rn$m0;@g-61TmV&_!Mj@qr%Ljpz(q{8V=zVu-lo&GxGV2jm0NDcqsVvgBPxSdc}a1cb58O z{gK9(M0sH`8K!%hJpbaV3DaJ`2_g(hph5L&_ z2$A7p;@0Ls!Trk!U-_4$U~BtPGIBAW4ASI?JUG~c+MEHs-y^r@@9y~Hx^$pki|gX= zUEz0m+~_=FqfYz*_!NH$AdZlbQnC@m3)-#h|nCZ&u{Lg4p7{!b&u`F2{E1 z0sFC3U;--@B1&arxA4KT{l|#XxD4>FrJ7*t+Ps1#WD&*~IyAw!07a=4B)wYzqh>3D zQJZK4%jXxPBr-O2)!Y)ASn(sQlq4kX>w`J&@POUBEHGhfq~caA)3PMSp&J?RGf>N= z#>Sc_2Wq2_aVW{jRoIC)L>?s2;CKR`e@vP46#+ni8- z(mODLjQ_IdDy5U5V7w>98jua{VxrM31aOK~M}?jAV^+rVUZlASM?*NLKbbAmgi>5>1G#^$ys5DZ6fJX@($f&v>j3 z!d|bAH7(K9>++ti+n3|3tqOY@7B)q?y+yh4s>pK?VvHyduV+*3u@?O3_l zF`_++)W^oc!6+reTnE6rw!0z_TrgQXmS!Sccbw>25wOh_fwQ8U?e=Km9;79ARg1GC z@?UmVjk+L8+;biBV*Zy_Fg`tPu2VkMX<1R}0D>^qqJ5H=J;43J;_7++?w$8H^ehy$ zg1lCd*C-Q2V2Tcd$R?ATENB=X!7>%vXkr|-O%*m@X*0O69NSU~a>NK?p(}6;;--6j zbu`)fp`xR~BpP&Bq9`=C1ULTj4d)UeN-+dzLfpEICp-n|h7?p3CH@O7K`~7hrY7St z$oQ`qlbTzzdSo0iA;uK_$2uL>jTo?Km?<;GT4+J$2t2Zb|3Ql;kHa?xjBUqXUK6d4 zD9A1$g9T&+HAq1a1UX14<_nv2Rc>QD$x~1eF($L*O9k1=)Ow@6EAR&VjX#PKp~NTN zA}x@uP9j@OXxU6*9aI~c1DXaK6xg0u8&5*A5M>z(qR^g}MKbP*2?s$(?A#99AOYSW zoisO*@pm*$G+9g4LbTo zJEE5gO7O-+P_V{RBIw`|Qn7`ZGIS+u!rv7>KPeet0-s;@G~&VO>U5J2s&Ij!3%cZ)0mA?F)vTv< z=}=Ol{hei$iK6kH8X%(x_>|yXQTv_hx?#s%GGkDb(`FaS);xJ^a6YEDhZLgohm@`U z_kvl98hcQbo@|a9wHmb_;kZ(C`0H_j%&I1Pu(T9qd!i@UK8qAQ)dR65a|+xb&vH6b zBed+`=Xfmn9g&dU| zF%^X<;-))X$N@5lSjU3uL;1pc^E%=_*SH`*u4!DjI{ zlZAGKvXAzvif91lT8P;@Aj?GRxQc?vyubPcBHS&`fHG%0dRJcPeh30ZjD zdVPS`04#EYnN&g$_@lNAV^Yfw6v?@1Nn8zHG_Mj?bh!L~=aUt!+BDq~iX zIoMDWbRX+rtV=<*v}Z)EF(SUXQLsv3tK%b#N6?Dnd@2;@s*yJv-J;vZ$2SoUf`F^) z*;D}`6%5;GGP6Um#!|gWL8zcV|D{#g3_t|TR5t5&pa$AoY&C?CiUNPsM&$IJ3P;ju zy_H8+^h_I1pOzM~ZHe)DE05%+7xS_eUFL<*nxkdKL&?PACu= zWC?9^cNCGBisb{i;X&MZ3TY~Cr6+`Lj~11JvN{Iuy9jV0I*HS{*=zp*)YrU~fawL$>}-$^(9k+@ z15x%H1x()Qgb}-{)ss!b)JH*-CFAo0+JlU*^~e;ZXZ-X5$HD=5GI?-)!QW(#$4%s7 zG8D*vD5T{t5alslr6(tfnj9(nW^HBcb#m2(8X$LiksDEZ|)NaP8JC>dVgH=xOY2Qm54>nT{6oT$HO z_jUJOwilB%MZIfD$VCA5odyKoB6&ba`(6SK2EOuA81F^-@=;UXvofES(}#Gln8ZUo zcnrtB2XgmaH|x@2HT7>&x;OOB_+99iy=%0u`SWy-yi_(zVbPdJ{;oyQ0n61-C}rrb z&C~M=1Kjw68QgybNlXhV)f;$h1fz!0<)P+)tgXbLGd|S7Aj5#{xsFQ3@kR+g9SIrg z6Lfk@jUP~u$eQ~rK!zJTI=ci`&M|7I%j)w`pu!z#e5u}pjn{euW;kUG_6Zs9`Gj0$ zA$7p%d2oPSNF6PN$V>~#C-DGxLH4_|l8guEyu9((6guiO$iJEUpe8DEh!ZQ05m+vJ)h)rEc6Dq zjS&hBw>LD$7W|#(*7;;ehB!t(`Nxp$pFZ&Z^(+c)rotI5Cp6p8FB~z@a5KA!(nbfg zWCfbC^lwl=o7GT6DKsey=RsUUZZecPP)Gw)c?_X>NfrrH_LT#^Bq5J9KYx zqhNxzlm-YC{@G+etiBpw@q10wkN{g=N>P{(G-~(0>mUj~@vM_tl05qBSvYr4khqhc zmictaKh{#Hact%ZrKjQ>1tLYQ=%#1}-QL1P*txkqp~jnJoQ4IpueN^3el@|;jh2F8_Z300{#YS5KqY8N-nLmdX6c?YYmXz z)Iv%poOBBHLWw3+eS+-Os>JQJc`URv@CL}d3w1DRzVY*ahsDlU^VQRKapj-H(^vQ;$WqxAmpcxR)bKrJUiiOf`td#RXv^%MCAF*@GGhcvMsn@(Yc!A>8U{dJk}WLWV>+RwwaRZ4O1*Q;Ariq2!>+yA)h=k2?k zrK#BhlLuHeVh88X3&JNmf6`9e2~0EvPEfAD{a1j~-3kxNutQ{XC4Axr+C85n&^+8l zse|Y~im8m%AfG_or1#Q=2o|!xIf2d3hZP(U`mgK2ewtv8e4pDAKZl zQIzgKG}{mH_j{CWKlMNFPuszdLd+{!ixo88-he+$W>BDy-?+eq#2=kYN@luyPoWT% zmZWdg;P++eZGk)P#fwJ@`rz-K0vFIc>v52EM-Re~Fr-1-f(_>?4BJdYy1`CkQheLu zMhCO9g5?9Z&4PRIn&$zkjE@MMD9R(Z;bbZtia`{wAVT4W{z^h=byXxQT#4eHzNl6M z_xcjr6@%1QYCyK9s3XZ4UuLhF|~tP9gOecrFyM;oMSK+L3JZR4J9wZ zr*N2xWd>H#(10LT4sc;$vmHt7Sb0wCY7|WoNgPe@Wjw5RX-6ImV0H_I1#CQaY@GjXL>VQRA0csD1`Jvo!`$(c{kf8_w7z@P?`z1N|=S5Dzp4ZM?|%FwTBazO~O2s%(G zC6rDRG;NK01Ql#YW5^M zt3_I9WkG6DQFtn9RRnDHff$Joseh8Nzt6d|8vqyOg+a~V2v6b7%QN5VDv#-u-veXB`xSHp_S)-L4w@;zUB+JycBsI z+T+6y2gI_Zz%TA&mw6^ZBABrLHp#}hrd5*e*pNKbhHALMaS(DzsS9_ikEDs4Mg}%&BgbwW88$ZV4R1^zIr4LntKVnt%=66sXC-7S3-QRG zSIDO%`K1W)WP1vJmX$pR8J}TuHuZYu0OfXW<~jd;`p7$CXY`m8whTPKt?b+3LiQIaC)L?f zb$DI^xoTfwSKgY;i)+#)nGD*rOEbNf_WlRZr5^0<0$5h*sp)M1zGPgn$dwZZWcCFf ze19ysfuyycGT+oPz2o=y49s*5$h=>@zi~}wGXWoOvhalwp>P&G*hOQjUkDs4s~KDO zjLhzVb9$>Y_m9fFpV|M=OKGk>6qsJ_IWfMzzmXxjh#piV-#vxX_EF*c)oa$RNzP&kjOclx)O^!v6mdh7|mEm+ef0}qY-4hkPI)o4FwxUa{jYKKA9 zU%-R?jXx;K1CISHy+0w-HYvRill{+RaepCSSd($tQgwJzCJ=;M(b#nSOt{p(u#`l7Z+5xzsxN|L|<%&JvzESIzMyBiA`;iT(q(dJ}6F#8t z_4sBHD(R8c>FTkT;{J2yZU3B%mAPkdrf2;Aw)4`QUkB9=gS6Qx&Pzb$@jc|`t<&D) z+Trhdb=u0@50L1`GasrfesAum3#va5pHMp#eU#&zBATg(xdGDIxvIqyE_}?l0tvd9WXM^&xpV zAgu!`mtDoR10HtD)bLZC*}%0!=J>H0D%&4kwU?d++HDW*f4fk7Zs7CRX>-!m?{?`| z(W#l_lt1`Ry>HOHZG)CgrPE~f*3C3$Y^IQW!+pK;A-J&1JSnqb^n=?oBkfTi8`W?7 zXKx>zF|7|~o!4XEs2+-gYKMVGb>FPbVS2W9II8A)JVcdW|GS3jYw{cRWYYHELzgcv z%>4K1Kllrff1&rGA)jYKsH&?l*+oytA$ihQLJdcM{*L^i^1j4tZ_Ib!_djpn!Q&@2 zvIy|Vg4D>uR3i(Y8d-cM`PA!}`@hCB_kQNyw3&r`>*X&ZB>c%qRyTYJLOj{MNwdi0Yr z`yT8nSi>WW&*{PbNlsH9wAq8BK4jzfKK}W#p}!Dirfq})hgfxAVRDOq+pKTTd2!9| z&6$z3#*Vx&c>Le*E4Z(%U|E-jk;NwT9nRlB8MVW4J&i}SVPs((U;V;qcUh|n>oWy~ z7+K7IV^pT6`s1#bGF@}h$gYBH?cg<}hWlYqo5KT+Bk+e1{N@}#`LC|dU}RyP{)RZ4 zj=;!b{~C-e?Dw8qvnRbqDn9R!ZH@0p1#%Um{Bwx!*F6+GE-$ul? zuHN&?t~s-}y)|>`JKb|$n|;&D&hBehO}KSs=j*#}xN+0SOP6-OKJJcRFJ8Ip<7$69fj@f($Q!$VwEB8z@=ERXv$s8Z>C)eSJiGVqpY`qn zVCy%4H2g{g(6O-cf$mK2yr16G*?r+@b-?6(^`7<%vdFC4_HTN$^M-3yA3yog&Y$mW z|2y<J%m zZ&>>&H~5!=``x2f_Q3e_w>`4w^&OYa-u6wn>INn+-n#eN zRfAqS?Juv~3@fj_w4!&->>s?dc-b?V6|2v`^VD~?zB^|2oo`;T^m1UtzLi?@lgzSJ zXP&jPciXN@=WJW^{gv;0IJ@`Vxu%B4}Ohewzeg+`Uov&WgeIl-&f9Jm0z01yec+c;z z**b0$GA(iapv)uh+_d4<+0#~@h3m^-I1%OMW1!VeTiF}g`L*M>tsei|JrHu@wq?(J zJZnq=GVM>>PdoMJE9)M4XZ7qC2F*nh;Z@_dt=#k6n@?Xp4Vm_4_qaR8?Htevl-*yQ zwesD)KsC-RLOIzxd+X(kmu>xc6s%m_IluF&%eOxIokyPRzThw8Zdu%!*|O^TmzG`j z;;tXVoN15l>Ynq_<&UqtZ1siRC*FD3L(-`pl9ip$?cj&x`&^K>UcTjn&TiG4CFU3*9QRT5VeZZnV)!Fxf30UlR*jmeFp< zgvg_!e2wbD{$kQ>Ggurd#3)cvI*s#0JVPxkb#)7|5mu99Op(V6c|e8i4F*4ZowsNm8DX497+g*s!5; z+6w%q6QOj_XfjN;5^347S+QUZG=X^oT++g3kTv7H)KkfFWlq21Ged~f0O^&gTOiwH z$lJAcED|e)-ruA;SQ*gliNVkiWbusJ4I|v7#|?Ar%DyrKwQKQ7JIJ3az{IWeL3f0 zCGIw=Qf1=0&Et-PT+)xM9;vJlkU0`_f2m}Zm-5DCUhN}>CZuv^j-PU&AyTsl<_CCYyc;D zJ_!b?oXM;=CkbxJKa(uv1{73DHUk(f(8Or9lR|I>$VIXp=0qtkkZQ;0f8n4);loBM zEClKfxqCTWV}+V*GakdTyEfOU%v+KdXzCa?9b2fnniR1~#d(RQL}k=62D<>%vQ8;# z`k@)$ASS!*$#Qeu&=ip)Cxj;9!_y@&9#&zaG#z+=uCwIgk`Z(a@WG&h@*vQ|;Mj%< zA#8r4g^*+saPY7_-rZ?b&%8I#m<@DC|jj83|LxG?n z&Oj|TrRa$x;HvYj1?2uFKY9vat`hKfLA{@RmB9(0%20NVQ2Gdu3d==T1dBsnV z9NthD(j&5{vOb@N0#K_oG^KtX%E?ecBZ_5y_8|dK8!|~Y7bzhymNs~qCh>!39Hl5o zT&fscdL&!PSc8W=QhvU6;T(W8jzA{n_dS5{$-&%30BLs2@eJ&Hh!BuD$uCZ>hrgj< zjP>p>1VDEnj~nFG4)hg-0>~6Oxds_H4V{8!hUgd)HtI}Ck`OeKrMw=j5!tBTZKefV zrT6isB#()bpy~x!I7apGWmoQk<}WPA*6|!FxFzprD3CbRCxt;-SogSYzwt zlPtu~Uwe}|beQ7CA*xPvL*my-gS8`2JI1?M11zXs>n<Jq#vWOE7{!}2VRf8zbl*>DavzcGaV2i#%`e)-7ZD|H8l2*DVE ze6np^1(8ETqpT$*rH&UNP6?ppHLMpx)RV2wS!g!siiyCCaDWVja~z-g+mG8zsy}DHoBheT^FOxq>pP&bI?!mT z8ckJ3pGhvu)_;d`8;lv7MG6H{E-E8@Hk)hWfI@1nvjB7svgsqY9jz(@Ap)=w=&7pe zGW9UHi7PhJ%RttF`e<4vBQsLCxfEo8*hWt#lPfxqH#%fDud_O+F&=mv+(rW zE$f0tamq%`tLL{=KodM1j%GJQwxL?=Aj^)JKLoBLgiHuSb_IGCWUhCBFMkZ0G(1G8 zX-RL7MeFg}f0?-!;O{NqZq=Fm8-PRl@*B|=LN5nN+VVM&CGdVNz2H}@AOn4Bqv(w= zd{Tv!;aG;9UuR<54?DomjUja>o6`;nE=7cb|Z*okq;h~mxGX^qv-^LUn zpXyyPcKv1Ccc@QMqkqP_X5Gl+ByDIS?WstK8U<^D9us^*@QEZj9Tk#{pzD)O@(3#h zpP<>7)X1%oPJXQwdTWakNn1`SN}(rWzQtg$RT2fgC{+fPqE+*_<+~W28#j@U&W+{H z}WCx%U0R5>X^l4t-?r2~I5ZmuIYp_QTU0OOIx9(N=*q18ZN4JvT) z$)5o5U8Of#e>fJf%Pgc4{XjymQJ8s4m~2hAVEkpx)8WImD&S~x5@cgj2XMEeFzMQY zvV!f%o%MIYRp{gBZ58MNp)G-elve<5YSqtefoznbU_F6cJDyx0IR)#uoH$%!$#6oj zvXwK<^o0pNfd_*@s7vPK0Fx%{=zvZFJP3aiFp$$+AZ*;9UX*pMgUWc9fU9#j5S*`Ub%x%&ypJ(q`Y=g90j zQ+(IM!r}}=VNm&q6Szs`4725-z12IXy(7CY0^aq`E;{UAgJm1!wnIVPl4XNXwz&Z)M^QS4vjL4_$Q?k!j1G}D_wU2#q-rgw>_UdG zIc{D8uxDw9>a8Y%&)9i6KA0z~|1ITbqC9FhY0{0XK zlCdj=RXX&6ib^=PHC2^#mi!P&Hr)d^>#YXYfg~9)-VCU_;R?cciDFaGEETfDFWL zirNM%IEhDY!<(m|ut7)^C>b3TVrb-)DwBi`A`p84?2DiJoU10m9E_ZiX&C3hv8eoV z9t4}`6X^XZUAm_6I<%{r$Wdlxxt`U_AoZ%O>FCVf$ilAXA z5ckMB+=Y7)#evOK5WF6e;8W%nG(gjQ{B`3w&0gOYQ9m&CK+yTKPL5z_RTO9w(N7)m$B7{8LVc!^_Cyfi zW+CPX;aLdWT*N}qB;ge7xI!-sK`MPLb6mjlm}IvRZ4V0=l;n#+#78x{z%K&g*(?Kz z;LO5ZffMw@nNMd&K|xe=IHJx$fF>#xhp#y?71$vd zLpa$>@5fd@l8-!2%<&JYHWWKJ=ql?DruDm8bC#+da!8@rFryEG6+2`6kI8p>LRR(R&o14&bRIBE zU*9(7-5KYdb}pmUe#A*)(~O6Iwh$XzaFRdRy?iFNOL^?>WqbEAyekX29vgk^ZU@{b zBjIA#_f<<2`I7TSOucmZ@`hdAzh(sA{ur@O55Lbt!o|)T2Vs^nVC#uW_CfHj3d(d@ zAC&27hZ(Q9tKYt43N$%@Z423V^dZXLha?%Wb?=+c{;p)orF5Hk4L#iNnr#}@#Z6&sYyV=&l_tA4p~<6`UWOU@Y+c$|e?d*aMOhI4CUBiyUtNb9&EgqBxIkt>Swokxl_V0z)F(` zu=Ncz*|d1v+s9rzX6n5+d*mc`!%-d#_xH)Kz}+W%@S#3oO?GFEKeSY-ykv;stA3r= zepP!`$h|u~R~^dTUq}=DVw2ZhH5ptKUBMyj4@X@6VsQ8wFzNReyYT zK1$WXW8a=}-ibGMFVDXb3T9Dub47FN+sl!~oR?QFeRj1fnjb^K*LE+zdFt|#oWGm; z3Ix!B*E09PMf1?&v*`MdFL`O))Z;iWXXS*byzFm0g!K9Qvt9KiaJQwdR<%QnzszlN z@ZDwelEy21;;{2D7M3ny_~4%Sm+e3Pt8Bqy*oHsOd0}AGpy5LW0i%D z*5N|$K`P16cN0#XmwFE;XmR_&y42%pIU_>^Tk*e#@3$vz)3X8IdIb{E3< zMU#q~Zu0bQVD1YYBk8mkzo>lDP&*}95=($PQQ<;J$0F-wxGN+S8A&Fb^-XQ}xT%Lv zZkZdT*c4h4n|a(NVl5QZ9PG(|uFK%NuK-l_J*tCW7BscP;!0J z@jm%`A&G>^N@yK+ZJZ5cfee19`z=HSd+d zC)$Rj<20r)+8Cg}9DB|hme1L+wv6?L;U}{uwE#OdH78a#LzyFQf`a^6@}%JC*sikk zp-G27!Ps{IgVjr7jGH^Nf~{0SSV31W5u7_JixcZrIYAaf?-`ShWU|5%20*SvsWN!? zR&3k?a$Q5jHbDMUVbG6T3V$}1D67*Fwhp}^;P5gyoXPAewHrQ!%oxg=Ece5lVKgsT zADdKQPMqn)W>f-eaCq5I7Rhfk&5MR+OaZjqz7s+y5N=a`{LiUL#VziTv9(k3sNubV zW=ten?jIhPe?GDp-%g(&6Gs&QNI{61OFI@0*T{vHz`??T^AZ!XV^FTb{$Wl*F$Lf6 zC+voXh_B6E-tG>XKUq#-1@nfQIndxr?1t|ZA61ZZPeXqzhgc}`4I*y4DlfTI;dA~z z$L9QfxKH{EQI7qxpJHp}HC#Kq->*0EC7z;h)fa5<%$D`&l;N8>({6L4Mlo42siPMb zm4rTWNRoXaaFjfj^n$l?@Vjz)ilW;Cwr$W{DOyP@2g|ugrJiH? z?LdJ>Wy&MxBGcGlEgr{hxt7ERJ#Of*RqbGpn`><_fcFF_jM1A=gAsZc;51ClrMu}^2dI1rj~DxiwO1~@?ezdqA{a z2tq~Fgo1#2n{-q}c>GX7f2rj4S=lq{g=xO&h?A>qBxz&kjs8hSHE3dx$*At0CMH*j ztch&GOkyL*rBD!$X>5lgFH9QPB&;aQElohT3jragle<1;4WS^o8;C1@0oh6j%Vsh( ziCyHxmGK5zYXG(~1gkRMW^N;i`NCAt#kAdMCZB($6CtM^dUM7l3_7h#L(vvROPgSydHgrph{AY!AgGB$V-vu=*DWY*D}-WPevVDP)@45-c_?^m%3( zs+_RDp?9B8vO@I!LXiBNZHC{0fb2)QC0w$*Hl>8+9%7#TIlaO1TY&tEv)d=-qUaX&R{^n8pRU;dIkH zy{v|2C7M#A#&Ls#CHk0RYIq|0Y4Kq>z2~0`SC!P(6Z&cuTp*hIa(+mtW(rlpoJgo0 z9WqqAsbIY-n!>7_kwB@k)gyIC`R704EJoBem8Gt=x)0K{w*BF>M2RiR#v>#{*@= zBbdu`2Ewg)tFajEg=fy%i1cxisKOcH6#wc4s{KeL9ROLQQo_c86!S5#FDr;ggpJ3$ z6Indeb*%Rep9XIDBw-X6`><(Ey)bNWw-pa{vaT9T0TX2OX?_?9>dhbv%&Nh5p07@0 zRWVX4Yz54Ux(1Rel_olb=t#H*9#Q^|8KL?a)MyE4LPdUlgTuw+gM0NN3?UK1%qL`< zLm}HV2eUcw;OP*WpS+2NcMP&hXuA*4vAR&^2rXb30`bkHG9Ibrgdl|)BS=NkvV@UG z<0BaGMEpE4o6Y&nF=_+1K!F&1Ix>K3+6!XpqZBQ+=xK-op6A?MSaV@*`j&CCj{7KEe0~?BaKMJ=A1{wgN*Oboh_OIEMGa99{ifB9gx;n5V-8; z0=lE{Hi{Np4*w#XjMjVF1Zsmwt){=xFZ2^jG#X;v-wu$BlBpv50&>$LbQ8~_0%^V=ByIe_eFg30&a8sJZJD;l= zeO~8O=RwE8GBzt@Y z7}YonzbdFvDC-a@w>=6oL~#y}htE7kZKFhRydAhcsa-?pByj}tU+DvFgqRv zh~@wP5@GC8RXa?^bnh1g;{0ORpS!NYuG{YcXcqIXjQzQC%wz!B0#|e#7AGFK5tyZ$ z7LGU=X!gfItKHil;q+hy&*mxWE$EB+RjY=M$}=)eGNWo~SK4s_tdTy^GRYYT3j z3!qudb1Tr`Ggn;I^sEjm7oRqD*OYNLel+sUsZX*HmOp08nSBc8(1Bex$IFHiPr-AR zZd&nUppT{C`gNKhnEz$9m+>K9vH8*uy*R zV?%hzE}1;1Q&Um0Z=5C*;H zWQAmN2TA6TaG87Y2nZ=@*n=(gca3~->T;A3PI6IyZcpzO{m9S+LKN~a01p6-yf1I@ znEKr>1^Z+(_vi6Re}89-&jAJhX(8;YU01c)-%_;$k3-!4_+32r3M8@r89CZdh~@y8 zlxWfXhDxDNjR?GJQB@I;mDG`%k0D#simjVpk{_wy*#y^hc#66n^UGM^=qTo7M>O}hb{Q%1{Lpu!2DTh`%-WfeCRQ-Vtq;Lc#$A{Q z(A))1!bk;Sk~O+6>SEsC#w3G%cT4yLV{tL9pErNZ@MthRBW^>JMAqL{OA8cSht(OD z&6+@V%2V)3WxP{hUg;PM9A`aa^76_|bbcsS1><)(hQ#d6+@NKo=GWuPsZeaKjUlpa zctS9S2WOPmS`;^AW1x;#aT-|&rVX+lbR8`3C=Ui=PXwoWWX+YV30~QPtIP?(qMU*) ziraD*LC6IB!GjZ_cRBu2dKSvhBjeU5lqxf(IV>BlLKhsIPhg3MHNm>V0v7UVaC?^f z1a@vkse+r-dWZ`_gMt`&B738aW9l3yfW?pXZWV+)M9GvjHl{aHB;io(&7~bJ;9j%{ zuEHov1jDtLQGV@}7p-4V<*fg0u)Zxd^88qOM8kKHX%qiiv0e@jr{>M4M$c8S(jHm| z<=hHq!QFU^5k8qAf>W`g6fhIodTCd@uxLbfQH72F4f}=j5}OL6RLzI0REhP-xRtXK z=EUNTnV)dv0;dAxvsre|v7hY0!-QnB;mhN8SV3#YZC~NY!alfrgg(5zo}|7#dbTd~ z0Qbi@v|x~t&)qN8sg)Rn;fy<+I6;V`qmPbb9|JWIa$K{?ugDTC=$G*{F+^yXp;m&D z>g^QVsl*?8d$^gl)u~D)yI!FPh$Z-hIUxf7(Z|D4;#WV0uRNL-rvSQqk_@R8uu>iw zeM-$<3o?45d0MHJw#-{F`)-#C4J?`-Z|g8{!l6+QQT&LIfaU*_zscUA51l~+av*Oo&(99IJq=1y6t zw#t!hAfr{v)|Nrkef(Ym+MBiosXR@Q(Of7pIJtA9xJ$WiMVG=3ncG30?#Mg{nOBsp za?3*I8zAq%hVwzMErSre8392Wgm63QbrL3EeV>z5vU&PDrwK@jEZHf~k{eV7tts*^ z5F^K(#|nZBy#qVS3?}nS6E*mYhcVY@yKWq&47n09vI=tF!7~TkXk3^)T4{2n)5HkA zAj^4ZYbF4!6$)-ROhFirF9{U69NgR$!uKe_fVuX#ezGG6k_3n?6qJa;3UY%uLG>LD za3go1w`V1M@*}0-`n;fpz2dojZ%_(CfRR|fo`ta9dON~c zWIH)cs^mH*D+q&->QZDC?9a_YcCg+@Hs=*7lwJ7SoQQqm-Fz$t=|wL-8@xSsrXHH% zaFVubj7qpS=||?}!Fv<1AcVX$cQ{FRZPHCeZ&TgFGonR!1!Al!5IgRI;Xv?(CeMBY zdY1uAdHE1G5znFQnqKahGguR+{U(6#wlH@MJg7ONd6WEfsYVk@JRxV|7K0tfHBaws zv7eA7vk?5{Jcup@7fnhy5?`DgATu{ybtw1$CfWMscU0|QtLjSf(W}t70_EC~gXF{i zkZiZ25|*XtX_ulh)@g*2Eip}~WE%lg=J+Qx$CjYDBsfW~u2pO4w=v0=)g;PfEe0g? ztb&F_&7DRmG)o=iQvQCi&m8240Pz4f2-0Q(i|9{gA# zt+bC24$7WQvyynt$i}PFrT9#=m70Z(Aye2U%z3wvV;bWl6sWQAW_1yYDG9@Zzmdd& zB%wE}O)|!M>jJ0fph0pK&U}XC{;m(F#3G4=OD*j0iw2M<_2jlc}EZP-F`cTYLqE7E-tY;`7b z*XmMTf&ZXj2{o+9(rnH>Aua9|=K}j4NvfdZq4myiwENDGAA&D9gR)2U@{TOyYbC&& z$Jc9+k2!91KLu`cD7VNETs|b}yx3$4Bx#TX3fF80rKPU>AB1<|8Fq+yDsj(2)Y>nS zrVEyAkPu{*8}I=(eaIFosrUqe1;Mji^679sP`NrDcJS(B))*$TjU2pMG5c(4Ae8H@ zU{)dKI4JNvFJgZ($LkC1L4dxT@!6Z$wq1Hs;stNUq4U$B=Bgn9EZ52tD zA+p+X(_oe7d+J!S792!JA_@=YBB*>d!*AJ;petj~)-}}NHO!AUZVZ`~0$$~JPhY{8 z*@x0A7m|G?nhy}Y^dRHlkR=~X?7I@2D{NqEAL;-6#CM~r9r|W~KO5u|GpV1OTg^ph zxl69Y>~b$AhM&Fj98AX^_oHVq`};KBE=Q6V>^cVsr)ri~aq~R)f4rBSqQ)l}3VfH* zYDeR>`o5X#*MV*8&0LS0_R*DnlS-& zy%DmRd(*#bP~;x&sGumm%{&)8=weEDrDz#d+xrF z3DO{pZc_!{a_3p0f{TMzU8G`3!4E6i+<4iao?r#%m&8u_S?ID$onK%aEE`3cD}&#o zM=?&lSRV_+p*O6w@fRH0(%5Rnj=@JJkx^_;$0FQPpli_LVr%S-9nQ}bH#-2xZ8u>8 z07-r)0`d-g!Vr)9Q)Z}R-u#Bx6Z6O78%s=N^XLu##`6wx$%Y*l zxyB65T{L2-+bE|NTo|;(dogEW(S zeYaWYKsgfycl!uKJW@S7^ayqCN=0UvX-Snh3-cvdqarQ%l({A1qa%rE)P|lsQ?Z$n z%|G0K*08?}I%ol=VVqpA=y=_bBXR)ER(&^<`nFW^ZtzjZI3AKUVUOSeV@`Y1n#FfH zWgc+js797J;q-A{Kx+7GVoXa~k-(diRA93Tz-~XR0JN9H-CtdJ$|xe}JfpyY zlhfAv+t6p`-6z-<4$&C<3FBa#w=!Wo*hu329byR*21yDO>otKOHjp`!UkFlUY=i_x zjeSk^bxb2R%7=J76`|t-Td;w}7_ePxtfIs}&uE))1xA4x6=`B5uY!%Fc+Ln?bv_3N zuN82>tFK;6Rx0N=?-q`TlJl6NNjp#A#YgFlT?|$W*QCeP=m2Lbiu%nSAOQgy5!v1_ zt&7inl~CFAw_;q zFXN?Qm7dK+Opn+c;}b)6z{J+UPWN|de7E6vr!;Lg>ph~d@p{gPbIOAY?~7lGLys!m^h@2wEp+NdxB4^AgTcY|fzIFB&ekLM0Js5_gO| zm;=C82nsc*%0G*;yTf5kQj!|bs`Au2e4?>AFXVw+`9!}xb`|#q!09#3h+!egVUlIT z%2c9!$h=_5`T=Ojr%cjf5tgld;=1!|-B!gNVg+kGA@1FfookvMnDd@*J!G>c?h{9j zR$U5kn~65aE=w{Q&2yit(7b_rfiY<)1bsb+4vdzS$q=GkmF4~r$!5tg9=UTlw|q!4 zVH=Q5jlq1S$uU{7X0KO5`Z6uct%M+VN+2IX(A!8j&Ad|JMzA}MHI(uug|52>3s`Yy z$&7gZO{v6P?z|y`c`<0lzXiYEaE9~pC5}_K>AK0>ie@E1q!^fR9IR@_-3i7F)|btK5XP{VficNzMD=uG*r=25rp@HBWQ?@ zc7Zw3fZ6zQ1r9R7LbyQ_>Mdc(ck&gRY6@_LYTaw0z~nUvQ9eNgmTTi^lVqWg4H~!D zb~z5&eh90HP=h~AdvZdMC#?}o^rN>^*J?@$5kkkcM$HqkEeV~Bo5w5J1aiPT;}~8r zMA~a_k)&nVoMa4Xdu?Pn`UxQDHYQDFC3Gw!VM8YkQG#jQVGaDQJRXKW3EFlvqTmLj zx`}xGSv;By&6`gmI##v>(=Y^Ex6G`vc!E6*cVGbJTD7Czj{tH~6FCj!S#pp-2z4QL0es{f7=!S4mH-rhgfw^I=lvq`x$UuLmlI=QX zGv5r@I5gr9k(+bUzw=Om#Ag$F0ILKVJw$eaA<3x`IhU@oocryLVVvvJ4;$dQo8 zu2yz?be;)E!k8Y;QB8_S+^grr4-Ue+~! z#~S6Sa`+K71>B%h92JJH99xQOrjfvX72s(V?Ch`l$r4pB7xA&~2)EjLxj?RcYRF}f z07p)$VphdH7fmO=kD!Jlc(PeCUvq}z#QV?JC_W(Nr0Sfj+>M3q#E%}?g0Dd38GfZ0 zO0NUDv~d?9w_JubID`4HBWq5cu$e&x7S$J|lGKVcbX|}Jx*^H6F}5)c%-R(5lsm4d zw7Hp+zC}>0>b+wxb{GOZ>T#1F>ZrimV~A}qH>^(AN_E@lYg$}lyo5D-RYJDIUOBIv2n@#^Lh(E?kEcz?hI?82>QFC z{>=EyTC=*>uHI+Y8}5x(a?W!_8SFL;w?c?L*095d%&d1&I@_X?(WP0-WvtMss5j=O zX`!$(_eKk;b_yqHk9I*`IGr^qyf<1zu`@KYMzcRQ%EDkJs(#MD5qg8$+^Cg}INP4q z)w0*B-u>LZDIw`Ty&;6H>|t_Y8%A2GUZ_TY1Khxr8o$5F z*P{)(qHEB0qk6xbD?E_O@ozsvecbSCIvdgZDcpxn3}azsUg<;G?EzrsK48(U0f_B? zpd{CSJv{zefbY)o7w#Ld_Y~&dh9!GilM$KlalPN72lw5Y>8jqAuKw_r%)OM^G=6XI z_?~|+*lQURfx`E~w=_!fJ$!^c#;j<|LR`SA%d#fyD~fBMhD zu0fi}BN|;qAs_v9za1xE($bRFAY*zSrAHT~Lqywk8Ta)Tc4?9Z88evMkBwC`<9jF$ z)XM5ESwF}SdD@(vMH!QO0%Vg9y$lDNRO}gMw+(S*VS=UCOzJC59TwX+*1Jqiq6}IV`HBg(LZ-|qr z8FHTQq1GCoXlNAm1C3ee=$-6qE+^Wo%hsC53vFG{KtnLO2=C3GlO7~niwB8mUEl`f zt#;k})aBL6R-w)r;_-Dl$wLXaC{Ymu2;TKD2KiJp-TEP%vWYtoIu%#0Q@!$3kz&Rz6YFA?$DH9q>g; zbfJA6dq;5leiHRk1pbEcVg0PGfySICHB_W*e5<|ywSy>pcw*PUu{)Lh1icX~KJeDA z{R2NBx$9hCK=m}{0%ADRbwrnWR9f?;y}moLv0|6>p@>9aOLvfSSJj_i9I@(g;^qqb}K;`2kJ#J)WubW%i@eDC`=B+97}M z=6hQxqshx&JF<6n^!b*Pq%O_I;rxhjw2olB&VbA8l2p$Mi$GtyWHT~^#OMlck_MC z7+KU#Nt!jif#cU#fPAAh7Xyy2sPCNd&!W%$j6Dq_ivW7)$?JlX)B0re5V!+uf9Trb zK;Os$T?##kjsr#(Wgw?%ZrZBehyh1zg2UWBOwNuhc<57mo`xZWJqBdcg+vNsWPx$W zdEB3Of@j;4d*oj0gxcpOzc;C9TXa)goBfQjKV0_hhHp*)d#E%M?N>WI*kvxPey*5G zGVe#LF|t^Z|C$ z1p*ppC)|6)jrvLB-aoD@aMTuKE~tA1HrMVga?&_Q;Lg=SwS!JPvQQ|y8=vY!+3neX z)0}-Lop;Kb?Nq$!mCWG!Y4@4{-`)Qp9lfvc%}I@GFb?@yW-|S##@AhWT&5J`kO#X? z4!kAuyI<+rNYbyrQ*~+275W?syz;lfnHL71E^ifp% z`f_uu{>|$&Q~w_%VWD&?$|wL_lcR6bAJBr z>Pxn)1o@-Ow>swtGcZ zlg?K+uDW{Ls4E`+^&ZH6`jOr}*K|MlGPwVJ_4R*#y6p z<|UZJNj|pw&d%K+XI5;4?Aajy_nY0*1l!?j8s7IY3W+Y2`HFx@O7ObpQHJpz1>Qohz?c z^(4rTJ$)DOXS?qO_xAzsx^2Z3tCoYj`l*!wLB6=d-u|;nIgM+I#I^ zRz1D9v-{%iW4lLo{`{R)<6eLCivNZX7{9pl#}M-8l^{RDLPo$f$L7`{#EAG&R6gMdC%*aJr4su_nBYMUD5gRHM>vBJc8W$88CP+f4K95 zYWCm*v!{G?67urZe_u2E*_BuP?17J9{8Ip$o&D;G;C^N2n6W^(N#%(K)zU!T(y{+Q0)XaB!J(^g(~ z#?Frcq>S?Y>TC}>_UapA@P2yd*REj<_-6u7x~NG@Ltl-(b#x?t<0mn}wY4>xzeXU>mSb2(YJb0mI_MwVv_1f%T! zW8B>THI&^W|09FYMGW$3Cq0l){`nNx<!7y$;y7MlzwrV`R8B;3kX7*T(=bdULx& zwL_SEtZAMdG@9*M;3jQH5z7XdHVi4^v}V-AMx>Gs$R&pB`j3ePv3*y*Jp&tn8aF#y zT(lVrSoRPld!~p5Ymy+>&SxRzu}Q5#V=cHF@{IO&Epa+z7vBuqLW2b1$NJ zgp<6PVju^0oE(e9=3AU(S~mdx5{?Zi(*1Moo!~Yg8$gDJdtnpwM3Oh#A1W&yEirL~ z;hrm*Y(ei@Xu`(h+Heg;W^=IHA%2!SR2Qsi^^OE5r27o3*v#|+f&`H|gMSm4{HJYItw-`wsRumbMAf#ki zG7KRlM)R-=C}=9ZrI?kMJXSLiDb1Q$wq>-(XGj(@-QzZVP#@g4wbim6$?eJ}WY0H}@dM=k45maDQ;}y2yVdqxDFjeHb4d>>jDP=Pt4s4n4fxGFn4~QRSa_FbU@;@wg&+7 zBMc{>;h-QyH>Ye)Ni$(aLwadb`|-j|DKeItQdq>$z#RoJlF(?dJDhAFXSuR@DP!M7 z0jMR+TZC&rvKf_&W4i{Nk@L677*gO?j@3ZM#+C;hJ2Z+Ur4w3*4;NLEdcBKz zMAHY1SP8c?*)eAfC1794a-c?G8o`ONs{lMFG`%F1AOlcyu55J#_LmScUcf#{wcF*U zf^34`a8-(vY{Xu!$cDTyj0XxOa;M3;M-0^)jD*BQ=FoXqlxSWzTDO}LrT}`FX=A81 z0JwV1z``PMCm5g3GRh>Ny+*s5bcRI*WG9xet7HNS`>@t1k;rK1eI=4a@hO7`qm+_t z4rlR|`2de9l1^JGMk!6qEy*i`j8YIY|Sj_=O6P>uzi6Uwo91!?=~+IRy_0 z=|={9@jplsDz%&9q!YZ_0p3%XcKBDj&%jmDRtGc^E?f*8S)rqD*U5@%?W9L_DL4}l%Z6AXg=~dD zcpNb#nQ$x=MEa8OC`(=79D{nj*|5uT>gQJsG(FBflTd^3+7-yq+a`+W0;(D)JLrlc z4T)wPY`Q4~nbNP4fJufjmH%o*JyrKEutbsjg}>&{c*H1TvMP0buEnu zqvE5D>p=FQnSy&!x5gWS`ub5sfXJlbS_K<|J9#=WV0p}Nz`E!Fj{_tpWr0Jk#=oevChb>ge{lX#|wmU zR5gnYk9+=}1nw3MvQbpEC89Q3G_N8#ilSj@EC6)pL&38R8VJ2D!%i+W z2Ml(N1>wpUmmnKvEQv>ej7!N1$W9t9l@l*M8H1)DE{Vec_p0P?Oy5upGpO<{Gg$AR z)x13(r6)8P9}KO>Lu}TJ4qAbcOVTmjN?(<<1Cv7R*k-t@9BMxez9bAt6oyBUX-_g|$sKq7Sm zE!?Gm2NURNCbsa8)bF3a7XPUvH5- zfdO*07lwaLm}OQ=nY07bOI$;i**f}a3(!LfnP~lyTcyRWPGzy^0tjgb5~+?PIn!83 z74iBw2Kmz+}%Xk-Q~g^=T#x0|I90`3XFQ8_<- zv-e0rQ*@lzyp$>s^=##mL=5Eq4BYyUH;S6LJ{2 zKX*SNSp;8Li86TIC0ska&pj{h9WjY@&US#AA{FsP@stP}b3Gi3+ zvr*rg5mdFVhNo^qD9?h+a0ORQ3AOWXq?l$UVr;i#g`X6#E8D%F=(4o#xvzR+nb&4);k z&2`14P7^9S?u{l4s?p?h!@uu7Rdk}qB z_NaTnvH7AIgRmmy!4$Qr!Hm|SaB~&Dcm5^Zi*_ob=oh=~$Q?M^p*Rn#xN{waPuzBx zQ&9ZYj}0De{SAd23Pq`djn}P~DzwPap(#shVmfC-q8UCx9q8Jj@4pA~%>1`PQ3u=! zx&_6~MK-jiEDYJA)-gH@DM(rFH=}lC)cS?lmH=6ly2ArV5>}wqj?RMd&LE`;7oR*- zv(RLGt4r4KW{^uU#)(iPa}$rBP)-J}gWd+XoAp-C1_)&<@q^qO$s-~-RxbtjFECKz z2OHOHd?=;CRTD6*A}6-iB8D@Ln*~6pl^84V2vqw81{~}fJlFsQ*Qy%xM)Sxb6b+HS zz`2(_*n}*uoDf8*x)Mp~^h82AmW2!xmfvV{8tSA@X-2}Gj&UC}g8~pzIiQ}4{gODO zxi)bvr?LU#x4=tLl1ncl}Uenb(Ee148H~K|F4fh1BRn z8We;bRwbFEq-jM^2ST==gGi83#^41z2X0i2#69Q+Brtvn8>Gz!2$O1+pl=f|u zreI^SO8A6&`Q6J>T%wXdm&>wkBIC}@Qh$p}>babZKA1z~TjL-zNj+4Q;V3#?Wgw?g zkfPr`Qk>IKJcf}Z@D3)CkEIK4kfVaYNf9RN9(=3mS4xav#*j!#M+ct9+dJU4K|zvE zB@;4;g3f~ai3oUn22JuVX`LqmxE-8QK!=t?V4j_yl4Avg2++YznqU~6hu#nZGWH{YLJhkjf(}a1OW~5pIz|KxxXub#oW2an*U_L6H)QM6izI4i zbXh9^I$_0UQ8ozJkcGDe^u}m|91Bcv45bvvPL^^9>PMME3J`+;ZtzP=4`j%#R7e7Q zL&i2L$RvVOKpmuq0vU=V;{zd>y3r@JGh~P)8Pe9W5gDbNLy{mAlEg{K4JycSq>Q&Q z;6!3WpleJ}w8OoRk$}S@LJ@)h0&l9U!>$p(GN)Y974>LnnEfqG6PFRl7c0jzS;wkv z;H@lPQ3=5qHTiTY{b=09V0J62r3})Y!-POL3Hg=PF~v<)7mo9-jP=#9yu`6Buu=Hc z<9l_9azg?ohk6)_;t=B`P@n+O%jFtuF(ZmizBL8z2y#lr$3;L8^w}-01(_^Fz)Nez zAdw6jE{a6R)8^d`sHbL{d52Q;RDuK@9nG@?Yf>CP_u3L}EZkziNw?e#^4EZHibXRB zR}|!4LQgetxXA8O^w2kz_*L2QkXg5Dw7Bw1o5kZ?;K zi)C1b>0QEIl4Q{*rCEHf$%d5$Ay~_0WGhR^0E30zC9(^7iQE~cpaGg4g?kioY0ev; zeEWftoPUXm&-Vet&;PIw+&}pmTy^7&PXUk^Si-%) zDLC_Pt#{ShU4&CyQU*!3!OaEvBL>nr(3^w+G`O5V2{w5P?jiDPf$`TGA@^=t@Y=Ko zjDr72+_Q{qBsq%l*J!Aj-yuVvn|65grRY)7JPB(x2e{E= za@+K|X;yPf&CRuJkSAuc_<}aai)1%QlGYyOnU+xY_)#=D1s@t0P)Oxq?#AY!3IZb* zBWE&wl$gS+S3$lTP3(lhK&>SocvQ*4PvOw^q@0!V+ zssKH8F0L5vZ=Iz7BM+{cFwFQmB9EvyzJaf_hxj|nLw_e*kPY*4o+M2=IDNie${pmT zF^7bN<{-H`K|&_bm(WJhWI|<82!MTua0Blb z{xID1ZFBQ5`cPDD9zoH}T-gxMgscaC?R#9vi8^>s^L%+ za~A{=#RyY$Nb=+8=1~xOTe2BURwXeb9~%CV0& zgQAN>AW0Xta$$3k<@V>yC4%UAMA`fW56NNTr(Va%(0jxX2G_%pgDF%RkJ9hG6BhkJ z*A9}Q#_3=TRqj!NI5S7b=cHsq7Arb&^>pj!94msRsp zqLoGyV`ag3bXCZl4#Qm_hYEHuuKisN*+cvdO%x>wGK3Ve0f59I8A7yUJ20dLCNGv_ zDb0q`Bw;+7&z!VES4{}4#4AsMt42|<#2g6e(%iX1IbktMG9ZVtjR#H6n`|($wX1YC zw0a!5(|IFPwXum4Firh0nfVrwZl*JxmyUCKXCi7RtnV+$%A{Q8WhNd}t6^)MnB9)*2D0Tc>HzZ#J#PwfKBLP?qqee8sW4bV`zR2m6G$31yeL^Cgar-k~{+Wdd%B%0U9tDH~Uz$Z;OEM{7PtgQr)Wst>3^LNpM z5M`1iD@%N0s}i4_g!=?Do0WJi1uef+SoY?8_#3ZJNLR()pA}Yq5~^Rc+q5YgV>hAS z*3B#*J%zlZvM8Eo`3+RQZ|9ik7VY22^0vsrIamqr^z_NLU~RRi!C4Z}$gCQ9sY1wG zGj-c7rIoj#A6E8`V#3|^D_xtb=@-g5w)@&F#*<}rXQ6_qB_}y<9$N5p4yC|egCy;t zu7dWMn|E#BWvyg97zY)$!R2HGSH0`p?C}dvaAZL`Q=l>s1{odoJf(K7y zI=>E0Fc2Y2%7j7z^MJx(DS9!CW+ZTp#1SYKT;Zr-j3SUsG3Xi{r(o1U2K)EU?(eqg z+TmhMn+^uC#RTpNgM8k4Od%Rq^t;nG?mBhG)0qEX@G`9}PO!8q>V`wGYIxTo`C6^u zrWN;JftAQ_4FE*<6_cF532UN1ylCSEKsdc%{p8S`OZF_^c)`QVHiGkMm}HV%bpK_v zRQkg!1L)W-SG;`bwV&9t2(m-)-N~VXNKPlY^WmKKNvBS-3X|ml!YSk%+1VjLvqUb@ z1Xe_TrNMEgYRZ8A-h&Fbt%wq7KE&&P-xtIC6O z?TU_DZ=CTulKcfQVl!`E`rxEDSNvw@qbL;57)a+gn`bO|am7|F@ZSgS+MUn*khmAT z{00fxh=uG)2xY@TB16b^d#0Hz#$xk$j&l%#i2TbvEA|0_VB-^kl~3GJwP4SL84F(h z`~V5kEA0cTK+FC)t^ic;h^~5Y!O~e_k|kCKr3!+=Y`7FcD0irQYXynB;HnX27ln-E z?}CuH2OkB!Asa#pNgCOs zS_$sq#s^kn-T|&61rynMIZ?%Sd;P|-rLH1v;BU;&hgM?HTQI(2Rm_l`#?=!uuXzjz zzIZzYKEd1kWR8Tt_aGZuAq1Ml@PdrCaQ>@XXKkcaz3TX}SH?D&Mhq|jBfam8}H8kOU|&#;jF>a4$p zU5@X=Qt71vdT;Fa>#y#!)}?xYRR9mVj@%SqGUcTH9xu}W6}+PPU94j)$Fn(0mpMsZ z_g#O=#yD__eFeFZDtGBp!85s3+&@F~wFi*5VL9QR-{;l!0hLgr1IKixRn1A)_50oj zSFKyk)^wInG_IO5>?VQUO9tIi+OdDrNb3y?f&&Q3iMi9r%0b+JUb4xw8(uh}k%iE` z9z|R9m=H{tN$6um+d*V~qRH*Lw~y%~bfi2zq1%P-ol6rr5Q4FVaL}hj&r3;kX!UhQ zwg8!N^vLjX3CQT$nU$3UU1&OL78f{l(BX8Xn9SA&4{l6e2i!!J z0vnQz0B!`$Ed(7(Mf#MGafToiZME#8@j`1Ns253Z7-7MDFuLV}+0=UlkplHznGi-H zP0^cRz@d-90NETH(i_qQ4%4^}q#>HfR;_pFD!K+qQa4r6VLNS%f{xIaDtOr*MAj^d zw(WEg?jpKe;M|xasjIBerzV1+4O2bX1e%nRDJ~$>q3MqsO#*T`$UTB0i7bqjM!`_F zL|loGkPPamL10FNA*pr>Yqi8!!mW42RGx0?a@i?(6%KFtBUA8n zL*2(jtlWlc#X~;(XV8$gbi`SLTRqSfB|}6hBhBLm959I=E-z=3C7X{U8NnunRgJsa z@$pI?0?P600Z|y+aWp8%L1#G8g&`;r7RysK$$YPbwoY9;P(5`#eFv)1T8DQjf{M;L zx{z3aG4nAu>HOGB=q9dJBeQl! zbt_&xm>o+383k8N(dNVmF`meb-gf{u;8k!1faE)MlDhII`O43=`I@_^iEf>U8<4l1 zFdRa_NsqW8`y>*gTUFA;)bh$-q`3{*a%E0Xl-2}7^dtYkN<+?(Y(GY_Lrp3mgsUfr zjLr?X9hjqC1won{F9*z?n#>#WD)dV_V^z?^=-mWOoJ9}dzW)C7-?K(>MR>)*IK*%%{D_;kr@ z*7WxiB7-Rq`t69^be+X7z#Pkf?}kE3J&0U4<^=vW#&<%l<_@zVAX7os#uK;B%P`3z z`H#tlg6u$uyX(8Ub`ZL@QnVeV_AZi9*%F_r9gE~b^Fna}88vwcS%l;yRqTSXrXH$j zEh2NYQN+xIqyt5>JJb{tSrOT_q&Xt)7-J5-(2k}U8>Z+}B#;?C$3xw2vHE4yo+$81 zB7<9Rh2ARK>IS+MWTYb~8J!BrMj#=Yi~~iq0vVBlI6y|+5Hdt5g*cD}gy578h)K~Q zY71;}8G_JTMO*!z^6P=k@UMwiMiJOt7x60rbNf zKOQNR&-0j@_ojEl8C?L*RLBO24}K&rv{MPzC|M6&u6qYXb6RnwB2yZ9J+_XZO)cYj zkEteh604>-LFJSyiVJ*Vx&woJLUE>(I8Pr6#`uUH#Ek)Q2o@a;cC04T=3OGQk5-(} z$U>`fq8o_|6f975`!JTFI}&fUXE1nEC|H8#gk4U3{|r1N^n(l#O_1O=eOn0+1w{d!BR+y4nWiejSfwaL4>{qLt?m>I1u4U z>0XweKqE$#fn!7bpqNsw(qmFD42tWcf)S`crKS`%D%4#UIVp@4+!R3KQnWFF-uS0~ z5~l(h&WpUpbt+q`DENg|si*X+@M^PHgHKQ7Oce4`7B2(w=mAOv0YgT8Bo*wyr{@W> z%r3J9s8Lw!*b46=;e=UIWb}Plk1N_69b5s^sQB<&+~uy_t>6rgL?hFr^ex75qOS!_ zhcIuXK0~!n*;@!MPum!*1S;V|{L8RN;ox{e8Koa4b37OPd3ZJ-*0qC~V5KQp%m9b; zuETLfpBoJ+R8N8)mk@A1c%U#bJP1@3Gvfd!-M1do$Rlbp|K+DgQV`g-AYT;dP0+D= z=Gu@ysmc2u1sJRWKE0wu_C7KvoLwd%vmONUE3j5e&Dk=@Cxg*biF+qxL+8-=d7%ft zxoG1q;$A!Bx50&*42iibiB8BwEn za4317F@FBbKhr{tIg98yj)A2OWnVM`vleTP2Z07=e@B* z0}=DDIV94P^WC4`j2J2Q*aKgk@AwFG^8=OVG2a~mepVU@< z9SU|Ab7w<|f~8hw7T-*>E2Vh}AsYfTyRT`z^~A{VB$q>Dt_@bmV6+5TJl&Vqk) zZrZ!AeW~bPkA);K{UgmBlAahTX4;6x(`O?<*`2erhERL~w+Bs3863eUrP*+75B@2* z-L)t7%;E$(G^IV)A(x>G#ql>d4Cz;eFPx7chI)OHr3PPqlAiI+>+YE-MwvdKG`+S^ zX7EZ&z|~Xa9->1r;>3=0x71|`ThNihzzIPUhsxp7PqW6IhM1kq(2QrK{ zLV{D8!YBl#JHI%^0d=W|W(H9eZKw-QW4@AXAeS0XmJmm=PfHlK5rZj1P6tPpo*C>U znl`{Q2iio~J(RP8dh!%9P?~2kUEonay&Mn2EZ!E)xR-OTEH$}l`#0>y^ju}zJ(y%j zMKKk`MJw|}8b>T|Z4hi4E5o`Ac%Rj(&*P1KsdKFqe+1*)Q&?mcJq6=ZJ9rz$%ofLo z9*nh;5_rfF1VL*DAPo8vJBTanQaBRKjz{PqrAu)k?tk-uEPp?6Is20PTn0f=b?k=h+sp&W5?TbMMOsYdoCP|RHO1Pjw)d$VQS~Bp2;_%*YNkS%MZjXoie}aMhxb6%6$z zK~td#=D8P7)!f?nTrcSzCg}{;1hekot_mFsy-T=}r15uXPAFs$IrMjl2aT&nlcX}jS7l=Ubu$&mO?$$aP zZ&1{LMLNh}AMBLb zhe-~O9Pv!IA;lOZsVG?vv>6IRqBYHINQ>b?6-Xn+oAz9_=WUPVuG3cw!X>F2bV|-z!oRv)S!35-Z2* zQz;?%2aTFOv)bCLV=(+e8?%&FZKSr>mUyrts;Gx``O-4VN89O872}&TR868zouNDFRX) zDe$1#AdQMmh)HWC{y#tM4^LC=@cMBl><;XBd>Wg#Yg&8FD&sPPvYTfES;jsM(5nGHZsx%UfwH@UO}`^Q5C_QJ83RXV4ICN! zH%~tJ`_BV>cjhi2XJrQ-imW&s+5ZU3KRIUC>%h9)`Xn2OvVmWmF|a@KcGrUk0iee; zM(2|Q1LXtXCLzxs8TXp|;C`U=b9fIJsLMmxH85JPK+u`@^a;J};Ys$qH?@-V$eAsbhn zUvyQePqdKX*`Sj&%^eIFU|{kBM|0q#wySwt!zmb0) zsM_z-o*no5FU>rZA2Tn7JMZkRY(;D2jYq~@|D?U^4>R`xH(kB&AZmvj4yPgm z&yCZyL*+Ahh<^(ho%{$D*&ZQ-AQ{1iB)<~{Pt2U5+@}Q&tG(D^*St@%`od@lh2c~*e1jc|#%Xj99@dUMd#E{2jqLBA zYR8U}JLeA-Qlj@y=jpsm6+QTC;@-9Don~=~>~~&HibBTZ1D{dt@T_rRgldON#(f;9 z+D$W`$)EZ3vTq+|)&R)t+2`X2pB%Tp@67db=1=7VUtj~@&Zil_^yP8mmPHPnHS_8G z%x%j6%{{R7qPKe@hc}J;{r)l8_>5KT`ao7 zx_&^s=V}KtlJr*}Z{NZ2m+O&5oJJN*k1QPBV~psL#c`6~ew?@u68Eld5^~-<5R%tP zK3h!k+^(V~7Zu5=64^f(nauvQHX7LN6CishYBf4@~87C8_xZM_FxSOd4afhsdt*iC9>anIVlPm zmp9FqM%ks>0V50a{y#nN#c|^>vWRVcBmaSCvM()Qv)@L4e%7;Jwhv|>JorC%og!!6 za(Q1}_U(J+$1t*ZdE6=4aR-~XKHa$WKvwNyXRrD+Kq5ao@ZgdCWA@vVefH7k^Zf1d z?3obK`0XE#TQOv0@#!P`#(XJq+9!W;8naG4v<}%xVT_DClBxVSpSjUa2?RrgXV(?I}*>c4l-+A-7J^$y+cN|=~@s&S(`PqH9fA5vIPu+6G ze|~T86?;~`e#c)D_ZI{1ufPAtn~yvPgws2IR}k`Z{BkGU*G*xaDVx<-$C|US3djpZJUoAxaO_vpWnRinY}B&yld;nH@|YI zh0OWgTiVJa096G>EQ~j(udkBv&u-rP^xnyzd;Y^8-wZ_AXMgzkclI8-S{wf}aPKOG z)73%eHvUdDu|LcoiyW+}+0S5b#FFyw`Si0zy zC)VHp*Vq5x3Zw+R&wKvtl^sV`USW)1He)RCXhDAaj*Tlfe)iCL&%UyL^Ns`8Y`Nn3 z@9e!|+hx!MIPk(P^$KFGq`^1Cm@7{aplUptw*!;?K zORt4ONOB;6r6t*K1e*NqZ+^4#%g_FH{pP&`*KE0D*EP@TB=3f%hgLrO!1}+s|Iqb^ zs$kCZdp>Pkb?Ca%0#Pvjzjc4?cU{ z%XjU4b@}BnkRN*yvhKe0p__o43+^L_t~*pcaB!fxtKqHpe|_AYd+#~z@R>Jkes!Rb zY1ams7Mk-JX!6*LZ$hC<`+l?a+NV$JuHJj-?^e!*zaM*XU?_K~-ek_1cdXlc=nHRM zapmT{|MB8X_!|cW;|o?kH!^t??ms12m#Vjx-SD{qg#8+YRJ0L#XS+>1Lh}@vVQ8Ls z!)G{o&S>1pM7*s!BPFwYJqDoo+P+w%O(xu`YAnftWcDei5Q!rg(#ar zHqdLg^|aJy5>0LJ2~c*8PYBdjEE(#P@dh^++;*GCy_=8WK{&QIbS%tE*q!9jz=Ms@ z1VRAS8}sd10K~=fGQ`5l+BPq3btR%5c6md~I@hgj>sgX!tL?T{(mU2;bASfJ_%?&{!s&M1m`PHS zV*wSbAS8gL&CEUD@<~DX1hPdYf+QnMw!#kOaSo7R-;SoXk9V{7Z9PSsW6QvPTf2 zi>7+?`=)kK1g?W6FtDly*5~$7%yI798gr+VtZ{RPlSk%`5X?{i+Rj`T;NL9Yi>C>T z&5L{hU{XLK&CP3cvj7+ZINw|jRJdI7v9lOcy^h9xf+mvi=~%9;9O!DD0Mtq~yMC`z z*77O9Jpuj|cvW;YAcU-rX-@tZ^!+c08lHzI?8ZwS(Sb(j4!X~WaJG!@*s&j~}G0h%xedT}9X-rrW0J(*Z#g_!d>K( z%e#FKAN+v~D}ioxIXnom1a51@>ssu_;yx$iSqFjGTJu#+l-JK@O>x%SWhGNU%S63r zouXKDQ&%fqZ|sOBFG)@XcvO;Y$E$%}Q~+7!E`Y(Z#tqG`s&iysx@$y)FKL`k9?Z>u@CYu$o@9O4e0Ftz-jCo5Q&#ncm)x?is*$Kz{AVA)yKd~GWh>h+V zrXpPs!n>_F9#u|&$b|sC0-#n7HZ!*7TTEu4VBu~6K3En70-4tGNsyU<5STNie3pBO zdkU80ab{_pt+5;E|9k0rZ=8%a>kJMz$WQ#-JC8068eR3PEk zTF&%SUlGHzU(qw=F`_djF=`i#ZC{3$d{obE?BuAU7*>VAYpy*Hu_d^UgG-rrjp8)x zSKG~66>rVsE6k++LfNXF2Qu3LSr%t`W}CNvT@iP?y4nNB%x!;Z)jd-@FxsZs*zIzsRu0%Us(4A;xj;skdv z(M%A9J&EIj9@*Pgw|Ov6LP=3B@?BOr)R*wLev3)qM5S@I5TZH+r`lH$tHsEW48B2D zwe@%@*ilU&3xRiw!Gb7oAmGHOJxRoLie!yK3FcNQVN;@yX{wdR4!)w-(60NFG2|SlE_y6J&>)MjOLo=$f(NT7(5{**TrO=dMcWmyUPRF%B$bQbFvxvaOqt;FTc6>C^q4dD!y zQH=)7(oT>S`ow5eS>tpIH8C}x6+X~YH#8=1rON-esQ&;v{{nC{S&oUexfK9DjUX+{ zxwQr_;alx(sHeIbQ}?m<97Auw0sdh+v+$J892d^4idEzcZDmc@g_%aQ32Cy!uJjwa z<{IPw9b|$@g&jV6HSxz>EGbswfV(?zRe)KlU9~OKm@OfrIwvx2QL<7ymdru%vfvYz zM;(`+Mjp)G$9kYi%3}Sj`~|daJ4kPWifLRkFL6b$ZiJ-Sxi1T+j$Z`S*3ka(NQRD0ON$eJJD+dw_n-G>zm-J zeporldI*m6R>6?~-$g83RhPl7pIy}eSP|Vxn9|jZeqayk6NjZbua+V{iwYaifOR{W zd0~6LGSBe2L^c%%iZt#S$`(dRn%wCIENu z>e2#uHguH$h$^|Ni!fr@6nwHowF7QO&0XrjEQ*e&?=m>{vB0rqkj1nISD|l31+5az zu4DjkMQe!KfhvvqUxPbJWqWR=%~=jAC}#6=Xkz*2Kobaot8fd1R=VU9R2r0*_cXg} z><-@b*BDUSH1ug^+tF9U&FI+C)?|4SNm9!*3Aio5)Pjs^K$jCJh%qL}*(kW<7^{WF z2XML|;~d=O0XY>4G2}+N`G}3k^#rGj!k5P|z@Dh8u?oG1}O&*%;HktFog<^UkKBj>P* z?Koqo9g-ao(gnS-1Pnlffms7`7iAi*Zq&s`8*i*kY}8~}xq%d1oC5hAj2bogF3iEb zRKgu38Tw?9@kLjG9D0zFEaCoR$fT$I2tTor1s~KAk%P|Y#4e>s_7D*Os4P%ibXydHfWYf-x~BLY1ZdSjqsEBA&3-Oc+>+a00wKTOhgnkLfbWgu8#!AwA1of zZGl4E2#`?-;j$vOtOR#TNQDF_rKW>Jrx*@s3@A-8%3^>*r6ttdFcYhx zkOpBu4JVMi!a4nzYonvC1m1)eQRv+*Dxsd$?)IUFCL1yQ01pTcaU9x0p+Bpo;d4yK zn1*;qdsX0wu~ymyTG64c&uSTp53(;0N-KUL3uWh!E>!UZTx5?cxw;g$$9 zG;CFm@t>n3^0Vlb`a1Qc&tbXo7lE?-IFbZ<>b{~m z0Gi!{RZbqbn?O~8aJu8v$M>cc}&W8K$s>2d8Z)t4XPX}2%@6Ck|JP>ZO;+${=?})a1TS zkZ=7V!9~wl_-U;-@P3hGuhx6b;3S8cv*#xG#6UVfw`B_n2{P@t3)?$$)rmQFMNilL zx^~FWXR;k0eG)nvY-b;RoH`r7TnT#W(Yc$)S7mZ_f$S;%t*2J@b&hvrb91t6Id1_B zE0WClV-uD4VO^ATbD}Gg6VCQ+HT|nR51>TzH{$DA2e_iF)g$fz^7gEa>!+QQsY+DM z$wga|+_P7CXQ*3OU$XHl&B-|$Beu?2lB%&gS|k3N#Kq8ihJ){TGs`z-Af#_Cl59^* z=sUfeFk-8)AbbWetbR0?Q=O|%0rzymkoa`C4+^Tzb}}AhzyT}|ux)#3c^W8aft*Or zL0&G&)%b1jx1X5bcO!AHPG*+YT$Wi{*Qat`&-%nze6gI&{8jTLXL(HvWIuKb$zFYN z-`((@_DNj`F$~#JEc0$qjiEPI+zDOfmwJ%MHCRbye9=|V1gp-5+|WB&@b}0npN}WS~EVAtCKQUx5jU1UDN5>9qow)P9jm| zd>Vriucl}1lClnDnxbj%X67u}4cuI;V|)vizPZtq?yGL<=R zNoMy4?X{cgd}Up%Fe^T%*>&MTQ|yVmxp+$j$ix0pZg;MB*O8TtQ)walBDYEM7{(*n z&{e}6>mBVbJ|S-RNAVLHS&Y;%8nweBgBXD|zL{b+PYCq1qE;8i8ae9HS>m2M+WpM?E)I28Jm}=`uC(xtB6h8H! z(4odF0)kD3Xa%ZDFfLg%LsQn!zsF+pI!MZe!curHf;e>Sa1r{2DUeOi9S1rn$jh5U zp{1K+Y`Nwp%_#^7)_WCnfa`TX6l8?F-VWR7UhNPn41QVV@gTwK7 z2g87flR*SJz?ws}N3goRh_iX;H>#L@db>iTxRZRzVaX_0O0qQqDPp=6J?2_TF^V<_&`<~aX41hkE+D(nsfQyg^^84FgGZ}~ zy}3f_tHKTMb_Wqc-Z1{Cd!ZD?H)-eEB8BHENmp=jCy1DzVkgHOtBErq@D=3;=mC$* z8t<_h?KTVw1iUvFE^d?AZm8C6K~)XW7?#SpmMn6@eea?0p|QGlkPI~r?io}qA$lrR zizAS!k{f|M3b!T;0iapM)Q!#GWfrR3rpONNXjeW9WZGbY>D7!7`%=YCyqMdW! zZ*%}YQqUyX>a{X7`5iXvM@?*1CnjdH%E^-^yo|NacPjnnRp@OHU@IxuW;J+~w>au4 zKVd5(x3GE6a!>Lpy?&IjC(tHfT5o#hNrHFfl2J`8$oL7|O0;0kj`U`Hs895&9wQmQ zgfw9gqDaUZSP4yq19^gF zHHMhHAbWlrL*<>Qgz*~k)@k+f@JWCBgbJmq&I0bkxtaZH6=W|fmJ@<6o<29r01Z%W0{&KhXH_MN&n*j|lFsF?MbgZBL3Q{V zF52YfAak$)PbCx0GaO97NC@f9)%8QRuP&M3Aju3c_|OR+shnh>pz&b6-RYjfke6x6 zRAya?Mdpzjdma}s0R&Hfm5WjYO^{N$)T9Z)1w zsufE-DYr3uR@(8{_-ND-yvepiE$hm%a#=p>1!1uZp$YyfCs`3fA?>PXbJ861mC7fJw@q<`aJB0u_3nqniUUhA9-(KOiU-=W2TIf99fN}8l}fu4GLB_Ybj zxE=cm`Z3^EareSj-zW&#HWPvIk7RMjOVXPk%_jyuwW6{a+!k@OG?mFpoo;y&mo5+hE_bG|WR`CC#2If|^b;O`WwCvBx0A>(F2!k`DLv<0=-d>*|@=30!1O?&iM_)>mO(vD_HC-WnIZ`9_n^eYH!^dZ;3LX6S%yT9 z7JAD}fe|+%asc;k>s40w;_3=;E9yNaB++?S&zuIvf5Vzow{j%+CFIF^^gbb5a@O4_ zA;qPhw`)gHzS7Wy)tU)xCKV*>AcKA3jM792y#LW=+lvZai zf_xqq_vdLv@23m0mj~FNK*BZT=U%?@%$7aN@4p1Hfmzyvb;~c_0Zm@KrV7i)he)B( zqL9>laAR^(H{-;sJ#z5DQ*De}-9u9!ajj4~yjQBJN8mNevuu^P{izflT1L zlR&<%6nQvwmEopR^~72T`Qe!d_icui{|X_~w2)m-uRw{NKGY|J%z1{AG+AsGvOg-f zPO`Lozw6w_Cq0YGbnQTc8O(~)Y-a%fhQZ?>jew>h!wQ(ZeVyfQ|2n{tHI`4pNXx;} zp8ft5%(^GC46ii-oODvgOS3sS??>?zEjgaWoN)l}+TdW;y~FlupkPSG^AN8*v#rin zyv9b;iDg5xc#)?C}H3z!YSRKIw|%cdS-WdAK6sR(=Kk zkcrD3ui!zyJ>lA4!Mp>xiWDSHF8cfg>$`PzkR*39uW`T96VSWcUk5E_;n~8{8m*x6 z>agf)$_M`J(#FK)SWe%`EQ1hCt>2h%`)|Y~eB(bN8|vcFU8#nv`xIoiubz~749`TC zLkM}$^XyItfvey_;}cBqgA5Y6i#$jQ9@Tgc)AmM4@FWb}y~b4&fM=+M7}+_<#;;H` z_4E%#_4yurjSn9tU{boVJvpb$`Ln4Cvy?s&alw9a78bo zI2hbn=>OGb?MxS&ESV4>qwB_cboaNKMU%{GhnN(nj;X|trJdTO)q+D89bE)b(OAK< zo3d6EPePgm-nXf)@9D|cD~hz(R0&Nmgn#flQxL-gJ^vu z>2PqMBn7h+Q8C$(XXt)Y5PExJ8%1hZZaJ=UaD*ToR$(=B0% z4TtTBdg$n?tH<`TCF zg;3oZa+6#wTjfN5XQD)tqjH)EKgej zQNht%HL^KJ<#zjSquSwhQ+FwTjHk>J0tK~BzQPe?+t`w9Nkp{E3LDmZ zg95!A6*6(->-dJA1X{IbG=;jJ##Z2ms@;+#gk$4S>qISClzUwf#+`OuUt_un-9TBdBJI}Z4Mjzd*P zg3fF8m#STmhaLwABj^ogaHBxDij40=UL{!@c@RA|A(-x(5f6SuOoE#Z!HWc#QrJcC z!|OC~mmwFqK`wItFOqjxzKbIZ${ph$m@6f1Sec?Nz2NR!GO6G=6g(6}E)^a~C^eB? z<#pHMJ41#jeT8w9CFv{(JlvOwJnycJv4(_xnqs3=qGY(NTC^kMEY=Dk9DjKT!EHnO z0GL_l7miTBpyP?4@Gt2AK_@FPyRXj%ZAKuOcr65n5U&g+*cuxKk%pdxwivp?&V>+3 z<%90eB+IUbaea8QaVklPTX#j53POyE$T(}868a7+<}`p$R&r%NCXFeuSXmeg`g z5dGIOZ(1}C& zhMl8QL&(s@5;o&HixOEu#kh}l$+mH=uiDG=F^#gP;B zS*;*vIjT|XgW)KuRY4UTG!&3n2&!;|r=Lg?_CgmfxsA7?un-QSYc&h0b36fqMFe>~ ztp6)Ki|^C5!$Hi_4uxQ)Io&^!e9^`bJ@wy!p1SlRn$xYj`Oy`>(PT{AYW&%2^i=Q8 zNB?8v&Kn42ciMF?KRva8R{Q7*Os$t61T^g=aL4uR@`2y{@ZvDuDd!M=XFp=NH^NL5WfskuM zOZqK5vL!f$#+!qbu9#P1i_17Zj z>A}a{JHHRV;JE@2f&soe{klCXUcGJ)KED9?u1@my^A@}Wzkn0Jjk{0=iG0C&Jehgs z{R@^-rhR_z&PVZtW3w*yzr6QnGv@!8$_Yr?N}cmXEa62D?>Y>3tv}BI5?`tnG&sd8 zdVliFN3^T%9nE;+)|%j9kWrBIJ{otBX@5@cp7|fwwF8aK{7yYIDZr?K^d}zM8~k>U4a1@mmaf zb9Ec$=D5EO!@cPA|%|z#JSqvm;gv4Dlzf9w^liGRwQOz zmX6%KeYs~p&`{&IcPINg{g$TRVuB$(Wy9QUHGMXbSM#Wi2NS0!rbF%qX9-7M*7OmE zTqkC07b6Pfd0s;wvbgU?c#xiOEQXNXl*NF4qj5IS)$k|-NO{vS9icJ}tY3Ko+;Nx` zm%s1M;%vp)#yw>GNlK;q;Uf;UJh52=>Tjnfm zpWd%p!#otb!;AA-aMipM; zy(?W~uNka&oRVyvLgsY;e07CWI~8Bp)O;h8Zs=@Z?)CY^&1L{2amJjhjqxxC4?W0L zq2Agjow+&~5AtdvUv50utIZjaTN^)I$h%E;U;iW5hB|tOM-S*}HW>p$OLw8_X%2uz z(bsiY8n4IUWj(x4sd%G351(LTw6+?2cGZP=kq=9sHDp;_j-M~xIn22Y5lr{QY&JDb z<45M;(_512_Vle~EU!QT8Iv2X<4(iUbOjEOrlRSRv(aC**miK6@nWjjd!)`PdbjEfY?*&*pe3D9 zuvExNat8&ea7xz}1NBasmDOpu^pQk4);PJ0wN<;SRagqL+k0Rk1Mq7-b!$n>Rx4t$ z^3^?VgnHE&Fe!MHMQ!YK&}LwqlGelB9?g>?A-EVn#U?>R8^R@CxZ1|!3dBZ?$3#zM zl-?~LW4z)vRKO_i! zUY;64Hm6$1h%rT?#T8?DWH*Om+K;m7yO@KrFg?SxSlASyJht)W!#1m1`W&5kg@>Fe zsp>iB7+kP6J8NbDY&IpLT?`B0J(MM7q?i;X?I0KdMFmBbj*zD`0{joR79~R|F4!DU zvkuA%1*NxoBZ`N?(HOXDEdM3E)QZuJ&ZS{I|GR`scD$>7hesr}WvzH`Id_I1OMKPe znII6`Nix^qF3I8h%p6y@q7uDvDpjJWiF0@|o$~uVJcU@T+cLC#ahY4RA@ka9pPHfA z1gfB2YHyb0yn8atKBf0w&%R;8Wq!LmiGWX?Tuml(eyzQ(*L$ixaZ_03E+O>P+&}5o zX$+E#C8p1V5NZ%xcH=2Q4hCR@Opc<&p5 zGMt;5A^L^fqzSmcK+PxbCxF~OkgD zMATk|B7eGm^J0Uu+sJ;PAiFGcHO%oKz$A&u@`}vj7=6^Z0sRN9$trhZ$6d&o#p6kn zS2ByZ-_|Qub*?V=Z=CALFX2^)*N_b)zz88Lkvk=ryxJUi(d1>&cPQaT&(2t>g$R2c z*7`EsME=DP*_6c~Z5WySh$ed;KGQM&{yZM>2uFSfjb|@=W04Suigz0 z0;(5suR=C^UKgHl*s(MR8A2>KbGntBlNQN2D^dOk*_g=4$3T;og7H;;+~S$jt5Ddy z+wfgzf9GF=um8raU@k32$>9S=pTUXY>F zBA8A62uYu3R%e*7sUKl0Rt&7Xqqvo9JGH&y_gyeq>Z2(JLm;V z+c75=0gh{ODNOl*C3uW+mo3z6Op)@;tGAh(S6tu|!`e8$&P1vp!;4I|(w?VqS5}v?cpfWASv@vs2~PUqrFfiK zY%WZ5vG^aTM7C5!TR{d}L>m?~C(>3r1|7#CZRRmtHB6q8M9R}9LmQ|=8`8*DnUm?* z7z%48*0{8)MWP<@m~ic>WGFdIX`A#0MM>HZaly-7)qWE`;h?_K@E}355aafkE{C8L z9F!;Y;E)N_q5A;(kl}?R%22r6*h;(SUcSWX1cixM=B%G zokAgU6!BoyY}#SZHi~v+mc{M_6FssN^y(6eU5yE6;$VWhazVHUcaO`vJiC!e2rEO> zSJ7vjGa0weYSlAGFe>qMyCOmM>pcB9g1Fj_n^w9O9guY8^L|Xq_Wr; zB%8GS#;Y1!#}edCyS8Yqgc$mf^YlJ&tgUGz?r1^Vax{k0xIiS4DE;7+Tby!A;-`?A zQebQWyO|n8G$9(Pm1y%6J&nM1-SR4m3%VeZ%aM6deX>3w_u{Ml^=h_uSrEd1AE#TsUt3aa$3`m-mb< zaaZkk`Hse~e)Z#T@bbmp%>QRMblVp%bnjX`>&UkoE4n}aKW)y(_{?A0C;zAY#)tDC zJ(T~iIcA%)(_>Y3&AI)DH22><%Z}VJ4zfRa zm`&;zci7K=IRD|pkH3D1J->C_?>*-)4UBIIer%8{3?ZO#!zzsh_RT z3IZvyTO!M`ggCMqMiRX0kT9a{NM7Q$UpM~9 zepvbOH>Nl<_kU#9LnjYBL{=Vo{76@0*}#=>)yZ*L)mNi^(tg$WSB|i2{>NHzU1aOO zz)CV_q3bUse^+$LSerB3gJTW1zruy_W$++(c1a%;#SD$fLh}b@D^|4%WO*LPj%S%%)ib|8V_R_Tz52^7^O#@>k>kVIcd1 z0cQOR={+uWti0#Qc7X4($&0U+r$rxp6Vqnz#c)aN#A*lFFz&VEHsoBcP&>RB!y+aT zJh^A54d4v~as69d*=*XcVr<%&0hX-jKJ9JQ`Z0F>VLt9Z`R{A;=Pr7jviATRD_X}& zNkTXScdZ@CCnMchU<5+s40KQVy=TjbZ{BeDAp7aAagZH3EK^){^PM&MX%9Sp)<@Vc zzccP_(TdsuNuGmMCD9;gO|+0E;5qO%7jHw5f}CmxSDS{it#A+^p%txlN3Iz^q-%%G zc`!!4?R14D>O-h9jvc6s6z_x=W0oYJM7mEq%vxD~#m7?d-=$uIPd@YbKv%MCU>sa^ z@(uXXYbx2ai2;nEmeX zd0SBp>>n7&|HaJwvqwNa>5bPT1AmygYyTLK|B8Kd>*FZ60|U{Oha+zsKJV)a+|O>! zpE-BiUR@20d)vM#G4u6IqBisD0g<_V(ZQqD4&z>l{O~hp>e^xa*1Y|~n70Sm|7Y)O z0IaI2{r5R@W;ioWaON`Ng=epswbtHepS{ny_pB2(J16|K=r_0z?hM%9f8(2j9F~ErhG!0mx<4ZlZln!&{Yp!{!^i%v)VlJ7M_6@f`m~+0 zgR#Zj9MEW<_^b4(zhC!6hFy06cLX}hnVet>%m>q@_)cI^XLTvP2dU#sCTq?5lg;;T z7a#bT-2OV7v$cGm>_C^Q#bn(4m*1y9aC$oP2z&D5<@BF5ve`ub{?!7c6%j1J>XQL21WYj1ys zJ+!a*^a;k!2}STX-dGQRzeN5n?I2f;Hq(Lt2=->J;g_!Ebi7h_a=!}mBw%vufgwOxZ95T`SEz;%b%smnjHb^qCz4b-js zNL_j&;O7VR9x6Kg;}hTFC0Fc!@1NwqoX(zR*8VF#^u{4`c(ri_8+52+%nfPwxu@pu zV>ms{-(hrM7L5+&V|ilj3zPrC9$ws6^+mPj6&pWtMJFrmU?AakMTQxh`G7;lA^a+Z zpYYcHzK&G5xs|iKxy1%&4#~Q|AQErC(*FZH^}^_q&ma5T;q{}|w%m$2cpbE}_<)}2 zPQ!G0WNeI`iaE5hNL}eX|NVGr`cvmOmwjQ~py$@F{O!`U3)1$Qb-Q1pmBoP3_MmmN zvdCDN0Lw#;(HSq@Pb-Tv4NPfcCtGzlh!3uLV%_uXz}@9lAUP~frj^C4>(U?m>AL#0 z?9JZtkukeraqTxP{ta4Lq%Ky=llD(NN{bod#^m}+#qF;wsHgX_vUspiqn9pKqr*1k zmPk3xc_|HgO@H>k&0Sf@mn!}>joE+wb{IeUq+JiOE3W*@b?J$R(}%D&%bfG8b!ugS z*+2Q@#{JIWUF^}n4mx!qoAuK{&sni(3jUT@gl$qwh;{I{{j6G6p&5Qh9vrk^V9ADe zZVy|*G5f#TNnKrW{r>njI;Nh9m4z`5p%#WNy$&9HWZmwySXmUm(Nr|{H}-#SW0@wb zEK*pT(Q=ShEfcZsTR-Y(Q(%*lF=6G9nll!I8DHsO{fxYIJy- z(aPeYKOUa+$Dh`GjaC-d)&2TsYoGaqRhBtqS@!!6)1Wc%iq|uj%DU5wo@QlpOB-)G zEQTNY#4Pb(X8&7tPg|cm>B|SpGKZP@238gy8%Qe)>x&QV%e+whmihiZ7e_^h6NBEo z@_n6{O%Ax>>5|!lM`s#KH#ZjTCWD5(@F4`B>@OKx8`<5B7OSaSCr0j&+F^2) ztBEg-{w+N?+?J|JuiNKna^0?bRh0@}(CBr|eCa;S`CkHm< zkLW7lk@WA_X;kIS`Tkx4__i=y+-VurQ%SnHmOSXTPBj#)!s{>{Qi$MI?J7v?w$$9( z-$PQ6)>a~_E2LA+;npE;4xcO`Zdgg&HS<)JnwuO;(Q3i{l^ji`4TevO-0l-rFvo+4 zZA%IsMQ7^_S*fbjT{A{-vFZ>Z5~&nqH>;M1bTPL{K@C^CFvE=zxBJXf9=zCK{~96* znwS*q@I06elkr*;?Gx7=lAaO~UX@u^4k5?7<}@9h@mbt`RQZm=kNs4S4pK$YVJi9$ z!fC{?l8WQSR&N*lxPxC^jkeQnSfw!c(iq;X>Q*_~X~Qc=5^+3ePK_=1q-)~N1}3|3 z5MU);(b@$C&m7tY1*3nUU~$9SL2{zfd-71F_ZNL>ZO-J|6inZEOyTh~;^@klP9mTd6dWaZ4HN`Cbh?r*3-s2S1PZzy>`+yP;HAD} zN4VxFlC~J84;Al8@azyK03F9w8tU*&nCPeJ9A3(ZhHiC||8g>(4 z<-vFbJQ%a*D!7Im+g1ckgo;!)vk7o2`CY}G6zEk7o=4GvQ_38~)uJjZsY(ilNKH)K z3hvt{R3-T&WToem$5rbnE0s^;A11wBeh+StI+4{!Ygaen=>dGeamZv- z!gosA0*1Cy_g+nbg8t?;LQ^BZyaOB75SRF_lp=9PRue)A2MI{qN==Js94WC?kLoSe zFxr9^$)N}dpiUg+r&^U0v?Z8pYK_JT%}ct`a$!!2FsWgBZ@im?uAfSVTEtgrp~pP| zD8;=zlR{-%V26ekQ4vc6mPPGqC(p5fLE29eIYAo}e?cN8gf0fEX>~;;5DRG%0{@p~ z$(Cy#`)`>$sF7G*_Py~BK0~<{19UB2wA|r*8;z~gW@Fr5KZ-7h=cJO7m(4z>1#np$ zZIMdi`i@InOyYX;F}fhybS+CIqc7NI^d2?Jk5f|$4S$2A+ekWIy9LtD+;NbOwS(I! zp;3Nv6w+OYZK+6b<%5C(bBBuciW~3>C9N)THSQ>{=MHM{M{r1RH}-c;cpO$rgkVLL zi>b;j>q+wmFj5oLO-XaVu91*KvyBZ#zDNJZPT} zy;F0DyW$?2Qq0wpve_NvDplp9Bz>lu4Im~bIac{(eG{Z7Be1WG-8@+}n!ZjZ0mmyy z@3Og#zH~}?P|Y2(e4;ez(2fmBD-s8=QHqI^SEVK)=~0LVs$e*_L$zf*3Qb0o<@7;> zELcfa#%u8KuWOFx4!4drN9j${=$g7pG+IZBla-CCe=B%IDPZ05jY=AO zbM$s$pTs*!h}+O4xmDU~!WZZb*O7FV2LlB?m^2}CylGaTceqMldf0J}4pG%INzxhT zjetK~<xZd}>^AWBNvCu*BTeL6`UZQBGBsBqgtz)B)+Pgfe`%&D7|?xP3tfRT-VMoFcQf zV-8r6`UK-O@hgctp}6rALcr$GGc|l5q@7d}uxBN~%6MnJ?hHg?&kmYb z4;r{K`3mMW@8f~AGKb>0LYj&eOso#lW}{)09?ZJU)F0GGxq=;VveodH*| z8dw?8W1yPmpAsj3|CkBrZCwSDsYV*or_<~o)BGloY$EP>#R|YPl=0Nj$|}~A2Sp<^ z>55`)hG56vr7FKUDr7uJT1gr{Ay;Xi;A8{03&T}48b&vSt2F#lAdQ1alUx!FYw5OJ zX_q?$t9}xOJD~R{O)?zDmvy)bu7{Ohq$+*A$@pOX0!C`aMl1=+03l5p%lH@p+JjhadIT-Ty5k`j`l4GmV zmyYDUS5oB6aI)u4IXn9(a^QxV+R>L-y%e5ovC%-+;R!S*tQ?aVJa1oa)@KNCqz3PAM7*aSR)xA5>Cuu`FH5>;tV&?Ev` znn-eBNP=1P(L@_1%?45y-}qr>gQXcI096(3L6Wp7YD^3IREe~7lg?r~OMHT6u!)V~?x{{GPHB033get;e5jnq2~AWhQq7gkP#BWmPI?l0FTK zIMT>zZ)iS_vX@L$!`U>YAhb0-Joq%r1qxCFnn35(RsmV4@b-B1QDFL;yBE=6P&>wKT`m!}021;zbWZ`#x#7&*m;@WoU?esoHBXY(%fh{>O zjXyuts)dcIkJPBZN5?2%LRA?m^`9-^z5WlNy|AzUB>Ug(?!Dw(wX%2zgM3mDTfEq! zznG79_--RNOz0;b*dr2h;CW-6}tZce!|Q6faiqOB1{@Me7}w)+EKAIe4>7 z5GGX~$;vG0Bl-kC?+v)y3UYTHkp#ct4M_5(yOi<1IoZ-HJu9LqYs*I@I!cRfeQn`!HujY!u$P0un?W z4!FaPO&5lzX2bYBcCIFismgmaJj0V#;iBP_!H_<-?sEiJ_1W#;>C;s^*7?>P8Xb=6 zTYX107s(eA`4AyK?9H4o!9(I|E7i8YjR}KfAIh!Ue6ht2fPE^V0FK zW|4#lWY&(it)OctsQvNOk+p*Cmmsf70`kBP@0VM* zy4Ut}m{iAxHeBUp2>Hj$n&VGVa|gjNB(~yL=@>1Ui4m=l*%+VRX}I-ht4zLqnxG#X zy_H9;V8~TN-VP7y__GwpRX4+J$KE=0<$Fik@|Zk$?0O%4>*RBHQ9q$ZqX|eq#%sss z^{N@ZzZFRVP1?ZSs<<&PfizwSgDSgpKRLFR*G)_$cmvT<_V18_`NoqbZ|hm?IB_*P z1R+7Q;)=elFeV9j{UJtBH>hf(K>nP1p!qOG!Yv?O59w_9JR-@56}JcTtSULd1p=Q2 z(oRT0C7oSm7B^}SNgqiQU)l@U3ZQ`TP|#GcaJkQGfS{)!vJ@Q{_qL!3z7PWG5~CRR zPO~&Qrye36cLmqdStQ4!x9SjiK52Se`w1-b^!BXmQ*Y`%u5{p&K-$RmNyvlBcpG|4 zBhMTP)r;9+uC#_#kEJRF-Z6tHyLhEgNcxk0|8|s5L>@`VRn2rZn7$^kBv)F5q?J#! zv}*Z$>9-wbe?3i&4$$nKO_FX6_nY*LPLK>571G|4qu^087tNOzxgx4;%Y2xcl#*22 z5eH@%CNo7du#J$Wv!{_Jf)#&hPyuRBFH>v}l>i1~BJ@8q#YwqvSyT$Qh^<(75 zi`#XW)bn)g&tp<>PL?!YP@Zcg1@_&S3kQ)|kE2RAbnH=EN$*_G^GUYe$JsjPW*P63 z5O;xQ2uZtveSPEc6v8zJ?#lHbVhI%LC%3w>ZGDmgRvxRX=w)l3Y!${4&G48jHOvRF^wlS#E ziO!2_D{ZQh)2nM8xgpOdc?#yYWgk}Jd0e=O|4vuIoLpBOQIqR+9L;xZ8YIA6qvu^} zUM%%Q(($KaJgC}HFA=dB9itM-ieO1X#qi{sD+{NZUudTtmVddq;Sm!prpe>9AV0Pr@9$%f(BfnK6P?DYOz>2d~ukCd8dq zKQrSrsTr812*PGa&(m5aybH2{N$S0^baVja@zUWYg`K! zjPjGKh}Y*vc+@_n3veHUG+oHSO;tvsxH|b&DmaI`iAMQ+O>$w+C!;=oCtm3ZEPI=(8J*-a+qaLSI#=NNv#O%sYaW9(RuM9^6m&!4W=pGT&8Q)RC1Y zs^!(pF5BO^+y;@zHf?1{Z_G$lGt5Jy1y#Z)!yrw1Bi50xiGo|*CMIbR^?6EpZ8-#i zeK83Mll0jP(8g~D@b}5>dGPO-cH(2y=)l#WCTXh&OntTB1TaaPV}^ygh&3_B)#X%z z10(`le3=!AOTwkZrhjgHV^;r=4$=_zq}8twIWvHHFuv7#40@aRQoXwU$vId-g2P=+ z6iYTYBy5Yc<;Vq9BAZ<$O1?Rgq^X1q;0R@~pVMoTDM{MsAC`tmQb5(OBz83 zvl(cjwWi5$KmIFA-o%ln-i|k$5ED5c*7U^Tl3HPe=WU@5&!2Um#{y8F?%EayQ z3*>9?hot2(Oy?%5JFl57nJp&5MUvJU3&z3B>2;)`!JD@Z!e{Hz)smeWF}O|4icewQB`>=@xW z1xf5&ZrpdEuywfAiz=~#LzP&O&sDc05X}yY#-=W4k)??uQOmU~SSfgHa6eX3=vdMO z4p1T5lB6J(u&PRm3P*4dFU{3tNEA@M2emmkVySYh3XB%r=ySWS(r{3v3saS0O+qlW zjv1X+s7kG%o$K#BSgo1^e}`M%c46q9YSWI@(m5oiYrKY)bl9wIz zxyl@hzT%P?g^Y)CM+Fa#>L89sC#|bITJB?zb{<~#&aEs&FuU?5c>&_)f~ldB)sQVS*bEqP)X9( zbD2VpbyMiz&TJCy&CRo6J-HSd4B9eR=t$*43LNPey5ktgc~$)n03S)copT2oMCmUWTh)j*I|ueB z3AxgC_SlMFb*hp0Sck=~`~lj(kb6~nmx==1Hm)EA;M^-nu9bZlPm>SYr7yPg=8ic6 z_u>K`+}Ha3>WgAxX9MU93vs zjk3+)o*=ygM(d#u!45AKYE5^a2&(MES02g#*yqQ-|F(_}1?>>ID13N&;8e0CHsNG&Dp?Yna56ZREQw7x z8JtR%#3q~!PNlq#tYMtF*~x{oK{%G@BC7m90T)!nZTY-?x*7gX*ggDfSE$i}tD>Z> zzOLv?0w+Mb^B)e@Yn6W*a|Y-im;S>wLm%DepUfQVAM_;Yqjg-Eoqk8|+(8Wp?{41p zz`Gv!KktDV54}4&{Gaax?>gXJ4;1u(J392SSSfhOyWqPXc-I5}{2r*Zy&oA25-P8N!Ui#RM@~-Y#C}+XD z_N`g;+@n`KynE02&p)w)xUNT2?>FZ4XScg>GwqVic9($#`=gq&qdHU96_kVWZU5oBsb;X#T zJ>Pooqfd2jxf&#yvG;#=@0w5S8hgdV_xDbKg58@AF3Oy~{gIw$U-x|t{@Iz$f zW#i{R^XR9#dk$RNJM!=uPu{in&PBVw`T@XbolS7n zx2Z$y{RtZFiq*Rh%zp+7ZaO%3&1t(n^76Ak_z7Hb>FUdR$=^3^?)_`;>9fvw8C5Ra z@(8-mf%%)sResl8vuM|&v$}f@E_#0AlOX-_nk$~~eqh<&H*VOq_Uym>TkrMHU-ZPX zo;Q;RC+@npXWQP2%kRGT?1wMTJa+v@pE`B-!E1V}H+TQ>S9`lBe(UuUIyb+$=(&M6 zF8gioYpcyy*S`3xy+8Tr)`|c6@Jnwje176DAK85H%e^1ny6hF44GY!TfGVNM%LlKy zYtgLk-5Xx{Dl~cDcV1nvXl9g+zpQuveESRC_y1z!g^T`^&Yd0l+}RU4rOp7{d+qa= z-|@rEyOw3 z<>aoKL_#=LS2AWKAe~}->M0dVDshmQ@!3D^-m0DHRdRAb3ei8WlWYmZXZ? z!CkS$PO%wchH14JF_s)w{B+8yjYk?I);gX@ke(w9-T;#7=BC8CPNee1*v5uLB!&2- zO;aK))o?TW@nC15)y(F7(q3Z8iV8l}vDP;&0tVu-h^L)U{u~mkW zrn2i;yb1s%DqAUNMw*o0Tm=$yKy$2uqcu_uH!g=`BgI|ti9PJ%<}SmPz8RW;yXmVU zF|46EX>c*DI8}XP@xOs&_EizBZ6ogbC4eQL$|}r( zMh&Fpc}0NjA?2xtTVi$zn)qhE@so*;mgd-S9(S~Yr#R%QDQL@+&UVf^R(kM6^7cHzeW|8~iJ12Dl17fiyL-_P0{-#u&^QM%*=tA}3OIBdko6 zm)|&=Tvcq5zfVwAqWe(IbaX=tGKokHm37yQRWMX>v&B{*R-nVsHB%yDN{;WlMl5N8 zqylg=|JPI#D{rZ)Fr$vu-oRE&Gh=`)TM9?q25EHMc1TZQRUMFSXcx<^=Bk(>hFR^! zw;^_(jY@*EVG1>I37WXtIkCBFUaJ1#R5K?{Dp6&%7!q?zo@CQ_Vu`D_rS%4htM|Gp zRH^iaIn}D4Ad@Z49XivbXFN2qV(=Kufva+*hXmZ(Rn{%a-@LLCBya|LDC+i3Zsn6a zlB{!HDcEx0LOnXDkf3;r9^Sy0KrGOhK_Nm@7Rqra40A+NO|hH+qB)U5Eed?fR0vjA z8k8n0apR#5XH}<7chJ>Y*@$i1K4Pd~tm+OUDx$Ykmc-=u zYnai-+KuQfQPY%$Y(lh03QNn*$4fNi2caNH<|Gg@veJsNYP)1;8|&zbA}lR0LB#H| zmZ7Z`vWmQ{%qiOV(>zx-nxd`CMwJLd>pC{% z?^4rAjbhcxRZtKSq{Rx7E~`XPRp{LzSvw*zZ!`IdQKt#J%~=|gY!q?BCkS?|g4nV$ z3P4^}Guv4T>6*z=#*4p$7~Hp1wi3zYZIJFF=~yaxXOrE+5CeI3O}w$yXpc?(RHZ3O zk~K{TFQICh}}GDxnO{=vc(h= zLD-wAS?Fv)$Xk6jAmn%|R!p(1*H_9SJO0oKMjQHfwB)=MW53f>Ugk(hqa1NsVNReo zNV;m`(J__MU`7HSb&9E1StRXoD`_+XjIAUEy(+cw?OGEbhBPjObTn~?VX_jAg!h~B zU?oilX>$^q8)dQ*AJkQCvHgd7bU-T{RWg+n&M4u;Ir74gJjE@7EeiR{9O1%*=wB9~ zaWPtv2r~3n$s{Xx@ZmThV9f?ZIT4`y;XjfoER!2J-;9%lMc>SFSf`=RP=F}K8-Eq5-SCzzC#6~N<Y0I*T0LOU|91D#NQcy^+l;TWP zW&#FgHa9V`m{p%pA7Kp+wIA=0PO;?Z6(+kGAIx$vVsR#x#+YT8cQ!~~Tn0XimBX=8 zF!;NIUV3CkaXPbV4ti!ZO86v#G1Gw{Gx5(N6BsV7%rYBg%CgH~AgZ(D1b46&T%u7H zDB0w2YFBW9k{Gaul5R7V6>7`qXflL8seX9FVg@E4jmTcbgjGg8Dm||lFyrX0men$X ziQ*TmrI7w2LX(5D46+Q@RtgCGBjo16cg&6nfp-SUV%5GYppoi;B#NY~VkralKsN|s zi^dZ4LtQJ4{UbSm_M(%qRSe9LohnZo1C^no6V(=jFJqDvRwP=QN`8_Jj+9gZ(hA0* z9sc*3T)|*Jx(~@WvWJ8Va`&@unj%+%s*=w=9-2E~G^LT4#*tz*7~$$=$DI&t46ngl zvwSi7moug|9b;_c6Ob+%4l!1~n54(lT9^%NdWzt&1Y5FRn6;SWLmH!HiW#wJ+^Muu zMJLA}!W@~ki^SyGTLH6p1+x&!{BdWPv*J5Uh^h9bVhoEHR^CA)+9W4p7T30?LDH6l zCX*{rrQwW>I+68QdnDr41`MJUoZ4F2WY6uuN+7npDG_%zF=I5F{EaQ>8II{h8s*q> zF$GpKW2J3I7hBROjYl0QI81DM+{V>uboBg+lJat+d@?RWmVt{F>0LA&3SyKu91OXQ z5@YL>I1Vz3QDq9;Fcxqv!7!dqfLdIg$S08~Ms=EiEFP{LyBLlwkJnDeG~fg+ZH!Q^ zLV0p+q|q`;#T4Nz9&6xg6*Vnubj{=BDqfaOh(>F)!K3j;xax=Ha~dO~tZ!{$mR&la9R(_^(tTPwaGHVX`Kn85-E;(WG~+w3d#x z=Z=Rs)6^O!TROr{+eOqBaaDC5g|t2W! zR%e57=f)_PFiE}Nl~9l~q*t{O<&P*B)as-Lv` z=7i2Pr66@5>;*j9egV!%vEmrGq&D7{ZX2PE$6U^KPgj9qh^(xc?yS%X(g_HkkS2g9 zDFr?0dIc*I(i^aH?4<8i(dh7+?!+dJgwBe9L{dZu$q)?hMI@x7O&Ix7oi&Y^gSTQd zWN{M{GMxXIil<^V%r*_oMtMz%ld@&Zo?2OD%Gh@s+s(?o$rh6p)wbI0qY{8)P?oi~ zRIWG7s_3L8DZ9OE#_USYN5;M(@4Ut7h{a6|er}17tEe3t+ZiUQ4Lmxjqm?zsdwRX8$Bz#b(#i5$T$^f%!>S*?I18|(tl-6dAqzSpoK_^!5 zC>jP>ilcb}Ysbc8sgD81a2#diQRUdlI3+-G4y0dT7N+K=#2gVrcbs9FY+#y?X^@&+ zJ9cayn-S+L8Q_)^JDJICX0|!)JSrjGYBB?Bprt>uu-b~kRk5NnR>f0eSyOwUK>7W%4&nkJ&g~ zFkix~1e2E-R*5(o(k4j*o{Fh$$ttq)M1qH6FH zSqXou^WEj z2xd$xF2f#H{vgR#)(TcNNxT5I0b>DM<>fT7;T;ytdb|nn@cPFg?F=vgUy0diBTGNT z)KnCcIwj2ZgQ>CFK#S7MpXPe_uO@9chLU8Y8~w3LrQx}_k`W0~G4^`WjRbhf6v%Z@ zsSygeGeRnQNlAMo1nMd^>JByQoAYoRmf)63!Y0!-`AL8cDL98U0|;w?v|S36LlR|Lg5nK7kh65xHBn`)gmh&QHZX^$8EaxeG7TJt z#6cn{Bk-pdL{OQDD9TtD0R}VDV3cX`CsavombzI8)Yg*4og%y&d$}vY1jjNF%N4gQ zrN76Mz$XUmN2=6SAhu*Khs78lBeenXkckg@O!y5n} zxMw9^#kcy-+wnTSql7nPOV8+w3^IhY0m0{^@1+}YAQ_}ZQm0!~4JT~k^*MraPx$pj&yJ2O>_<0wMdky|Z z9IOZK8R7Y4*irhs-_{9{%u$+X50W|akG+XiTef-%j_;2|8Si(WRv7;~>Q&h=dR0h4 zU%KDU_UY`_d6>GU_V=FqsO7(}dhGY_ynWxY@q1>6Tjwft@ z<^<9rf%jEvD^FBbo*$uCLgrd2rc;oHJUGiI;BSlgB#02Dt5k5MMIO9h%s5SAq*|Ni zK-!V1>Cwcw*rmDGyZ$aF=|%+$(!155cvYHYI^Hb_X@OU8w#HQK@W9H^xq4?Q80)(& zwPQ(oo@XVhbX$I^YWY#5iENEgtPJH?4SPOG;zf*0DTGEtNN?OHAZd%tQ6$5KcTK$Mb;;NX{JgSMRY`rlO6_Ft}IW}=%^or*9&#_vT{2Fh3V$=T1aT4{o zx5;9u<`~Q|Evs$%$-XAwZXFeCZJS;x7oRtN%J~)J5|`Ych{lSoRe*mTIWM&$GJYQJ zZnI0kO?r<~K1oru5zibVi50DATT;BZZHbdO-@GvKA{11xD;-&$xDYKr8ZDoUt$0R} zL{fL4x9X@|Inp`Yiev?v0gc8I21pFwg9In0n@(cX@FSBrSNz-YtSm4O@g^`dB@wjI zsSnun_6MIeA5eKLtu*FOb}*v~q;xJkDZ(TdNlmEq&hVDI(>x#36}#NJAQ_XcKKKZT z@@n--AQOoBXkQtR2a{RUOC{VUMV%U#?qT(6PhT7gca>z^lk%8#nd=?eHrnReuEave z(5yT=iR@x_DcGqvTptG7=qWxO!)eoJ;_jZBJ|%K@cvX3yx%)$9Qq3-=*5M@mP+DMX z;Ll66XyB@V2C!(RuU035H++{+951nKOG)OmDl>)P`fw%99IU{SB*;|1;^5w=|iZN!xHO=>07^5qXPy@3@=#DVQfWM7F^31jjcxs zi)?9(*&rFCNTww#1jDPUKZyr(m?RK)1ElAHyCN2ARaFu^EILDxKpL9-oUz5ObPl(t z;Iu{w1-nSPt(D!6`1x13s8ajnL^;r0E@s;8vt!&j z=OZzzn53N{u@bX3(HLz{ycjZmu+}^9Hx#sFi}PVS5*ddco1iAv#=BOQL^pm~&{W9r z;fhKQpV(JQ)ggR1?AQ>=80FX*jj}v32j;{$DX1FF#4P4KepDopijMzKql42ZFp$*O zV`CK4uwzxyc>MRk80J6%mdZvZ!7acS;%>_2Hj7o2mFJ;t*g5qWY(vs{nmDAOrAV9t zdMlFpdW^HiCt;2iHfKtXm5D$T5maf(%D^Yh@QHEMK4IuVs1xT8wnl@Z(m4UD%!#y7d}oLcfpN@93BCBoXRKw7(sTa}fJvkokB+zwF+O>nytXVXzQ z)^@A3W-!)TF+D++KyL=0V5_+EssyY&hz{ZTd)d_ESXrnVK;b3fWl(XDrGDfkAIACN zCqcQGK8A;mL}T0Z#VVBqdKtQ}n+)}-*vOI~Nu8zJ^KMp)x)N@|-9=x)3METx>SMmL zN(T3SdA41lLknl6g0-nCZ*W355lhO2Q^ECs67UpqA9P@h3m=Cmrm8_psa?LCLUM($ z&~7)&^`~%)=>N)Uww3`Xq7#*~= znWT@Lgti=IigMemfrQh1B8CkNMXcJ!7gJlfBL(DjRRs@cozR6UUW&S!JU8GNKbch( zbfouS3nEf2HOMc(kC;-Pgrzb|ydpk1)h9zhUs+?{D{6H3H13bi+=E-2yRXLWb=-Gd zg}-IvpE)}CRwaF!D?JSN=kLe8?v(=pZve@y%jVAj_jxY2lD>QVGdq_ZT)5}V@v{JX z(r%R(?YUs}%-v(}{~Sp65%=AzJxxgZqTTaX-n!~bD{lq&KBf1aGcPHqH+W&rmsXzT zngeaJt(;TXO5E4IBg=SFaEoh>@(J#vEAAXu5qz%p;L@wlDeiTywPXsB(^im1CC;b6o`wg5(lcL6~#vvek+k@QmHlVa}YD zQ+*G5=B(JV3dW<#TbGTWv1itNY6j9|%c=t_cP<;hZOgLSz=H%|z49!O;BV%hknu{v z`Cg;V+&xJtc-E?O3;P>Nf&_maH~Na=&Vi|GZa~ZX@H3>h4!@;+@;Y{H+H=7~zjbcE z`sFP*jeC9CN#Ndo+RR>ru{sRypB-4a?k_>_ zvH6-@xALOh=dL{KXA4H4y4TP8*8Gbug(f{$KZs{gEBF2E<12T5ed0531?G_6`;>yK z$;ylN{Q7->K18jv_-DzNw%jo;Y&=PCxgFK6g(g19gEMxcWwe!f()qCJv2Ii@-R)uJ zRjNa5xc%x=Z(WvOWr$?=1?1Sy?;Td71Fb`jKB%vj#wrmv;_(+v#POFj3c(wbF?(9l zNToP}DQM|wlLkk{B{8fLxs|eFsl^dTZg6lrHX4m5W98H4@bxL9VrhJdBiqIh_t2^9 zVm`M^l88G|2JRWjQHxWg3BChDcdnHtxzaNU7IR`{iKY=LB^}l~kXGj4c|{J1f;Bf* zwOSLH6N2Hr05)~_?D%wh%2jHCx1uv})s)HPsvrjU22YbQ6x~Xb7_ro{h_k*eQkIA> z89D_%N#MSJ%7`sB6?|bLK5V(F5|1*-oDD2p zZJ3kVFebV&j>iI2Wm8PLts^I!GdISUL=!PrlhKF^K~man3-M3?>dd6#4q1xTpUE)eL~(ShP-g;`1MD zO(psIw#o_>D?^yZC6^2@O}q?Ov91&*{VQ7VV8UDyt*_^3q1e*JBWl;*6KPDH6e*t) zA8b!;g7LAW?k7$~>Q?vz+~G!}D!WO+C8bl7ygXryuqr=NlUf>ateYZ@Q{s`|Y)tZK zVoqr)g%$w*m5gLqmLZ4#kj9qR zG9lIE4`0-0Y=uxHjhrS;OxlL`NWtgESMN2qnycaa9$43L5{5K3EtG={rjjG#!X-icqX?$?Xhom)02)2~8r+1Dt!AM+fC2h5|Dl>zomRKvQ9kdVM*YanZ zT10I_BwWdc6yzKtQ31F}aT{KhYE?nfSVGe_MBfKmZ$XGte< znW&(_-=55)QCuf+jhC);d)r{Z4z813C$}e4B?R9ZsgNaO01u%ci_vJ)Xqjpl61 zMu+HXgC?mqeDT1Au}k+8f;9TvV z47%np(!@zz^&Pj$;h1Jsq*@@|Fnt`p0zq&aj7KxvmTDpC5*vMlCQl$44&DNK>ncx~ z4rz0oos5l3)Eb>ulW~)b80!ZP9-Sz0CNjD-Hzi3EoCy^wsM};UJw%nG0UPVv2H%z% zKqm=<T*cbsce7hb%HB;Btu@ z!SXzHml6Y8Cmu-Pry4YhLU_;_MO(c*Ow3W1hG#U&OK+y0eLzbZBf#E+AZZR;C4htssiTVEp!h6zBN!&A~50 zG`ADL7Jdv434G1jX-JLW%;HW5!+z6jhDViCBxcA&A}we__7Hp}nuXCf5YTE2KM8^d zaNiWk;Mn+8BBy$$|4j{s+LTY4Tq|>=wSr;2vwR|mP&Q!;66k|lr^s-W!&T;!usgqd z94iY&dn_Axfk}M;ltb#kZ;B;!l{h^GDGl>=GAu1gfO3ep!`&S!rrf-wPfeiNU0j3Y zPlEL>?OnIv%Xt|h;a-700}TrDhedn>5ttQw zsvAH6t3)nEG8vO9leG~jX#qjU^4xlHl!n!(G>Jyg@a`(2It(1^ z=_D~w;CD)8LWvTJou%3Ot6lEKz;9VN^5{;b6_&7^75MU$(nZw61@3PgT;;+CX6H@e z`ZF7*60G#rk@-819>KE;taM-wKl)<=$sgXNMhCjptMAgfcYxiyoo_EZ2lrh+yYg1e zy^6U1^n`!Yd8dY7^Q&B-??tkqBz~1=fdrBs$uyH3gJvj3|IMpYCo3@W%_~!q+Z=rVVgCKYvPYZPS@yu~GAuC-4FS+`` zuN}U+3!UxLc*HZammK?r2cP>MB!71Gh%MV6Bjaay(waN$!G+qf_ul%m*@Mpdmf!MT z|Mpw{Zv3>v^NHdPlN4~Qub`?DPdV`51>Ls(P25Q;@ar% z7{m1T7w9-KcMNXa2H}M_M22Fi85MKK3{8AK_6=3#msFMQv`Ct(?xBAZ3+j{`Dw-Ba zL^3ZNn!9o+7SvgRj!U%O>OS{e?1HqwYTq{pH?%d}K~=iasS+Pn#&1Z{3NZ+a9Ii45 z=WHvuOLJ@32DgS`N;0?x?5;VN1O z4+Rn6B{1I5@FzhM8ESdbpN}m^wOW%gZZnLb+YzYJg$uML?eeS~qg<6p;+X=$o|UQ@ z0&bV&3(B$I^r|c&5;jyhR%@~p#*+u}_$b?)94jG>D#IRhTPJ`$67>jXIqnZ=>1=bL ziENAEflcJSFe#dttrT3Xx$)DEB|}p;f#8pkahKGO0tp8Rp8P~g*IST06@T@7`IKvF z*5C2@5kHcV(#|`UTsUPecQ(eLU_D<-tPHJY4hU!yZDl(KMYI)hfri zs-g;N1g63dQtd6c3GL#A1PXXjiv`lq8^4>D3<=T(hn1pSq68%j!O*Xf42}&YL7N6i zTS{DQT@{5=8#fu~ZAymPWzl}AJxEzUX~l$Fh7RGRs?^+;q-NIAVUe7?$SG5?Ho(XZ zyd)q1n67c1up~eee}bhxQUjFc*Ypc(r8#!RkrC7@yNdD25`uH)Aj3FqXa{akxxxgv zF49?AtO$<0#FMBg#VB!JV`9rv5+C?+e%ciTB)zO^2)A&mL-mx&W{4xfwYf=pKpOXP z{FJ4UkM@-@SvwPObWJr$SP~nZ8r5RbEA{nhj=ArhoIB_dtsOUp)re-QOS3%}H;0q4 zZ(>?OFz)AKO5sE|V*Il){%s^E*+rK)HKn+Cx*8U3?}9jHXlomb&Qqi1=;a{701fG3 z-mnO1!-cWSyCCL{t-kcUtRdHj!MohAGJkB-jD-uUyl^%Wb{1~8zDzwMa=R~d4Ho_*;{g|bq*B*E;fOB+w_GNB+yl&kcm zVgvE(X4r_i+D6Rm+UXjsVRa2wdTZ&DCIdg#a2u_Ff-oiEhJsz%9I6t3O`612P~Emy z(yoH2vV;^w%LF7@#?Mt>YzW#?yJ|*QItCKggO^hi8&Z2Pso{z6V8q6kJwSqQ7$lSH z??5x8A~O;fk39J^s-F-XTfZ#wv6P%XBQbZFgXcKQ6O&d_*Ia*x;;!%%#Df)ILCbN( z$T275ca$CT>PN`7Ylh1q?7h~haOy~BTw+8u=}jbF6K|Z0nT(!EW3GnhA!vqMhd4I5 zD&e^*i6>R)-ye<6xHd8l1RxoWDh-gF+=}OYo+jE#pF0c2V=2t1^mN6sHyP;2#&G#9 z(j?lqzY8@(#c4l&=jIMgYDkncGT{+~q~X{bC22&(pTi;;Yt>g>B`yg{g3}d>hDdKb zbw4dZ!z}&o(Ply-iL6*Q+^T)pB_ZCzNd|B* z9bg9cV6C%ZHv@>JJ(Mg9rG_BSX^7V;#OEU1{Zt-wZ+HP}r%SI^QCCNDC>z|6$hk@A zPYuCZ>Eetd!-FDrDMu0PCGLJf!7F>!p)3L{#ECo2NP@jUAKA&wE}|sENWRtKy@m5W zfqJ&3W$>jE4afas9NvL^WeUAeViwdPF;ReyvIsXR4gPb1heUYIB;B@)4}~DNuwl5# zh%FqJJRHI0G?s6Yzw(!ltcwzB!p^6Dd6`iL*#ge zYLpcm$}mO}_@IN7X2>2X;Rrv~GL-U;<$BiTOZAc2bJ9CEI(Su*qm-+(n_Xw$OrY`# zO}Qz-!>m)~B^5o7H6zan$WL5BlKh6>z^DS+iarAe5vyAz?Fcs+d7J(u{*WkJP}0*x zNd=n#mI0WRBi!ILn861!i#%Krq{4~xU`(hjZO8&Yah3D8feupl6r9CY2%}ijp45v$4Q8Z z+-9UhE5IALl91y{0!K+f+aml0DbOXra&o58NTb7RIdI=ahi!UdESt7()`+VNEo=wc+n-`MfG~x=&r7y-K^v+h!Ww969#E{UvKNzh0ZhnN*gk zyEJq7(nAItwEw~VBvY5!mN{f(*x8vq?^$%XF7tzrWM0d>_0ab1zsekZVir5$)y+kR z*y)-5gBHE^*xx#COIHw_A?bw%N$nKw!L&qT8ISGgn^1!r>M8;KSp8xZpgUz$p?>Fq7bjV!1{mQaMZ=IIe zP?sK^0i03NMI?>U!IT9@heKj`=5M&MI(We2XG~!4`*j(rd_D2r{R}E)q%)Rk?=uzQ zdzD|S9moO z>OCOsy2||sP7gIYlm?^2pdvb*40eLl=fVj%Rc&JyvuJd%Pt4d(X4?gCbxz8xo3+1r zKl{~Fn{IriXxbiDwEw-QXP&G}8#HJXL)x0aFgj3M7O@ZIR1a)i5k`k6UNa=ek~Smp z&Cx*z_ZQW@R#};@+rF)RYwenBjdMyxh=CxS_ZWByuK2JatMf;PGxoog;l1qZnb%DB zD)OE>QV>K|V15p5R*^=BblLk@=3xD-L#z_>sYY*q?Wf1GeO{s9r&@QI>5WG_cTn4;-a}>0>e{ zENw#XIxIu6vUv8KHCvkxtepJn!~us)On~`*`)64zixb>dFI!71i%^x%k?|j&L3(Fy zBv%bmKG_t&Vd>*;hCGtdQL6Ir9KS8MK223ls3G|2CkWp9j(f16IfK%=3wwRgUs;f2 zAKYK2Ru&K`%bfjwT3MKCWkILh`?ldyTQm#9^PczI@YY8%hp^Px{?Mr=Nzh%T)CCvJ`>=y9_7T;zy|BY4_?|CTht}H^*b=xwu zvVb`!z#K}U^;5sx9tltxBC2@-968~`Bg+qU9j@< z1J^$Dhh^PA=)Ly)7wuftvvc=?FFpI{f|Wh@eet*8zW=^2tiEW+k9wZnJ$mBKwU^%e z^BcbS`~!Eax~%ttB|~;~_pE#6<7Yk5{V%-dzzv7DeEqhqz2N@EM|yUSTCiwm_kz~X z_s)NQ-|dfVzVy0-7wzia3o8+Y^oo%^f4lw}1kByrd)>incXeL|`10*uDAOGXkgH>s zKGQQkFdlI4!rnz&5r($s-}mk6{?wYD1&ek)_S7nhaC$j3@jVESz4g@VJ-yd_{H*7@ zdvrT>Q)fCv{(T-vz&fIXycs`RcXX zyBFO1~m!RS$yLK5)z0O*^hxgSvL_`ZqWO(l7T?$XmGT$}bK2 zh3l%Zv*6L3h_Y+0-SyYA|8jrtqW4aG>SqXAi>Sso^=#iu!Hz%q(BZ!={O0NZ-ktf| zHSa%bSNCrD%Eu89*Vp9b-Y+ls)Yf}@5NH-nI_u#V-(2)GMU-80&3OB3-MhD6*89;N z%j$phL5kq})cX!0ChzH2biZ<7@0tmBXP^l}+@8Md-Z$U+a_>cG>0i78S9O2tUQ+P# zyMEZyd)54b2p9eMD|Hjye)8N!Dq8KtfwRt_Dj}`Rft5Ju%JBp5?gspR56%yBefGnf z(U~Ux0@5Eoc=@i@8@~R^nz`>iRKO>07+`W$=8T>NUq0{_PLl1fqR%~Y_L?4qFaGeW zo%fT1TOZlG{nZRr`DmVFsTn*45ykk*-!x~C_ES{SX5JhG;>-)%*@FFEjM&^DpOJPt z+7H_~HDW=!>MIe)DY=a&goso(7aMG2q&Q_6RRUj*w{GK8nHbYx!~ioZRc+J=EGI1k zPkO{KE^(Xrml1r&(3qDv1#mmZThE-5<}QOxQzV^bTLAi zD&uD$yeku(&3xW4OQwvbM5HXRvdeW9BA$kWlg0=xmdaHX)5}qk#1)Ih5)rG7;8Qd= zPmHmeN8zUQumR-QX+cchhMO^0MI2XY4KNcC(U}A~YJlbGGBO_WZGmh3_ zZL!m)G>Q{aH^YPT29m4BB!)T8$Y%bTrUbZ0ltv~;tlKR^BtX&u^#vQe;^tUF4qRfj zT6Q}EDLcFi3JP;E^u|*RYRhqmlhfg-D#>HiI##OExMms@908K1jniR{VKzj{$@qDL z8Hs^JOK*hnDY8sevM5%RWyaTeiDNd-^Pb8n*xjy2#x zqf_86g|*H?pQE76(B$it>r#-$w7yz$MA?0)fz}37BIS_g2`3h*Bux@yR@___Gue`A zdxeG8sX~}q#!xr9wP7Y5tKBMvRWb7S3`ve1Q*T@{#*z(1d@3|4N9RKPqVCi}^SsjfP8>fCeAy>;)ox2o>9 zy~(H{LY9g(X|BnL6S#G)ssey0d=hjaL`*Pl6x&rZ6s$7h?eKxcjumsmF_GwQ2{&cb zFhV$IU%{U~<+l!sad5E01in(k!YZC?Xj~i%$NvX%0N8ho$i?_vlN<}OU(>i_%Yl^F zTcLW|K_-Cj0wz^?ZmlT8qo9y7kmaPxY?{)Ho|6v(V#0GfT? z3wfG5;$2!7OQeuR5NzdHQU;jj5g?mVIU>)M!k#I!>r~2)N5W1vtzwCIMM-*Nx*ZW) zMOFaOw>hE=B4im%Y2&kGb=wOVrA*jzJ2cZ!XACV@@Cjgm6F~E3S8W5jT9J$uJ4)Fc zsx_b|srz7#DRFkFV|zdjn@q+IH-~kay8%bz7_c>AFQz`1QUIy*3{~I0C{l*Hf!7_) zxn4uSUAm;REu`EQ-&LvBU5Z%Jm_9V@4+uQ^h%CgvlCMgj~ z*}%qf4QQG~p#k*DF&UC96)~Yn2F@wZ4sz^Z4+13$Zbz2YA<39h4G;oUE>atjbAZ}V zq<}j^F{DZX>6}sZp+A7TqYjmg^~B0?65xEQd^T&+Ru70_tX=E|gYCe!qjBz6Wzsa- zpckOMvNa^?Qk^Jb6k4(wfMsRCK7if<0D*B48=-hbb6E92HUnyrFc(2KoPi=X;BOfU zG(QS5iltCbph-E)jxt>Ian&c6fF0Gk4g!xYZO4hBsPUT5B9^Uhse){vat+j~*%hP} z#CrMgugtM#xB+MK-5@X|YbKBZ>>CO4y#tT2W97;2dG?VVTX>D%I?!K{tbSh6zc=iT zVdRK-(w9tfCh%o)c~o;|x+9h%2|wyXvT7t51|C5d6Dpj*dD7o1(jpiA7det29t%lP zhuIXq=(a{Es| zG9c=&v`OHf?a&{j1uLu;ArMO8q`-RTh6VqL0y3}wyOb)|4yBmErZViMs0LbQh>TJY zO=^lb28u#rTFuOvb~hNo1*}T`Lc6mYA2`P)-cdu7Nfx3479+7QV^YS%p40Hwx#0miZ$pnRbbJT^sIeW?##pr8sk^;X(V=M?2@DS_5$x;UNchF$_FU$$WR=}rB zMv}ZivOqwJ!b&*mKHfh*spARy7{#i8Yy7j4#e#yi0h&N8(5C$}gSSQPG_92QP76{E zD&Smq8)$HXw1YD`PuHSASj+dNPFm^0{)s=C(%%h=9nep5M~+sGu%u*GA1F9)^xe}^re2LZSc5G8v*r;}cKm+}lpl;sglx24F@m zLR*TqH;xdQ0dfJs>ba-;t}@VR6){USl+90oJ7xFaPk%mtK0w2oLv?Dt*b=G z%*Z-wy)iP=K~wXY4ZG~qDH|j4gE(#yz-=x;NA9KDrCk^7N~0`Ki+HTyWl&kU8*=t1 z5#XurJ4(ivkm|9Q=-2^0cG>wB$Q$Oipwn{enB3;Jq>yFDViN6R$~}v%Y{aWnKz)T5 z$ggZ|EE_103{aYctL}1Vu#ZltgXs+X&6+d-WfK7i{K>Vd+Rzaa^hWGp(xbq-EE5%8 zHKj&Eh@pUx97V0-Vi3BrL3W$&gQEx%Scut)D5h3v4+7#9MU4I%g@%g))eI(E;ca55 zUi(o^E($?0bVHUowj){wAyHK1DBj!k^~OSVoF$654P}X|8DE*X5f_3SfDk#T`V{Z9 zWbp=M^9p*?ECyv}RAY^@+s+`(C{nJ22oJ$F)<+&jjH$C62gjm$g5FvPvfR;tn}mTq zQLExnT?{#njfcDEwX0%Z|Cd3n10=D|@DCyw{^5LJ%5mg2kRyv2&C@7w%OTv_*T6$f zLkMH?0*O_EJB!=ej%V5oB@nUW$4#Unq(qGdRAS3k>Brk6y)+VygK{MOlNb1avRVN&3cr5yp2i?6+@x0wAjf%vt37 z1Y`+rn1jORQtx*a$ZRFDAS<#c>d}4U69C_p7(Ypk;LDCpYRAGS;N}3Bp<{s&+lbp~ z@rId=0VBJ(JylmSHhZOtMxZ`GgOwRAL(Khg89|LoE2E{k9HpMdVw`vjSVVRaeB@Gn z`)q;-4YZ%8qX?hxlwJ5hK&47$Lk?jl-ZM8NxVNETd3L=o>7*~xrNj3mZoX(~Ji;wcJInUcb8_vPj4&U@wfAtA7|A8T z9nGdK;|}!p=wn-EJ5^NE&8zk~-Y2=|4N}F9qJnMROit&xRt!RSEqC#a9`W%Zg{aiP83Z*(Q8uQsv=HWL)Jj{tdtxe( zqc}4>M=`JI0mwF>Aph@AQKfhNs7~~gdEkV*4^b^Dfyv}(C$7_Mu%esdfaKOWDsYBI z!4OA62b-ReITC_!O_Lp9mjlKa|16wD+t~_{=BPv=A1I7$qS}KL>d}!b#t;@Ms}p3z z*d!w*-4G$+KKw^IlRCGep+RsCvVnEIFcN8iO6a-4jT@3k;8NBNKW7T+CfXhqOv&*^ zmkao7!OgD0Ur6$WI$`lICJs&#k~%ffcT(Y;@PFAph}3=s2`ma(OAuk1PG&&p-+;2n zE`!Oa5pgM5!3*Fdt%2sP;8PGM2q&e7h`b5o4zO`b+6LUi#evky8z(1|@Ja3vXBEYD zIoL}WGwBr8TO2qws_@+?YN{{W7orC~J~IgjIo|GK&V>_DLl=N!F{97ytHv?}@*k8~ z6P=>I&xAfuy!H78yHb;jBAMkR>0I493zyktFb?C z?Rs(j7hVVX;{BWM4(&S(?9Xp)eB&Vve0rx3H;R?Que|5>Hmyk)77!ms!TWcv0oLlN zSde4WrsrR47*#;4UBC0jvPJtfd7qDMi=|jLKex7I6@<*2`uzTV^>Ysb-jx?9-wc@4 z2es_$frmPG|6#^j?JXF8*=6%5F?#BReJgJBWp7@K6+MR0*NDrWThhho)RvrhFdR;+wJyzcMrFJY3Wmf~O7NDH4^4+-ySqN6G;nG=K`TAvF z#40KFLGGQr@ePpATR(}j1a#~^ zSP5>dqB5xDAK7#gz2Vpk?z(Lw*86|&*887&wsAXzBwzm2riJ@g+|%1TX5-FZey8oJ z7q#q#`+M3KzUgZM*=;+y80Jq|_~d|s#VlXD257b4eGLj8(8dqaWRN)p?#o_>kk_>k zjk3G?&dN3h-@P2<{hRKX^Uh?LbM?kKn}4?+EA78`-TW!nLkJdEfve_k?11d2xGZ%& zx#hfli|)D2#ey@NE7$J)**Sl>ZhqaI{hRFTH~D&>xB1r2fs(J+9%O&ty5WA1Ut2VP z^PK&6!{3YVd9`-MCwFc}J^8+G<^D~~{YLL64?}k6e+~#Ky&js}emj#E3lrUK2@##{uEgO)S-V8^9}_3+_nb>2VVh`-}wyawhH4>Ph6S!eX8CC}JOS z7szyVZ<~>V7SCi`TPtTjU5U?RMPlidwS8;d9uGorYC*xA*-Q5pe9~M2*_DarO8&%r zHq2oK@rmqUR~5&uFG21W1SaG2l%dB>SE$N-JNmM+0%AaSXuMG63rzO z5^ik^6zpqDyIr>}SewNX9&gC^E0=WLvsGOHO^#0n_8M%r85IS=MMzdbyzW!7T1C1D8oJN@WAYbv}|&JPmf z!V_Z4+`1OGmi6u)x5fhZId#qBzVoGYZGu-(Y2&3-9h7|Y07mRy*J@krS|z6Csd156 z1AxTCR88U{ZmR?Q8~lA59IF9|4S*83-rA8uwXO+cA>D{QZ%nkssB39?wBE|N^=ei4 zGhQE;1Vfc$R z?twK}S(!-FNp3&`KKItv2l_fN)<*T29mi_>>1rRr_k=p=3rSNae6kURmN4fwOE`x4x}Ts4Ql05jPcufM`Vkn?v;(vOZg2M5uN z*?=GpBL{{NB7@_lB!VA>@P{8vD3WB_I>wh2Od@73gj<1{Zp48If{|o5|41ds4wUg{ z#yZ|IWH(1q5~q}eP@xQq!zo0UWLZYsbetsOV-nkx0%L0#hi%Y^s5?j%mM?^CXyYe4 zU_vQQ{G$rIe5{ZBouS(dA0i7*Hi>#l#l{$XDPL^rU)~>@*abL`Oa#?on;>}y(P>6P zN~*A7ok&?_r+4!ZttuIVGzr@+MM#o2RvlZ3gqeG)sDqS}yYz8!tyq1)p64B@{msEY z1*JO z@SzFWNhfFp*gU1kx02?N#lq;6xIG7>J5B=sIK{ME74|SiV@8=YT=E4;{xKys1%JB^ z?+dmMV*_k0GGI(5LU)K6L{+UA4;k`17LYgXL`WHMGbgy0P(qe4CSrP-}mr(QA{PdmVE-skTRY(r$?K>1GPVH%J7!mWmEw8Y_xusNnNk+eHz?{T4bwHLGq zeI!Gfg4^K+l+EcIiTmA~8Ny^)B$sr)8*v*_B3h?rV0`IumcEsUNtoLU0&tLpRE5Kd zB-s!WHzB0Wq8y{uR)s&02QN(4+#l?!xRx!M`zi_|46>*_>x@0#v8!s1^S3eE6zOc6 zjJ0*#T4K^C>^YV*$4=i7s}$8Nc@g|W>K(>)0#5>=?L((buN9EXPt9%icSQ_LfxjqOg&EwJnuYDr}XbEa5@ZPPuJks{z%kBo~^G zJPf^&rIsxV#v`ip{Vg5#cR7<$mthWW*5Uj;SM`%Ui>pt$tU{15m#uWSa#^-rs}+=5 z6A5!tP4t-_ug!`>Zx6D|C^-k@G-?&X6~a9BCmbUXk6imz$c|OBPug@5ubE4MaOE;q z5SY9K$9mRwWO-`b{8(gri)`QivYUcAeNDEdS|ek@U4V-QH*122pNwjZ*g+=I?!&Q~ zjGD%Tl>0amo{Cs{*&^ zy<4H^7oaRDB6nFvqQ0ofi9%R!&NA$Dd`Gtq$Af&*iHMuH2hk#(0K~~;(NDI+6pMk+ z2wF{=EYQC0l2irEQhcu-1NV1~rn9@K+i;RetIAnC9USh+k!DhO73Y^@>M{v0TCwRc zqaj#=YSMIeRCa?bF~J?%+jBM%A%F$^W`#zP6FmYpMEPrE?SA* z!DNl#Ya84-SQR9YDKx>{C^o`qJKlp@L6+?c$$@*0!Lk*yv|6i~rpK^iJ)2Wd(1a%KkUhN*5{o zS{3EhME8};2{bAet7TV>Btuxy^6|(y8U154?8ZcyaxKs52KS_L3iv*mwFg#0K|~lu z4Z6=NaMcX-&BB^Q zBH89#D_hBoO;^}(k8K9=ey;>KG=V#?i8a9-Tn|OKvN45a`?V?ve;0k?TREe@(C{)# zN)hda?$SX0Cdv+b1H!S8&8|`=O2_o`?WC*NjUXqfn__Skgai@CjRv`nD+CcBhQTpV z2elATG?{+=kGgfh@!usGsMR_)V$Yir&r6U3h`|)u?K0R|gP5O5rsNGA9;8`c=OV5kBF!GiOR70mUhx{d}1qt>=FZd1W#JGbptg|2j>burj z`hrpWEk(dyz*$bp@_!|H9`Es0hzNMA55TQoNQ=ncQgAECHyktGiL;g|u|P!4FOkZqlv8g_t%iBAjB9^{+tl{Oh=}p8Lk9faZz$;@>>B ze)Ga7=bsJ%ctCLtP)IKbVs`mit;sx0^!wnuz!k;B@aY;(m*=Ak(6NsVf&|^K$s>JD zuG{z&1LUsr%~`hi?z#I81Mhb+FnLe!+qS+ERyHnN_HCWzckTzM>iTKF+4-NE8;ijo zVn&Hte9L2y3HMWOw@^A}g*G0Bt*SF>XQk8szD zd*|#s{0dgAAF=a4zoljG$I}9~@)+cP4GbL6aW0b^3$me!U-AWRCj0B#V_p7z|J=VW|9PiQx%f}NMo}F3GzzU}=ecg% z&UJMkAf({IAAaj6x^=KIYViQ;g~7&2u)+QY^6YpR^XK(E)bu(qHp_;iy{p1@A`m_o z*#SD%wYol2jrm-sZ`7Ivv*T-85^i-FFZA8j(BQ3Gqj5!nS=yLz4SNYh5mB~xpKF+R}wOT=N!}#;P?3yARnJ-(DwI%>3kRZa?DOQp}_K zLVSOhz?{_}`Q$P2I!NfVCUy4X&p zwNJckNfNsIAhwIOzuS~6K>8mhL+{E&>PI$~JoUP?Imr&r(l3XnY9ITeyfBVi?fNmC46&O8Xn!uyknE z^h-zdc<>-*6ClK4Zd-13m1p3I(i&DUnaG*ve06zuX(A?i6^Y)~Nsf0{*q#+P%M-y` zhA>8!KSGRopSR9Q&XVEA(sXS|UMZ|D?LnTvU{^J=Cbs5=CK^Z?*v(ku9&pi1Q*C#7 z(OR#|jCOaRe$%9AY-tgkudXADcN|;8;}hG$?fvEm0lAL14wEkMH>PM<_n}srj6Pr| z-YgcHUr!2}oWMQERW1~C*m&q|M&>Mb?b6F#ue-k9^1@DUcFjT=ul!hDJw>$f$abh> zeN9+M*turg-*IFi5W#V@hV%y=hxxIL!12%R3^k6xJUtFDuZlSZjIXhl9)kUhNm)#U zS*A^O2!C8~vk)*r2#=UZNJL5;2}TtYOyt7PB{-*AX0B4y$z)FLs!p$XFNorb0(iw9 zv$$t~o1;1qkrZ!yZv5&arsy4?3_Xy9-eM#5|KicfekI*RMhTgCz&XGo26p@R0>!)$ zH^LiXNTjM@e{sAmF`jCPQzG%zTlW143{D zu0rxPX<;Ux%!4a!d^g@`*TxGR^LhBxM4*lm&;(&2M^i~CXOh8W$r~49WZ78rcO@7R z0R+*klPu81ANZ)999%$W!s#`bAP`K3ky;3@9FlB<-^;|nMJP-^sVK(oL(UI9KmqF< zuw`xflVu6)E4$sICXA1waWy_%CoB|eH{QJy&{vwRRz*yJEe0bgNKOyyEDem5SQuBw zZpuIk5@tsIoxxkxe}K^=l?Z)kk(+8M z8lpnu@3->JXv)zN@6A}iaYY-|pkZleh8Z6=lGp?8l+nj6+CZ<$`1PKL#kfIMB~Wf= z_~U3mBOI4_>GBHZUgfuziS!wbvtQBNw*Z#b+leK?XO6);*o}?tskCQJcRNDiOa~Up zw+lk}`8yP>!89-AGnxG{;FBtV*fIp`AhK()Cd{3fSvA1DS1YK==i!v=I`$u^&)e&& z5(b0ClH>hCfSx*to5_9;VtI?xFs1yDqbQUZVLUXu+IHebo$W0rZ^SsG{jrip2FP8i zjb{kfn!bW2EswE+J^WYnw|5qsgKw&}IeR?|mX=hO=* zih37)B19XC0RoJ+R?d>S2NgphOLi>xs^IhkKEI#z$%P8RLj2Nc!XqBnov;*8x*qDv zDj)B+1+tfFS7}YMo{v9^Eb%%)AxKCg`U3ar!I~I_EPY_#FQSP|H$?4p+VW_%O>=E2A2t+37cjBRe0z<|I~vEDPKSJ(-*D9sA_mthe?FoD1%btQn#6 z5P)#4T5c$nHkjPrYD`ExMyPB6_Jv&E--U{NyuZgB>EPJmEuotY#aq8w^BXh41X8^D z8_3)UE0ThnzL26EI(0N!c+{P+gfc(n*dTTrWC=4nvxB1TB%KtY?ik#5BUPD}D$c`* zXp#VtNYoDUz9!IjD*+ zcG|tT6T+nV8j9jmOW`QN8p8N^m|A0CF>Ib>-6r@F3wH8VwxB94L<-$ODOEyvbioO; zW#ABcKLd6dM>k1f+XfUfW~-zkODV~@2f>4W8(Tr>fBORq)|;m<9iiHBQsIw#W3V!j zO6y3NTk*lLgjj8gZLODN%00k;L~CBW>4!n zSSXz$w|MREJ$0Q$!H znG?sM!q9xhjkXwPtRlhkn+ld}#z3^<7&T~9H7m>3+y#;_q>_6;>w}2BZoeMB%9cU*I5aE+!#(Pw}QdnR-v# z%zJ~l`&owD@h=;EMtk=}vSzVZ6I~FqHU2Me6>lVOI4LrvX{3-9jqOC#&h4M2&kXBru$_?l zOXq|$takCNki(q*P*N3h2%oJWiJNSTV%QRE{o(1If7h);ac&fopaV1R15>8|H}ZMk z4`Zr#(uBQ-A$u~_-J*stwhaW7tf-}n^fi(dpX>uR37<%wZvviAZ#?|R<) z(^)}A*~P@IMt$9>CvOXLx(ugV)O+#W;CvhN=+85v?6PlzTMNOY^VEOZ`QUXe`~Uk3 z3m-3VA6tCy69ZOG=of;I6Z*+KwLajJB8Y84L7rWP-kc@=ih9r8_w9}64I)1Y?oBIh zhoIrOeKNjqU?JdqoFQ*l-243F%>9>FUR*WhSjYM)*Y5xCDHHZCzV|Ba!O1>o@Y*R0 z|Mct>t@mq_S@!+sJ*b7StNQgm#tJsRy|b$@p?&z?mUme;o>?HvC)R&~^+pkB#}4Sd z8RRGn;pz3l{5(4rv*J7vU-St-4|xR6U3lc#>sasW58`uxU#z(Mo9j;h3qL71lArq0 zyOaNf#{#TiueNf)Re-%^a?yhmV9vsWhl{cYK_d^CGr$c6D}7DyL}`Ew1xkT1Ob#Dn;*<=H7m2dwNa>g_)dL0P8t zbA>n7Pr2%;^;44IzGVN3yXT#%`GELr|5BDd)=$Vtg~t}wv8VF*wcpiH%pW`_0p`0RB32K%2dPEA)= zWWNvKQwMNl;fC2UQHEhv-@2IF$K3Z|l(mGjtmT;sFB@~aRx>OurVL(P(*n*77$|wG zwSu2l*-1WRSd5Xxg!Nb&^2dFZ$7RwVmoYf0l}*RIQNZNQB8FZPZlU1v4Ub=%@mi<5 zcpg&9F_+VEl zk4K2+LOihPz&mz*YBA=}qgwV-ZRHSdD9Gki&Q6QY*^{gL)?Zp}m8==x(Yofj*plWX zU8XwgqSW_5tmn3hapQqF2M@N|_T><=T;sf{+nrn5R);45L+-2a9^hT8drxDZtogk! zds1U!ahetESO-fz=-nE2;O_}Ae8bjdaFq)OJgpUkt0JW=1k*nyFg^v-?CyF!rt`NRy0II+E6$ujquR))Nd zPY_=ZGc$tUlTks45&l>qfKwbbA^!Y6Vz36BEyQ%)M6(B?zc^7qI`;XH zVj$9N;+cC$=<7sGDKWw)e1i)iMuI~xTef1Wqr(6`2SE0m1qZ5}qWFW7g~WOdPJ9;0 zC;QtTVPlFJk+k(gQ;~sR9vKT}Db@_urXJ z&{jxlkfhEW6N7a!YS&aOqitn9!o_zM_`+SpsScyIuyu!mPOiZB<9eDSHvwLT=gclW z!q?JxW00dWkUm9QPb2)C6buT)Xcd`z^aBr~9t%dP$PUa#gisH1{zVo=v%I%cHEKc* z6LE&*-5&gDck#h6iO=yUiR)Gcjl{i_^o0t|tn_y1rk`fo6?{fo#EX9s3J|JowG>ts z>f{qc(y&V075JNC2X40b?_{lx?uIlT>YLSQ7V!xKPnl|jQwBbbTxMjB+RNPbEI>rj zmjPSU?<)5Cu=8lqo*CRM{jA4c8EH*Sh@l4&}Y#n^ieY_xP}o<@tp+}6zC_>*=lppK#yUvAH@_y zAt=Z#@lfbk&JvmwgcN;(zRd3s(aJy6z87-Q4+B)S$lV`+>_td1#Dkp?w}+oAwBP11 z^6$sCOnhB0grbo*j4B%yjAli zBwjTq*ePSf<8St4;n+TJjmFK@NVwYH)sJTP3U774g8XU-%kF~*`+Nn%atWYgW9|ky zi$e;xjZ3Fw9HIfdU(z5peB;f%-s(4vj?pNFRulnzf-ILNQVkQptpeejboU8zLAJN5 zSbh3q2W<{whYHoK%aVl@x!IhetFG4Mhj0oVxqyjA~{#-}s@vHip+xW``VTdAk**;T8#R+$s<_+^6~cfH%v?kLWZKOcrB9A@DP zFUy6-JvJT(ED4vxC!NSPo4wLi7I2{vX4$Ow4DCUbPLIXh96K->u*={EnL`Q)X)ch9 z+&IN#=GGqs7rB`XAZ2GMYAgf{Ci~nho5>B{2)99%gi!|_lsw+2&^1={wWfty&3Zdg zR&dtWaqsw=uzD(31$=6DVAvlWyJOn84ND3mAn!*7|yup)^^dd&GgL zgCxpvf0)6%xgvWjcp(uzmeYX;BUoRDBzVk#MB@xTK`{XiBrq~BD1151F?jpeB~~@g z8Az7I`3y~>c&KyG2UeLwK?8IDZPo2IB%r)iid7!5!WeYIcN=xAl}<^r6%H)) zwT8?3f`b(m@IpDY2FPfmRxb%F!A7O%{Bh;C(1EZ*f~jFD(T^vL%cvxZ6QJWy;s?*e zvC)xK6CWZEG8JdSkqAdd7T#b$4^16>l}D*2=j$P9qypPm1YV2429cSKD5S}}sU56H z5Hd8ex=5UtJ`4HQHa@hp6rG(uH+Tbs{3MDyzUl)|=ii|R96(znJCVo=EQdCg%(uJfuc|T$z$u#gNgSEu-7=chkL}LZ(1Q_bz zqvO(jI6j?n*zOuQgOJTYgo7(gU+loCL3@VO(o8044@$wc^`uE+QeSEYlvqJ4US$~S zD)y_uIf)36KP?%OPEZEh`{EAs=6sSUWUZX$wsbzYOd5({5$_>y?W=+@3N%AtL%yHzyh~dnHA!;d z2_^A|9aN$ec5$V`4{vJ(hy_WSpy^sszN!~8rQimHPKzn5N~TXkeu^jWTcvDjg}55O zvT~zeNO&QRNce{qIBeR+&#aK}%u)Y-z(zAD#T>>UwkY-$bm&wjmh>b`3O>pCH?d_+ zxSzvLE3UxxSQ}2_q^v>3;~l3HI%6nUOae;5^%9#wNf!16%R@qoj(@fe-oJ+b-do0`Ip=!57VEHBu1y~D~^f< zy`%GQWfnOq|MckYczHfC+5i9$b04zQA*Un%bl^}t03j}fh=qLuFB5~D3kP;Uc4DS$ zKXpvBc(q9jLco1tJ{-(XKRPE-VSvn89t{ID?1end&)d_E${W5sVr94S(pxtkb=J!8 zg}Hc;5<4??$Z#W`NLn=6@ucrBAe>_4Cb+^`kl@L(!4PGm-yZPlAUcyvw&ct$`>=9G z^DWC_w{Jb;s1F7USfytMuE8F_%YftrB-os;17`jLdiH4G*xc%A$-qBd^_g$V(64fD z|CsUXyzm#5opx;w^P`KN`c3>QxN4r^(P8#^0NtoeiP`Vtg;?)^J(@96e?co_w1`ij42>wq~Jyl}I}RH>$bvNP(E_xY*#^>-u>)31Kq z&85qJ757k1Ttp zNCon$g}GVCw09^U3FcqU&k+>?ChIJx<;1Z(y`J9_I6D31v%DG0GcU0w5JI^~P%9V; zWFi@b+lfjn={pC2t)jwI+&b9Pawhtrfns5l=Yk9I(@*!wW#FFn+lTV`$Sv#QE$1vg z$|$?I`TSd9;4CQs!x3glEZa@VMx-KYO=Ja9xD`wbkUjOBqFJ~jG~GiqmU z>NNa+r`&g}NKrtY!#=T=7JO1pd(dk)1u~8wNoXs(;i_(QU9ghLqm1Pxl;hIzxdZv{ zyq3B7&?u^c@l1wKxb6lrm4-@=qFkXEKn_p}jx96P==Yh7LW3ro1OE*)K)xr-wt#F+ z%fAyup*>7PEb4^}aQifij*H{Q4OWHL`bT;`4qID=OwhpKx zcxN%@uoHm4ifw<9V}GD^7=#I3AfsYW-KY?*Y+RRFHrH&?D7$D)PN?wQI)o+{#9B{X zu=Ojsz)$AOX%yUb^y7yO`grocCeu4N!~?IH$KD@t$gy4ynQL>E9UF5-TC$XK zXZx;#PkeI_`_`2j^6c_lO#n3X(E*uc$5IYfvhhLM)dUZY2Kg(8MjFeR8)W!7`zNxYOVM=ia0Rhk-kRru4_ggg*nHJMRs88Rl)X}@=rc; zRb$}ukAz-uM&?GMh><18Xc|nR*i-5&hwRBY_!7y;uLB@)@P95Jv+cEs@AcN+D$%)} zEV08-I_UWM#@6jCyOvvr>Br^J=A1=S-@}tl2$l@JOeBqoM4`F0c`MG!K zg^7vLM`d)Mcz|wbaK3rtGIQOg`d%Llmce(AD+nH0*t&HvPYqx zGf+BkthdPVuII4=1~V90H1Wv79di_;L~r?;3o-8d%k+cS1`aeB&vyqWpM%mF`!CHr zgt%t}=qdTvYYsm1>1l6eZpcl|x9;GwB;#LD6uzFrZ_oRxZXG@<%I@wr9$xv}{a5^S z-~NkV_~rfw5B}SdBWJz%z}*)eczEiIKfnB^2lp@d%{@;(@Q=H%e)uead_H&JXMpXz z;-^5T{rxYWeDLmznEU=;Ty)pN-+Ji*kYBp&H%z{K9+Us^-wyoO$`_cte;&B+zxz6% zr(ObR-UAPR7P9~RH~>U{xqtoL-@f|D>Cc~gaQA^910eT`Zyh{x@e2<;x&Bi}uHFwJ zcklkjmT$iB;1!edD=vQgmrt&{d-syVX92|aq60s>_CMBM@#jmweeDa#^5D0j$qUeX z2eO2Muk407Ag@4{FMO8GS^45mu2^&I2m|DfQHWu?DcTFZ}ZI&mBAh4+1xL_gxQP{Tzhsd-4sK^T3xi{_HOf zUi6Kh{L}9t$_)N{NUZY-`KqHKR*Y( z4^MsRfhX9U%_T2Q`_)AU?f~~c{&e5VQ(pKb!_Z!Ryyjj2`~LFDpD~%s@}fUJ{64Zog}ClFs7H)b%sn9zK2(S z?Vf+V|JaeM-#hE2|2_EY1OK*i;_Y8qbJvlTUz_@+mk;LOI&%6~*6;l_s^)+G@BHq4 zM^^q|<;@TN;}PKIUi8U*+cfy@soffA_U7APIdbUM&`S^Ie|_|psZagoyq|6bobJ>o ze)g+(@7GyA3$_CO?7J)1AATkO%I1|X-M(+#fp=FOc;qv?-+1X~2cP}bdn;f1+$Ed8 zd}QkL*WY|2V1WNT6@br=Y~KtN=}R^rm*pTKTEQdH^s9NKLmw4oHw-*lk!V`#SQ*zy zCBh>-D^mq{*KiPIM)w36FrNKna9^8{<8IIF#mD}djI&{|rLJvCglA;jav|4PT}`<9 z6XoGxkGK{>YBGC`?kB>QmR(s6P}Mz&7%+M{%d#~ix@Km?tWPvM*7vaPFC(-5#1xTm zO_zj_b>+BP%x;q5+gNr8YauVm)XWZt9m=G@ZI<+;-PV>$)AOvFr63zx!Pb&Y+BFtd z2D`jqbdKikP9&%#n7Pswb(JO1#Mj&C_N`3A_(`x*f$W4UwhlJl@|sjCF-|};fprus zN-{qQxHxLF3~<|;j2)ac2tS_3vYm034IyysO|C0|vg^&vP$CO5&NeL#)M$S@Agh{| zan3v|)4jCGu9%r|Dcu6@rhi@f4d`uyTPC93Bemu5=m@VV1Kiy6Cs{qIL{nY3=B6G; zl-)YQ`+6qT5-y))ZG`NEQJ1^ob9=2;pt?Ha#<7Bl&w802w>$BPpzkWcd}YKtTsk+pE-kMNdL97=7-nweLUXLG zAa9=pnWWD52{&UVGXOZm%6~7f!GTlQy_o34?#yEu$tuRRm2E z?U0?RGElMc2$VIk0lw?{NVru75nf=mkfno1Ju2wSt}^WN@bJ_+1t`02*x6K<@MLpM z<_~xlH;H8fyE(;Hg1Z?aVRfaYm^`FHa9&oc&Jr)E!DdfR^4NHg+pSCug#>>3cORIqNs)A8+NL!4iV;9xxpET548AdYMBv1j3U2$`ywOzliIg+|e7W$3LcjS|H|$RtfR8C<7;LaMoa zax3sq$wwCI1URW zvy+TM6C=SmL_-`q$W$}QWhu0y@*_|Fkdp$C#BnDulltC2`f{*x`yNu2r8&g8DHh}Y$ znicDosVaLmTUpd)gVe(H1Rj0HQq`r?8PymlQIOr| zlRZn-+S{UGmFT$tkElPRD1o?A{aZG(($% z)(5h~4yq5TCYQX6rTJMChzvVxLB`IYS``88a$^bVU;=Q5ZkyW?$E!uk0L0rQnt{*W zTe!vsQt*lA!R8FKvvj5FcMyjiCCt9NH|4M)mT4cd#fCYk~K8Xup2{xY*q0&&O|~ItITA> zGATz{+JH=)n6QjWa$Dg+kV9aJoXx$Wu=qN|Oi7TCH*P340E-W=?Y7x!K8CAkj8_AV zITv9BTP4UA+C+Akeh0f#5G5@fhVj-32F30l;aI6Lsj|4*F>muf?uLp6K8ok-NJ5i6 zco)M@RZs@+s^LUafxnurCO$D_;gO7Fcm2km?=0t+zz$m_m6Y|)@(xPy|t^(1KC_rA<(xNJ`pa=0S z!OurYhH^+E3r>=SD99@uYob=Suvh#MWF+S*tk22;6pfW}oWPrNxP_WfnGHj+=5RqL znb;v#=_HABI`;0M*a5B5aEP0O$hvtU3ywE+a}L+V+5fJ+4fP2vwPlC7^`r!jU85SU z5TV^ZCB6$DtwT7DV`)U~T#~G=o{gvzkQFN9>onep+XP!r~ih=#+NKxj{Fdbuefq&eD$EY*KgIH`;u?1{yx zPZ+`$sQ9Wnw5Ktw?vIv9SpvN?=*z~Av{X7&c6Qk8h_>%ZAkrXkkBP#Efse{DD;Gt1a9<4eZ^eW?vt~Cuup(0%n%TG$%Or*RB2Ka`)xGCS^6YKXV{XJO?cicKEfNmzsQ&?q z!7&zR1ORinT zb#t#usJMRYKq|^)BL^}*bYOA_AeN{nkPRW#b`Gx7QOs}QZ`Gj50QN;kjvm>dG80HP z-E|NWb|55{K%u!@Xg=QWHYW^-VTN5smMGLha1&3$JQu+vY67w>gF@8ZP1DJ=Q6VT% zg?fU1rWv;mf=L8?gn*A@hrzPr&LAs;fJ%lC?%!cNVh6ld$5pc$lfE4JA?Idi<8Zet z3x#8=2KfZX$Pz%Gc(Kq?P!P=yioq8GZdiGoCQuL;UGnUp*1?y{jz9#%Kg+Cey ztb(#wP8y~;RU#|MK%Az~^Wm(8eBqrqp-#jA9h)T!QQdgYMp#d1JvX{2&{haFMd?hZ z#8D|UesR^C1`;!}VD5Q%nYz6eT~=Ix*NC(NN)}`?JoI;9suFD}O50`SZRysOjrfsE zifASx-3<^@?)9J$mLPxubSy=t)4CL$Pi1q-HN|*2UfHT=RtlQbxW*YWK3OYOMO-iz5K$sMkxYpbI;2E?aH z@Im|25zjyv0d7a7+kq&H`yC^qtn-889NYUzaGNdA8pC98x4TrL%v>831RiSYN`;Ds z+XUtq$dVmtmvv>C8`Y51vIM$xA~#~#Wk!d9Ig+xDeMjWR4O|R%Izs2?(q4BRu5fVm z2$W9Sr6?b&C`o(pC>{#4l@STRT$LvCmNt}Sy+q|{@4*^n@hV32wOcE^&$pti*?4d_ z##7nq1*y{3B+Lr~( zaY$*dokv@ESJ5ZKRaFLV)fm?kkbQ16Zm5H}K_s&wOMAA{Myej%Fo4M{gbKr0zMcHK z4f8a&iOQw;W~=ObQeZj+zi+fwO<(<00Zo-Yrt1eGRfS05h?hDTL+eHvffNqTK2%5rZl-i zQI<#H%m$w{6CCT0R(2p!JQQjgyHbT});xb;~o5 zWkHkTJ+Bq|{Ga~%kp=1|YFi;0l6Qjm!>g;jOG!kGQIJswK`uc}^vMQ+CV4+dQIvdz zvH-Bk+#rt*FqvQdEDBLk$3XLMf~-uIef9*7rU|t)L8Mr=RSL2N$^~RjCu5HCh13Ww zB*$;HHz{z-TrP-+1fy+4eym`wPHUoa&;&vYSq4hLaBH(L~B>qp-?)w?>mG*ZIhxj9f zrWZfFM5c|!qoBUX?SZRI=Ls1kV>(vMR7Oa$W8VRpVsMq<>;ZYu%&vlTCa(dvC3$_# zHyha}D#6^c6=aBnkQ}mU7Anr%fk&9kI#LiP37;5hC4FoAxUH@;ge1S zlA#Im$Dx6X*?W~E4HrlZ-Jk~_9CY%8!ZI^$fCHTkSYf2?6 zinyGUAVXL&fjuw@3!3;Ie0>uyd_EW; zmmLe_VPC;otVV(?-+;dtX(U{bKLS+mpwc-hH-jBB_^vL?Q?Z`QP~!m{FtmsnfA&_C z{B;9l0Q*9SZ+ztd*)Ozy+4H9?)UF!Lt!4XJ4yzy*o6)jQR`Pe=`aL-IJ-Dh^eeT(a z^@KKWhQFu0e(eoR1}rUvXlz?;<(-4Lq4z5q3HMpw$|L_(tPsFg?gWVKl-J{0$W6o5 z!PgmiSSxt@&WE1U9*kde`obsv%h2S#li4R)lgm%7y#6(fQ+#jl00wfwReM7pVr8Lp zuDhh)$_MVo@-cVzTX~ST!VV0EM*FYlD0My5TR90MP7g z7Lr1i{JkwSd5rbuWk|tGP1s6pyz6#>tiNgp*#>!OF-zZ^IR$Q9QOuHs_+-NuVrWe) zHoo9*Uc032MDlhPV$`xGmi7sIP@@_{cAClf6ucjT6()gfXfn(8HIcYNY2z!qa7vSH z_P6HNniR>fut>(|>w}CpScn&N!R=I_go?6@+yhy%g0(I9cE1-7SH0|-3UyByxVb>H zgY4Oc>lyW;HygI_-LwOLS0e+Mf{rg&E*}8_& zfm`ro*-6AGA!oRj0po%6%zDeBzb|E1X>(9DD}f>%nH6)t3U05hHr3G)#+1dH2mpy` zPCA-M2)8%0si(pV2f^(m197a#o;LLpfQh!Ayg^0%NP=`C*@e-fC3r;m~lu?M2-p7-Y@ zih)(}S5r^2IZ?e66!FE#VFhq#E^$icNWu+Gw2j$t1!%=&7IzB2ewG#okvd`Z82&{_ zN~Y2eCioCZ$K?KQ!4xe@_@sgD;0#VUIlxAw1|NLDzR>3s8&b$v6MS-x_Z{9K9p|hQ zxqY_bwu5mLU_lHLIz=s@EVx0jUz$G+BkbjFpFzM zYoz2FBaHlrZ#I!YGLYr9Nx-c0@YpC`ll3dha}&UL6LN8kfn0|_2{!0{r>f_nm$CWf zf6G=)_vzE8&N)?G&+hu_aKGLG7jLl8dSNux01x11Qbzk_`2B@v|E=G55DXP)2Vq}NriNz%e3qkLJD4YC$7PIsLgWq`Ww0X5DKfwT|SYY0VJLI&I36ih1|o1 z>x$9!ng-Ju>SPV>cQI8|m{3^VT7#2&KwpmJ3<$>Bm^<)j&EWT6l@HMFKt zWTE=V!FJ*}8bzeYd<=5+xZL9iiC91(WeKN6FoTn9D@92H-WAod4NZhjQj;Bm(^X`o zlh*{Yah`DEh*>m=NGTYx%9U(26(%6tGyuh|%mJOn1uAisWPz0s0`hR##7tx}Pd0c_ zxXdSy!>!4dQG}`LGMWWs;%+7TkGM|(wqkB8i-(#^QcZUiDKY?ZnF$3^wHp&L-&o*5 zgO%m7p@5v#B+eQxD%f*1xN&#gU3vQfN;lhMv7?zkcgl?_UW zCObqfRjz{ZzQ1Lm>^!-Y39>S)cb0n`O~xW)t`qJ-&Re~#Y%U6Yv4MPM)l?u@c)nnO zAiW&qD12hV-*|n)%A4a9Pqc!J_2d3hkH{9=5q0XMaVctiqlj#8a)gi&0)HzZ1=%2> zHx+`cl}ML4B7sGh7L{oh8Q+M09%fAA&BC}K8VzXfhAD&1fuG7TvRC*D9pqTm=qh=C-FzXVn6bL+4a$A0xp21-Sv8PkS4a3c!?Fd1OS z5TdLcO9&M<^T^E8TC?Er-uM9-16Qc)5j@Lx!fhH0p#y#+B2BNIXEt&YeX>WYmBMCQJgg6>x` zENth5;GhIRh5$n)osSVXXzJ}Dbxm8EKiA$9>KY2MzATYuOp_?anPQVT7WgB5#!wV= z(lr~jD0N0_574^cs=io2U{Y~vb<`moJS>bj#Wg<#L2Sw?ehLtR|C+3l(CL826f_N>gL@=w1ZqTZ!f?VSo_zipDtZPO@K|p{>2R67(frD`Z zl}*FUK5~{RP&Q~ZHC&$&HyhO)6pPl5M5uv0!->GUDk(;tVG8)GL(7mv8$TS|rfP>P zF;hDR$meq(k-X$#f&^U)Y}k9d;#3}%M~^V8Rpph_VF8Uy^;#se;_}h1%L^#W4-bTHuqQC-sgn; z46wHwFIMH`@Kpo_pdg0x*^pJR(D--0?K`$Dw_|u)lDQm!` zT4-bb}i3vdwPf)Gs_<)8+zBd81sR9 zs^&IayLO48lEgG}|CYyfZV*^ihHyd3DzwB z*jL(`Jd436-WkO0#K-o@raT#2`4;-y^pS9D9wOtBWPdueFz4@NRln!fdnS;FX(GPC zjKKKPSfXYyD`Xe+MmB(~733-}w2fTw*6{ ztZb6xs=}OyyRt=71I^mCNw;0{zV@^k$Kpp;h&?v}$MPDivpAFuFsDTjSX|QR@Ng+z z;dnhnb{48^*nzxEx~Vi?I0EEt%nZ>$-DYl$CzS`Y*msm+*-V2bD$@Yc9Qg+Mq#jAS zQ_{gR9j_d@F3edloXw58R?igP^I7YT_#L?N^-M$U^l(B*m(4iX~#JoqAOgAL}7-L0l5Nriqc)**-U? zYx-@OLLokxu6-0TZWBln$s4T8WX9d5#erF-6~q-kkZAmAGFP6FbcrU_4ZKH(mo>>s z$)pqk`VxZnyr=Fe$aF792hmrMdb&hWkwmO|u2R1rqEv`cjf^A8}9DnGBGYpJJX~I&t z2(lcmpwfx1t;=E1`E?BJ+tM1ArQe+#orgS*o=qtE!j6*pFZ7h<)5xAE* zXEC#Dtm`=%R8S=YhU93HG?XDhdwbzw;4lxbZsjhIb6`C3NmV-(REwkQk#VY*laMQX zNKmZrt9sj&Ua~J+N43tG6GqtVfOC7Qx)_inLytm*_ZOn zvTrHe+=@seWOpU+ma1Vf%Tj4H;&TANtCH-~nvgl93CVUN8A>v7KH39iC6Pr|L0lqo zr>eJ!ds1wvAsZLFvJ|iJ21iuTurvsALA{M+Jum9YGeTvhKAB|ONn?w5vy1kpT>g9Z<4_79;9Ium3Nnq214zWf9p;CVZ2 z?-H|Yw`lkdvlbH2v2SJ0oMA#BAI7i0Pt^{nOb{eRx@Oh2BPYUNfh@52LXx!XVkQmj zsf=a9oF!M{m=i&BH>g8sg1`-m+bu-oCK4hL zbNqo!k;&*HF5!pcq9G-n;iU=Q&ANoav)qy)m=q~NqsjPy7eR2!KjsNYq&F`}8d=4u zGZfCC8z%w=7AUZ&Lp=?SOVFF-o=kQ&NiM-A!5pM6o56wGOh1f*q-eB|45Kng*C0e9 z<`y0INs-QT!DO1;ZHO>w13&%+zLQX$z)fN1%CP(s_)DVa&Y_BR@~Gons4G zqRATg^yEpNM}VymG+g!z1+vuw!byYxYgKW-2qC|um(H^Yr+W*86p-_>*Zxc`WoW!# zG6#A;I1CIH6WZGft$Z^p`-PcJ#GTjsT_oFbwPmsUp z$TLds6Ta-r3c0^hn56cJueahpmN#Bod7CdJy?*8pIsD&nSY>Oh_GFtC!HslN2T42PEAq3E|@x#w+f4>e*{uzJp$xbqdgk16H zB8_cZXnYfusx}4bJOb}`h!g}mFaV&T_x2Gb1N^;aSXG0OUi8fk4VNOCX@(y{__8x4E>Bx$s7j8sr#^5!7JF!BVFSb1dkSJd3V zrrj9Qrv<*@me~{9=*~rZG1_*tR(#zTR$umCAT!a)&AQ4L zLL|Ba~8KNvetxzjL0;lU{({zwv(;|HzuK=cUBW5Ny=#0M7+V1;86R()FV9Z^KUC$hE3IyW@Qk)gQ#dkN6s09-ZFv6Q4Q zgpzdL+w)OJ7Bb%x8PU5kwm6O#_iK*x0=k}}w|LKb~2Mw5tQNkkZX zsqdr_OB$r)zHG>Vf*|}qI`(_If_}&CE@quGrWbKnM)jx*gpuH0BI`iDk^b@cKg2~L z*ZtMW=1%q1G2@`3YW?XhF(wy%6OF|anz~YBf_Rq8PZ>nAuveQ&qx2GA(jdqJzM{bl zf|{1-buzSq!f8eToXUfO4wbD!3(@C(Y|6q@->xXvdWL{+n&PqGsDvs7DBCn4L!DNWMpvIy#JlDnxDS_DZGbJD+q+amH}f>;NM$W#3) zx00sTNjC6)l@LIgLI`GSs}z-;1e^V8(Ua<$bFJgW4Ihm z93h;BT5MmztPpAwkYqs%(xEje+m(iLntQY2&UaVrWw?}0aYU7tE*)-#>HR;ReWnS+_rHL`TeRm>Va4cjOl3RTRRg!dR zj1GuaFrVZoAu8uprWsP@r8(K_r0mr>AG;o(n?lG2rCc~A?bZG)B|RKUcrK7eus0*HpW zYYH4cQ|XsZLW(BnFc+{)ppOlnqd}IEOZ^3^@hNCswAmtS4CoSnDwJjg={tO>xMVPD zA_OrNQN%2XNVk+AbH*;k=?I4she1&;1!90!s=4u7LUp+y$~r@n%y|WuV*W8?mdcz= zHPi1WZGo(=Wu^GZgF05=iYRO7hg*fb29~K*FNyJjX3TO~gf0>4aRLRqQ{bcO&& z3JX+PhZ{F&Ul@`Ys1KxqK&-SHrJBAj@j{v8=~g7F*~>qw-9pg(v< zy+5y|=UDs$ktOi#!SLS?^`acc?^|wm3nW*}cv$jb*FVxp*7&U9Ih`mqeUX27c7vDr zCI0duLj|5fqY^~IINXMy$y$0YLC_^#^35bZKgg^m{<*>Z11Lt^g(9+7M*dQzi-#$z z!N|*FonulSWHrvfuX^oFYURj`tmAU}s-@Yi9Jo`^A~l{^R5rp_QE1b<6<4K-$A~a? z(9~WGS0IJaf0bTT|CsO;{wPQlD-I+{!BDY(qK?Oi002iEQZbuC5{t#Tx;v{Z(MAQG zENn+>#!W16+H4T@0kU7rmGqIAhvS@U=)zdx{{vTTPeu;#9em=>5 zN|8UMWPjpxWRGj*gjpxXH9olg_su{png$%Awi`caIsg5E*&n=d(Q-C){IS)Aj;1_+ z^lfYQ2oK(*^!_pFt^+^!%-bJd^kLw6Ev=y+7~oQQ0uA@zZAQ9@ltP zAas%{FHa(5c=36vY5(kTOI4xULi_gv4l1_){dsQ~=MBAc-rK?LL(l#TAZM%qG05r>+|+5K@@1ItKO~ z0L%z>83=1YxEo^D7k(P}24UN-1sTA;LkDgd0)(G!_5smTfpq~v_4(}@@)k*cVC`X_ zn)T;T)v>R=n;2FgMkWS;+!Ns}o}JY*^lVQibls_<><;CU1YC50kUyXM*wCx9k2|xs z?+pAv0Ako&ADBKe$zkW00iySGYKIv^d%iP`{ciu%rF#PR%zFBsy0e>(o!gZ8+_cjm zzxi*(y*Dpp_6K<(Lqy&`bULyNxrhFe(?pYJzCyD1DDt0`?7L1!_DQV#%lO96{{5WU znHhVAB13KWz29H;!QbC=@!^fj&u%*2KdLYQe|Gk85Bhp5pJ;Rbpj@?`^q%?iysJ(% z8?=!B$ou=^eR&}-=lwk@8%|>Uhvd9YQsw0-3wi2y`!621R23H58T_!;GOvoqyD|1ChTy^ue?n z2WMyMfo6BzP=OG-I4q!c0AjKNQqI)s+F|yui{2pcT?wrAp*eu+y=BNbYiI}I{SFZ( z@7t&yc2SZj5Pt12;i=sdGVGc&dq)+B!o&cOdjdF`np7Gd8VqOZ;-`wT3%ps9UG_kTuR9!(h9lbXh?{Zmo@O_;TN zLLF;5#+x$6w9_E(OcD3qypU;ic_9}NdH-ilNA}+NdG2FMwo-8a2_jE>n`A$=gOZ$0 zviI)EbDy5xr^x*&py?hKbs1y|8`{utb!*^*mUC{~ea>=r&GFvVnGr7i7!VmpcrZ)~ z&Qy9IiIU!NrMEKYf&+P1ooY55Q$nuH`}-m#Tbr|A`FlDgS^p7akK>bPmA~K4CwU6x zWW)H}XAJY&^gWOAA$HHuiO^5Yd5^w5k5G1ZoKJ|d#>en{{=u5XP&*LvHNj_VfLsxH zY=W*G(B?k_anpz1;S+!cejqT5a%A4n`xl+R`z*zM-Laz8sA5RSr{Z*RSU~OYf4=-S zfSZlknQC+#CbAuGedCOb0^fbio;5Qy``Ce>OyeKh7Wj!{ZXXi+Dx(KbJ3L*MnGOJG zwAg_Uz^!VB(hsX0_6FF{>xN%DSZrvwA=m|{in2?!1H0l$)DGZAr#fBqE7&)h-W?-y|Y zIWOb_BJby?C3{w$`)wtgDcR2wd74u2xl1U?IwJ2CdG5?<>3s^^CS=bB%I@L#V;S=) z8d*%)(sTa%TP|9C;-clHFON|>JPJ^I8d>Bu*{}5e{FCI9qe}0Wluu45y?5tbrLFw% zY01`$PKONk9?7(LUPWP$nGH0#E@PvenQ9A%P7bA<{_6+-hEE!_vMD};z zd=t+*h7jl^%&H4*KeqGCDlznfFHU>T+fvv3o;Q^JDe)Y?!h;J1^PHZK7XSjL4TuxPYIv%<*g^h{v7%~Zu-i$D-Uix z`byiX+Ydf*>@nPQ%^Mq^IC?xmaHB^v06u+X>#BjTLe>xd*SklLzxzXglkWNT;Z-yD zU$koAjpMiOeRJd1-N!E4_9ftmzH)f=?Jrz-_C>Y}|nUx(hL z$;z28f3R^Y)c?`n9^AV3e;uBA@xdPr{gCwj;NaFfZ@lW)Upoj`+BYVxKDaf|``UZA zfA{P=Hs0i8#A+zh)%P7ee)9`I-~Yr-KlvYTt@_Q@qyPT;dlw$usvuYoe($NRH~j{B zzq0jjMC<3_*fU-|`se8FF$h6kBvEV6EQr!0mgtzVXVT<4gZ*>yrMx$8Ue>_DQZD@TwWF{Cri-YrpyW zfBilUpkHI#C%^po-k(48&95EYy0ZVPM{fAlzI(R+;`p_zk(Ya4Jp8$94_@@mfiE4o z{pB|&LiUAF@JD-pJ>~eUSGKPHG+g&zz>wX0eDzyj2j1_$zy1u)R&ON_{``t9D$`J0 zl&dbf4tL)M=ve$LfA8#rok!*C=i@BU`xeYmdQ%poa6NP!=6v~wuRRHX+}-ya{R>cb z|6%L@e&&tutU7rAmw&kT|E&J6+xKty#j3A^d<;mq|GN6FJHGtGj-l26eDTv49X!FU(;`^?6p>j02TS$xxQyB1uy27TKFY4qJB08y;f$tuDi88m0NYteX2dp^*!l{|#9ggHJ~err zl>$a7$bFhS#qq5X0k$$g-a3wDRL79yQkgXD7ekiBc~b}zzjyO_LR46(8hrYEVWVZ8 z31rr8=QF9LF(KB~q>@I-?vT|FJhB*s$Y1o{78O&&siJ7AxE!*r-g%q4o$3_7SqNv& z4x<>Ls(1EUMZAS%r+Vi_Ggkytby4=EbwwUyt+D2~V_m{`$Hj&HeJ<;=fwGH5##njW z2_LR&6)X@;xfa2V_CUy2k>upNc}%e8IjQ<0)>IK3w9c$+t#BN>kM9=BgV;g>+ZdOo z088tbrSPY6Y{DRNJ6x9qRn`6mH}Gd0@2I=1yv)gQbC*4>eFBtM2Oex;VkR?c4fCs; zdoos_cW%fjE9>L2%QI7pI;IpuHoomPcx$M~v5Kq7gVm{e!D8R5PFY2*t<8c}?@0WT z0nRw(0(*a}Qumf`qx%Cu7W zf-M#*wP|Hkl@s94LbilYVy5+ND}|J7Qo%3ZM7D7o6w+iF!@azWHI;$;4j!w({%*Kx zkxxiS$Wkb~0QRkZ+Ak2Ato1IGO+ts26FT>eS6IR(u!IF;1>|;0t5Cs8BY~8=id?=s z#HZ6$CVPL69Ra2-p5>lBiK zs-r+YPl4MSA%wt;G6J%TfM)A>rP4)83kX9F0HO|I+txyMsRv9Wz&my%ZSmql=CLBT zHpqgd9etbTFsGtpDKlaT`bf#eKH}-H>;q<5v)PmE`W1Fh_xdh%1A=XtC=HMo7(Kie zp9ebWJ}ha@G1B=+#Y zsI*JMP!K+0Y0G=CE1{C?O5jt^p1wPht@wPY$9k}NA12S%UA|SJF2rr0q6a&1wB;L`-+}ec|9bxz^7y-appIsa?!_t6< z6J=>s<90K4BJtc!BJ(^nfsn-^FT8=V1rf6)XvE^Sd0@RNKA{ejK{n4Xngkw|gx*ky z$toLAQ*bn(rb_A*_MIa4#ekU1(pA_o#EkU7%7_^sWHRv?7c&AU}JY5oj}Mf~(_j zPrVWzGh%EKWGgsbCaL%Y!UWT1mvj%hjO>7wZr8X%$gwuN`J1|SV5b;z!xaBOWbe}- z#kI?mRU>d@FO9vh+FOEGc{&(B zlUJ&9fjAXqOpw?z1zC>u+&CkcAi?es^a>FACva3nThnH8XInl5gY9&4_r+3LL65mRR zNEeRPFX$FAi&+q3qU^IMWX}K~u0fz+pc$O&Po^P?wF`;LG5~Y&ZWNg*ltrdwl6}$? zL6gO+?J}EXkXE%}iWFEXq=m2R~ahcIXq}UMg|QgNwoo3 zX2=702M*rP-~!Y!*>YylMPPX`Azd)yWiboF=xQ<6;~6pHzYbje2z{dC7=F6wP;H9? zNw6D^QX4`;hQPaYJ;*A7oOR3a>)l-^=bO0_q0fp4_C#pwjH6-${2EnVZAb|yq^jO_)>NT7Co*HTsQkM+YD!Vd zhrJrcyE1ianCvUbuo4UHq_DX|IsZTd9*uT)@?x76?^^zCW>?QZpMtMxyxL^FcR0Id zp#Hn$&V(Is+L2`O;_o0y7v5@co^|b4?Q`o>Nb=haEo)FJBb83TPERRL*yoj{mq0dp zhpe5mB@xr^sfxXdOdjMwPknyVATv3hq>ydZ(*{n?L+2a(TMf=0-6o*#fGm7^F?tpT zw?hu}hO6SLCf)&aB^*ojw(=l(q2A6!6KoAPYS7bos7NNyf={U4Mq3u?{~Og#_5d>y z{zjL==n{5S9eI$IIBQwTEpF&8u@!d(TkEpk&C49g+EXP<;Mo3uygFIZV4U4%xsisJ zG+f30cU=XOEAX|v8QD^U2l^|KU6$-)%cvbqMnEn@r+|0uq})kH(7cv-)7A+4+<55{ z$$LfQYzP6$Dav@&cf@B*wzEpGlE9=5hOB3ac(6F_MjX53?m-BtZSM9^?ehQZHf?Vj z@INboZEMx9X(+R(v(t>?GdK;h7I*aojDb>M)rZsdk$K^eovDKHrn7e%x=Ic4d>KqC zvfpx^n|yUshS?87RmNOzLt%{?_K?pEMMY5swth89xY3=8|Sc&7BQ#cG3sX#3KgT z_{;;wLt&URfFEW`BAY~JO#nN_0mvhg%s%s~3|`XkS*jiWqzbV^cR~OJqVu8TDgh(N zp$;m&z!3&pt%e%~x2Px}M?fy~T&UBmxRGz*P6DfTj!3&K**@n2iQ1uMaa}Od;cjB6 z1|%LnwEw$UKXp<~ft-p*;$b!*UC~g{fe!J)l1MpnW>W&aBUJUSy#!5r5eFEriL_BG z&I8J>a3#YKBFznSQ5!lEHn>%9)Bx%*D@qpcLa7)?pm|6b=|0KLW%Grh1~b8k{4`4d zSX!bjWI>i@9R?~J2MV%81nnPu^2NoE4Om-x$=6OlY%V4rc-%Ha$N~dMt(D4y#X)$; z;zk4Ij9a{up7$kEIX3C{>d4XXiDdTWwrH6MIOlIA9Imoqx=AU=BPHmVvtC=kgVL2> zoKa%#0cx(r7!*p%X0q83%OVVT8F&sBx4`t|w}aDzhUab;GlIrI;&0%SF#8wj)>2a_ z`?`Xxqq26Wir7QFO=6a;|I-=Mi}2^qSCf#H4a%gUB%GAYB4WEtYBNK)cj3kgy9Rs#0Ye4^>8Jtfh z?vm+HuQvIilxj0!)CGhr~CAwVG< z=!EgUY+Tu*PHnJJAU3{TN@cdQeL%=^8ko<=e0&5Rj5H zJ6I$ITj887iH;%6$JC8=ino!(8KA@8cBxRtNy!AWAPd#43K@tl6_l8e;HJR_I{a2f z?Z8;&CkPri7{?#fMb=qDV)&##Ey1v*o=lm(2N9$rP{Ifq6(hps9{k{S1)@+AD*q`Z zj7A-hxeXX#COOhEu`?IOP9}Y|*vPudh-?vAvSN@)29J{nSXoPHQR29{k!UIfsg6{H zo<#nHj>IKZNCUvVxQA?lcnTIrB=CoTjL@YDcA^)PB`Y%ujMB`*VxdbaXw~?G7zE2y zKT^dfiKMG83e6(%qaHBS&zeH9$RCbz#BH&4tEwGF!laIeaGFJCy$TydoD84yq%f%v zvVZH$AKb7&3Ayg|`g;K&3LMubKXLZPZAz0H`v4%SAXq#0ZTsFozj99w#}%8xJhBDc zJGCaiyaD^61H=~FYdo?AP^JX$dKqv<6{zZ8AcXKxvE|6N&E0zfb$__w93=TL$QmH` z&25`EV!LtTK2czf4;}mZ4OdNl>Ba{iJn_v{kK9WN{u@A5-(5}I3gPs9g|%9UR=eo9 z*5rQR&kpn8!{2&IX;Mi3{Gvbj9_%P2lR2~t`F|6L?Y?clUXKm(|M0c>8VUEom1`e) z^w>LE_N{-oVYTw0wi4T=Y}@oW_C#04LvL(;@^dXj0nHwPzgv`*KDKQ;z+ks;f4%mq zi;<*)rG4UlB>A4=CLyK7{WMv5Y`8gxeaEfTlWd;^5!WP?l!Vq^b5!1$(YInlDam5>7Nfn$C9pIk?p{NToOu*J*2WZ`s` zkT*A;ryP4Ehq9aVNh`RIJoJD<2PShiZ+>p=IWzxojr+AZ z(1d)lR^`sjoWFB=XN@Pla|%B6$fvQ5(YDRV;zIK1C#?^9qkMZS`}RHg^YAY>hW>CX z`5TYagDW4ppR)LeNb>s-as_s+@jW)fKPLHs6_6k4_}+{ zmmEgMr4J=vUk}+y4|u2$;>4OOB4;N1Vwn{+KVF~g>)#M6?>{5N<~A>gG_9!7nhf+= z9+K>=m<-I)2)3ypfZS*Abn&h2y7^nuW`$^WJ~3}v6>;~cj5ccvRyxz67h}!mV5{_A zy9GOjbSzoYgN^L(UAxYX1+i&+!ifEH1)s3qz<0dA4sS_Mb+8~;VYea4SKWI%Tmv5h zhx@$-kVBJWRc_2~tMXEA61#eIKfWP!*4zd7_!ZnDStH>pxgi8iz}XCB);11JF5S{| zeFFXn_XnL#u?nXG`!z?k~L1 zf-T~-^hd{=p$U0xoEEFKWCwllpBWZc^J=>zZygnS}) z2uZH2>j$|RQ>Pvi5M=6R3}GX8Sl zyW)^xb+q>NZYb&T+M6RHG!qEEftZM%b?@VC0qnWbjIYCI#L9z{n_s1J67>eAxPw4c zR9~L^vT3(mCRBe?gjYt9!0ao za0WL%z%zJAIy|RjZhUH_We1A=3IjOBIdg_3Nx2hpuKK&v8d>mc4iMVAbmKt+ACA^ZdM3n3Ug z^EI3$urmHCk&6(~uZnRKAc}}&t@8;;6h{~$Aw)4Zjxoxb?4^QVbn;c8&Z@1XNoJhs zRIxtZ#pW_Eo`6o3xCSK9Vv9iv1{9<{f{$fX1mTR`+m6VTu%@C<;E?+0Li1rYfE12` zC>rs(D24{;ewPHD9~iAp#7MEFj6HC#kurqAs)JFB);!x6p(?a|)OwXWiFBv7nOwXiyYTV8b3_3HbeX3&$mPhDglC zR=bp-5wnmqI`}j)R2nEv)Ct7WEsRx9VnVR8R>pcE*aR`ytvL`&{KfSMf!u1@9Ahcy zY^C7801c+pz=&~!$dU#&NRWdU7IIAPOWXx6$q-fFb26BTrPB;uwfFBdkdu2bbEAxnrmNwPb< z=CGkyDa{MjTlab?P4*ggi(l8z%B5i8|J)NqYd2OGp@9Fy4{sD0+MrWV5h+<70& zp`L*939xO^9&pI6vN|oGr{b+CYOsVrGgK4hF4{85EX9qAKkL{enm0?p%5ujnueh`Y zdkH0Lc0fV+BrLt==~k%Xa|`D)yTPrB$;YaCI?SN;NO}13kj@=DX(V)}S>C=-*0FXg za;MTgltPjn{UN+4vVf9o5=lJqu(HFj(2hk|rhJB&?-;w2ZrpHt<>0y1gLj4MT_+R; zH_UN`;7P9t+2F;?k!dqpn=#S7!hn@1Cyla)@P5r;B(|K}u|#o#(1Gvt7*JzuOvJ(X zXv5VEd0ChA2D&7CGTjSc7qhrT@#z!7bq7^pgOE_DBC7C}C%!0*q~}S)3kK&``c@7v zY?x9}#tSt2BI>$Dc-%d&*^`x_vKaCb+)?cl?AEt#K59ln*)65s7pw2g~|I1a2tVjlNEgN4%hI7fIE-L>lgdZMiQc*2A!8KC!dgPlvu4`>>Jm+BFt(# zI+j|$xHmN2fjJ^Bx>{5@@wr3OStZOlp!C*`RSIT>kU2zNqhyCBTMoFF6U4A0>m-M1 zqPRVJc0hKVHL)wb66F&kX?0*)K4{KoJTxozpFm@7qY|6dWMq=Q?6T+IRkeeU{TW3y zVxUYgHa-L^E}j;*1h+&RiqaV)*_>p@OD!iJ$0`?4NG%a93dUU4AI9z~?ZaeaDLQ0L z$2^ltQrMRQfL2~!WZCBdZnV^i*ObMDQO2)~O7^8xk=2MsJdBCouCn;jn31?V3NZ3B z*He|t5w){$bA|=#ph^lVRi~V z>;{5KHQ4Jed{PNb!l5p5mGv0RF>7t3s0f-^;YltgE`JqgwOAx5OT$1tJw89mbIm~CV39@Yll&erE8oQVo z6%78eBx2+x;ygDiF2Xsy1aiWOQ!{*dlAEloh)I?6#7!L(7QI|Dpcg&$5l@P~z=Lqx*CF~+*98Ii*lHmzYHIJ_EJF5rsFYBk#(6b4R^ zmH@v>vK#CuABfs*R&Cfqq7Z^ogx-?MFq~i#9Q>?Wme8%{W>(E)0|*@5yhdKlc9>E! zCYf1e;&lsjAEE{(w9RT}3Sd{oi%r34IABqS6*eq(^rz1q%#NuqXA-P8%$0OE0~xqQs`M5X7}0R zZnmk}6JU?QWI?_oF{BV33TD@V-QYN;K|(iUE>Ts8;+-eUB<(6p4>xdLdAGVCrEMUu zV5KR*3_(TerXF<_k%h}Tn1X&$DCGb^d6k^~Z2Xe`lm03VtJPlAm@6PQxRyTkuVH;{9i zjd(Yf;I!rXj&WjRLBFaUuEa#>c!-<`O@Lf--!*-b9kuxUl^8Yev;apt6;oF*(I>!wMg>I8^C?V z%xjJkylZk`U&pGV5BAqRcW~pjZyY9I-<1QC|1wR*p6ex*8r_awi$TcKzbl_HCaH4^}{kuL*JnKDl_dMuu!NTk z>@Oe}7Pj>(-??n#%q#alSFz}iSU!3X=DfdRVE-4cAjiIgKiY2{T8zCL{xl-@W9Rpx zQQXG{1}DO~8>ha5RStjCb}eKaO*A>1vPS!A!1yWY z+Gi#WkKjhVkn_;m&5@>tbq6pSvY*CmyXU%|4ApsnNxd4}0^ET1@;nX&oo*d47?6FTb&oKUw0z<*6UD`?YpotK#I zNSkqMSExk^A>-=}&x_V$0l|4FEi2{Nogcd&sfU>t;q9Fq==@SoP^4 zy`i8&tA!vU!&S1js-t`zU}>F2a~sT$V|E2e*7tk$d~j>I)Bilyh(y2;M~Mu_8kkxy zNA66BwCJ3Nr6A*vWK&+6p~!CNZG*JNsPWp%oFAt{--!iPHjLtKSZLdc8aseZ+k0w? zWDw=#LhQ~?(;cKBl`57NE`k}5usE!`Rv2q&%3fg1>|Rw<}*C&WD2ya`J% z%6QyCr7EZ3oROLo<|PSf_oh^N?Z3PmiwK0WTlOU?C()wa;VHa-O(@>85#)x@qTOu< zl!SuNBnSmJK*5vB_KojTnjjnIj`CokCYoF8JqQQbmpFB?B76u|U)3)I#=rk?w(|V?Fa)$gi$Ey^+N@eUMWm+bku}-N8RhvC}=KQ$(+@U`DB$b;}g% z#Y@(heb7B76*~#161Qk>)icr;Id!dsWVm25iQ`(D1q&-Olkq6F`>_NigwAyelf0aD zH{gT?RJRPv4D3uI3XJDuSppqjy67idtq!CuF_QougLO%+E=$}c?OG$H81yYH0U^|1 zUQU`I1d3IEGC`^pVSaf!Y05JA!*v*&3x!E?q9Ot-lH?AHTTmK3UFgE(JVA5NHdc0Q z3~bxaq8GwJrhZ~24rtXR6~k>d&1Or{&9x@13#a%t5iw@Yl_)injahGTgCPWGnM%?P zl4!=g8XqTgVH~c6um?zXNgT2*Ly&G@s*_++O9W1boq^jX$M^q%)I(3jy@ua#{`noHPk=(pS;mXaLcsIiXpo$|G}8o1wkgOYIFBm8LlrpTGv<(7Vs` z7P~SS`q!?1pOgcd?K)KEl{OesuImPP$_(-4$c)(Xsz__XAfQ=jrU6}UotXp$03k`(gS$cUc94mMko|R zdy`mF?DgJa1iuzCs?#QGMSFu)AKZb)Hd%|w@-i%MM;5{_AVuh94-eWv$eJK_@t;!> z`6|d_M;{>%d-5Jh zgh(mKjfdc>n~^(&KU*GYnKOyFCwJ}S9spm`YbyZk%O=}{n<99LWOD5K8<9In_@qp( zg@w?Y6ePWU>kdgCAHwb@Y|9o((-oP;KH4rezq}CeA8gh;ASY(5}E8BM< z+4m_ALN=0|(Mm}|?`)E5v2+BVC`}Z(igKPb5xbOvGx7=oAXf>|+{5+O<`}920^@;f z-~%bIn;b!2mL$qjYZ|Ktw}kG|5W{H5M;cF7sw9V5IZl#z-(g?ATTA3Kb_wmF@?@;3=4qo&T(6$ue4D3pXA_wu}0_V&$ zN>CXCI##t2s3F0P8nd$TOi>aL!dxzy3n7jLvT2zi^eimf7Inw%{yepq0Zr zKMd{16f7f1CKnr4tkSR}hKbf01a8?0X30tph4At^>S!dW6hzXJ4#-BN%oKR#&Ja{1%bFqFVKGZONy$}0UV9&FGTSY7Mg1gnN357z$7FzIFsUny5X2(M zYTVBgmv^x+>KIb+LJI<|c-)Yj*{>QFdJrK=;$$EO{h}SUOo#_CNL32=%Xxg4=c{Sa;oqHz2nixC%cTRce=3C&nD31xY1 zkPQ&922EuAjhQ4bAfri^4;1v4m3KQw6d=!|j~!7{}q zI>YHqFjY?BA$mr1W=YVqGA#0KJN^*hVy+G_sZPIKNQQ?Af?dSYDvg&i2@WbN_@f_$ ztRx)zl&quFWix>vNq*k`H*rK#e4F6!0J=sd(@NlK>Tj{y}WAT8H(b z?@t=yF~{%-JVs59VY~y03%aUR9EECRZ>i266yz9;lzDQlFp=e?8}FuUFa;uisIio) zZu%oKM+`j?x>QVGw~Uptx;QdY8C<|EElw=9V>B`Y-m#=*NMw&MF{(2|@sQ56+;(j>?!HQXN%;{pn*~hlM zbkMNxsslC(HlESFp{WT_!SSu1UcoIlXC$T3dNlp*ae$ z6_>6#at@L2UvzZZz`mbCNz9?|Kj-4xe*5lJQjn5d`?FO8m%(@_Na(40e*TJw_Ypd< z)!el`&I3cV!o{>uCJo#T_Q zBH8zozw<)!nrO$WBrn@QvM)wKev7zYQAvLMowZM#ciUUf&~n=SWIQ=`e;#FbGMRHS z*;&0+l6f>{6y`!J#}Klf*87y~SH7^a6S?F2`%F~^zezqhHgH#ttUWlUCcVF_QMJP+ z8fm5JZ#>kj;fP$P%Dt&6Sr6Iu-mYZ*9+F+Yu4U1((B?%u+PY%Db^A9Y@lyB?Bi0tP zwCTUsEervu>x*p|B;9oB;I8sXUMI%w%UBBrjhl8St2);v>(@MiL1|~GrFEGau0ek5 znslQ6$6G>^*6a!`oVV=blklm*n%e7ON*jG8;Ox39G%G5wt}q1ci_+r6Buw=JnRQ)!?v4Dra|MQ&OX}gO ztM3elUKC%!XAZ_Vgshn2ZHQJ40?IGAD^M5vbZE`fZM?h7<(9b=Z#b3|XZ{wGB1`cyX0iy5#Y8!# zQJzoA4EkwKj<&wC-wXGSgmMLP8>{AVYq81Bwr3D3&2v z7qX#q`g&THniN*}bO6Zpq5Q~7FH|h=FiQYp8;`DVZILj%v}<5?g^bTzyYf z+4P1e@98Am+>e9Xbmm3f&BZIK`p;fbWt9SUnP7nbA+)doh0RxxG=U%|YrG<7t<1~D zLKcjF(AyG<$h8fT-HS0(vBc0q#^Q!4dM>8FecgS)We#@40C!s#k$dt zEvltnSFg0BLGK?j<~eofDrNBAALFbK9~OU?MhR0nF0oSC3nEL!aa=1UbvW_b5KiLk z4#Xhdix>4UTY*j%x_W|om$Y#KM?x5FTLyLZ1c@+85aT)m$C+UGNcjw=cJ!7+M3AhQ zV|OT_I+QraY`3DjhQN5v#-2e!o#V|d7>GjwqW&B~$yTWi)brz zGMtGFoP&F8yi&=lqjhw@X;lk0A=X>|0QIsl7NBJV8pxRQ>2|DQ*!Xc1;A9-DpEN{= zwLn`-!2=eX17i*#F9YVffT)y4aUgu*6p2j4k^+1XFu(;nVz?`WKP8QE_`fjJF^;JM z5fEeQZmHq`c1un2r!9As7G&~L!oypa7*MA9DyKJe2S4~=FFnJ z8Fg!+z7VLG@hTh~pCAL7(a?_(s$lRq2FZ9D80d9O1xx>o9h<@P{{QSdU{X6t6izAT#2`{WtxTX7ZI`M$+2HlZL(Lm zTYzBR(;g~LrJY#QvOpvQ;8T3zfC@T*R&`Q(7uDMUDCO9cCK*VfyV41Ahv!6Y_;f|R zI252NSEf}34NWlpy$mK93J=v=>ykN>y)&8>ITj`3tBTeo-SC<`ylc*!L#p0J3)Mm` z$lQecV(cG5x_*j9R?7Igwof~=&~f@stKp`DQQ)|SCgD?tNHH~tQ6%|~Nf}JKcPisC z)k!0VCv4r9666g(t%6G4g+$nEBL(Z!KNNjk{zg7)C z6`G2M+(7e*^&z+_>1NW2^9M!1uvlmrC~|V zL62bCjiUyYTsY`>h+@$kh)3$VwHwtbi#pXiGmO?q*d2AO(W?CnQjra)(7%NR4?%qi z9IJ2#rk6MubsU;PAWaoA%v~91YIWCunj0j6TS!%CM+fxkg!*L?O$QNJHK&$I&|xm% zJ~Bmz7+tLAIN`#@PMKJ(5h=!9x{A4fh%=q(kmqzY#x21}GBXAd(R{55Ef{#r#UL7J zEgK}XVbZDe7&eAv2~*Jf2Q0D9{*h%Q+L`6ZOHCFqVh+cqd#sFRTm>36OB8E;(H+# z5F$}T&?M~tbM9;)D4_lRzK;u;ojG&n+;h&obMLu#X6}cgMii_P$H^yAodea6xC))SVqqE*tNY67@pSrFjls7_5Jf%z$?1E9lTSNGc$`E#ST`FY z9PLlVMzr0@6MD>DAruzZjl8qdP-SAn(iL+GZlg2=zu>7ex+FQz^mF;6|7)#7T?aj+ z(*;v3NkbjS4*vW%BCu5~S$dqop_SN}G{G*D&MfA`r9L`RENqxcv9**&M-luPEJnLb z!5?=XYT(Hw?iCJBi&&E-G7e`Y7pkugR^iC0rpxGJdAa<>MinGI6L*qK70F*qRxd#< zf=t5sQK+urKE)4LG~|mL#W{1*mbV{S?K}7t=M7ckWOaz;DERDJ$y4-#zL`+ZQtMdl zJduSu7J$q`G8_?P9dj<1tprWQJBL1LgJTFN1t2GtiLv}mw}BP)-DW0++yu5w0< zB#h^w=y9)rjE}oOKwC({F%rP{JGcU*)20IFOc7;iVd&r-qVs?tTsp*OAZG)keCLFL zRq^s@SA172C+ZI-^k~T&!8)1uI}Q_cmfG1mG)o2a42CmZpxL8-pbmCHZ$I&H+MFq= zfA&!8@YR`kPC5ro+|4IZe&hkUxsIpU#ukNT>((PWn`HJmc1>HYKehJC7q)3z%8K7w z=`L$#Q%&(v*S17jqM3J6jBul) z1u%!R@zN?TnoW|nVrOSZ*|XT zPC)P1?>lgi9XZ(f%T{&xQ3$ch8raQsvp)$calcjve;aOv$Z*vZQyl8L9mSC7^`)J5 zQ)~G@>kAhjZW(9heb}|BmY>r+eB__BEguiu+3ao+hbEcP8@sl}p$YG_j>@u^&Qi%$ z?GM;k2jkh~AS>U|mZ-coF}8&q+tFXnzSef-mSfB{symy+l+Y+8#$1t5ieTMcBAfL( z43sKX_1@aVZY8l{@i%eEuKJYqYP~G+D64Az^w`w4P5ws`4<7jrd5XiW?d;Tcl%+Ix z`gElfvdt+St?ibR6`_iZQtpr_%MGo7MJxvqsF&qin%xIiCN5xuc5P_Am(3WG>`oj8OVuHZ?Tk#@BDA|)9~|o-=*+t*dyGUI&u++FOhQhd6d-OTu3@kBJ~q90 z!&fU3%?)L!_*xrFizUYXt5vJ|s83@vYi$6L{+}srd*R=X9o%1>N#L2z)tMPqNCS3@ zA|6rLle)2}YogYhTAO|74(*famkuv-Khn(NDeR+8Z3GT#x*2hoDWVK9Qi{rTjd?R` z5!8-9W`P{(L1eV|?c`7f=S7N0RT3Yjs8xS`A!a5LX@A;=idGhAPPuD0t6I-4n|Tba z{L`3M3#k@qk}747BO&OqGeoF@LCAwgBMHbx4U1tlgkHx)==|1;{?;t!HiL`@$><%l zTGMGAzKzx1PYrZ=V_Z#`EYT~`I{w%wULKq2{-)V&4evC*wJcKR7TW0H7iPp})4Y~(UIVyPB{^}Q9?7&6o--I(!ahu4IYef)y;`WJtU3UA8ilRY&;F>>eCVA>|Jx?5bW`swLASRV zDwO|qL&I?P#e-=dmT7ITFbFAXcWm6N)xqB(H~EBI)l5Fwf?`PYI)<`rEpJwYyZPzI z^zsi=o~s4;tvUKnEIl9ocDF>JpnhYgL>!us5R|2*FbF})(;aVgFrF>1fGR+uy*AP7 z(5YJocWW-S4o~(-EMfy=HysPHZzGGp5!z=dRiChnnyKRgdSqg^)=XsgdLow_#NBG$ zbZk6qWq`f?4msp-XKecEv9FnX(%HNI&Ju5wCr~;lOViyt{^Zty^v0PEiMTf$t71U3 z)md&U>vgc%ooG%xB?h>mKSy?XZB5L~B*zi~D{__s)N@b;#JwHnj56xVHGrH=u@l zC(&tmt9I-_?-poMbmU@y3C!FXhu-clLH?-x;1}!_@grJ?%1*L%P&*j=L%aXA*ny6whO|cH=g6cv-iqBKKv@?88)?8T=tj)L9v#?mWqzsh z%CTM%LNf??_!t*us?S?Z%P^K5RDN{9Td~{RIX9I>UP%aYL5Fny zM#;@IpbGb6=^MM2AH~FSTAS$d3cKvlv=wO8{@&|L%Mf*AIWOM?C|TC$)h>-}=1A+Q zZ&i*gp?92ol38nISak?lOUZcFoHU2kUhtG9H1^ap&<@h(Wi8N3x!r|xmlf?iJzzpLXdJ0dQ;L? zryRVCW$ZnB?NQc^v-3BsYt@@KxZSNYPZc|KYVG>TMArYw9upU__hL8wGo;DZq07xF zGiFfJfdA$3ax@yUbvto1iRR9UtyOpO-fh0b*KCy=t;5_t zyI1`}P5raVIIC)jX$U5_2=_p}`Nzi&TCF;$Riv@h@-L5Peaanb9XcPHjwnXIqPYuO z*(r{9B(<+Mv1tiZd-bQ&FG&1~E?gLA=kZfYppglZy6n<_KXy2CU~`)6sK<~&>R=zs zuD4osR%D*t61g|-ch?R&a)-8lx_0);)JK~U7mMbucYc_bz&IpgoabXb8&YW#fZ79{@VAcp@~0gN4{bk^3MH3bEjj?>1Op*&*d?{77u(GNxSLIs_ntT1-q{! z1!-iFxru9c^gecPv8fcM&VzYtKi^6*XJYJ^O*gl!!?a({MXi&kg?@hM!wFj=(@t(3 zIJ#_yJE$ex=yiIv_DURGgVy1&wuxGYF8AHR#syI{?c{ifV$>` za~B8Qe`(&%*tu8Cy7wS^xzYX8TDF2l7B()pxlVj!(#RrCgMti<BICxQ_Sd;uBpxbVvH;l8lFI~ zGqT7roqD3Jp<&gz2dH(pppIQWudKYXdBbq`sbhyuZC8FWnspo1ec~i`ZD>@Fm?B3O zu92>4v<`A)QU2cAV^f$MSzri-k%e21EC#Op^wT{f;{Mcc-hS61KSKi!kiq@!hD1Vi zYU=cx8La!!vvwc-H%Au8@{_CEj&X{^m{GxzCStOD2ek{sv2O!QfK!P@%geXf$p?48?2cX%z z8iD!>FjxoP)j`?aHTi{!gr3@zNTR1sdwyi&rA@o`-rZ-*`M|b4GUFf5EdVlW|1~%4 zeEHd3`+oDQ9jk6x+SD@fAD=Ir4@BA3W02Dg`|i2xA79`0F`#2VNT1ms$gIB`*tquK z?|$*+z_Cwg764oSk@4IhH?Dep*YbOAXgmV=;;Dc6$AN`Uw5^!7dgLDfjeP$-(0g)z)Ba!V zd~V?rO>f;*ItSvSa_=`6?1HOc?9RV5zR=Wiam#Js-hm3zm>7#=fWg9!#Mdop zSFUPuJb26FaJwY8OnfzC@v5f39T+g0>_~`#7L_|1jgh#cjv#Slwmu!lrkg8~_D} zKY!ylfa-m#D*$p^`k;_@K!xui;a)1qyH<@|+VsMVoqcw^+_dJ-ma+eQscpfQG;sgN z!y8+UwM|@5j>>lTa47iB+XH3{U)8wkS?Jy8tu^rXYC^(2rR;X{f53HD?YwQ_stY?$ zSaZP#FMj&&zBM=Wd=1WNnXw(2oOGwXEXlaj);1mL-*Pvq=IeVencleSYze3Plf?5) z|GMM%t+;6W!M>=WXT_ukNyxQiUPn!m)&8j)5=hMei_jxhgwQ*yv2zk^=YN$v)Kd$g z1s%Y5vmR@g{g4%46_h1DKKJNCS1!il!6uz`Gy!?89@UjBBG)K*!0D^hBYhQhs7Jp~ ze~3VAO$FS3?n#N*;9j6F)s=!tAg6;I;GTJrJcSLJtJmpD-VhJN5{njB$;wy+@S|iU z5PZ#`4ox_}E>CJQ7n-EP_$CK`c)-N|?!;QiXkLRV!mkU5P1 zDoIWed5VPvmCa0$aXw|8y##Q^(OK!4)=*IO$dkVYAaMoxQb0I`kx@uOG_ zrQEFs71>*93KZo=QZOPF1bA7%&wx*7dwqeyBciru^O0sfivllA3h_?DL;0wUw;-_i zpDLCRhL`8X@j&n$S`-bt3IO05&5AA$fJ|9(Le8>r(^LYvZPt>&I6{fCyacF~Zi@ma z3N#5FvmWv2aAG9c&7nJm~STdI*saSz3Nz9AOEEa1kmtz+eNoy05Sc@B?#! zg=I3}K5^{GET!xvk~_Kt#gG=9ZL>d-s|28W$yGoe_65`)vuw7OT#_Br95&7KR-umWvexiU|a^f{z{KeI-X;i?mN+8`<0sV7M? z)f2y23AA9KF+*>K5z4MC`R`~_0FNba*Nb5_^9>G?@!6lDvI!D`&xviksS=cqT}0dh zIK>^zS#m-Xd0!&SkDT=#sFeP@lQ^|NA*)1(b9pobwFJY%d&Z)40td8j@+k@fxj>aG za?r~|pj!Ard!Z_E;zlsSuc72Gm(Y^Yd;GC=!+HrNWhO^~Xl zM39w&lPYpyn5UO#vB673$O)8`guJAt5CWRvC1XFcQte{_s zYw03P4F-#%+&J{n@s=IfsFW$uOK=Lq+i$g`7pFrk`UA2mPbW9+#fL-ZGVE~0VulNx zB!qluT6B#+s|alpLoLuLOZ?Y00dAZEt$==nb40tRNe~KfQ|SSngGbQrNg7e6GFeG_ zmnrm~hHL#KP<5v=N!wIK(938_Ewj%0ZoZb3@V$*<);S{!*}PPuUFiTI+K#ySZsrO1U2cQ!EVAK{Cy0jW(NeGilbh0#_kQ$9a z1nHM+3?7vXg>tf@lcV<8*Yp5PWnry7;l1M6?=n(79s$@hBd-P9mQ~tTBGC8Wc;=t7=*4xk~?9+&lU_ z%izp2ijao`>!E@|!KLF^yv2yB32u0hd;-)>y>IHEB9Ika#1NE`2W7aDiVl%Q^HX6C zg%FmdBA65m7pZDG>bLYD-aH&+*2_W*R+{LV@jxs%55`kv)5Ro|G;T!7l7yV9BG)C! z^$2bdo2e`YZm!m;g}`nmeC62%JwZ0=^YPieg{sP|CpQ!!B1I8qd5T~K55!^4sbn7~ zGm)FFZbdQR&P_$jSE%II<{;#RGyKpSKM0v6cfmN5Dg;?6^)B$#k?~!?B&(09rU)C& zV~+HR;m4PFxYLsN<<$XrG~7`WvfA)@d56{kjv+UJ(+S_A#DeVpU2=XJ3DGZ<*-Ie~ zCNF{Snyx}PePkU)x?bu|P`n`mK4nThn}`wt*-zvp0U)zx)mVxxm^q8hQVIYD>zQ?a z0OgF{Jwlq{{aSmGKvWkE=^-|l)n)o%06aOXUf=p_mHjqc5GwFLBEovudo$)QNFm%e zFNW!UN6d|uloSx?%DmVKBVen!t1Hlpe%;N#QKAc0(fHL%$%EZatB zS>1%%E5WVHVnANdWHc4;611mK5agaRV$5TH2*EKxtNk^TF?<19K`OryD!}+9Ji^kr z%k*w7NDHwrw=bB;c9TaZE=pnSc4(p~8;kJsiT4+%v_1XvwC=*bDr8Jtq@zX^j7H8b zrO!Ao7GZ=p*v(8Ij~~3Jt6-R=k~w&l(@=x6dPnlSe)SC|rgE|pSiy0xAHxv{A#maL-BnvP>!3OjqvNaZpF)qvTpn-DqXtUaZ{{fVxdunOmAo5fgPW0+xCr&TE=h(t3~h;OT6Q4#029$v9tPVs zW!40#kWP04E<&{r_}jDe6G*c!Fz$qqq$ZB+_ADXW_OiI@b;0=K~fWQ^pJ=ESjc+#E*}lEu}Joz_7X{(tYr z34l`8&u1p=*QA1NJPL#rz~)%v-lKKUcy1@YD>$;P41epR`#c=~(d`f5(EEFd3Pr#i3l|0D*$A=%zrc?U)i~zLz{4@j=BO zN)8KzkS4U1yR?I(ItLgta2&~3z>BbB4#I|U{HYj998c-eHKui(@WVT~jk0yNnasEGXW6_M%SFr@firCVrZG?yV#=_PAd2>0IGyx>6UzUGuHpuU-%@rpL=X6*8li6`z5SI0!-dD5`}c# zv=ue=#j#DL@6Sh;iJ@2)WdEznTLERdqP`edtBZ)7^dQh`Z-1^PiTAsCe)jhr`#`tP z0R}q>LT-_cT_&+sUm;l9(r*b=Rg$lHYFR#3tAE9TVEyd6d(t=D|0-5yhw%VxeJr~} zmJWz5vV;e_ZT=(Ri%CJqo(xyP`1PxQPp;Z`rdD4mr0sY}zry=CFul6-Lat7G=wz86Ti z4{m-w`<%_)OE*d(uP(vzG>+`muXND+*`xcWKDA7ddV}n^YVvn0n#*`>NAD!It%Rj@;B>E*KIwu&tCzsOznhODc3kx;Ty^Lfl>E{( z31Zt0rz;&>4>GWAJLv8ByMrcopH)hT$r55%k{{@{c~+@YtJ=-^)zYih{Bi!~7fL^y zBufYNr@$yLuSL)FCH@DO}*^yX>BH|&4*=`n8} zBJO9Y_uZR@QKhtHlgIlq3k9byads4D6y**LPRcViexq4;_h3N|d5JAxFvo?vMK zc}uIe0^aq-1@C~oK6=_z*QheYASmK%xo-uql_Lhp&&NPo&Zi)R2yC73z{W?b(%lb zr`11U-z%b?5z&;hO5(^eM5xALpxMEknVzhgnv~L1JRTV0vqoXD9Ap`0FZb&ft(lt* zy|af*wyzBMa_hHa#hqcn5v*ozFz{Y!_N0CJqXWJx>gPdkN5Kb%RHo2((?chP^HB^+ z{mjy=S=DA*DqI!t;V~~6uP9J(1is(*k_SODq?HnAHVe49-XWv0+D_OWH8gAkBQ}hW zx-%i)JEXEN$jpe7PYQ2G)ghk%(%I83{J5p zPs*_xl*xpepv?e71&2(qCt)p`=&WZgy++NFi^4b+A}|a>PL$;$c>|8OIX;h% zMnmKi;QeNoV8wd)BbUsPdY`O`qo9HXl{{vB%3P;bDO!L}^69h2Yf)j2sE_I;sgcm^Q@2~2Gcm#xl7=?#!NhXQ7M{} zF*c36@Wn9x)R{7PYmb@*ga{dLsTKk$3h@wuVS31gl{PRdO(Ca=FT;(LBCs(}0dWsu z2>dLBdPI_`n%OkEfhN~#3!cQcPzu*6EM;2U2Zof22<9aBrR+x2) zk%j|{j!%~~Mc@?XDByqE3A4O-TxGQtCbuT>3QKSdF-%nsHIpm*gYDF5n=M-h&d`R; zVvO1-rlO_9$GK=){%6S+kZueG%Yqc~$I!}mtEk1A<*2f@zKNL)fV4*`Wpa!|Cxv5D zli%uWR?WHX3bHg((8|q2X+~4LS;C*=1x%`HOt5*Ty=V3;9(}#q%wpQyA|4Fj!JF;V zVdd-aHe{PXy9of^b>sN1<5Wv&5CI;|I6G6*D!s$-5X1=EAsv10L+|;qV|2GJwdtxB~K7m_|xE_Sc*l z=qCKIa@H&+`-G&wL-02qXWLPjgGKPN6h9XGaEc*f`YdsUp*GCvE{)$fQY1^KFAB}5 zk&w3@Q)0w^WOJVar`7>9*wg)jK`2Y010xKA2Rk>oxK4o+y|QpsdcX+0p6O?n5}k!< zggM>kfedqa`Viap+4l12EYjQTkEe5Rm1>L*DdU)}KyQH%3t*9mjz;iWuZ?2pyC*uU zmd~o`USX=585m>oP}sg+b)7$dX%~ zw{=mhDwApEP#xs3G8_k4plZULWXbD}@fK<!%^tz& zI`x}T{mue6GDhs0g+)6?85I`lV3?~mf$u7D;?7NC@=|3Z+26ZKg7AqXr+9iW713zW zNJj`af`t`Vw6=kw7?DF{F7P&!BLp8Pog*La!v+t(kqA_k;9ao`1+hKusv=N`%&zW829;%QB{GnQ z!F}xrbUZFX9uAcRLp+$a2i(Hf#f5G^Pd6=e$ia#@(|p`S-|k9BfBibl31Bi22*5$j z_cx|TxNfkb8gx{(MKDKr#MSHYGQ`y`HENjzkc$;7%n?Q^yK#`IFrO>E0?5M`XIcf! z+*$$K2Kkr*5L=yPKU9&0UeIL*Z>;5}?+SzmzAkzo-K%GHRnz0pB)u3`dQuJTLcM~s zdQYYnh}NO4w~8F&yXY0Fl83e$Rs~764-p)EG8j}BaWJ4Se$_`eNlZdju zJX~{QcjyfvXk?OPTF68JbgT+(gV@J?NfZddq-a)_iDDQ5Za^asV$q*n0Z<<18e!sv zF)3S6mV)YuU&-r^DQXlNxSUrCaq+Lr~IFdET|yyK(PS^r9prA)x@8D z0jD>8^S#yZWm*6yE&Mqt4?mW(s|Ioyz7%8v$Mv{4hu5X39OrP`ps&LpJD<5&j=;`b z{NW*49kmZK+5*wkQ*d{qa9E53pV$yA-^DGaWC1k@88ePk3P+jbQ+#gWJ)Js`{dxhe z>W66fJhVGtfx>Cv`hHRbXsBb(nH1-TwiZceJ~9kJK5YaPLrTS;z@Ng%%#%{BFM^kd zT(Nm72QT!&f0B+QZzI}4;zUIXOkg?`gVB(N(H2jm94sY(Kg-6_F|4bHrCx2}0<<&= ztEG(fddtEUc!Eds`$&HHK`7EZVG==Q7?ud9lpq-i2P{;GY@oAYnPeqY5O{kk=&?{b z93mjl#seeR=_t(UB4|UL7Ei~$r}H@YC~@ekDB!Hi5>}GHq$kNO?Fn@Si8LUy=!}3Y zWNkr$kJGLkHiE*jn@oB1%Sl0#W5ZOAwwuO5I_PjYmL6q8RPGqYDu@Ui0HhR7+aF4K z(3_M(e}=dnQY@vwPmh9dFEXC=Ur4f$sC?QuS@F~}E)^BUE~FurX;>DHE!<*OgjfDfH5?UADdISB;;&`va4qIA2~-ucogGkL9Z1ms zZt~|2fGA~o{k|&7vVQvhLrXTlbcojf{@LaorDK||I}Uj}2i%xl-i}kFq$cA}R&c)5 z`>K5)e=~I{WY=S@_3fX7d*5~a@J-fIEF=!um>Hj6`g1(|ICmecoILeHWDA7Td!E1y zFfe&nk7=7W03=K$-}4g4&mzkmHD^_i**CVd4CJ2ssvux|-kgO4Nbl+UZ-Cza0$MEz z!CyxOr#^!$u`KvCxZ;AtICa9Y(~hIn0>sua$MN?YNg+vWTgZLZL92xz!bAN-Y5G1q zTi7S{uHW?%WII-#kPXh`nyelLI9*as;^xl3ed+A`O0M0ta0asMx(hxTx%?2guUWcl zLFv`&p3rcS&ysi|F8zfZkftLVy z{9x&|o4VgUX1IgNJ7yn9^QU4-{WQS4-t7oM=}bOJ!FIhnu2_GPt2%O%CfVeZF>iwG ztU*r|V$40)!OG%i$1KM)i#D9XGVE6^EFIz0*yMunzj6_oxc6@_f{+gD#CJe?1{p#& zzxJ!7kkuph@3=s66ZyDflRj|@jmXGuJ8BlKhA)@B-$z0K95A>13)HNhk_?<;LVA9! znD7Lo-ut&ZvdNUw%Wl{E7Lwgj?+*9n?I+(2WTms_e|q6K*MZ9+e{l&wgZt@wt~-~C zV1!)7|B(Hki#rH8VGgpC3eruoZ)z-Uy=)z5Bo~e1591sB{X6(w{|0w)2ib*&;X{@M zc72AYE~ENk??$bkH{c3)2GXuRPYc)2>=&F(BQ3wD7R#MVMA>vcS{z`&FNe|8k#6NXRn^?4Us^)vD6-Gd$=%6fVZnQV0#G9h1Qh5Z}w zC_|r9If|g=kR=up-GAW&pTAg&Zjw(XtXaL ze7u9V$ULKlatJB3*r>28nr`LRgIjFN@ec9ll>D7S@UW|1*cO}`jMto9QXzq6^D&iT zM_;r_@1ogrJf&CqJ)>YH<_8Mt$xQtQVB0Q$T*%((vyC(#ppkur&s4*)6`pJ(j=?&B zW&_~~4fFDCJS8ZCAc>d^_yRm^f`T>`S{;#5Xyidz2L)u;^pid*7+eVqp{cQn3VD)r$lu~aht`{qa?PL^Ny{NEi}h~rTUV-bX6Tz$;uu^hy9 zX_m$~{uz5Q&ztH;wUuv-WFq225;(?+F_a{k#Z1BQtsvt2WR&H^gccKs>gW$M8zzNx zb%Ld1(f}7alekRh!eUP{1uQuf^7+Ii2*3sQVuJ)Xsx#Fb2;m%SwvsfRGQdDN0tSm? zQovoe;M_rfD8ik}8R zPFb8m5WBLMY#q+n7@ekhIhj1^2U@g~xzRpRmLj^Y0NrviqsPQo5%8%}!ovj(0xPtO z>`YOOS@FTZw{7PR15O0*+%BW$Ts0~{#>)+8|Io^7XwI>EdWwpdCTs$Pt%=^gGE$ zW;Wn$*}&k@U2dc-cW2hd!b{Mc1BBP_rPzT>1(zf{t*)3ehTeFn7y3>sZvw5>>4ta+ z7o+E+J^{5n*-=3y?|6{N z`kp0{TyvaEx`SJ40>A^Oh{+s~WR@Lc<7DiBD+t)Px|(EDZ=K|(NF&n`aw5y`2?4iH zakA{*wU)4({iqZ-h7rkxlP|zzin*#|{O#>o0vZ{zQNKHy^L^Q*&8&8FmPu|{*e(Qm zckd9z&B zFRG=dCtVOHMF&}ebBknSrV7RvF#|7bq^~H7`CMt3=jC1&G7z3HmjzWcQw*CaSWdMr zOw9WSA=RR24;`Sbvuc|E)xZpz6~#F-#&e-bwUO@e)aK0%|3pmbhgQllr;@>W3bJGj z3P4wf>=-Y}kV7c~m*Uj{N(Qkd$#EiJhLy;)n5qg2;808M3v5-8g#jUS+L988e4Ly1E!woprro3_GI|jT2!jMg4G6p}L(gSgx1)lI z6tAji6$|RF+589o$7`4YphjUc>w> z6)#Q9?4XIPgU8JQU%P^hKasJ6r2KDe_=!yXu+~s@U7!ebysHw$DZvySMp%Iey9$SS z?JCPt$E2lhfB?||}obo&oNjQgU zXg!6cnxP>Dd46*)oq_3ser4@Nb70ARBGXmEIijte!iE`fDJb2-%SSN`l8By%m!P=f zXUx(q6(c1iW;R?<2=)=er2K2GOQL1hbs1Kh`s0T$^p4^3jNi0S?-FdE`e zXA0ik;-nXq23_a~kOxVc>KvTdI;;-&3F=9EkmDro{K&SIMq9~@Xa_ub_(>*}i}qdT z*W@Q3vkDXC&mH|#c@j?tkU9vF$GF|Tn@D4_QkSO;ouiGj(5GR?)OB%!-EkEit0#zO z+9Sy%TP6(Jkm%Xj8I#Y#Y03#>OuRf7f<8Ja10uo5P~P~6&{CO&{WGVQOxZd(bGqM~ z8~q<8Z*eAA$9z+~ViP8u0Z#fX=FkssUR!!}f1(^uAZT{^i8+`?J_ck~OtLx?!^LYs z#tbn2+UKH4@9`_fym>qDXQwWGW7TTX`vV8Zb?sIAiTj#8a~76<1<2bmEsX=l>~Us* zGk{hLkXdlQGUn+Wgoi38wCB7wZRzK$N{`GTj98K_v28yd^V*S%H!mFU6<}D$93t}V zpP$eKA3xy1hve>n%nGPpXcBbD9VW{qnE-MjAem)&=^HS9&U`$|kmTn`_7=y=*Ws#r ziM)5(iVseZPb!_JlYBC4|2w54o}EhEE9L-Hb<@IPW6F%VgnrF`ns4yU^Sk1}@P$xm{AzHRE#VGBzo_kIY0n?|gI-fgh- z1z9@DvRpwzq$V9JTZb&2A|8P(Z`gMi(3l;hXX(L*p~-dlbGQ$#?O;6o0vKQ^8=55j z-JT_`I8nbB0KS-n0PGlW)SF*Ta<@Mw>7Yrwg4?7|g8QzSMR>ovx|bf3kB)YrC?I6{ zuj)}cFKu2tphGcq^k4^atfE}D4vT28Q6mQ%Cu4*Czmhjf1T-5cyY}oiB<@&`z{G6I zZjoUZN9Pq@*nmd@@ojkZJ*9d8CbaPec&IY&0JED zpw7&V;V=-;avPv`?E=puG(sFUv1^%O+mDhtFNbVAoaj+Mn~%B@kYNFP!tVm>0KbY^ ziiK%C^kvy~5CY&`AmN5{8!-1DUFZqc&+%mYZt|4iQ-DQ7_ZG(H+?fmP*{d67n}%!Q*5(o`ev<07K_x7EW~s`0iZqq&yWLCZzT>`WL@gv#vir2e^!8DSqw;*5LT? zB7=kg!nj5Sh^^<9Armo;0$&UX_kFzv(*_F&r#O4-&>QNJ} zg~MD=*y>3ba@8T=t!h2CrLeNV#8PkYc4g7f@x2=sgl#-If!-$h8?p-*rQVKb3Mh&N zb4in6>fm<-o+~WcI3Yh;4OC;G12;tBlX0Gs$uJzBGDK5sdtP@4k*J6CiG*}jJqoSi ziSDWNvjdZ2#9f_& zM;R#+`_3?+AZ1CK)WB7aY+07a$zc~wht)6Zj?uoE9tbV@VCWtzx?_seWOj|2jVw!j zp(Tp~KGZ=Jb4-OM9%fAJAp5wLC(E{-Ebr?Fq-V?u6*{hh-lx(eO4XnlNu3ZYg(8&<`ZYLB6SrhEh46T8JatH1_`Qjx1y(b0QvB3tV_*)uN!Sj@_!&_()9EdTw&W;TsuEg2UwHgmr# zrt<#}>=j(8cEz#7M&w&@%mpxnXfnxfEiCLRoMWpwqh{y2&#QD~O!arEqY{m=W6x1x7kCI#%#EGmfAiGIH=1V4Tw! z0Z%08q{XpOEh{>NXX>nPo|2{o;8DD=uEo+**&{6OEPxePbjYDu8YG%>FtH{gnMj3~ z!}KDKU*3gNZfOkbrg3H=dhKVyqfGr1%Ls zh|4WD7XI*lpJZ*PktNB*+jr;}d4DIxEQm~!VSporg9-0~Qi{@s)8;WtH!RvgvX~Z3 zl93ZEbhtU?+b+c6bhaIoQ{+^KuB@XEEMTZg?}nuzGr_zHl`V<|uPI`uh~C5M>P9mrjyvi1dr9R)GAdw&(6En@CJm7UMim&LhVa}<(L@)_A7O5- zz3yP=lxbM=|7`4VqHV=IH#Pn_CpC-u67)BwiSH4wA9aam(WKqEn9v^o#_X8g@HiT} zsK-RxI!e8fT1zboK=u=@rTGfUre_v4;qx&|OC3wk&?kUl4Tq_}(dUMjG}NH0n4^|l zj|Mu`&V97jXV=zn%tg-yS}odabS!ZQ3H_#Tw8F-h6L^3y(_aEI=Am;Jc|&>Bu|&sp zRUkXF$3&~>0rc;S!nUXedBM4qC4|UlEl$TGA7uaxR?0@VPkQHz8d8$FA#jc}=@HD6 zP_Ug$+{Q#!!gt$$H^TUUw4K`#GASG$71$GAHYYs3p{D!@TP94Wu4U_C>O$wP) zQX95k!IOw6x;XN8Een?MPdt}rSnMIMFA`Nl_8a$AUKWl1iv9Y$?wRqh@KSsp#L$NfYssKaht5;m5lzgO%ji6jIRh%FuA`qFOwdNI@T;g1F={Z%M^n9`F5j zUv%WQQWK86Ueo=unw0E7V1J4^>h|1c0(NQx71}Zfe^$vM+4iDIVLPuTY@y3X6az?F z#609GgIpy&2v_0x6J$dZm;)_H6L8PLGlhvnhGWt5)sQ9*{w$&F;#CFcExG3qH{f)k zw^IzaFO@!J$Kof1$Quove-#Z9TK;p+n zsJo-YArPXCjp!3~NJ=+y=EW72t!K(gd>SN1TDqBSb3FMbv1z=(Raebj=_%Y0J_ac+ zXrh_OE9Meej<66zl1d2LJCVFei=`<|waivg=rmcV!ARQ`l_X#4Na38mky<)t%<+@#+68tLg$|K8nMp}kpr8d7Dq)9P(mQOV`CviT z6-1U?&=-9q?OU{qBnt$d#IDPh6nTQfqw*AI9u42aPz|#f4>HD67{VVz7m`_S0u;m{ zak<4N)V_1_FPAK;mSrGnAvFYg<-moOPbg#z{;OPJNIF75Bu@U7V5N?NL_0$w=OL*K zUx26_VNHQN{?0~b5R&rc8Tf)D?=sWS=uT0Xy`;Jhlnb6@sL0VwdU?o_oM+hl3J@5y zV*UR`LRDe{4=WT4@6~WrNLWbq8z0^ZdWi`h8A^`iI46^!twozU{MocabyA=Pvjb$(8qk-GC$ z1@D6V@+EF$8M z;}6M@2iOsGge2q^bV?k5MFBF~wiw||$dWES0kT{hqevgkV zQqAr>f@5hTosfxB*&=VJphU8$k|)Uu`A5g0RA$j{GT{{doBRX=Q*qFq&U1D+4IBmS zcO1M}oG9W^G;AuJq3<9B)iOv_x!h;RlQ?ewaT1u-D{ut=P=WwzgOMzF$4PWb$>G>K zm5=s*I9ZZ~(^hGhE=ebu?oisdf_7By)Cw;R5Cc|TMI9Pmptm7&ju3*Sa;YS-o*{i( z`hV6s3`(>b8Lb(KDV-BjI#uybRqqrhD&0r4uUoao4*)f$PthaO%?S4tKNU!@z`#iA z2*nz$=LgyBy)e?F0Rf8zG9pHWl3QAzAJii^*UgN3G=hM=<=Ap#b8F{Bv-?lY7suH^ z;h{3SN=vk=ca^Do1#>q?ssIJ_&b3eZU5OzhBS!Z>cYaMMYgbG2WNr1ggXL00hU8%qEh;#ccRTo9A5Fww*I&9nTPpy#=hJR z4Q5?|$yuJ4j)o_)wHk9%BkICjW&eT_{@K0vp| zel_z$Sqw3MF$-+AjkDU^N29q#df!BR{x#L^1bd08?|r21Xa#PBe*4P#lg!lC_?o}7 z^ABrVLhMl`f9zr8I)9uwpCil4VuW*jQE2X`>fLbFZu6{@T(#oc5kDz%d;-+LAZjx? z7G@rXmBr;S-tk~y6H8m$>X4sqt6WRw;0!!?3q0sP+^bnn99y{qvcKIhmJLtr?=oE} zPdw8fS;B+Db1=rzP88Zt5?|d{6_Q(q~$Nt12mE%BY5+1dpAW!s=FZRuNdEAdtNHLR=z)rWn7n68!T z#2L~*-uORj9dN5!8Ly(D_LcTVnfC71v`>TX<_v|WD7*yiaDwet zMTlu)v?B0^Z~<Zm^iS?P(m!l=59gQn=e?if zwY}KK3GK=0EO9J-to#{uv-_e_bob@)A{1}3j%c!VfKO6% zUXq!BCh*B1K)<;GbX#{plb>A>f10tKPeVIxx8`m(1!JYl;)F5^5zqQRMeA@WJlF*Q zwhZQ!xZ>nk3cNItriih}SdJ`)n3tg#tPr&h5eO+stY=+cfgaB?_2oO%Rc*|OxD&@v zI^}JA1r$7_?SPdUY0{hlA+R!mBBmPzh34LVHM#1u=vf_Ir9JrV)w7G>!3OGOlW?{g z-S6;UIxB~QmbCowR+h82O_DQuw^b1NxCe>5S9AKHW3lVvecj(i#9>u?%6AU#ElLu1dY@x|O&sTTgb>SV6Iaafty=X(U$_Cto@gj< zJnBlAhu8iq(Te8i!NeC46Q#et{7}iYAd{5yH)#)Vc=O?S#&CDuZKoA0Pe2G~8iYIu zSM5ctR}@S)k|lqdE2%;NP4`lXH@vllCD!h#YSp&1xxbCEwJ(-e#RryIZEM+~AJIDW ze^9m#xOe{7)B05*lMPSXy*urrP34<-`TlyA(AhZ~ww7pr+mO~|x`7ZH2dL}CES8sG zTUAlVG#*raW*3%&Dt2*ZZJvv5Mf{hp;p+$@gPUE9cP7c z#C}ZN5#JJ5%8ENBRx_eb|5l@!|tY;}a@HshCdm8CPRxl8%ZA-%=Li97S2LmNrl-KZ^LXkw-l z-{}+ALJzlUzMhY{o3qMWjq*bmpPjG{r#;lQK)W|F{8)}}tIo>T!GrFEB~{0Zyj?eJ z>>6jo-Bwln^n!8b-9)Qk+VI4e!<$pf*9&wFMKN6pIsIkSIwYFQfH!<3nApe;x$AIy zubtt(8%{i``B2m9SR*dy8k(EaB-~&~4Hy5P#SZ?*L&lbSJ0IHJ`N$?3SxolO$fA7E z2S>G?Uvy3sDO=M;t1051;uuC26#bWBWKnOM27*+C;+eI67b4x|M!I4hjoK!0V`Nbb zWZ1vb$YRrWciC2@v8;ET4T!@(DogP4BE|Cxb+*RQ$Zfb)-nn*@U(2B(M3;Nh4m0(h zXJ}+G>>Z3+iZ2cPw6Agb&Mrra+|xFdPmf=8$gJwa(k}JgaX?F?&i+ZvHT|eIDLJyZ zmYmtUm0DQ}SQ6Wob4`vcenzc>Lv}pw#KnjhZ;{o~;f8k2iOK})eN1U5gIg6N*dHKq ztiSE=+ULvNKi}$JTQ)P!auHmg7+I`nMH`ns8z2;MXJlcx*Y$4wfJPRF(=S-XXKt)J z$k-Nb5FT1ikt2(sF>vkr`yk{ql}+^xYW3fFFNP4V4P_Wvxa0jYH#s8wXd&PdMP*XX{z%b14TqV%%fe16qCS|XUn2JLUvO5c_vi$6yIowa4_njky>sX<%n z*e{qIS)@mAu8VdYS*W?K4ZY#2jhL+H=&BXp%(J8i$)hx~KqAM|$ijH7>YetH#gGxK zGXfP!evU>KX^F$|t#mAL_isj1)Vw3E$&rPd#tLeAqP17Ex)yzf@HDpg8yP3r8gjUB zYyCrs<0A`)yFZOX5GJntfjgLMVJO)9&r4S{_gj0Y``Y#D*%(=DDr_vgGLcwuEZ;`! zaOwJ0gVv*dw~#sRO{yGO=onf2fNVLk(B#NMU3;t!Ba8AC?IR1SRi{WQaUc5fMS%Zq zsC;e{ySj7Ws{4J38Scc0+;f{R^#vbHtPk!~yUNy~>@-3gxeL3?)HX!!Cck4Z0H?F;BS<|80wgG>( zY5$bcjGe2R{<*Je#`gZdT-tWejDPHU_|l)XOeyUGJ^9{jz>UJnH8VD4XuuV{>y;aZ z1HpIlZwBrJobFDje_-LI2lrn$7l^XA0wZ?DmKUT?8ejhFf~M7%4CwUxRb3W6ciF(k z;(x82yyn**^tlg0#;!T{{oF9w=Go(-Uk8J$QTL6}=Y8m*Cmz#FH z4btVQD<{zN-xwu?OCtv9s*0mw)y? z$Z!>z1C-tB1ITjr!wYY1nV2?g%gYY=iLS9tEtfR@aRX#e%O3pps)M`s-45=1KHl@~ zZ4UVEw9=~@Uv8@T`+v+xtlIylj~|}#w*w0gHN78N4Xc~BHVqiFmj&`jGy)`)sr2N2J)(=qce79ybILbEcp8gfbSCb z*i~@!9|ktQ@G+2{2R0trL)>Fm4S#Ik3%9im{Oc0{J6=5+h`sOa8*uKHudgIv-^J(s z^LaS-vy8;5ee3tOR5b#sci384zxQ>7i#~GY%T4bRChu{?WJeQZxn{=B5?I}G`{)e7 z0LS-Ua9iW5E0=z}W=896_d%08{(s`W1we}GO8ZuIbz3vGOij}>HWOTL&BF{nlIGz$ zAw+IBBi77MOgk!!ENVAIGGLNlViI>ZuG}80n^8v`kyqU0cgD;h7!{*2Y;Y4AoDkw7 zu)dRMggo{a5FHo!lgOk0@7(I12M>*|o4qjARi{p!d(OGHZk>DU*8MhqGr#JMpHneB zaUD5h@NR%l28H~W$rnKr5|Sqr>4V3h(93V{|MJd>XMgVzB7X~d0;Cznzx>vHsNb_D z9eD3lniNoW551E|8eqWdO!G7$Y_?@(2Lu7!zn#4w6u(iWvH-{pjV7k7E zgrso-7_pQkU>L3NX5fedne}##an_I_4UIchSTP`tHeR&2>p%{M&R!Dz!k?z()C6(mZHe?y8y`8th%DObSS!==HfEZ?J-V|rmMtXt9eldEi z3~2SvGQbzVc+R#st3s9t*+N0rJblsv6mj@xz%WCWXyz83^p9QWpraYRj!%K zjDA-;#~Izl+|AsPtv(|?x13z%8tG&!j5qGFuZAYUG?it@*k;@=0ZSV?2Q~wIx1vCX zY@9V=HFtoKEHvVVzZ*3!LgS#Bs%-c{F?pJptV3~|I8IlW1FhCD4L|(LskHF=6=YrG zrd8WfD0$-^<{)nffi&-KXj54xooAa8ZO)oJ|gC5ePMdA6B%(ZF_qYenNEul;*l4^~I-9-TQiAR?kfu0C( zh;-81#MQRnt_S8W%V>b14Mi$arLopPg{uK7XDi9R5NJz226U^KBP!gUY3y8F6g2!I zZbd6HHWN8vxt#I(mQWU&w8qheVZKg=*K`n4Z9a$N4h_IlI?E*MY58FWZZiO;|OHBg-z3na&NnM6i}}kfXp)gC+zMI6;9KVY~nUPramm*ySKI0a;=j ztAIHv6Q4u|;S*tnIIK)K?Uq{y1;K5&b@(tbL|FpbD@&vmNu}2S!K3IXaewiM4oOf1zFMpV}{ltUTLW!4@NGJu%O$c>DB~hq}Ik-2@cAi zte>CAR>*aLmP%NaJ!|nHYqh+Q+4VCma+TA9PkS;ot;wK=YHZG&l!fb9TqoIhX$*x^ zzLW`sPvb5)694< zS;Dd8D%Oz%1R<(@9qOQ0HAyzA0023aCc|$Mpc)6`d}aj2AhzjNKO9>!9X`qS;-V{9 zCCDVyjscZx3?PO{&*CkG3h=StX%kujpp_6FoURm%8SqftvH%!qIwr6NH6Pbdf8nZ< zAx(;|D%|trDpMRi;LrB1u~$ zolB4txdJzlcn8Q-zi=XM=z2nes4nB!(KL;@5m}0}_zZ9;N#^QWfL>K&gCxO98>}IY zBPM9Ws_?b3QE%yK?2FTNy*;dKk{nFjR1+qQQexzsIPmuwW5_xwbD$uHLK3W=_P_vj z@dLQM!Ws1gq9HJc0x1y^FhTuSr*frr-b*CNZe@~d$|3bvNrBRZzQqKiyaeF_!ZlqOEJ4jnfnNCW4mD_=7A}3f z-31C}`QWs{IPyiKso{XEMODc$-C{jVl-ei^B9}8QMzdVNQ^HI>mYNskPk4?NmmirV z4$+7s)!XWaHp#&~Ie}fypeN=O6rj2qpq7Tlk6Wypv0hYW5rQJPM38p)KQie`y?Taq%?O;n}`VnEMS|J_mdvLmEQ{~l?Y&0l3cDu1W0jiQl-0z#@ zl%Efa9a_+U;HgRt9X*;02&W1Rb{IM00iPaE9?sqIzCtvPdHE1>9`oieWGlCNzs z+ZBjyL&(gW*8yzHNas8}Y+It+nM~Ca9%UyG<5@MsaElc}bZ|SeOwa={G7ZR>tfuO> zUAop+*P1M?9-pm&m5_}r#{lw{b=E|Z<|nNzjPIc6D_v*KN5$-%XYLMGmlGLxF@gjM zGEiUbjvVgEHA#tZ<(JEu?4HxopzGOWE0FHPE5R)T7XsC|uKqt-b$x59L!gkhLcxxB z*f3mQFrL8rb%3;Ys*6q z1T=}_2CBvpi=F|0BG^Q&!cMUwXK)ORP8F+#7z#<4)m`A`a!WaohjA7aq&F4G!SQYi zAs((pkU&+_6EYo;mn7tBkV(PgZ<1a{j%*!m<}i8M0bDc{17xvWn}fJS@3n(Tvw9bT z1kUGlIt_QR)M5$Y!~I_ma`{Us zkXxv3k8f>`Vj1jzXa)Idd>D{wn~N{-0~)#zK;%NBfqqB^?R0%y12;-Na(XCpBYeUt zvLKsVx?G*emL8_)-$|lMqlX@Vt5(>f(BZf`YilWOI(ZOCxIm)?lq>*STgkB{EsUY+ zj02hFYDh>qsvWFEZDgDw6vWdf(rir^23*tBA|rO=7g;=-4RDparFt7fxAD?`%=+0!GKR@}EbV1-s@aV^2Sa)vHMg#=l~BP>MmJf2Vi=xsKy z%rL93J)VGLVNOupAgmNMouQ+IeqU9#$4r(cv|dEf9WdU!i(qN1qplrbxfUx>L&3EU ze^bt0wKN$@nsF^|b(&ek^8{Z^RUTIlRZS<}O5E)N4?^O`)##*=zp0);@3o5M{xu5yrZN8WE?9YE{umPbT|ksGykw|&n+qA0 zxWnm>q9NRb^yFoNgy@>Yt^rSj%ITtIodAB;{Z6toEkZF3m&=NidyeGZGAhMS& z@4IhPmS>&|Ass}10BE&49$%fo5;FT1VyXVacc`JBk)l7 zVvQSx;5(dr!`|buR^Liq1=)|loGTtD{Mj8FtB~d7XPReCXazFs7xsP&S>Bx4@zg)V zgOm6DJ=PZ4zs@Fz;UD$g)O*>+`@V}M*o*$g(l>9xRqKamS%i0e@Uwq_2O(s_+sz~d zXtglsad40DiK-9}5%MsEym(omECJO^+>d5dF=T)cux~C_*?;@T>u%Y5(_2dKhg4ZU z(+1fKiF+Qos<)^~^O*|U7OTG~e?yZO$()7CoI#%y0CFE%xQeXYOIbq5WBn8EBkD%p>$oAU+~ zgly%LWexi_j#RK{&X6Xg;7Hl0$nxamz3bMs5`u3X9Q)JNE3inu!u#FV^AOdlHL6x^ zqzZAot4`FU`^#TcJqw3E3C-B}qBBI`q(uPH{|@pP1t6LNX6Zb8xqo<;_`G+o{dcW< z>-y7LO5Posgzr{Mv7C7+lxxOHDx_flQYg3;tDLl8^~~#ebK9aC!%fjFKSooY()yysc{v882>G#N0esWcajcprOS1M9H z%a`yTkk87l#40DVtg(&b>&|85*i=d`sSKxS6;#6Hja{%X7PH+nPSJ{=xlpkFd6=YK zINM2T(zQVjgfdI;)$bJuuTrTS0FdTNI|c3pzJ>;Rsuh_*K2fqsNLcFlI=qF^hur`q z9@m+!!MZ5z#_}cBXBSMj#$p+kDkph?k*TwDmCG)~ciB~KE%;n}L0{>Z)U^KPDO+Y+ zCUq_u{TXvMmP^@<(!r|oR3Tov*PqGWGi6&#)nsQ+U8ytPcKhreWVzhGwd!<&rNuYu z%_ghq&uDg6Z^#N}b+!oLyY=viqiOCLt|-dcdARpj$L z_K@YaD>4+c#Vg$I&8*Yh2Sbz6OZC#GMCEtz3AiK3gT8S_b{Rl}M<)a@dGYbJ(+bpt zG!?_hyMb09`kAdAM;4^X5>t^4tj*Jg_qk3AOA%;TwPsw>SZalXFkZA&0lvU*Mv^hW z0Na`4WGiz{%0LW%KyW=u$WD@CESOFiDE5S z6lQ_%gKust_zP+s-hKZF<6}Nc5hX=8Ly4

    s6C14hubipvd=rXVy2s(0F?sGdzUNk3C4QSeJsU?X~u=B^TG@+baY3M*#wE8ud!OMi1*t;` z9TXfuWjfFCS4fh6m5&N#EY(#ohJK+f{otD46CcBvDtrykdP!a4_x4r31^VDsgU5v( zfnUf}2|Xnt(2rrLeaNIM%_Mc8@uHRzx)hBC&f*1%{ughQ86UtMPJ$s|7=j6wa2bvS z`G_OO(NVlVGEkLK#6@$#e5#~7zg4k=U}&~7j8U`5bTqjMW}-3rZy--7$gU9#4{>Cf z%~l~xJoyq)f+~qAa)VHsgp?-K$a$I=%mrpC2Vg59%A(;Hx^0KE!u|ov#XG0k1p=%1I1()gIhwJb)F+(%o0#TaTRsSsnD zH}fmcvTNKwAj@p%5|b^PVj|0uMcU;|p?DHdA0XS{idosc$QY0vqvAA`85h;dIk1=&=qh|FLP722GX zMp-H$0YD6o4w)jM4{@7@E3Gr3cL;?>7|03R=EjPG5T!Sxswq&}JWWR2B+aCErWRS2 zhuLV|!iW!$g?^{9oMx~WvY`sAU0LZkm!R5{2S>_2UhhJdAC0^O{)X`;jCWAPt&8j# zl`zL`6o|YCUm0^YSgB*9K~x^}Ty@ect@)Ex>+rs?ov|2WO!HG?^S+Rve~}P+x?`LP ztAiy3(-qLHfNVjsu>e5QYW=cbnAWu1Xa?XagaQ6=l(8gWQjvcwCNLuJH*%~!Sb-;j zv=TS^+C_>|U8blQqUICKzrukOekE z(@tYZ@CmZO*gRvGP%*?*F$AJrbdIT5u&z(5)xZ^4~JF$m}o)1i09Z^vuSF~ap7 z$hQ%3M$;u+H`9K@z&n-BiV1i(Ao_iq?|_0R6Ti=hGB;eoa=Haqk!;<-qJ#!w2?HNz zam(6;MGD0RWk~o2F<6_Q{X6#b*fa}ws8-7~ z+c2s$Zle-COx3jRxiu1^W(l*(uD;4$4^N&h+a-ukOaC`7kDUd zstZW!vUWA?36}{&tAq4f-4fr{g+8XSu7uqlL&fo9EI$NUSPIfY>|bwy2K_a-0t-*! z5=#xZb0%qy7o9_rm_4k1p+hZGIpGi^`=yrXrJBaHMZAMJn9zNCt;Di0-jxWn=z=89 zcrOAx&oB-G?V3ghY6501VV~RBz|pi(FDZ1L(>{~5;p72BvY{lf8z4{UT^*F0cR6-g zjMEm)rT2qvjKz^(H`v57D@5%xQY~v!wZZ0vJeGmzxs&ucRpQ5;hzkKqnqvc91U4uD z{MQ?qM!h2=#O@7{iL^*(J*V>@IThUZe%EW#Pp2hnTb!|bu-nK)U3V?xM268CS~|30 z-l3wMa+CPJY8|e^7$yOq0w4B7*iHn_F^`YAP8fHB=CzlK%L zKTX5bSMI$Iy3c!Z?B1LHP(-WEZn}67#}#Y2FI{odAC|7VcowZ#zjxNf82-NCmZRSS zCNHpa30HKJGG1AE;HKWKD<*=xv0}%nsSvX9n!N}62;Oz;3cPYyb@5d|!ri`iCInsK zDR>Cltb`EHg8=r$`rlZB9q6f8hTS8-JmkR@Hyy$&fva|cw3Gb(HHB9D)(Wf@U*w)u z;09Xlu;t9ZUnG<9_#5P|g5C=6_ctpP`4CQBtrnaAS})$tJh&r+<>`Mk8Cb%QJL~aV z{`@TkdAl7x*#W3tBJU@k01S5TM3P;%V^!6QYMpqp@}@_wU56F?pTrxK6`nc&HftlT zwN9X|UtMu{!_rlN0fvyKSp*&XhimsNI<#}eKlEKj=)g+05`tG#1&Cn}dH4oe;vMU) zLx_T)#l44@`l z^Tri~^!y++$w0u1l%-mO{?zq*NbirrT|fs$9aJmr-@nmQ@J0CKioRR+Or+KDANc@H zJjZt5@|0>Fs5|I-%YqMs-WraY^|6v$71nA>Q&}Q_L@zPYG#&ly)H;lc^Zw3Bef_IK zSe+AnCk5|Xfb~L0iN>OdJC0MS8c*->++DiNavzN|2g3#+2b&i0pY^8d-Ib#)y!?O= zondGlz^6UE%k7E1Xv*>Oqtsz~!?y7$W-da^3)z?>Vr2E%^qQn8Rl~3}z1zuctP_vE z*&VI;+`tlh?4Y^#f=+4Ib+!Z8*HaL(uZK2v#Pz22FoDgw*p*c91nj$98LzBd?P17` zH)lZhVAaH1ndvQLcu@$lEEo@0r3y7x$sS%~J#NiLts(`hnuH#SEvSL3G+0?@yi}}g zB)i5ei4DsVa;GcVo{$uobDZoRuh2*ntSZkwVLCUag3TAyWdL@J*H2b|wq>@n6`+Mh z1y2w%tSpDiR^;9yH^yQ-P2P{&wKej$u?i)F@Ck)xHpS{(m-+EIg2k5WvtfKI*X9uz z;*etpt@LEus?aV!`>)@#I3bWx@f~7M#C7;r%lra+x|=W%0R}nsDWVjtAv7bNdUZXg z`954Ks$ups#%F-f3!nT_U{@mcM{j|GJdZ9R;5LZ^qz<*^w5^wr?CKD}2*kF=ax~cy z`v+VXX5t+5AN<~E%f#O7g@K{-v)eO)L?1O|+Fm!r`685=w1ct$Vk5bqcgHAr2+JYl1i{PJg zNpx8cW8&)V&nTuX-3H_Je(GWA%n}_5+h~t*6{4eaptQm@rUuPpHZtaqNisyoe~A+z z$u5M#K`2h-f?C??mWG~+B(OQm;$dap>*($%@A#Gns?N-;X?gtW071C)VEJxMx{cahXyvn8{uWK{=tx^~Tp>%g zb$V6#U0J+;59q6n)E^Ygi@`Qa}^;>WK4mLg}e3 zpS?&kdQ&~_rG!6Q9%%!Rt&=PSH%Z*oU%<2}wOWhKZ;NH#kG4;FfY;MCw_h#nOn17(+d zlEj2#1!CKSi5?RTJSUq+RpR~oy2!S9TBaA~1oiUU@x*SOt_Kk$Kod>A0QuAPa>H%0 zoavBH3O1=Ma{*xTLP5g&#cPttYE0d5lj9(}JR3xITLB-N(%=Bi?M#+;P7jr*vt2+Q zMu3n6>Z?scKC9qem&6ssun8e}E#Mj{OtAo*F8r;)jz?68+h9_}xeR|Pt$`(NS%@8| zbmFJV;+;D8Hrk?@6cvLwcZ&~CL0ppHz*;$g*k!o2tWVDnNDvwY9dv;5tK2iKE7~3mu=IlqGoD*14^h+A%+VX z)Z~OLwM@bIVOgG<8?vE0VuvvPoEcCurbQi_8?McJAse?w9~9wwQeR8XaG5* zBxy4N%_-(4kVbkG#~RO>_2bxdQBtp=;pTWKV{jes`+ISIJ0|LylG7-cX5gg+!^c0= z4p-~-cztiya@JVNG5uZ_5+>K{!7e<>OYqP$b4e{Z<1{WEfv4oeJ4D+}a1 z*P^1pjR#O;;W;5W5R;t_4LNxV+(__= z$wE*&i7Y|ZF_z|$!R|RWN(7Ia91F6dbG0vNTOca}S6fNj4KZh&a)M+`NLXp4y+VUe@UG;;$cDKP zLId!W3JR)yN!yc=!Gws-bSUU!xy}aSgAk4pc%fkz)dw*g#~#Q@Y)&SGPHv3-PxLHQ z^?=2s0TXJ=bP=K*jR}2qEvSwPNr}=$bV(a!2fbV(d%RnO4w%7eG1&+3(6F7;v6R?i z^2sN$;k1hj$S<5B*3-Hqb|Dyf;Ra?@FCb6Euuqyr8vzxo`>J>hy3w7%>2v~L&cfY- ziC#k$k}hyvF?prt9Z^PQ~8yCWSOS z%OVYmaj3{}QxeHSgV+V-yL7?nSaqnVM`MbjWH^iC-qcu!jtkX2QmBGp$4eO{jqa;> z7hZ@Mx&Rpx$tkc6UX^IF)TVlavvsB&^~39VD4OIq;O#Q854~$(BU4T<4*Y?AtZyb z%_~&vP#kx~2<<5lH$N^ihQ5LQi6PTsmKe_^*-!5H?kpl-h`(Fjn7ZkfUkqvT%)Nu$ zo`N@eLN*o1XHRb3d-=mOx=gsDJ6=|3wR3*=@?6`N^;T87RAN5cn>Xq|ton{5Jk_ z6NhP{UN?;0|8gl_HF&tWlN+Gq0(fu_s^xbV;Z57*7caZFYR>+Z1%FSh zD|nD<>@BA@2U&Jc^5#3NroK~_{!WFObqX-8k3epnkKPbqy|$es%VbZ7v)5;2V|M?U z)@LvYpYXd@iv<7yTYy=Fn4hmYNqD<4KQzX9XWT1o*PloINq1*xeE;25fPWi}QHq-H zKz^nY@|T3ZE!_}SAPP)wTA)=qdwFkr>b$`6(@Adt&ElN}0gUY?<5L7;E3?3Zm>U|q zU_o7n6RYcebdjB1BF1F9fob+1%!(~4@50NE{>nvl#@)(QgxuEP5V~5*?j;YpgC3j` z+*9}MQqRhMbOR|%F&~54i;!gsczu_Uzsud_R;%-+2~{2A>Q=|VeVUCf?1YG0sYk{9 zmIk~$xE-?Htc}0Y2s-PLZRA1V(KI8tZ+xqOl4p=@X9+1UTN&B*9|ZrVecOrE_YvxA z`!Xt>0&?5<4h%$j#)BJQ3Bcc~`glHp7Ypw!rh8}TJ zk~uZ2S!%)ZYz+9y)@R)8G6K-UJEFSPTFhqv;SatZris^4_hb)}F@!?esF8#nqX}Y| z9BTosv9l?4z*v*4>t9*f%GxVi`Fw;}sU*f@@CA%ga8L*Y3@^(e<39@7O^wf>`t&Xh zMV+Lw-TCwJx~_hx#*Vadm?l=sjK6x%Vnk4bE}54QsG{o% z2wOxyR*9^}9G3)*F~k`~rBOs|6cyo@4vlV#dXZ!dF_e@DqRdisk-s%77$T<14D|r2ko6auA|)Q6tXl8hcFV zr(to8S`P$EB5;ms_#7K&RI+ku`aP|1B=rQ_P1fQxr)|-41HEr_RcM3k@y0-?nNpq< zfulk~bdE322M@T42#!#X#!VN|3WY}O_t+4c61Ih96|0D3?l|Ih&~T9V~rdW4+ZFTjJiw~i{Xor zB#sN;EVHimDD+w;$tvYat(s1@Gaa8;>$K~q?5Ln6@-g(FCK`#Xjxjq+c0;VrmMD_y zFUwtOU#KnRd3?h1_9suCJH2yK{h&_@v-NV_!0H#03E~j28DY4IRkH*!K|NFy7=L0; zl9cvX)bFs3q36^QE)qiRQU{}_)JIZ`vt(~jV#j2D2R|P^Nh%6xhIFD@iafMIEr6Z| z{-N@)t2N#j+F)y`9{Z7P!&=J# zz+kfqJ=JPU*>^RM|DLh*#u~hPKT(rpN(MpzAGaFl3DQ^)l1a5~4TQ?O*31rFeGbO| zQ`%}ZFnr_q;B@`lZg%brXMRAx+Fk%Fc{ZDzx7_`kAESXDQ*ur!cydu6K{;zn}JnX!q6%owODGQC2U&72@2M^mlJ;u_x7)MtMLN?}7*s3LVvm8&6 zma)agpQY>$4D@F_>vSnX#-O_vJ<3w@*#F;73JY}oN5n!CY!Y)@y2+> zT$BPBY>%5wekps~GJx2IA{``T5ntVgFHz-<5K>jqu_R(4vV)uUC=X`w1|^`6p*J(8 z!V)G0Yh)HPF9OzC*|M4Ji{C`xlmj|2o=)ea#v9OiTeiBvR0cE^uOH`cuLPtsIM4COPRt$&>3kB|mWpCfyxR&{ zl7grd2qr77wj?SyE&=(pq$?)QYcIZEnP+M<<;XEk|+#1su>kdo&xQwo% zWZ`+?jn6YK85g7 zcD2E}O6W4fWjThoGx%mS>*JUWm19DJJcCYZVF!bnIZTnlXO<3f7cA7lw5ZCZy;|p8 zVCv9xhnTTsp`mwxg*nh!;^~YxGg>=T;ttHwb2y`tC_J(Zi9=LfZ>(65v6xt5Vh5KL zK4`=)MJSwT#iXkpjTes@426*QOORU>QjI+%sD;#sKW;LCpvWjnz~^J?95%V)&dA@P zLN8uZJdXg9rr@Z&BtBP#_Kj)A@ev9WFpLu)#=7a}_)CfQ@g-Rla80(h^TkkX+QB9Q;LbGwI4eC~P)=*~{ zJ}*X7!tf;$0^&wACMGhXiYBm?`14Xb2`%WwG>(wYqboLWOI0(~MuSZ6q^V;^=&asl zr2;w>$i3b|u1cCHV8Gn=^ln8ARbF_!Fk$F$l6MW>i+f4UsFJj=PIzYvN_iZ#EvQ@gDbl%j z29?Rvie$n_%8O|>HYy2f|3Loe$;)<_-KP*G6kYLom!QQH%#|QJMGbJGXu9G)#pe8P z{ExH_-D0FZE9lr_`4;~s-`nr%`CCTi6Q@5$&Rij^d`{$HA0yDK1hXyRz`cN?D&G#8 zQ+Cw3^o6o117)8ZxaeSRN-lKOKzt%lc5U<7vsl?ciDaDs$jz}A^K4dLKlT`a=*)p! z$)!G1+&@j_n4{+lqx4V-kDAZTLZ=IVk z))V-ymM2_C|KffAnakG?z(Ql(;+Ho4Dv@6aB&XBIuS1nm&_~9KmCFd=iQu4`zcjuE zP?G-M^#kw;z?`b`%)`{8>P(oCY({?f;+!^dd-_S%xbx<+oku_WlUJus8JKuDckz+j zq1V~rym{#n-^&Net{w1Q_pZA*Cv&W9DtnQcm%i!y=>dBahxhK}c2DbPpptfM8_ReD$epQs@ zcjdd`*lGD3{Js7?gWz<33)rxb57=XXynX77SJ~8KZ=Rh4ATeOWV2+m02j2eXY1`Q+ ze)7s_SP3Mj94Z8`ggp-;4`nj>+skGTl+D>a z@%y>YDNJ6LfBG0t!uGO(JG)C60CFY!exB{i>rWB3ZCTasGwwfT;K4WbPv!WKFTb0y zWY8xY(&@908EpaMX7O5{y|&Xgb-QnB9*DAKdHLB31)Ivge-V>^^8GHVv+tI@8zbmg zf~Ae--!mIg>QNxd5*p@!hq4W&}tXPP0Y{(PP>~FugeIB(A-FGXWctEoSG(~D1?jK-_ zeV7TZ9hr*O;rH7&e(_*_RebNg&+fYDirkcc5(n>Q@8ox*b=cWoHeukl>)taKZ@~lh zswn$D>%L^WZ_;#oV|UHe_q1=sS+2p?0nCrxWi08-OS=EwV^g;ni~ocI%9E?60XKKD zCZopWO}UASbI*1&(0+GBf0$Ya+-T?iUon+h2RL@xv9;g^qA_6!{}!%l00y#;kcY2- z)pzg3d(Y01tHz1?JS)lP{kt|A1MJNB8)xIjo(h~|v<|>mRvtti-aV-oTZh}Ib)YQo zCY)k$6Y_8&>4Ud#k^AZVk!eTsWk+GoG5y_u{^H-Co{yZ)zIOyDyA@>s`rJiXqMkqo zz>be?*X9(34gF4|)`5~L25%Qz$VQ=^zUiH^L#^etO+n0{gpYMcq3j+xG8H(Q`GKqQ?5d+rAHBtQv1MBx>Chi}I{0=%wGJ2uu+}rK${i7|fOn%M zs&$xz=7O;<-w~^EYT32C?4qD*9VYp^YuP)~@;Gyp=>r75dzLsi7i~P7O&-X7VTx~? z&wt%+-}=W|H#HZzy=>rGvV(<96sCD?c_Up%DSjk@)26}SlYGD_R&cs!d;vZQK5+&cyV>~W*HLH}Mt7ab zZUdsMr3+@(pPw83!oX*L3pM2aBia;SS!a%|KQi@sIrUhy44Az8p56^^z})Jib{kXQ zfU73?fojZ*ofG`8ve6g6ftUxbI#;p*#14J^1OI6Z_(pFbGHisEuyGJKx6nFhXM#*g zRc+sJe-E_|UPD4$B!m)*0c&LhpVddbeN=y;M1TF=(tK?x161$m{IRkpkRk4yUz{iT zdz7i~*xHlbIkK#9JADECLy2TFt#ti=mL0nCa|^qt?SBWc!$5x5l-#{X@?z(IvMqly zH*KcYp_^k`lJAHI>^mgjW8On$Lp_=L$K2GHb3k_gWEt6V+N))U0nOd;x!=paMS!rvV)yZeT`W^Vrm(-Q#S?aYhM z=B#)B#3nyHZE?NN)Pg$)d~1&YI=1sq1RXoE*V$pCXHheyzvjX@o%_1nYj(YM72COe z+Qn;qQ*Jr;z25bCbL>$e&&$1imCin`#osWs*Glg;^c&4i6)taLp+=~x0c&;r!AS}? z7jdzke<)r=eMMAvZo=F|J&W@EL+DvtvUuNVN6}HhWoFekU%G#dxN2qT0(N@z9Z*!y z!o1t}9E40%J&Tps*8phNf8~0b>x-U6Y4u>wf)Hh=4g?od&!QYXi#!YNym{2yrRZ6l zdGpsVnk6sZ*LCPMc6g_A>CtH~AMoXweb%e)H$_@l6CY(5aYXCz(>G7w#C+plO)rMO zC-{Iboy2XW4%`;)8W}j{Ff#BzEy0Sc+E2Y*!>_0l~ zUo{PR@A=m=@#V;UQYId$OXHb^M zrLv=f(YRt!ymwmlEc8$358Zue(oYt4FW&#})H)n4$>^{k1~#{K|05Qo=($eCss;Mg=73Vfq;cH~RXqe=8#R5*Yxw;68ZE zYhML&=u^O2eSO2{f3+9bs~Z*rANBtodTYgoul)Mvjn}^ZqpvVPnZ9<&1IPt*>?4Q2 zIr*~t3HbE0xajcX2l7>~d}_%PZypBstPO7p1$?TwfhY^~+M74dIlSS`-|W3%#?=r0 zW8Z;qefhPe_pUl{@WxM-LP7bb$q+KHcQ2r01GjB>_V72Jy7u)a4$LBu)eQ$8f9hHQetq+=k1d7|)*t?jGX7ie;69xF`r$`k+Hhdgc6jXZw*kgGY3H%mFM9iMasnWR z-_C6#EBF8KD^K0>=VNzXbl~t;pSbLrO<&2j9scI8Hv~^Z$34rfVBz)-;4JCX73g7@8R(PB!2zC z&jHoDXhHxA{`rE>T#GCx?0p9q$Uj?iU|{~_=`UZjeG57E|GxeBn}=`t?pqaqeD>h6 z!x#T%!_mcWK6UGLPhPhHD969N_?J-s>jw#{_qpHy_@{SoIJ5h};VG~E^cSOX_KEZ1 zq35?DuMN|p1W0`C^Bdj?zj!`m+%_#;qur#<%iQ@1`l{dwr6z>W_*|BF2vU*FKwN9e$VLPk{kQ<9-@ z{_9T#VCBooN+<}QoJvR@S$^Z!NAKUT=jBiT8cg7Rit6Boe}dk}Amq8dSA#t3hXlKP zD-fzryaBzEj~eIXkp>jpC{Ldpaq}aPml<@CNywod80;|FEkw2l$pAimV31pp!)Y7u z83=qgbB+^I@S~S;;LoPVW%w^g8{LM#zAYrm1Dp9}0x+qY>oCt~wCft>wgU`28PfGdyUc?c)z#tHb>~N%EsAX*2utkOBvsakDf@# zHr}ms?F1yWzIaQ76aW-peF*=k_GW0Dj4Vwlutf`KWf zndB-TcPecQc7S^V)5x5YVcVXZtju8@hK`GHSrMW7R6;a%E|`oMRNxiUyNWj;ZYdr0 zM1v6CHA-^AmUgi2Xj&ZfaApRqbYD51IHgTIWZ&~C~#ecEIlaG zl6cfDWT|j-p-Bds)I%X6H-{%!;Z#SG@O^sxwwP^Y4B))YO-u-9YC2O6K!R+^0l)Py zgE=(k4i_cynr^8L1S$ZZhGm}#KrNQ#^|984(gnGGI-rjLr`sIP zgBz$tNedHpI7^n6M!{WAKy)(m1oTp}#kP1@YH$^>uYf7lQNSHb;yk#k30*17QYN^y zt^ICm|YKZW-@=zw-c|FzLK3M z?XS!oWvGZNIlZRQAjZdg;c1q z3>6?A26qh*sN#{{3yIt6XIUQ!fjKM@1YjWmiOp%z9ZdFsj0HHcjF72ciIT2n@kB3G zwz*wi5j#|tbT@RNbhJCXyCI01Bn(YJwwAMO3O|3#P&zTu!rTk|c0KUG@TwP>h%+O= zL`<52#1CyCz0HLOv0(8>x}_YG5WiHG#hV10o$Pjnm1a`R-vw?>LVfR+^2{;kHoymP zZFx}$ai4h4gL}N0`10?))`5M9WPAwT5v+KM6q2rf;ie*i$-%wB9Z}o^$~kr|K^b#( z3F=>QrjUu1MJ(R03%u*pg}~c$yiG^Nq9kckk0qTXhAU{F8};}CPYT94!z+1-=|f5> zP;m*D#KY1W$9jCA_>n1&w-Ip$ZBVBmm?Lkn3x;HQdC)Es_`?Z{eku8LMLpCZLynAT zwC`yL*_etWh!j&CQdkh83C6p-x`n0`#AlTO%wQ3i&7|*Ut1+jcS`u?o3BpSgr4UCW zz;s+Md^nb@1st*)>tB;X8nb(89=K==Mu?r}2bHjZ#A6lT6EK=;K?xtU!zn^yQbq*d zbaTeraB0rsIHyZo;}GbR(ZC6GSAxh+OVZY$&$2ODg7za|Be&76Pop0rNMKe8+GRrE zt#qIr`RSO2f(yY~54zP|FEku_vpEEVn|->5Z*w_agxMJQL87ui>cVv_U_m$L7evr# zS0#jQ7PxA0fKEQ;fQ3LoFoe>Mcxm{cVMyqtl9t`v;ruvbhPjL?}n zStNPC!pj|A9c!4RBbMYUhu(0U28A%7}08c{Z!&UpFJ z-pP_WYx%o%2s%?;Fm{N|ubc=4l`T+=xKElRT_Yd=&tb6xJyFmSQ)}s`W|10b{QN~^ zJh(puw~|dD^({a&N0#&iDP~FJkXFzH&oV;cEXdZ`_E^LQ)@q+^*o>M*IX*JIRW~yf zBt)$24X9Z0u9fsuouANIFP{E@U|pV)(KDte5F~U5Swl$cy&mM6sG9_u;=&5F^0q@y zkh>6mm^Fmc-hJPeXqM>{&AFCBl-*58_jQmxHw;YP9>u*CO)jBV)@k%~GAK(3AvoPa z?0^@rc!B`em1HmPA#SS^aJqQDFNL{Sd;-v(<4TC!yNLAOg{Nu?-1LZBom?=Nx!+5V zm!XO^hEZcqk76}2wtBatPuiL??D~aOE*_ABS(vc7J3g}8tS;&F zNsj1wE0aBVn4*@YA}Mq3cszRfnD{-UAb~@g{kF5av}Sw;x9V5}cPfhCM5HgPk+(&9YTD#F|~mR?XQqNAg|6Io6{j^egy>Dp?@ zGznqhaTbaJ3gNB!+%ANVkac{uCj~voTRc@`h#iVGwovjD2z*~9Oh2xKe0p+ceBhk%=l>cbM0Wl6kTo>ajicT2>uBbU?F zHd`Cq0xVZ$j*1;(9+}@kv4g6yAyWUuEETsHeb_{-FREKl*Xx%*n~a zxFZUD7ZFTBNWCYdUdeud$W_G6W&>pxbsz~g!zN`zS(2@Xb>V72@NKThrUb}9zIA&5 zqH2XR3{cU{6;hL^)FBtpv60e9C_)XZ*)m3=b*-NXAriQ`5uMfcn1nx@MF4?LLY8%1 z@5~1TOE+1G8imSmE}A|V&j7#*v;@p~1^%=R=>a{9P*LJ3hZ|%Z;*q}x`Npy>5F&rj z0dsVqfeVMY>Bfd8c=Upta51C>ZLs z1saX#GFCQKFIct6*h0vKp-jrH>Cht?^HJnwf#v*qkq(4hTiEq9R~bSUh66&*BP&;< z5dh?ECCu@!6B`fWY@0W%;KEY)N3Vrc{a%&7!zQwCC9G@ z3~)@s*a#Y=B-%hcHRyf^EG;g=l<#(Gr>ItCAq@bzaqgx7;l8B{veES{KcZR(a4Q(< zIB>`X0Ew+XShCKm5LDUp3V4v4&%m*`Xnr`37E^+3x#U4OgA|NY_3G&mIEG^>OKE27 z@pJs$;Ib^Wub~PT(HTpUm39Z1n0x>aD%b^c(3wHW6PrsNkdp~H>77=*rM%W5u*>Zc zXdSFm+<=nuA_QODQUZ=gmfBj<1eH(KL9KXmYfLGqH1T9Zv!UCS6eL;k*iSxu&w_%E z6O$Z9;=}fbc7?ZV;MXv)??rpuqpILbMNOtP7H54@(g{s%1GF1O5NdGKrhP935sGdr z1V$1dOp40YoZ56UNLk1MY<$#}0K$oeeVRy5Cm>GJmI7N!oXAF!!YmRagiyL*S4Zqe z;VM!g1fw5=T|pPApv{w~IK271T9ghnCgZdfbYOa&2izKTtON-<;owjA2+$1Noa2Y` z7gn|AGIk^LQ$GNG3;K&iML`SL4lO)YbmV80YdHeiKof_=X_M&AVIKKtU9v`|$2_}m zbuNK(j!z1F0=pDBvS{sCG--SQA9m!J#L3Q2kS10{88)E00btIt|3%L6JSdV0W>jmp zgB}d{8QTR{_~DHtG(jhaEV>Mf;gQZb>fc4Xqqhl?sQM3S!4j-LXEA{y78PE<1otLg zC|HzjRwa)f2D$n%G9GJ@Ab4Ww9PLul`T0S1r3q#&TBC0R#rzxmq5Kn!3!4**W@8)i zNqoMmPvM$4ZM)LMz)3ys>t==)r7WAZB+1>yq>DiLP*;tA~YpwQ0`%3x%Hghu_?B->SE6YdH6-XHLF`vRrr5n%WFj z^w@ac&v&f)M?y~}pxGlNgcd%(6F9CfZ``-=%*j{o2e$2>NeGr=A?VoqhfqlOgPfVz zw{7F|H#<_LuXtw8yz8w!Kbl``e_Z)z# zUV~Ls)?5N}9&i5{7FOB!#w?igeHj0>VVYF!n>`C_fULXomIJ{1ty4a^YX=q8FOWZb7RnOjrE4C%qVKJ>8&_b3 z7L-oaobN00njcZ=Jg~aJtvonqZ_6+bo=f$lAbX9rbl~+>fkeqeEV90 zi&pjfr}x21FU!Mk04{n&WgF(f?uVAE*ukOiN;5V-XGM1~i!V7_)YqIJ8@W@-o-io8 zIh7hIyJdC4%d*+iBz2-DJ)~g2r{Gx8f<-k8K(miPZvx-VwnW=nO20E>LEY^7MHBn) z;&$hf(1mizgpH1+j|nd5)3+sIr8du9`dnC!sx+Hg^vm1!)P6RwRZgD63N1x|T;=adtl+xZc|KG%Csk1zQ9!f!-WHk| zqb=uBT2;Qzp%0B+JWY*I1wO$Af^X%Z5Vx)e3)xp>vMrx*W>*qgZE0@2ole^~b-E!d zjjxrrg-XXX?g1w6B{jVne!cP1mMe|hs#c4ZK$i|I;a5^xe>%m1%1WgJ@LeUl6d!hH zT|3!(H(r2dv5-het>+SN!z$;GXi2#=bfvp8)X@AA@P0RQeVdkoW9?qodNG@2Re;kS zD;A}i8NMclPh@*&QYKp!X^U4z5=q+!C0*B_a@T|`KbaE?1o3Tiv*WXSSB&fF-){Y@ zix8-7W`aIqHe0{J-6zLU)wHl)jHz&nQ??UL zKO0H$e&GE|khAcK^Bk?ji)C?%JH>&pJ2TU+H#jo`SdGzk@NqX}Yli2Op}Qf*huOwJOVz5hKJ&)^&)m1bNm1PSSM~HPz3TwI!@3N6sMWi(-lAR{9>xu#)C>z5 zkeG1=+4w=7f#@wJdLl{8iIJM6cUV2dl|w);k6m4S;e*8Z2XER2M?onm*fhzr~B8x`ql6EtLl1o*H?wjkSz>WIi!_g3@UMNj?A|i zOc+5!oG&E$%BsPtfT$F9dXbRg?OlRSD$dZm#6bv-&Cc;vZk&x{=$2wi8bM+q-_&O~ zsF>smU0FeN8wA^o|D7mF%SkxL@&ijLk_N-*rq-5z5irUEm2uD|of!Jav}8S`3BpLl zFkd2dht|T@yjqB=gBY$Tj_%juvrWiIq$z59fblvSzab7&C*qF@`ra`QP6G>rVV#z0 z$)Yo@6*>dMAOQ;{tqReeE_f>fQH5gFEx|-8j`PwB@ezBn!!U4;Afb&AcC1vwQ+1NK zqxUNq;*`kwG$F&OKJ3KJs;i&cpz zaWXD}`X_J#&1aDJymL)}Y{b!0Ly{=c5cJ~(NP_|Tyc$R34vt)~k~aKOEUy>-tVJVA z8Yy7i1Xc)~Az;c$X$jsX9n*rRbsW!*z8fTs3%njN7%@(>3~wZ4GAY`4TZz%a7;K{< z6KQdDioI(%}#CdLS`hQ?-erl5mJL~)7$!#XiqMey$G(_7s;Ir- zV%xkLr3$#YXqMAlWK?U~g_VC}-i@u+(j$}BT!+E2-M6AvEwRTjW0;LcjJ8?JV+ops zfcr#N4cS-&4Kfqqrt(528Ty7Gy({p*Ix>2uYbRKArWrnJU5D zUA6sGQ&ZBKR+{N6nnyhiCNd4X-9bpN>m?1OJ$7ot?W~9fF_!}B+9VkVkL(r$#g4qJMmpJSFL8s zRWOHgCnXs6Q0x^cA3|}ZzjLBN&ID8_?&}fvlYI23G&_8G* zm8VV)uo6B9yRNa&IBX%nD^nj?JbGcA!Br;?0=roe8HaW|m4s38I8cb4pn)pZ^B(-I z;vyaw7VDf`A{ktDqQO)omB-{)W^#2|zWl^xXlWY88Cj9Gw~s@k1R-b_;qP|)>WcDM z8_9;wJT5Rf8aHi&!Br+?H6nEuzfg)msWvAFS8-U`fL1Lt8S0eYVNO?O)I1CAnF~!g zi-VfvlevkkuV_bf8)X^0*1;UcagWTQT)&-M1x>h6aVikpD^+ch$POL7+%dym@c{us zP{BK9E28U6gKV%VDWZx|(S#V=4oi?JemseT7LxX46Iq#_Cb|svk=YsXxYHnpl9eY; zuE2XAhHZb<5O$oy89Z2leoBkZc`KEN3m`-m71U3IpQN_(NZG{gX(D|q{{(jc$iv7T z?Lj2JJtdKs5<(mYR$}uFB#AX+ZKWv6){aFw{baM`lYga=1@!o&AO_w~chXfZlvBU}f)vIS4(Nfkd zDKh=Rmk`3t5r)K>7Z63En~hu25^W}{Q^=}@bi1h}d`y9~%j$9o=_JArxKCYmgmOe? zcqEx2Q2OYiFQ^sz98n|ficWE+gDhp$nAt~&NE|q;P}(FWL>SiyQlf}C-Z^9&SjZGG zp9m0w8*w^UjRO9O$p>+>4)hCCrZ89=3Q0K@3Bk~A3y?`RSrTp+3~M=266mn6(pzWT z5?H4-jZ#s@C9FVnxDY4O7AcfA8u) zp{_hLXqY28PXS?!8InyGOo2s5Wrjp7(u?$K*T4Fp=qTs_dT-!O#Z$wy^8y!875n)} zX7H#s5&+6XAsv`fIC#NTx;%Lad69~@dVjs##-(>syi~*>N+eUz#KE{vk0G+0)d}Gw z=-xdnt&+;1t#zzql-NIeP!vU5pmXW?0z94ww6oalzCDO&61|<3P{d(|A?cSwk;A(h zc>KbD>e^u@<}m-f*q@ld{R~jO7gu3B^L|*XRXaQd*AuoaHf~>Y0hSytxC1kNOE5n_ ztKQ?j4nVM&Fn=~Sa~o~Ot&@B_@*Jj-?^tl_*24H5n^v87*`8Td*p?o$=dRgyA|~U3 z5xZ>F4YLpQDY)rzOltdhsJmy4zY)nn_cdFFFBrG=m*Zz%uxk9s-IK>(wufM8A^Y(K z_r33Pe{I(K*LKg>d*^R`JOd&1TJL$YCKBiDLm=%ZEaB63AcpU6Eqj{>kPGe`{5{dN z@mtO!@ZI&`#?1B0z~uF}c!%*`l2w=P!IFbku#v0;p71$ZcEK~};&*yf*T_gm^tn0~~8Ea>Pd*R+o zch7$t*`PhR_4_y6xO>)Jgj74@xdm&qIm5}{WaT-Ky_fvG40=O=pO>V!kFu))i9g&l zeEdjc+DOd}&T}>QOvLbArMIT{ft2@aauHEhD@d|E@+{i49o$&q@RB6*^gS0>Hxa7w zcP=7V?VqB#;aF_IzkAZ7P4ht3yWyX+!;?)4>TK}!-oK2pLCe%kb;|j;Ag|kV`}1+la2>lbnQUHSl7iL?VwIGsZ;RRZ!_-yO)|jZj+SjlrcJ>x zRws$c@}ee|)&yfGjG<@_-T8S*xLna(bb`R*0yK5+x{kMRc}qA_mlzgF?gpalhk@>; zqn)m$!(wa+Hk)Tgl@%ATQkXv;o#|S+oS7@bu}d~CZ<{*52HZ8Sa>#faiyuJnZKk}O@V*HY1Pn0r=6(JihK=kKBTmCuxFM4%#lHM^z)GzNvSDh%Xo63`omoL- zV8l}H$b|NwC&c5a(-de^ELF~EthE5-ZoF17o+-8D zZ-U)!t(?>oeS`+w0RfJO& zEx@+j82(Db{2Ep|uhz|vs=9(yLAQD zpGy8DH$6KgW9++g*nlI^5|O+7!sgU;BO6xa@T5&@OpS{BRvu*zX@i2S%itIP>n}dC zuo%Wg6#Sn&Lx+rddgB;Q@&1mWOA4mKfdV*_SWqw+>!4npLT3$qP{CShGM~ukAk|SP z(#YDiki-o$h$~CKm(v#GVI$4j@};gFz<3+)tgr@)`dJX=;(%S#>tvXfA=x$(#j5pj zDeFMGg0WLukfOwVj=^06U2#37u1w63X+B1saG~hjz$jKn!MVVwJAjB3gf}PdpZ1dJ z8bMG*;*<*KoJd+X9EI-qDX7R)3Y~txA1H{!lZ}`Z428K4O;Q*Jkr0821@R$#!80PX@q9Y!pA)S^`WW=!Kt?1U-VjMLgBPcxPW z<{*Ou;SNY@gXf%Sq+pB<&NECTQI4=w6w{&>X>|oYtU`aDP8sHF5a=N31W6|7I0k>z zvsFyi+opM)tqj(HYdxPXkyaiThGVs3$WEtP3V!3wBOS#nomVAk$h0J7 z3)fEf1*R3TSw1&zktI5ic6~t}Qw1Xnj&d69Vj7kN2elyhGA*Lkv}zq+StzQU(VPbg zwkCbn!`&^PEe1UT@H9nI__NySOb3jD=>bsw<#B&rIZxLPUWI{55I0oY1p)My#6g2s!wfM6|mTQGqz1b+}>r8$6ofo)q^ z-qtcK(gdhpkn5Y#)6HR}(JPIqDzZi}@$Lk``<3*2RUZUgF zgciFk4J+T`t?q{MtXny@I}|QWmQ>Rg?-6Sk5Qov;AW1al1l1eK&vERwbTkT7W3~Km z%FWw=_uG&{uWocb%VZ&YbeCVM@KHJG?CFghDx&P7b2By0M}B*kv)RpbbeGr)w<6O^ zJZ_oh?$QjntA`O;PU$BE9`t4VKB1eS1)lGC#NR<%!dv!A4;xqaD1ZkY2Sx+{i*u3?Wmy_ksni091tHF!sZ z^Oh>BnNXx1>c1ij`eYBt%TFXz(QGCqiyk~aH_SoqO!sk$wZF|YbwG6amS#8DpKfkMaMronO;vt5c-&>W4|P&AOdEQB$4S#b7Q)V zLKd9VT;&+1BWe09#KtX^mOG6NQDMgMU65rZOe<7}neBkt#;OAlVzY?dX2t?%SYNNk zXFC>Vkr+$pB?ZhcGl}jA2za~RvfI#Uz$um`(rsomf(f2jJ69nEAz*_h;3VcSQypj< zpou(&2V1~_NhwM91*7jy6;bfX@)=qP&V`PtaE6Z*al~vOj(JgU(a5aCB-5N+Q^wdCNQhpasOw;oH6*w| z^AF>=9j}+@kKlcBseOWsL4&2Vl?-HNfE<>gtN;@fb@bP;Dvf)(JLngPYAy}oaR+eA zSC9#PuILCk2oQoG^ik1~#Os%yaV~faM`bF|@E75l`>Vp{inFW&DZy9?glOPmp*tEN zY9U3Rc(To`0^h(SB{Rt`$r=*|KFPtmcSukG%qLbs{RKtbJXnh3kqUxvEWHPii&@OD zmDLeES=6fjE_#S}blL?*KfQJ&!rT~q|b zw_^(hy9MCk7TCOzIH{g4$1F8-vXGwP%pU?~-n zv{8tQ?>9iupFeO;+N{H4k3dD5MFlgxQf$aPrPDE!Ek`wxMMSVkCR2JKMKL7eP<#V_ znusH6OPiZ1Uk?y0;t=@Lfi8+c;>6JAFjG?1fOE|(aff6|PcoF~9}PE-5s05^CG7zd zQICv*Vdj8nl2|zybwJX6Dr<`HZXD~Vjztt{MaD}~8>22teu@<&q42An$47Cp8wqj& z%4ZM0?#8E$skC+Q0@@3T1N)E_bkIS1^??>AecCa9maf&cgPzv>M8r)!U)&F$)1O-Z zpOG)^O;Y2}_Ri@7trnB~{bb(&1=l0VF_-Nsk})6c%>du10b2>m6fmi`F1l~a&hckq z&B9mkKFrhte|Buw`~R#Fd_kTta@TJk1(xfYt+(nK;Qd>-6}dsybGm!q*Rp>~LJn$8 z_5pwPqPMr+F@FCR$kr&k`=FpV1B|aS_IpUUcj?J;Oxu->f)t=MU!*_w*OY-vB)Jr`Ff%$#N|3T&FRRFMtQVB&)C<0xRJr z!09eqb>9B>m*6WH;=T@Z;pn%rD=N~suy1L96SGm z*+9aDf{(tj>YSnwEgQz?`m&+uLEP%qWZ4qJ5?+6#CO!r-Ja{H@7)N+jX~7lid~` z(TzF!_grvaZI+Q@g6%#T+`U z9FKX2&Awx?9013%ku=PdWV|-Vak1#J0^dVS|DA!g7N-s5t}ahV>#10NsUCx2p!Yez zlF`DynU2(W7$u%Z#2m{CNP1yZlWyxL7f3bSx z)VX!5o5U4v%T-QCW%w&*OCr+r_bi4NLB1=mJt35fkL}7WAJLr)*KeK@Ue9*bLP73! zjSh6T{BumTl*Htz;SrNFPTMr>43Wbs#LMKtyGAB!j4CS=SS_8ix=)=iHmwYQ!EI4Z z$uDB@BV7aJL+G37%(r+5fBBm*GXx=Iyf?B1>LGN#GMt*85vxlxHTm2Xj;X?ct;19V znUisDnL1CHPf%G|j&+6QzP~vX1Svz`aExdH&|=+{@CzJk^~#37bvA&USv?i&Ps`Gd zDrq0F-3>P-e~04J(+MF^P@LuF@JTz#o)W%fDm3wBcj=<371Xk)ViiJXF%T6!y)_x! zUG(g%44;6)wz^?1ve+42l^hjxS6orkkqdue6NYFrgkhyc=FVnMZwNS2$Z;M(pc=zc zaIdi0=2Nv#iV7Z6NDa7$jW9Q%_>fQ1WF<%$%&VawSE^Tlm|TS--|MOpPZOX67tQfC zvF{xA*Bx0&|T z++-IUm!&S6<`m#&>?RD`Nmqp<2H}G+)BkOvNIF=(qYPXK{M}E-JWd7%L6-&+)*(+v z-6y2ZpwxdIOzC9N8^qAo;}p2lKj0q53Uw@$r~ByMlS51;A;Q2bMrHK^3mI5Qfz);k zt@L;sgL`GK$g|0&f=h32u&0Xfh4>&PmSpItONc-}ozt0V{=wi z@rRihi8RfYzzTLDhWA9tE4FS1Q%v!gstAA!-oXvBLc1(a@yI~N&T&b~5EbLfrn!X{ z(;TUU)ENqsn28)9yLD0&dox}80WuN1!h%%-x`1xIL8l-YEC)*rWI^}+fkqzJj8Df*Sf+%OJyN^pv!_7Xr9MqGNlbdE zOju}rfv1K@x}rk|o8()W$JKGeWq3Zv^PSjEOqpAy&{JmtV=c|&kl_18Z z%2G8bJkmmYgEs*{wz93lace4b*(LVqA*W)20>(FGGAuWTlim8Yknxx2*S0D}eiE|f#3j5$u5H6IoN2N~S% zQoBj_kiebXC0tT4NBGL*SS>_%K#-(csXf?q1eucS#|=2$PLsIF-!9;b;VMa8Ppu%= zNe&7}zWsT;1ETv588Sz6_a(U+3c4t4N0McRySeKe)`C7yE^ISo8df)6soKC>-OTB3 zi-9b*M<%Zc*Kg21!Q#QuvWu?r3PjOLQZQHKUV`G|8Q<&Jqq#i=u{Q^GoT!gUNkZom zo#f5X#zNl#y*jUlw50d|_BytoY+LO7tMna&S6z9H0iAm-fJfCwZ0yu~C3JnK=o<6# z6clEF*d)}ZUXYmmLc4|)XCqY31*EBfgJ!LLFk(h&HVAyyOpu9BHx})sR+a_6KEc6! zQ6S~bhJy*5Qny1`-!QBMw`0a=T-RBu#pbMKOnU>;Oi-$dT0n>vtOSWrlSDyzbu*=B zcJ@l`ZQJzRBkn=D-T;BNkhr{-4hRZ=XwFCtvX*2~P&lh-H<26#fj?M+rF-eIHNYEY zmh0Jg(}2r1fP-VZnRWO@3(oLm<{(6|(bpOsgJ2FXB8^HcU(~S#H<(gr-iNX1X&VtC zY({LhBAgdVCdaVV+sVUmMUqIb7TdBNWRM}Js}}Tnu0scdg|pM{ifC-m5=xLPq#OkWvC()U+s3g`^_|+&X?3`QcxscIZ_Io;;SnJlp!;6grAx zvaJ}G?)HW>ouSCo;l}`Io5kc}g=5@CJ%Y{9^$oW=E-*@vwjb33cS{4drNxpQIStPX zj?3{!feSj|siBgHw{%c(l$J`4<&08xODVi+cm)%85%3kLSEhV-3tfreDJ=%2bYYbG znnVr=Qs9!u`qQ8!Eg#?pxFsq!(i(r>@Tfm0oug3el$E|A^^ul>^j8v|JLw98-*#Jd zw1owp4$cLXZZ)wSA*lYqb8W>a2_)*$x*xtlDNE!MmTi}5veYlpfR9D7AFY%)NrHV8 zGZJ+Xh03?0Ot5UI3Cs#ou$nCJgLLt-kalD72%@4plotKOaS*rwC$|UFVw_Y+mhPdF zF2+J~ibxcMKK4IoZXtU~5QhkUpfgG!L79Xxl&_7JV<1)BA}V8&4t@~D6aSaPnL2>` z=#Yg_1tn+|S5n%RV8X<~Zc`S0?}hB>Q|RdU|Em;FLzH_2?U=qi2>zkna zzrJlnM*59@muiQP`jh@IoC6$k|D!!eYa%{&z3T1`8J-C^EHqi)1-5PNB__a(+&Q+p zF#pUS*ars!AG~H9JXaJ_r~mMyih{i3OZo48%+CG*n7m&*v|WU{%L)Xin+0Gd@MkY# zJ3k8il!?m$25T-pXlEC_NRUm1sTXIhiT@f{)FUqJ8FZMPb+z0PVyB!k3BYJqkK}Lm z3|+^T9@MTU(7LZ_INdXU*L7M40NP%{voZL3c0I@kPcIxirEvd=J#5zDj#)l#u10dA zvI11NYxcc$O`h-DmYwJed6b}I&3hMV{Mj`C0@G+qFA$g!&}s=#3jm!!*_{L=CqPXR znJpsO93V_H+4+UNAj5;F_n6NVUVLVMVrU^%IGeqBklpf=p=YIp_0gz2oA_^eqzy3G ziytbTbqKh*gBE?H4%&->gj)y)0W!uzY%&ZpZz8Pc_G{Li8yH1#7cncMQ~7rZY2rH%F~-r zb|?P2X(-6^PCvYYck!Y3_E0t~dTF?aB|I;F?+WtPP!tE>c+6qIC!jG7sNS=$WrYLl z7U7D5P7>Vv@wh*e2LTxbl&nbwMR;)*JEhPwO9K)U5-vktqWBE`Si#@G>^nCfe!5^# zZX$4`NQw3ZaC=oqABoN;9sXh9;K4zK{bij`Jac&YGlgFlUY}NYI)U2#OW*n1MIE>8 z>p5?2e&UuFUoQYo`23xK_8KVQPhXK9W@y3oiRw@DQmJ}$KY_84CK^plr#JCGdj`K{ zjz!@-Xy>_cIo@Ce{di9rrDL=%|LgW0j$dXg|`fq4vZVJtw&w1&Ks0JZI4|02>bYBW9>ol4+jBO zShfz_Xm?QLq0+Nd&;qQoBbWl{S~uQT3cZQifyk&GdYY;jYv$=@fCdxy;$i{$K@Yoh zsJN8z2@|qCeVDwr^$a<65%6d03u`=}Ss56s!FK^m3&2(Z83hu8B%ujhwP*um^NwJ4 z4g1YA?@glGq354^hK&6~!^C$#25;fLNsk?5KRXy2%B-RG7bGCC*~jz*cLZh#!0C?X zEoUBP`4Z?IC`5wntpFaKaiIgT(3?G|by;`|=4|3uW86HKb$0c^mmvs5IyZY%V#&Yw+u3AN0}h1cO&C=hrd(IPsA?qd_*VH2P2sV)FW`K{rAT`orbbibZC zL(ZMs-D}aGhzPu2@^_(!Drv8<89yjA4_{}UgWBP6eV#{t&|5no8;&C`me;idp0@vE z;n=lE^hnZYK-AAfD|HQc$aJG5wbdY&BJu?9k3EF4^{AE)zs2A}LX@%X6)04*2EUmPz4*}QTJmS( zE10%uIon<-79R{qt0gcN{$}-u?ZQKB%jMb4TLOm)EM-`M2@?xhenHRLf_P6GueoK6 zTtZ%<(uz>jTbuKC7tlRduAFpk7w^*MY%dKI^2lSd^2&{0=`xx)3&ao&#(R?#+ISEE z)jRxJHvK>c9IFHQ+pkM>dYTA1fg6cDsK*|3aMIz9N%_%3dr%u+M6TkjzGZ07;E9Fj zSUyUXohOOG*}(zNO?=TiEkE^d_WOD9{?dQK|&Pix|VuHb%FSoG{z5g2t! z!$NgJ&z1@GD)cOySuhLi-@kki*uI}JU_yuu9=mAsIqK6zM42Fe@59p#Cz9nX@Wp?9 z>lAn}&(3@Lqh|_Hbm91oy6^D&FAuO%r{E1U#(Vope!4XOl3X;hIC>-`CZJKK@_~^B zJ~9~!qYs^+M;3t71#YhU`j5D%fK!ez%>R@_|HO891(hU7Oo2P-D=@!Wi;qGNv(N3y1W` zA~fq=6k-nyR`-i3Dgbh?`9u1~eEGiD@nD}`7)?;UG*+-4SVZ` zEjo?pS$myp$kE)PMelaZl;xK{2q9&Kw~HeS>q(3(4lc*YVq%XRduUPt9pD}jv?eIy znLX`2huMy^lUuh~6|cj1anXduJ$6=(8CwXWgE4%=>4y)6dOC*geCW)>`LpxknQaMt zYE>cTQ^9zz5e zzm%eT#>2;YWMLNiY6qPpArIr`Gl)W=tT5Bs_RX>h!1w*=l)^_CgaMGaP(bYf?zsmI zQSW*~HZ0VZ7a9+Hv5YfQtaZS1Q~KrIW$TV^NT;pceR`o#Ti8GJ@b7c~8Gh!#EsyS> zRwx7>6V|AcqYKq-`#u_3ug=)^;(8icO#QMC8tkR=X^w{eLXbW7+wJG%|1>`-_-Nrb zdSvl@-^e0x(tkXK+Tk%~{Op+*3*SlXLCt{L0X{)tJC4-f{Y3X2Je1v2ivXgZ4$brU z+^=e&*{hEY2})$3ydDcF)FZ!o)9dHG`NW}K?9Zn`2I%V3H@$xN2Y>{fdEllup1it1 z5TQ@J`=h-JZ~E1Ht296^P+<4IvFjfJAo>q?zx~IXUVnEuxIKhZ4`ugjYY=G*;6^?2 z*?Tv>v+$-j&t7;hz+iX2zwL<^UcLUm0F%1+*Sr7g0z+F@WcssKYZY(H-X^$!GUYnf9@23?E*R$aJoPG*{j#Q`k&kO0sr*C=OEYg864LyM&yZ6AA|7E@T((C$FA$=$wOZ{NaPg089Jkw}Af(;|bUo#(x_Mp8fXI7jHZG=BgJC zEZX;XATPZ7Cvfb-yWjrx=l^B>FMiXRQl_QHXi4#HJ0>?9AK4degi z8{dE210*I7{*lO!y+@8MJQM8r)hml$J9+Vw?-WMgRJICMyfg30%byG=2qhth4t#6h z*LOa-YXi8EJ3rdH@4R3A^j9nQ9ys^Fm5^Qd`hlCaoqhRZyOG5YK0jjbf5C%0SMC18 zmZ#5q6G_4x5A{`-s^@k>D2flv`^K+uLWr_}>V4tW_Z}nJzklGsO)s4Hi;3Sk@YMsS z9eQ%tD$V_+&vzcU?4$tk`jaMmm{(bM?Uhw99o;l~;c2?oQN9%{Y8rb~e-hCt+ zLcc{Iw*OI}BvC7veiztHO6dnRXTaHPO_;%j%mm` znf9h|gX@-x0kqoo5C=T5go4gR^tkloum5N)~HW|Hi3n{uN81)_CSIlkO7@y#eyKz@J; zo7**HD{HV@g}K@!Kw={dy%ShxbhG%(>q`tmu-sCmxjp<@foOgi9OenZHDnFYqykK? ztb!0M%2bgi(P?36M?qH51hUz*a7kMzs7kR)bjR)BX32&u!~HR4e{($9l;p9+#3i^cR7-bUr5|Rp4PcTnxux2CI%FOkPe{ik{#eKniDeUdcafcWpVlc z^J)jxhtQKGTpUid49vj;ja?hAM!0u3~O&1VUbMJHd@4LkgcQ+Kt35@v;JhRI@3B zoQ1o*0W2{RoYwHk~59#gK;fNW~4P&`}Ph9pB~XWJCJ zv8f&84Mrv5rL~cs8qKaTtQ>5V3QXP%9}O5_lN6NUl)Trm-m;8FO42$X3Yr~OqW<%h zt-K9DVH{Xk%$hSU2fZVS2)4A%0Q;`YR!+dNu2QXxVRd5~2UlU2hdXnu!yzkKE04SdY$o-0i_>+44~Bgo zjY!Fejt;BoxoIlM15wK`R0Zo?4Q@wetmaY!yWOmbMzocqtT_w3cPC2>1Q!_>X17qK62v+*n2BXx8H|%1cp7TqUuZ%5{C&lr?`;co+fM+72MM)U^C z7b=Ydf=4Wp6jRKb02G>}aOuf*z!(b)VKHSHjG$Q=cnv28em`*m5{Q&Q9lbF{7x=@q zWUP%dlL_p90t!nb4Z#%1ieX=B9k7u-G2%X+7fnHvgR_u#+gsTufgK=dDc+6ZQR4mM zK6AB|299K(#8Khb^yK2q5IEQ)vx?5~H;hXhO9pj7MP{1JZpaw6A+!3u14%FO;2j1D z&CbGg8cG)g$sqnDd;lN=|%%F-rIrz_QN7)&NEly$g7==ZXc)_`a*-g6@3 z=-6cu5pQ#Ygo#nIf>PiNeFp^^D~lU;9eG{gEhWy8bwCbvNCE;L7+aP6m@!vha)lp= zOXJ7mkT~lNM0)1kSXA9ue**f>|m_d{D-Ux3ycKAdyXW8V)I(Q808dqFkp?qK^X(qps-J zcc2R77>Ju_)c(@sq9*OA(R58rbuF6aNVj7VFlCnrdTl~JK$SmsDS}g~+jFB)xHeL& ziYhjOt&C7lTPHw$YFN-{wbc5}P@6k@u%v(9;m9O>^NYxW;zV)|HVm;Qh9x(d?6gp=a$@)-pHwJ3MFI&izo( z&0V3yEmH_$xbvhbkpSzSOMM3qUNbSG+gRb8b}^ysjw0jjTqo&0g2S zX2`KAfqE04DVtApv_KYd$JAix6cJv*bx>Qo6md(v}L|z=x1=$1|oCseQ-YZL1#DM}CNWtGMnMV?w`h^NYM$AD)e^eQSLaTMrlu3sDHxvW6|AQx> zll+|`s9xP0SUJDVfWJcyqh|jhlq$8+c6Hw&5>73p+(D+*A)6qi0%@VJp(GsfG>}3B zol4~PUX)$!cGQgMmoS02T(m)mse;Jq63fM7O4!W;D7!`sD7!p#Oxd55Bxxd(7zUx7 zKm|Yy7rFak@g%7+s@7ra3<|xlRk|l*baHG&#}=+3d=Q0oiTfuF!a>(@?p=!mEH3n#-&P zGVARCRgIQTsc7YH?aevB(z?8f09$h%P;d&WXvN#`8ee3MQu6^L5iOaBRom#H=dC%B zTn3t?>(TO!Zu|QlX5g+Yg+euq`f1oMInz`YVJQ(qU51#4oRpG%|2zsEq9B!sJJ6(x;s z=lya7+dkbu=H)okY^B!MwbikF33JG0m@Kkah(Xe0K@b2D|Q9Zqz|^JwHs2!S0M z@|HtE!!{kr2H0-7nyV5OQ+Tcukhid!ijQo^qv|wKL2E_%Lq+Nevm??N$zcnK$TT)Z zmVoJI48j}}&yl^ts&Lv!c8L>-}#H|pQyB)-Rv}|qV z(PXNSPz`hILaT);X{RnhnhfL?MRF%`*>K_oA|ZqVdYFK;DV8D8uzeS?mY9PfP->4BlTxQ^WFkoCQQ15%8&^0Utz#U@bVw63vSg2(y?8Ma)hp7zmK7 zO!Ub?siT7qrDgaDjK`Z90pPEolP#3)be^A=xS^SXAREHy!8M#A@sHQwdkZ!J+d?v> zKxT_KN*ss6BvxLpP5q&b^8o3I2Lq>U0%Qpmiz$3=kmsyNKq1D`bc?eXv$wEe-DDcB z5Mq+qlDH8c&Qj2hy0)l%Ay+8y1ekkepZypCHg< zIsXwovgik``RTAfu^UMrOzKhCpT~pk{Is$G9ZNe{XqZ%jyj?ZkLkjIjep-{?y{gbp zlYIp5x&qwqCT9^w?ESCrUTAc8E_i(F(|5HJ`KsaI-t)kX*h1#MCp9<8KFatiLhxPh zq18T6=V^j{G}bRCA@5(cbNp-X{N#bzhoImC3kdR-z+m6GYWT^s*Y57s`+4Y%{Z`(+ z>hkfg0V5Wh*>v6ecMJAI!FC8)OTeekKCpHqSxK9!dtk7n$z{8pcK|W`=-nPn>JDr+ z12EVs?e7N`Jo3bvMQg5EUv=Wvl~oU3gFWKky%{j63m=&RAs*iEc@S~~z;^*itl^6v zMv^ZlArG&8?fwO4 zdfBl2@vSSW-kmmc?Cf{md-%28zkj6eK5RI1i(LZ*6?%^&MO9Dsq$Fy?II8o{(dZ*Y7zENs{rquY2?!=>7isyINn8fn<&J z{Kz8@AIbf|?B~3kA1H*3?|AW$t{sx}0cop4AE#Dy(Ko9efM|a*Zv8Kl=k_LvT~@X1 z%^3{|3QaODu-LkMGL-W*$>dyL!Hc0y#@XCFdbFF(WR@>!9M`oZd{VrzYGiU>O*3xg zv^izt?!9eTQ`2>oQxnMa@fw? zu4+nhr`f9gVOy{nSgUr0lQYVavU2?NG&bwxVpG;}@+*H--nA6FoLp>sP^OMBgXFx=b7 zrf+SbiJNOI|1o{r47A$k(hifP;Qd@}3Rm8XZO17|a1)%LyW|15YFIgB`?-S^!#H-d zZJtMY*^vXNV541SWon!70k(1-d^asvG?$MgF(89Eb)mS^+S&;rW9%;Mxsq&L9+`Fp zdX;ZEceG~af;6+sxTq>w60YygIL*oVwdP{1qPmSUR}8N_Dfx1$%H%b&xo&LN;p6V)#rV=1RyM^UWE4KY4x9bTpoLo?!&P=gM+x+nu?V~;^Sj`xx&Rs9 z37<%>AWLhWvn4yKlc`|@{s*wQl99;|h1Db+6TrSeH3+sYX0fRRF`V`b+bG&txT0T@ zx(vE?Nb(4>+&3vL_*<{HNiV+-@nM?Am4vtdzqdsSFnSNl2Y=TT&)(!BznYtqBQP z);iB|Z#%-AUQO)P7kjgkx+igTm#5eV`3wK5{(T;F-ui+$(m(flNHT#-Og{)FTQEG~ z9k|R>XHiBIigENat*8oA*zM(Ivf?yaWi;3ZbY8)2Vi1NyEFjQHMW+wLP8Ft~6diaR zf41`b-b8^KDe9%mayA3}Sx7RO(S{Xn3}?^>l@&}G`K+mMfnX7xg6VY|NpJWUO9#xz z)2uy(tgOSagf?R#(NJ9?S+3}ugd*Vc3>F93giG*0WQM|)h}k5doqEzRnpm+0fO96% zNzOB&S&<%Q{z1&Ma&&WDy&S&Se$@t zXa|+?VRL>Xcmu7t1QiF|m58UX!8HawfGu;H9V@ zcv1F1W$hjV<2T>C#}d}~OIE$k;niWx@ycY=WT(*t_aL*IQ-(Kt8bq1rDQUWg~L-b zZt&N#Ee8eB>`%2#1#=QMN>wM5t+~cZ5%x>f21h92sGvkoz}7IpO;~h-W0SlSTi=*$ zq+wKQoMMS=fCstucLSNzzi1keT`ZcgGWktiVks}())d3OKNXxB+P+eyP!%Xv0)Ll8 zEL8lVj02nu5@NZJgv}14k|k|Low83V_DiU+4bVifP6#n2bHgD9#N=dC8rk3oE&{5x zp#=NToRki;`sT@0*c`4Eq)Zzi3K+ijAfWnq{clgDmR0q_WWo&1guJ?%H)X%kdyyq~zwfZ_wl}OBq0v zjXRcl?nEf%XPTW#VQLWyindWfbe`&?GpL-zQVsBb`ilnjAgCK-Rv-#sY0+n4zmnw(lV$sAYkHcub(6e1T>Mv?UBu+pEPa!U~WR5r?>4TIT?iV zS`!gXljFQM$+G_D^pU}`=CQt!1#;{ENT9L`E4-j6XW$Y#2>+MPbwKrjAn2grkFZ3? z-8S&F%XV_$sjQ^LkS4=ox01|~tkg8nQ5Ot*xEKw1`cp}}nk5-bkJD|&mEh>;ry&lb z5*#C_dUXOArs$j%0v%9?u{MxRQ{0K4J_UI&fLN$v7~ph~DF&}YWFD;5%!q)Zr;vQo zi-I|A+0I*Vp}>GTfpe%p2V`tU@502c(D3ZYoaOp{?8FEFn#RW-yzMxCjY z6ebq}7p7ui<@pau=pg7w`OgHg(+>$03TJdl@(=_bL;9tAln4ta=wd2m3pcgD+u;d6 zz#hh;%&9P_7A3KbHck{Z2`pNT;=;uJ4#tvUO6L=UPF-^dk55VOw;gubc#Yl0av?KE zF$}*txT6Bl&{eD)tv2ylSvvlA0_^-W!O)Ms&k0Kn|CIDb#wlikD0MLbg?v}!(3b-a z-Ai@tFjH>`Pt&~~uBZeo*?WLg+q&SMH|`ie6Kf0D3mvGh`v}|i?X5c&&?*F3IpY^c8NWZb zYJ6(Xn@9Mh9W;leiz9-AvrquQ{wWxdFJjS_oD-u($X>g1r9clq6&~0oeBq0>~uonz`o;mEYsXba={ucF#f=P96k; zZ#CI!b+HUM^+P<9_C%-^k#d)vq37B-s)`T9p+!}1Y!m9NDn_A@|! z?fjR=hiIpLKgr(}WnT>LVqx=SlaRF_4*-B3zkeIZdv?H5G9F2K8^ZU1dpfqJr@iwp z+kJiK)}LHN3hpN%z1ctt;;)$GEXW@C0KP#$4Onl1kR~89ykF$jIe!CL3E2aMyi5vu zn)uwX)K3!ReFM3VPLdQv&LhdYuUVvP2O6GuljQ_3h7rpY{AGMFE!-LiM@F~&Eyw?d z+?WRMFIyIq6qqlz`^g@y6&%1_ObykrBRO$lOod{$12U-)3w5359L;3aRN&X2~0^q6X@rZfqCM_~G!sh!}~ns93>X01tYvkF2C zLdt7O&K(7mzuK-YUenbA1((^Wsgn=`FE37aYP-95EqtQfrS^Eh0K=&d!Voymx@q!TpVT3t>QZKXP;QM)RciBlQGupZ^45mq*iAmfn@cu&d81~}C($W|LP zQ8l&D1bG>rU6%-_;+a}2xjSPn6}4tdIM%%io8(XWo5<8S75mOlRldi76XpO3&t+$I zV}cgCUost&yHl@qtf}$f3Kzw*B^4ubv7A6}ysPEw-K!u8`h+Bx<|I$kSfGRuee2DGkasXXuz z7yE322ww1P(IXWhw0(-!RS;l;=I8WFfeYwO!PC_{&5|(&D1upvX2pf3>jSvW0o;tt z;fW##4R$T0Gfg2avxTuV7HTLB9@SQ=B+_m{_m_n%2x2i&2Kq)t9dX4(^bVOy)hO<0 zp9m8@WVV_`Wgb%))HjDOpps%i=sn0VAeR{pEF|!&0}&|DKAhwmgWKT7FPYP+Xi);{ zSxjK~QH_Li@H!~Ojey(S2r(M*{K+76Qi}MzME#+P7u9efg~NC_9-VxoQU-UJ2D#E6 zhX@ixQ}_PK^YFqO=j(qXA{WI- z3cD4BV5nrVK8=bukNLa%`?_|ZrQ+jOM#rgM{z7Dp%*u7$YEqJceq9Pwi*d&V8a*M( zW|w1<9~0&>qi3&Ob1o|A&kyTfUVc(yTR7P?zeWq`-i7KMu=DqZ+i4LWl_>ok%XsEE z%9VBQ-DsU|3rD6wlcxDjs0|o1?v+j}R*v;f`W;BN6HK{xPgmKtMpo4|B+&RzE{PSv?88H-*F9+0#%K~iJ;Rwid+@SkI; z<_oZHk#_1a(eIjRo|_W3mu?yZ$lH@gZibL%;@(BO;g`GBc4YGuRAp&~{uCkj3N@t? zZv)_E33=0oSD zT==o*l5kt{f?)v1OrJb;SFMW9ce+(Lu7!9#B*Y*qkvm~Uw<6;cCO+FcOf=R9r|U8QA12uK&448xszE5mbc4H=Kzp(H)- z<_+*krZY%A(w1;r^B1ckO>sfl5bT)WVdg5iyF9#lDvU=T)7QIG=cN{c9vH|LnS%$V z7XVtzuAi#ObCDbziK8>7 z28BBm&3^J%AEA7f1$|h633LAibnElN#tjUh4vG z)bm;sL$sjQrwz??qYte$vy2I1RiK(^{PEf|eGlk)0dI9EhPUYr1!vIorcsJJlDNC+ zf?=8uWXUW+dYOtLAU++qWYh;^K}TXT7g+?e$JlMSCwYuvKCwccNVB^ND>yu04mPC{ zG`*VaNP;Ik1icHWWGQGOkRHXS{K5fbQcwFtbPyrH^qb=p(GvK~n-o;OCaBXWRFm37 z1ep$62#H~Er6K}^AW+URhHOAk3I}k**CYf}&Dxco?0eAw?< zq=8!_QJhFNf=c6{g`_=AgoGVOYZ60u2;8j0)1(`#L`~omAacd+cC z1EbOu9P}W-ztHg-N@NR_HG~my;x_3NQ5ZL5QDZ^UbkGz_`Bxqd$5ZjABNpf9>9?Ie z>7W4h5%T(2JZNqz_qqf!J3&bv9Z+(-;K}I6;4gd#_(VWEh1CdV+)hsRZL}So28>Vi zi#Cb|BsObKz=e7h#t5S7vF%cnRFWd2WV}&oOcx3trTzgj~|#PlUTHuBndMV z{FCK~z?i@vXiEP;vLbO3R~{v+>AgZ4^d%1H`s>r8$8phXvB&LkO7BM>Jzhbo7^o3I zqlFpz5p+blwb-(suCa1WOcLkE4!vm|aXTiVnu*ua1QMHVz)?JkMOa2o#51jRPWf?) zs(XeUA?QDs}YN!>{kmHp>k|4)$heDQHK z`R3N0>t|wO_%+gd9_G(6U;O^?@%xwk0JF5(oO>>%#R+d3*_&NH1#1=yeu}>}+YaeD zbdo*8gX;B-zevw611I(sPl%rC-R1+fZe8`@>~{(iwX5bqImliH$lLcZ)vLMZVs;tp z3VxECR+af!!XFT#>;>Oj@WX98&*?K~-v^iOzOHl2xq9Z>BSTPe=K6b@{7qVd*{#Ws zej9o(`&TRr`19Pmcb37z0hzXp7Hr7)>xq0Hz;_?UwE7Ojn7xMtT@M9eAt^|Zw<~FR z=QK?4-#7b@_%PvL7d(z-jBnzP^uFxF1rH&{+gtzmj~+Vk&UJ(HB%6|i@wYB`eA~|P z1duyp;m#kuw(IxL=vi?n_-}fC{ybVKcx~Q30y|!FfySR5vmdgxg7|EtX&$ujU8NNy z*%$fd6m#A;XP}k6+_&`3)oX^|hN3ljfJd;JHKC zu9q*Lr`-9I`vBnuhz~&;><0FrEb%GLy=S9V{b_ip2Av1)V3rHvyjwNhBK9nS_SzA! zK>!Ws4=RSsZ@ctG)jD+3U?Z)DCdAy9Pt^5~6E7T=WmyEb>^)1?IujKG8r@)pcyjk< zGA?0v5jWVTCKz}Yjhos(m#krF++p6TxY)YqE^EC99kV!p%~Ki(-3A*xWj; zyKpB)T6MNl8a{K=4dtMYEt?U$45QCCY$uqg!VPWDdAfN4OD?tw>MyffZwpT_);!RB z!pFn7D}GsLV^ZsDU0l;qI(~v&g&X`6y}{jLJlz0(y3~(g1s*rxesO^#F;-opu**Y> z^s)2oNklPhq?0xMUE%YcF5E0%pg`Kyn2$!b9?#pAQz~MK$7CZpUw0$MlgB#g6?r3a zh;7*JX~TJhsXMl?rlSEjwKpM4F!;t6;g&&l@69ZU=v_?MmfTd?-GE`enVj5S4{QTB zwI_nmEg0^OEt+b^5|g^c#gjVHlaDuASAJl zQ$6W$Ypgqdhw~-|)3r&v)w?$!(})MeRIAJ+H`0U+8sL>Hn$KLc!kSxmT1Cs7HBRf| z9?Q7&W?@y+Loa^*R!1H{qfsQipV>rSyP`T5GbH_;+hMcnT zjO-RTrO3-QNxLtt82<9y@v*H}KlafXS>$)N(EFiO%NSQH-hwuyTBo76rfw2rTcS_L z-L9$XL_y^18N%I-ZsjrvE3u`=!Qh1cAM&FtqpY5KtQoJzhIy1Aq{Q*s52XSrRQE6< zID{D-D76d*;PPQDAsEBNzDPZoenAfime;`rAjeR_z#x$`Y?9 zMvDxx1Ur$Vl(ec6bxo1t=-)G~jTL1ILT5_|`b*KHj^0Q6(47T}x)Gt=DJ5v0H$u_s zBzBZW_dpdl5d@PM1ymR~2#*3Zn5U68{vg7;Pw3`TZym#@9)|I9FCP8!XB+FR+EO+* zBbwNm0`}0*sv!PSy(lWJC&M*85YoAEGOPy>zB}PCnEo?I4aYgK3rt8;tih~ScBin{ z)W)$)zzqqaT^b-T+zDaF7D*h76*qAU)>>Tp+w@CrdI?V-aNIPt#nm!HONc3X#o7tm z@CXJA6g>*0zE*3%qn$AtvudKkDinB@Qb0DVP?GYf3C0XhJ;(>MU(iR=QDcK32D0lZ z=PHz(j(1VX+zy0Or99I;$$S35zr#(sAkD(u5w0tegs59gb91Ni_Y(I*FWuU_@9 z>pP(78le$Y7Z%n2p@9Z(E?xO@&AFCV*LOVlT~vlIBee&c6L^N5oZLa;U>T@m)fEJn zij)&v2$tQl^6Jj+qQ=@=>SMTK;|QlM$@|BRKeB60Q#ZB5GCBFTz;{&JO4kH5xeKV1 zP|_XyMh&aDSgWAx&GJa3nZ7Vg+7n`z^*R%AX{IZPZbj-V-7Jf2jthA&sAIt>Yk5q# z_HXMGAl|j@Ou9r}SQ;k+AAKHbx6|PJb(%uBbq{w2uL;-DuJWVFl4ehAs!+kmDG`Z3 z^GXFmjlWFa25ntwt7};uT_?4+>&PW*f^?d!q8Ec!OlaM>8L#5)#E))Uj=SyGmPJ5? ziwIUZ&`zlfB3xM%ftSe+(9$~T)swVzS*ZU8W~m<1&XUdMWUV9k$xB1&@fH0Ym67HJ zW#&aR@$DX~R8Lf)bbM~xX?Pye9fPPfnRH}4;#_A%<}QSnmj&pv6z4j0S5RnleQzeR zV_btldkX`rjFr&{o)#b`RPuCb#$zMcPUO4LR$eMGoF|tQmvBV5ATJU27#rd#$`W%C zJy+<3C7OZBbc``8&+iYi3i0J|tSFgk#-kEcpBFZ@hCj`23pdkiCzPe>h02SG$}&Ng z0Cy+iGQ<)p%YIlQSC-%W6#5Qz7g3g4XH3{mQL^f(O8&7@XGNqQg$CTs3PjmG+#OXq zL$P5uyi8DK%cmHop0w9-Iv9kU$5cDH&W%POguR?P3AaU3ZaqnoJ8cjf!?VmeUvl2> z{)K%9IoL|MYiMM>hD>Nei6pgw5Oa?f>3gj9l@kO?U_OlA%Ekv)thaK(ap zq#jo=h>#4ap1v@_C_&x8PnGIJM6rfB)$kX=_4Oi6Vmo1=HvsNj-v|{aI6&KE29Cx| zo4D!MgBi3G-ex+E9_{0vtwg?*G|sujIKvYeCKx}n7BU^TBQ>j@9VffAN=qd0+QAgi zP=xi4%5aY98V)LMh=w&j}HZY{+IHnbAqJ?IBvI~_Me1l>tA&Q8E2N`kB!mf#RlSlK>g7l%Ib)H5aMRN+94a*kS5T+Bv~ zM9O+ec#`zIVy1nEmo#KZ{a=(;5QT;{N}LMlP$_TkU?AYL`hU=Y;vk6ya-E6JbOSmc>Ex}k{XPXEy8*!^f z@KtRR)Q${I0{b<}SkgUujuraTaSSUI3}DRQc;w(m9}gC>AH{&BTcqBOOg+Qs9@g)JaOK9Cmbpr5WrPLv{bHcKk`{EPA^`+R5|mzBTY^_=Ny2qVn7|*LWt|*PT&-4( za^&6-wChmGMIY2qEubK&;WiKq<1g~Chb|4s2H>@>n}Y|v|25a0LmelF?d0yZXRoD7 zFkb}@dn)--TGs;qk>5IGhwuNFZD-?oS>L+{oKa7ax%k^6+&u^r2#*$8CuN_~_SA$`n;vJ3zm)JR6cz&JN6CCYQQevvv2R-Yqo9NyYGg-+Gg>-PyF5h z@6;z}ZFn@h>@&}aZTrsHd&TkDx7o387H8jNUkHORRs+>jHa><(r@)^JzA~23AN`%w zz;c@vWA-;@_p@W4zc>37Tly4f@6}1XWh}(5JMe8*4nzd@i}JJYednT$a3}lpYOpY7 z&s{}qUgFp{VF_EhNo5IaWJzL|ZE|S_d}vyhNVft9f=gdy#dl74r<-DeEH9{^o{bmm z1PPP}at-YM#eUarqWr}7%)FCnq@B59Kij{p_}9AvOLxuseIK%Xb86;edpFM5%btDc zh`oOd%-VAN<4=sacE{hC^{KBedxD8){}be7v%kELRh)b;=p?7^_~Jkhdp32|_iuO$ z20k|Nj5h<*En`_67d3?}+(C$@lC@Y}~c8w;W)b_l>#gTiUX36>URR zJ9MHtIHY#Morkj<_Ya6IdhuICsT|t=W+=PoEJSc%vY8yBO&hJ4zxxp{ALuWDK zUSLz{ym#{JAO)6c)gC5@VGwtnvf0cSP$gbPmF-r~QF7;r(Lfuw=Cuxb7KeQ#lFx%o z##rPa^I6aQivjI{e4}v^_)}RV)QRZ{6BC7qY7#e~z%}{Ym~18zYOna8ErDOon0j5b zxD>6!24lgPr+&=dc(HizKJC_SoWT;U1NfJpH|XY378Q**F!2er4jS7w@r>e+pE~2_ z8O1jo;ECdW$FJ8=qtL|6`hy(zoCrkEccQ{dKyq{_TykNSrCoM2ezEUI=2{j9>B)_m2en9x~VN_fVqU`E?MRI7z4u_G>JZ-O^b+ z<)Up@qS25L=Thfrr~OyafP)Lm?RMx{o;%`SyfUO0L!S6Gfwd3X2>HXNfhvy|au^>c z(NCAtblOSHJ;iN->4Z2%wx}BvtC4X(l&OpQzb?i=iFw_ z+GFY&u386c4ws+!D>GYJF+ZcY>f{-z8QJA`vCbp@c>G;DPLQ)&<;1VmeJsNQCG3Z& zaMKWfY8_@M6V+J;w&y!g!}o*+_OK3co#I@>$;Zd+8=rk!e&WB2vwsC;_OWQrUon;* z;A7bMXWo{Gw_9Lv)B_=Q)WUf2zUWH0lYOk4#G!@Y32yPSBymogP7sTok-+?~Dq0Gp z_&`BlIg2)z-TF4g1ls4Y>4@zf!glSx)0{Z#m%H37XAsD=R?*4gO8cs(S;wu$mR;JX zwoK*Z{M1)7<1T;fSZW=#N4Dsn-!*<#ym(gP86iHgw{AaL<)5-K`}E7YSs;4E_P5zK z{?%e0KebC1OPSizp+ zS#Pi0hGO6Wv<_JcQhv(S3Dr8d?i>^o_kij0=mUbr7f-P`BAqEZ_C9vwlG8U!v<{gA zY#>zdnHk@GXUk3h_SgIjMq3|xo-BQ=Hk;Yf!5Y5GF3fy4E7*m50w;#GrH>V6Gqc`Z zbn818fmk>D0&108^0Ts=M*}{TeB;9ulfy_}_3^Y-tt!fH)>y$KTY8G8bZxy>T1@zT z2f}-lAB5_T)5fbesr`0jXq$M@A^$|dkiOf!)H?Vh3k(4Kgpoy2n7ZOWs1MyNf+=(2 z#h@$W-469#pCAA9mio|^)34gaj(+%*9X6Fl7LQ}A`YEqLoe z8d(&pZhqN{M|YGGi7fi_`{&-VUlhMNDf>5!Hy<6asI#}S<(g z_Sk3klS{-5s~q(}Xk<}%HnbPdLU;K$7iF68?|G|KmutAubH)Jmz(8hsE4jb7OwXGx7fqm z#{6QJ_OpG{zD*;G3op;i`1r=jd)dto6}+`8uyM=L|Mf)Z`#ZJ?YwW#h{>m z$$VDSf5*~&+b-Yn#l1T;j4ZNp59~g_{K7X2&rc}qr~6jAp}I7C1U#R3>znMj&inQ~ z#Tx3jN3DPwC>5{1EBp51%XeG@5R5DiZXKvjs6=*qF;QN65p-ih8Z$c|JrKv;+N%D> zy_3!2{l(k<#-bOU{!KaVTCqP{Kk!b$DUXajb$4K!DgzgE3n%>Ax*p_{j0-bRI?;>O5+dU{u*y;1M;l zIB+d6IO46+!S3YcaL2K#?=Z-oI)s+?Un{;6Jg)0@Z`gb)m_mnJk|lcAX9vY!`NJM) zzqR|fipRCM2W+*!1-?ymxyKnrJ&pfc~zSkf6($n`|cmIh4SNvhzZ`R!{Upn>5?LUFtd#@$u!Gv2J ze0JULTQ)EK-%UR`!+L#SX3i3bVF|EvUOui${*>LfD7%$d#e~FvT=l#P?5ZPrz|87` zM5m8?cAa9`bz?G<++Kj~Kw zJo3&J1E0^H@{%i_t+Jd6cOFvOyPp8|v3H<|T}!?)a0TN1*6xj)PrU|#C6H%Ndi@S? z@D9uYZ*hhQir0Pi$GaZ|ck@{wmR)k{%~O_mP`g_3b9Mq`ZXU>uoWu>I0N4K1x*uJa8r5WKmVx411q+7+Jj8AG#U;abc1ztx+W_-@5_{g?!J z^ArR&mDnsqQ*asA^jH&tfMOV8#2X;h%MzOY`J);fqX3++q|KF=E_M;LC&$i}mT{xf zE=p0Mm8oL5KxyB14cuuwav!$(FE==0i*@kMrsPVqqJS@o@Pgc3sO6BrDLw( zacGkz4Fr-1gHaY0#9|cKm?TEoO2%V1H#|YxAes$oy%68x+*nIl8tv$M#=@0Wa3{wE zSYd}iTJT`pXzxKU8{EQ`ObTr48Zu!_6`CLeDNFnyCSe8=+ljHT9jwkwu<6IglY@H&jMS2URbg$rutV67j}kE3txUu%tx_*AgFFS&|P@ z+B-7#pxyb}dG082;cB;aAQB*pSfYqUhlqPPwjK7*5ZAm%mWez*AJ;%oO`Yf)=(7Jf z#FSSU@AK@I5*$a$9f(1C2XQTN9LXBtQQrDqhLo49L-kMS3`E6zqX-IPcm%-?+^MkrEDP%+eqi;sD#8XyFf)iFR+Clu zMmJd5kp!n%E7;XIpP;C{LiiXIFDck~8Lx1%Ov*%oXm%K$QPx{bbF}t^*;*ns#6)r5 z*|o4+cY4c(76i78nw!8t6~7(SQabDFftQ8hbOPE7lqIz!c6!QTNw^D7gUvWT6PLZs z3*r#>M&cmfRcvAlED7vCzJ{QFVZk1+z9F$~sVLj%)?6W)KATaBgNL z!VD3ZO_KJV&q3U-!~`PDCy7(4k~omb5~b}G@rj%l)>}XE#AKj=TA$6Rf;cRLAG}ox z;=hEsfo(xdlo-wf1kU?*`(naANZh8f)bXQ;*KB6THjpK#RTgs%)ZNpyQ5iTk55!Pe zcKUW7gzdU>DDiDcw{>72Ajy%B%@dnCsc>4j2^TzCQDRpjx%-QytDV~%X+@GyEp)W( zOruRow9<+P54l8p{k$YrIsUxlzg;7}Z8}zX>kQ4bpY)8smV0B_6>wi(qVfe^38TD) zFL!?(_s3E{oL<^?6Eue=$`bD=X^ptMNUmr=%G7WGTJ0G~INmlE202WON~lVJIvMhy zj2FClJ>gDOca1TnJlzQ;sFQphj~O<1K|Ek}^XWtPpRKYf^0v zU(ovu1}owQUXi)+KIj-30>NB5=4tE%u3jaP%I+aYdT*Evk>zi|1&V?hFqjZ(2dag@ z%N&||>&lBS?eJo%bZDWA4E=n7B%6ExX?+Ku%5<<19kI2PlZJ?EG=3bl#Q6;!TKOEv zS~TA}SRc_66AdV%<{a8p^K@#7iNnxsTiw3H)L33`U=T!Qsr4&5U4RGE>;?f`B}b zURbhtEHHUoKyvf+PpxG5!9`13Guhlxs~gmJsNi%iEot6>hF5us-oWA%K%3D?n1q+7 z(WStf%*1t>?Bckv!^>WC0p?7?l@8(?AE;rx=-g=9ke8kC99{Mowu8jhN<(bU`Sey& zqfS|WO$9z-u?mtfq4Cog;+x|jK|Eo&<1BG#Aq5EBbCIKTYa=x#L?>bom9l=MMCU?=+t-MgM9~B zl3(&vA&>C1<-&G^q&4!^5?BC6Q%BOggtQs@uF&o!kP=l}3l#{}){=C>;DvLjr;8368JGmiu5L-CT@Db` zZKZu@0%C>}yD-5NwhMBn$}%Z)SzbVvB&kM~q?2R`IC*moQmv~EaolrfSWIxf`=RQi z%HUNUYM*g}U<{x6;5U@@mqk@k)0Bn+H0;rk^J>qNebF%BZ=OqRShZmoeOlfWIWw`pb)6STYa50gzJ zCaUBUgzXMb;%LwyrUuEeyL0iLW3{mkyJdl;Um=qK8Pl28$ejsZyz5Z~A`BodHj&uc zxYQGfYGMo<7yo)jgMt|1U5ZfEp)HXdU2)JAv;RU#|9d@qK8ZTG!Ro@x^q3n9AeYq! ziM!c`mrZB}@G04oC}J@90z{E*yQIOq7DG4&K5v0c3{~ zU`*7RHVC3ZRXaE$qEJyW3|f+(2u)$U;LU9wGT+`lUV>vxKW9@oQk)?{(sQ zK)1+MAE&fc|1JYDSauzOvuzBCqY>)iNe;2AJ&!;%#j+a^SjBK4gC)K@Fjz)05V)N& zO{XkRChek(!p8G{(w12ITvb@(w236Kk9z6|z1yeMNjh>uPE5lt0Ye;oCV+~G<26H& zdI24X-X^VksR4dO6;-T3G0^ZQ@IND5BQ?%$Bu&>!`dPA;=>^a!;v~-yk1HyuX4#~Y zaV8*xw7$o(>WuIP(!l*I=1fr^EXo}g6Z5cb$rLPsO*3(D4aO&sX;NSr#2+{}s0Wf+ zvVH&debPO0yROcO*Bh?`*Q6Sh^7^PWkMk)At_%x<1!5PYQ0R@Ax zJ28HrXV{521-=%3NXYR;4U$mH1}Z+{3HB2*rF@4c10kldC4MyZ4Q@RKiIfH2tHTiv zjfDi|I5gQqH{Gp+v`8%6eclpV=-v)Vl+TBn>i)xhW^ouKp9iyf9v%zn!6ddrC_zUC z=~3c)Kp?th=K32kvBk&+x*V|{A8MljVI!M8a*)a|wR&2F- zoTcmYwYRR|r|GoaE;3~LtVL5^9-*x$2X^6MId&?tRSC+r> z@8?dhxb&Tu_YGWr&&0dG=CSM!3Dq40^58B1wQUuV?;bb*(jTs!f9K`n`f7en?ySP( zC!>Z+@#cD^gGqAM7v6+PTXNcqiJA4P9Et5K=S{EJ*t7PJVDMc;S&m%9pC4K5YOe?O zsqeb(2)n<%ircH@24JyaoJ$$ybpxwAHec}wnbu^IC<}fCBQ!Ql}5^v z2y!pK=S7r`GVrbGyRO~~1Aj;$Id0q6lqKt7_oef9UVe{$|I!zHI$c--yMMax&ja_Y zY1^9%)g`_=gCMRuo|gxU{TkbQ`3qZrR!;N&S{mJ2XU@&B zCUB-DtH2{?I{@iRTLsQ_O_7*YhDl-UW)i3DWGO7^z}PC*R8DimHw%2%d=oxmPKS?l z7{$9RZoUkV4ERtJn6VkpF0aGn=VjmlPTDPz$3jlq!eqbx%lJD`j`Uc8$(@jz$ zCKr6FD27{E^hGg>7$7INIk2Rx30dX>D}xjhd>RjWxyFk%fJ}B)lk>2|2~QADTI6MO zOVSJMlw3?OH4VJfWrCGzu&t2;&qRGwkjIZd`pg9TN*}qW~bwSA1&u?W#ghmd(v|RsC(Z zBd~g@5ya#^=c1mxtceV;3zA94DJyMr^ck_HPZeUW7_phK6E;9fQ<7qGvtehQu`SMQ{b~uml2&%i zgYFEA$zat)ma*pKq`rb)+gKSc?OF*AgmX(GvBf**HrH{dt@o4osy$Z>q>aDPunP`5 z%Rvz9htE;#@V5`OL-O~5QO_%vaKo50y1}gBE?iDJY()(1Y~iM^WHOGP9eQE-vTvBS zzbOe+DUH}cgqoWn_YZKpz5bR&Hx_?+sVIz|wN8VW1TzKJk#)W7kxit-Ax6Ue`z2B^ ziO!!E!M4FPj*$mtRoU8wuC_@x`wLiu2+reJlME!i0^QuO7&n{MCeZcgH~?b9kXi;G zeb>@lwUTbzYX(QKXzVbUsG|;T4MGfAa8C`GqCx1qfR%6>HJK0;iMz05G;s|javL$k z(k+S}m7Szfp-Ab4mbMvi0!)n1@Pkx~wHWdcV{i<@Dlxb+)P8Sq-{6Ktnr>3rdh1bt zWu|crYO}0Z(8y(=gc5fsJfjMab|}7o(A2oKw+mP;ffy6+-d`i1L$kH?$Ov z<_$nFrHSG;xWH2uQ`W*R9d_ib&Oi1&*DHmfz?Q&f#5O?ATY5-XhA<6QCs<|_gs77H zff_~WJ;nN`cB;OEVANVNMlBlCsU>ErwfxtJm&20avhc|)5RSB*Er{%e43rRKLadyD zrYm;so`#x(DdL`h-F0@HX`VYEH~c{E6i`g^K{Ua5UZ|!=UOL;5jdoRINgFOh%-Nit z?ppFR(_*LDaZy0pIxgY`ER0@;WoU3G&I)RAk42`P3r>dJ5fOJl$Md74by{z!Ce7vP z>5eALDjJ>=AxzpLEG;QhtlH4auPX_Mr^Oi{c5ac>uZXiIJVs~cZxbq1 z6chB2j^uIuzRgcFtL2ocgs>-*IM`~H*0BpJ=2#6HT_;(U-2w5TN}dHwREVN~KdmYe z_5+LiD_&q*2t?ZfY)r5c{-id0gzA$YK{7B&mK>ZVf2~c%`epd!IB$yJhJafKC)@pP zMozl{=PjIXV(3obhKxh;CbDC<#x}S$Rx_>(A88>buUJORT-Yo0$scFmIkUPft)E;H z4n|&TGFVI9?MZ3d*QE?5o#{uK3(Ag(Jt0iYF;`b&+vD0YhT()y4(rE6VrN^W~zyg7PyJZ8+9ezLwmPsM&)ArO-W+$wf3VRu_vca9G?MPT>)Jf%zu z>`wlCo7qr$-3k@&m*SvCw(WQ{X_vNXxtRQePFHKLdsi~YxXDIi+|&xF0rY7*1=j28 zu=v2@Gkp+akU*5MC5vbUc&~*yc#e#gH;!g=XqI%9&a*ppS`dP9r4?xHhlq1_Cx|9h zQy}0}C2>I>d+M3WozrsKE;d(QrUsoiU9MGM8`lRgUc$5@D}e@`w0T`Y@B~~#OVLSy zB?;tnW*r*yl7a&4bg5%uAS%`DGc!zR1>?x>b0-9f8Y<{Q&1ctSSWqh{V?Ee986O8s zYZg$c3xrulD>xF3iGc=)_2U((`l#_(oz8;n^fc3urBw-o;H4^^L=mpT=qNOlr5Nu- zi!U@V;dX14T)Crn;oCd`ZHXCh>Vk}G1q}!uKyVc#11VG*kD(Y0qq@Iz0xq=^nj2)8 z#H!>C%91EOt@uS|lX+%ciaXkoR19ul$r6oIr~ zh$Ues@UDPJVjqE!ID&Xb;th~^AVKIE2p)dpqE)cDc)5ewKX-6T76!6T^yzpE+QMQ{ zO*2>@rqY{$-=b#lsPar5y=8(nBEtfupCNbfT8Sht(J?DHO=vbl(quF)3|3v21lTZl zup2dYEVRcMW>`m--~ovxI27x|C#$srCeZ@H9Ubn}D{Vql#Q-m{PNB-VV_+3xNIoVQ zme1F%K)3 z?=O>d0;&(fcQ}cUrX)DnK6?xAFmHvWu_`Y5}~Q zRO_MT{ZIg8nP9j|Vt2|;(Y!{m1qrrbU%XRoQdi!!4c0M9>x^TGHiE@clF}ZyLpZuFAUD5(rPMg7mRmZ?A)KJm9)<{3HAWB&d%L-YcmsR_yAxJ} zjT{Sxx0p0tED)T@kS3sK1o7h6iU=M>A;@zvIs^qlC1bseb|Nda%q>)ztq3C9u!zSc zMuzp0iRw#mMbLR0sR=-O$I|9urP^kS8ZRJsEQ{lC)E|_hme5VMnk+la1PA|)^!rjZ zvN#7fD?MsWmpb+)+^Jm!TH1fUn5Hv#Nj{Y&TaLW^j;*VX9?24DjwIf?Dyqcq;N~zU z;>O(NtCz!_<)dlmKrjnyzQ3N+FB=TJ)-hIv# zn)06JTfYI=;1UMq?ez0^6UbRL4blL+pVJi#JW~ zuVQkXZ;6lXil?8mML7?5Jdh&+$>UlRlG;^64-5IJFpR0WJv_g=T0vr zyHO0kgx%mkIOp?VHTLZGw0$r0tG#a^fIRX2q>Xy=Nx}w*TTe!`gf!n5_9)st|KYX{ zG$L{wo9?8#99#A3PU^o-%rS)Rr0MCwgo~T};6o)EH5eEScfv@2(stKCPP1}aZ4$GT zD!Z%9|8rT=!I(1Nop=(j-Y2v{FRe&R&dXjBW1wzHV7Gub7b9|D=V`lHV%Av`PYV=C z5LsewQ#VVlEZI_nESs2vCj}P(1kWtYm?E*QfjcxxoPc+5AcnSP=M3D`M6`ABSTd?W zB!Prk$I2CvMrGh?5_icO0&z=cg8~WVK*mSaEdCoX;rv3f+wSPENn+wv%jr5d65CLT zdE5h`3ofQCDX>wwhO#s)L6#(Y+Z@~oNH;0$&B&6RZwEJBA4PDym4-WHw@4(-4mdAu z4F;-Efle3hXv&=}q}|l1NQ0pbD=>z)6$nCwd4WL_sHgyt<)p!4fTjY0-8(6;+zGmt zv{05*3<=HYux%r#A~>jB2aZG=S&}7q>Qk>@laUj;i^SwIg^iY;o9J>ZBN!qgCt})Z& zA+{97aDB2SRHPAhFEml|mRIr!)k3%W5cW`zkz$en$WO?U@yv06O?Oz5JwyNvY`8Nl zCdv1M?ZpIEjABX24>qg5!{5>C_(vV0x|0FwU~|DE1N!YiR9?0{Ouz+e4(ivYGLBLbn(zVvbF2$dIOHSTT*NDVNs z1Vd)EH-jTM?ZK~`=s-W=ResLU&((b_e<#@@)bN{W9P5-MEJ=`<2B3KNhkB}RG9`)W zK?ONQ$EhJb90|nOZQ(bWqO9DXB1Qr>xzbHew%*L9I%}Uzu zX9^j%PG-g(hn1wm5>=lM zp0ules#*tX>EDBKQ#EvR&|-p8)*4!yyEYlClKnM-bxZ%HBv<4Wj? z9os}#5IYhf?S;64xXi3AdD{Kh<%wf?VrQrr9Rx2+>X#gxrLPUL);UBZue94hvtp$2 zCb7hQ?oH}F4MhWt3$6h=UB_vPn87ezu_KKIwj-5zvJ)(iYz~H_v1CC+*1_(CxrL~D z;Z8T4XUQ)|TIZBVnW%kglU~w>ncoqUlt|V_Obyq`1@`lE%1YXLCPdnUWswG0VkVFk z`VVu$CG7xdnG>)ub$leI-RQ^#)ORRxt}BmR5X2h{iCTCG?F3?iEYrAJ=VGEjaMdeu zdJtIHjjm7xk73AeXhJ*3C<|hENemvKH7HfIMtX)e|FG%Yu=TcIs)&373#|L%vunBiGkiG7A7F;zn zl9q1MNv)h}r?8Z?`cD>X$kffUopRSW79&_ml>+uuAA3*3%CfP3Vsxxl;x!UBspe&In zM_8hk980%!@U56Gb0DhGa-^3`yC|xmuUVTR5Qzc}CW1Twc??XMYX1yut;g3}LG z_=6J+wLGcW$@Dm_W7y&zjuokP3U9?#&CeQpRO)OImla+dVO= z176yJF82hvA2*w!VkI*Gfk6sz@+=vQqB=t2aRVW_nK7Ihu!gE04#7V9%{!O`2PZmp zBppPWWFpfz);NUp>m^u%#MKhnfeu_)rqGZjoAIBCM(8&t06y&*^B>fnQ z)LN-w}Y_tby$KM@gP;5Rir@hf9FWzb|Zk0v8Nd~Lr%z$351?M0pw3` zo}QkJsQsaedp`b%Y8|>U+H%rrG;}ca*1tl`4XL<4K?zzuO;Mfs22Sw|^cc{5F-X@W zZU9WglL8G?XFKim5O?SSf=6{W=uXQbjLm#84ZU6Fwj385iCnQ7X69=HWK&MNJXTk8 zbL_I!#>yl{wxEucX8@b-pLgTcyPp$v?Cs#>r7`v*y$F22 z-Lcb-H=s?}PP+5k%`alK{}}JCgJuxoW!9(Z9{RkA9!Qe-XD9cAVi+LZWVh+Nvk=)f z5sB^e*u*Al?n2(ZIiV2<-p&rmG?;D{17EY!L(W%_g zqvph>?s9U+PC4m|pgjd7cT>sk2mrcq^&QiSoAJ`Oqzr-8x?_Z!O!|QpUIgi>50%au z@{*j-6+@ej`wkM!gop`SgnLMYo#0Xx1Ln}8VLfTvu*3y1U^hS%M!xn>*9O zxCcp`^`I*)0@$ScBbbO$fe4L58-JAOE`WQ-UFi@ey6@_6o$_XdhBPD@0}v#7u;Ip% zwm2>PM?$oQU7DbkVMz^isN|)0xHcG`S{GU54pD*jw%okjed^F!jPJ3jwW*Ts6>i5kGWmiN1rNz5@dYY0@9AH)&iGsPQLkUwqI- zYb0#b?>o34`AoP$A}Gy)gkoQ3-R30DT9dfZ53vlw5?shNKTD3QYRFkWUR^3$U`b;^ zlDu?USHM6#ky%t`;(gohX1fQyfl2tzaf0*bCUijb&c&zo!tTk}rxzSA$CORLUGbvk ziBrIat98dF+hr>ftaSN`>v4&zh%Ev>uwl3AI0cWHkd`aj=e-yyfr$E3PC5|{k|nL- zRP&-BvR`zdjXEIcdBGBV9J44q1I)wJrGS@+A}mS673C$mSrm^X zFL27QN5NYcEYeMJT5oskv1Iz5B$q~02+vxq7T-Vu$OjpQ|;O{WA9I98ZG?Bkye?ZmP2Kph^_)iLA8_TG)X3C zk#`+an}Z9kR^^}|Z;e`LH(R0Bd@ygr|H1ueUE?6pjM4#g%}|zLDQMM89MvYoig0!E zjVTnk2&rjpn2->of#+~Q0A(3A8Qm!ZQY{h3houk+7V9ZxJz6aRm}k;WwnRdgP}1D1 zI7{G&Zgps^L^6priJ0J>C$?7c?h06>)wPp`psPH6ArYr70~<)vy=``aY^5zE4lPbz ziKxURCQ+|EIO~B-5=$wZmpbn5g%eWaEK|Y_$#{$*Nm}RzegMF+?upQXs|_p&*-sY0 zaEIT9F#>A{4JA|3QW*zZ5e>EK!I8jCn)1mPo4l0a1$4j}v|H0S=9|Q&TjMU2MwVps zYU+}Pg}`P^nKD^0gsKF&!U)sm4AXcKh+s%&AZlp*wgRb&7)vCA=lFAtt;5k4%9v~L z(6uwr!wf0fk%LmfFLvcB0M-tj6o?7tQJORp9S4Eli=P`TcC$S+#0T8l(*MzG9sW+2 z+!AqPCI&I64Bb*3l#RG1Ny{&Br9s8XARd~;;HHaBr?Wws@#yf;_j)X?zRI3 zyF`WwxG3qgDXb zFA~H!gpQ&!D=!&XA0o)x=dY^Fm>^Z?#yovN=b@%Vv;*nAaPUH#fSNZv0T8E2o5)N^ zktF^sl?!co3ES7xssdNXv(8EZ0bF8ebtRSpfACEGkVUzq!1z$Rbb;MyDnbw|&rCde z=a9XxRLkmy>)#21I4BB`eCVkvpGWgUM?Vyxp75hRL~Dq6ump#Q2M-t`9z}Z;@hBj} z#cquqlrlHV(Zrh4%w;KuXdkY4WROq_b&cx0mpts@;-Ll(*T(HbN;&aRZ4VhgBisG{ z#L@)`QHz$B-b3tqnbY^Rhl!boeYj$U=Y=YVjhg~g2M-pHqOHXA!bl#1znTvseIY*G z%G1shqiZ}8$l!59)<((lkPzrd4;Jzu+WQmV?7l0>)$x*+s;P7vJH|=CBrQICu|hl; zJ6}wD-TKV)#gO_29wb%*jv$cThI=I(U7OTs>JKDI3$->Bo7#axi=%X;2a=~fB|&1> z5?3rCFefXqn`JEo?%g#rnkUW!d4FQSjbzEeAQF1!WHwulVD|{_SUd3Ul)}z;hXiD5 zF3UW3=)4;Ndx#jXHv54cS=+T+y~ZJF&KysB3RXu7tP;C{&5z*7Vv_pxJ5T#C#H8Ze zP3xQ%bW3?qEV0hjpWXQ-ugULg0e3QK@k@xQ}f#lvzN66fP;xDus zn4c3^pU%+wZ*l@-+!9*_vq&cTDZvrnc;8yAX{?+&yuOamv|sW8DGFWkQ11kC65Kge6dxp_WhEb zJk*jYqJRx?r$}o2B(THoVK1HjHXReF7!I;St)+aqSwsqzWn?uD1Um~0ph5*3BH!0y}wMBDh(PBL1sa#3%068HkRvnHgR^8QU@((ZveI{)r{o$wo<8`WaP+Wk|fsbp0R^)Cz}B24*3G2Y`AH5qO z#|^C8|LffYSN`GPnEYVlzakam;f4Gz_5#Qtq)Serx-q!|YzS z`@47j0oa$`@s%H5^Xw0n{pId&x=f7<`+?V2?*8Vr+t+WqW0za<&t`XBe@%AX(sf%N zf;-#4d-uR)-##Y$=nLCl!1i08{qK1LGoM9p6bs~qKiVzGz*AoP>#i)ce+Bl+r2`Xb z@9sO0<-a|!Ywxws-m~q2-FvV6!AVPhy#4pPreC}Lx=ZidecsHEUHdDrZeID&2}?m= zy6dN30$V5!yY`P>OlEGsV`p~Ps|U8XZC}+taP=Rrxnb7b?|z-QFH!Qa#4Vlu*KWhn zUmci!EU+H_>Cd*Wd+o1%e}FrmJ?e#bzdCRdLi6igZ(RNRbr=2^Ub+?eiuEU4c*o*j zzf|?w_H|=#-~H~Fl=z*mzdLjLx))Z#gdtUv&W5-4KcmE-B?I?=brrn)`uZ%oODfH$uEQ z>`0@4H)1Z@(#JT&Q;k%u!j=YQX@bg=&jY8Vk+OR$V`Yxx1QLp2GF9oaZo1geRz!$J zutbOmv;nfh=yC!V#lp>D*2`lt7H)vp0;!_wPT|NA#O<(DA|_(YN!cZA%L>DhT4k*1 z{4g0LGvVMeWI4B~6#Spn;Q#ClUfIkRiN;Ff zOdo;`KFZ$?%Th55;d6V^_ACKgC1z9@G_V$&MxKFeh&bNCh$fLpS-8jVAwWHYNq%Iq zT?d7bVdL~9OX}br2pqwF18wl9m?9E9yU#8FWfN(W^Eo~h@F#iXCR&Wdh8+TRr`2II zn=NoDo9e^i(msF?rO0Fkt|re*Ohn`bHccQ2OBS`+?e*3aR*+QM9d>}&6&=PjKTCjg zInb^ZR!0#lkkF0?G1&pI+mnp51yQF|u2q&0HY}0Q4$bNAVzL*cH_C2^OThb*U;)qw zf#XYn)J<2Y&KLT8*4D zEy!eo&Ea%5gNrBtuaM=rrB0DiT|w>`z3mAB%Ay2bIcb{Uq`D@p)ys8OZO0U?O)s*% zfC6jkjiq7U)=TVGWy%sT=fJ>P6fu=$-CWph7f>NOMMow;40Nsr?km4)LamKTXTjN+ z-oRF5Nlvdo5top-9qwpUA&A<`4Q!hM?dPa|Pc4j_LFGK+4caifeRedAT2_d5#d3Ow zRr|^6Z0MWhijfzqk2m4Rtc%fyG6B>hJOBcxSABR2<0Q@?%K}x|ideF}e%e_qP2zfn zvaK-}qC!Ypg2u|P5W}kdp~bLU8?05MfW-ekY~-BpJgU#+B5~56-PVEq6B0)rLgk}I zVQS4=_`_v)e9a+6@P{XjD~Z5Tr!}=;CaId71So9vQ;K{{P6!8~P|ogg^eenADX~IH z@;b%{gwvMp{t%37pe0C*f>yY|(m36x0bs;e#kC769DljQb8A?^!IU;LP#Hm2;?&gv z0w}@A9&4hBlMy3*t4P8gg3<++iKul9ay2x1!bJ~5h2CJ{y+U$GSP2|Y+E`Gs)J?o* z8HEqJ>}=ztrk8TA_6jUDT}Yr6P|kb?_Da^7z&eXdS~9x(XvA=d#Y~L#tOt)PSy=QqcS%MrnX9koEghi(>Gs&wA4%2O&NrOoZk7?Cg0Z?y`GmYaB zra^BN;=g+9gMJL;!8AtN^{hHtZQun?VL_%6cEqp}g@zypkR5*LK`r)hY~m-%q^@&` zzKz4AB)cJExMx915^fDiWb;^VN;yQTg6m3LeL>Kq1g{u98fIAca?Ickcmd;-iJt@+ z^*t^P_BCk6SqgSjl=5(W)sN=y*N@BVJ2X+#g@z741$5nXz}SVK4^Ip(Kx(5nU0n09 zMF?$c)nJwjkfot4L91SX2HWcJwdukF1JlC{Y_su>aDAsU$px{T+2||i;9hIT6$Dwb zC@$n(plI~miPgm#JJTx5(hlqgIUzXY6dO3YBe=vZ=yG zS)7?M_2^cn@md(jB=s!NFCk`3TvatV0By4Sxd0&Idc!94|rcbpAao6=2WZr>EM4CBSBvUJQfhpi9wO6|0ZTw$Oh{ zVxN#X_VRKxsh=5Qho26n}qNFf;gaK&bmpZYo)j{4FrJwpVU z;jmHDo`~sZK5((*qU?7+XRX{^)T}o-xWWKvc`J6NcEUay%@__NEPCL zy5KIT(3`A&6vNy(on5w8Q&fK@EMbKg#lvxSS~;*)^?~zb3F6y?nh>cg64nDY!Wcvc zB*P$P?6E2{1BqiBc3}n+1EUvN9|bld2oM8x5N)ahG#Jo?TBd4M7eCD_G{2tY&Ian) zkv2-+G^iLjwha3}AT|`yBGY>yo|izD8u}7eziXhXJ{i@`(h9)s3T-m*1DsNY$P%IY zU?4d9&>*S~&fZi`t;63uSIB=sN20q-KX4U4`Ue)H(gH*S%eVj}t7ag?&0#}qj=`2d zUSV|edq>Dp(qWCt5)dK!29h@Vmx>5cB+UBGwzWQpEfhy5wGN7tx4KqLfc7NTSc)E` zb|*kOQCDrVDcTQ^cENOE!1>S|-Jw39&?Utr*F&cmpwU2$B6>AE~!nW>7>cVqEh#^*^P-PRe7o5 za@h8x5YG3~v@Lnj1y)z7WG8KiR9ZcE#K12fc6tS4O{#NUOU|RkWVL$fCNi+zD!>Kz zk}+Lm!kuuw^qz}lO?Mo<=+4E>iWxIOUGdU%mEd3=Zex~a&c;Zupx2BO!zW4ygPF87 zvA26sL`;z2(d>SutizTDu+z*+Vjk?W3!LQl6K-IUWi-vYdTZxIqTIRIjE7hg=rxT{ zed%{tr%{V6OQk&y?G$0787N18zE>*p)1<_u7=bmanI7q5+>g3#>WK231WJSYFsfURIe4BC1#zS!N404q=^1oXAuR5ivFhv9t?St+Hdt5?QpL ziBBFJO@}2`24l(yMq3uxLaA@kMHQlv24RYJnG6!ZR%MAFuPn7bWeLQZeKd*Xq!_LX z632@64W)*yqfT0V-guR5(f}eb;Ly?dMLUYp8X)yiu-{Wm?&K_aPi>g=_iB@~Lht;L zMivG;MB=%9gKIgFr0yDZ?a;4O{jS^|f2D}tbVKhE*90a678*KgUiS`tHzY$O@Cz~e zk9f&}5-rDPRCiJrSeHS7WUzuHNt8w_GP;SzuAv8REJ|Vx6UYI1StbKPD8-JH9Q2wEwG^red~8O5J>gjL zLClzsXmml$Zm%M3;|z`Itrxy-2kUHh0H} z3{4UQoW-J8qBYhqK*BzDMBp?m*%XXJ1B~3U0voVhI4(uv(UY4g^eGAax%Exu!lIl) znZfkd=+se3;e~iO%yAHA&e1jn09pnN; zmaQp#x(<@X*H&GvEO}+^_4xiB+QfM1@*^ICe(;q+3e4q-snMg?d=-p`SKaes-`<+7 z>p`!%YWffOdHDW)(w#20n>rdLN!Xr&WJ%xZKi|Lg+vRs)hUw!g&z@ei zang4%N5Z{p+IAv>>{Y9`P5aLyw>~=SlAM7#yI~0;cn;>JxPC1r zh;ZF`@D?R*f%9Id$dYXj+;Z&J>t_CdKrUUo7IQEt?M>^~-~Y>Jl=J&gI?Zdpygc1| zdEeF_5J>qC66a0-;;VNef(w7}0EOy7SONnJ2aCZa%v6O&mJs%^LObqiXb-IIRnFf( zSe8^ezJYyHsaCZCTN&t!Rq1@cYwODLw=XFh)LwnLJqq#U5b^b7$r`VS3EL~;A6Ag_ z_fw6%<$j0}*l887uHB7E#Q%3q4JOX;YE>@YUSL1M;@ggLzq5$ZXIyPIeSUVh#C#t> z8{bjZ@y5X{yCi6=<~^ytGxNkrSki^R38Fq<3bJ1!=b*6y_WYLLG(}!Y_BJ-#JNq5m?jX9y<-i5CVT%u9Yw1mx#gRS>4ZB%xeuHAt zYfwz^eO%*{Wff+!hO+E)F4p?exYrF3(WDqA8yQ6~$(*$5&hYyrW>&~y!)`yY(P=Ro zPu}o#VanDZ4mw1Ec>!WV+Lu-J-DDHjWvR1#g%vx?x(^>U#(<6fYZBUJP8niyF1|2K zYR5%(g2w7Z%xBP9AcHF+bK_+*VlUg`w=dWHyhE#UT35us(-EF#&S{FwOW1JU*4rl8 znbs9&JLy~I&jpp_+&W$;xSci<_}-BWG$OIDg(H_F?LzvzvMrfR8Bn`9uK)U^Lc-S+@iQC)f8 znKub9F_Ot6z$10beUNC-wkDv3MN4i1;v;4C5m8c#`U2I3S}oL8TcmIk9wF^VF;Wn0 zyEU<(R;$o;m0eiN11Y5~3frpKRRq?xA5_6ur23h_`+n!#nfE6I|FzooLgw8$bLQN0 z&b@R0&fK3h0t%KU68Uh|6`VV>6+9@2n;nZJL8ekQFOt`cf}FsT=2DPs;%=%3cLK&k z6DSx8PihFAHMtf`u}yL<733Yzqy-s9LYf$la>*&>+ftC7SYkG(T-G015W_|e!05yu zWXrW34HrTitZ9b|>YK3iO|tKwwKac5E57F?h*v0Rur4hmY4kQ=oF>WBJ%D)`ZWcn^ z@b@VS8rgqAvTI-U7aCc-=iZV8r`ws#?iA8TOfmGo(!ul&&8gRgOJ6%RlFiLm}l3w}Tn4(3b>aoN!^ zyF574(IC-OJA@SqQP+-$MV(1aw=x~#B?!XNIC!B*xPwB65UBquC8Ao`%DGy=fAChaoMdZ_1HLsQu{0zvgb4N*&N9v)Da`~hleh(TI?b9m>i$o6cKEWVlYlkjS6!|u-MiF?1P}r z51kbOIfzMpO0rf)mMlS`MtdV>+Jw{?4b~1Z!!2>Z7dC6HE zRA}N#>|sbU8ij&Cb){_52{E;;f2dT2rwg?wQPgnQTru}fP*gJejpQ88hmv3(Yo5=(_Ze+oH|_B|%ruwlW0>pADKD^uB28&=MVZ1pOJY<`2(hoEcIufTIxjd^Bgv&sl&u`*R;gOxHdv8DD-vm4qQ!RfG%!`-<&GsR z?8p=liRM;oAgVSudSy}D?50i;?p4^PpaWvc;9BrO_Eh%wm7)#gTFT3%`0Np_HIo7K z8J$x>{$9IEAjy9v?y^a7?F*rT+y*>#BuQ2lHO|fxBGO4@ltCznji*nOX<6h}$6+gx zJ9xp2%1N9{m2kG}DOX9`E}Imio?XS1dm@qTi9(UgcbyU{CnRKcg@R8KP>_ZAWMk!r z^Q8S5vP<&nxOQ-33RPHbEe=*5)oiCn_rt|Mi`#{4ILb;3k&aa>1>PI*00l`2(!^m+ zBx6PjcdGKp*d>ML9lL9v9CD#tan84Wl} zi+y{_QwsjkqRm-+ViTKJ#*-8_>09mEF%=#tbbONv^@k(T8QDj9}PqGMCT z1MJ$`0dkV%Tr8U9lG2iqj$Rp4!2u!=9Uy1Z1Am8v6NS^>K2=1kg*B-KPhlRq7O)$a zto9h*mQ=O${(_Y!9PO48@MLbOLh{tVK%jDB{O!~ZL=Jnd5_^jWmB@2rA|QZh*bbIFaHFuHXgF>x37fJCgqQQM(kOW91Lzf> zOGxjmQswE5Bz>Rst#__t-xv2U#(&f!3)rIYmlHJnZRb$ZC-f}6TX1AAj-8f3m$td2 zU0M!0e2!?xe6aw{z*P3)&ORmQ`9XhyVi7(~p!gzJAwX)Zpjpksa2j08*Z7b$(I9om z(Zv6pwjvyakqv?dw=3zn zmTh#7#IVisuu_fabo`+?v&ttqc5@vU#(Z&COROX=u!4t+5;aa;gSr#mE_cFVr_K_p zt`5&fIo4-L4T(PvN%MoMiO3wx5Th>WAgdfL#gxn?W#msVj5c0&!l=MPy+8&EI%tZd zgGMaR$RQxEVHv|#-`F~r>{6pk!ENZbeo=7*2%UL3BH6g7!4 zv7IVdaxXP9N_6m-Yj845#4`N0uqfv#kbXRxk%>4+4bIwfqGW>3 zMBiU5ZN__1_x*|PgWH<6hHHl_G08gP70d!-2VVTGdx~a(SC4(>!yyAv@y;CCjdK7F znND(kt|nJ}KurKv_`>0vX;ORLQ^(WhT$5$xa++c8WhFH6j3=K=g%A=jA`_^?c4ngk{1K{|J)nkjcUcq`VfS{vf<#*48CYxrk z5Ws8x@>bwz9$RmO%m?}0YYu+}=3qbSbT*XDxMAA4FrKaawjo24O4yjkmZkFK^t!zX*kYTwdtSNucC6)e z(st6&NP4e%sp+-VxwO#rnBpLdXKgX=h)=b`Vs2N0Nbyc2X`bnEbC#Qlp9 zU=xX(c5{@FZRrG(#Xf|I79x)%G6~9YtjE2J$X=3|sh}h=tsV@%UXWN8sUS^O-iajb zP}_v-3OIKhpSxi?UcLz@!Cl{Ek&sp3UaK*F-&W>MYKn+SbB1qUR^7RMb*L)2I5bAp ztq4b}s|%r^tubwX=L&c2^3e22bt}r$h~ZhXOIDI56M6L{sAj<&B$*GN&~iimAV5iW zl9dS^!F&nGv<-IM$ThRCBjY1WoJe&mkh5q>fXtbF-ByqMBjyJAF#9ADoB$yX8~`Cp zTH!tNcbsHL;K8k!1mIPlNsPK_5jjCa`y2$SNKq7ST4{usBn?KVI!HdLP2^+wX*u++ z8$`=enQTDru!19}`^cCH=$;=kdQXHVT&g;AGR=^oiIwQUOhqeRX7VzPxM{5=VSUmH z)QeIh_#g}s;E0#W$%Un$w?Ti2I09yB05GJmXhTw^ zB|$Sm(@!CCmO>KJ6%DH}kwDz!1^nU^Lq{!g0;`2Yuo#62(l+J;gZ%dWg<{~j7GCgd zff|bMp`*sX4-l;MJs;@y^xf|xQe|=N@ZncR*|ntei)HZ$oa7+exn`1~YMpFzom7txZ zB#n^EGRBMe2oj?6ML4(v+n~qM0hx<7fmR~Q2I&0!a8VsD`AIfmbp}|MJ0M{4J5d6DHIea45=Xe3U&I1oVck}`I!c8kUSwHoL1YyrJ~uFjwI4QG+LdB#Ju57{;*L4Td`v}xRDLL zlO%FRh*7W=q`w8SyXQ|_J4mijG#yc=B5-}|)wh}mA1;}sGqfQksi=BI_&`ZY+?b?; z(Ct|LD;kVeLt0T5DK!bjAxk#SBoT7R77-#~4*^613b7_O`NYB;9)%?S^mvNFRSu5S zo2Ju>UG9U*Bs{rcgmXqEPQiU!{P)m`o7Dqxm z&n;2n0`b!TgecMkg7F`$XzHYhOPFYZjMlOR2Qd<0oJdiUc8*3gAKbVl7)1Bv+f@{o zT7m4DLxeUaqt!>xi^&6`lm>N3;zA~g1*ggy!3rW(n>=X9;KzatE?ANXhMXlE+%f2? z=^AGY@ghj()D$IYV39aDvYa}Vq#%*O6pR!hjwFeU7|04o^ks09q!`F3I*<)F__9HF zl6Z&0&orDHZV0J^2wUNt3oA~~%B!I%3kIOVuWQRR{opd|-|BmL!s^omYKqES7=#YQOMv~it|t7@l&C)-7b zjbB&--*}<-tv4XEWTU|s&-m!JC1 zu_H$}4$XUN@Yn@63?6sZk8l170XV*J;^uY#z53j*J+)=ijF6=IiHr&Db6-ZXR3Kvh{JfV9NYW?>b6z=iA8Ybmqu}9b-Z>vDKUlNaI~LhXK%eWrO|r4BaM|iIGG{XRn~mocB`AoM z0&*3M_Z$o5zV_7Wk&}PDm&o8g#8z_|kub^prT0k%! zG~~-U8;m*3?P~%B{cIp1H=~?@#OpZ7H1>(733BG~V`g!J$iD!YN)@=XW&3*nZIe45 z8^pE48X8iy(w`6W!=s@OfxLpCk6et#vt=*4v!2kFXv{~*gnep46Sut;9I+-yq)22X z>AhnlWZOe9kZ!BIF4B?^=WTCUS&*mQu`1uj=K!Lu{swSEP<|*3OGy(N^Ld(7V`vPF zqn0p$5Dj@=h0}szJ#dH;i2*LiYl4?le{XWc`bq2S5>xiz#;o}Dp+*Ryi2w~v$b*j0QZuj@m1+sw~4Na2c@;WAm%t5Rs#?;dBJv#OkrMflio|lvfH1UISu7ZVmH05hCG@=7C^%;(atGwuK;+7A8nJSR8>a7CW?zqwDrzg%1ZzpbPS2e1 zB$zXslY}{*5NJdGW=$e_i3D8b&&A9{i6zuc;=}|)BJ>}kwE>8pE5b^moLmP}YKQB5 zTE_`Ph>dqQnqj5Mc{V3YHVMg2l5k?lcteJ(OwRK(N}Bv`LOi{D%g!Pr<4!BYogV&Z zM;4|7R3OHnW0&qQIig&v^jWVy67}V5-HVuYn(iUeK++4Q`-S;sC2q&Pes>U`8&sJo z1J}yp=9^6N)cM03Lar!Cf|I(~cwrt=DA)>z`*Gb3=s=Jt`AP(IK~L1mtVHpFUzh7PO(Qlg-EHb4oXagyW@Q22Wq zb}TuB^TuQWcqEKxUMK>g5{nb;SNN)kmSTbd2hJIWyCiAAH*1dg2axgKu@wkakcD9M zNpf6R9gK2H(p#WjRaBLTLJ7Y|+;d%+!a>o|B*5qIaPaQvi$nKC{19mN$Vne<-yxrC z%d;>MkNU64)Rr#b9?OEW&&DP0QdoW^>b1c+m1s^y*JLWugT3lM3*_o0OFAnPt?fg~ z+rPJ_@sDT}xhC%P@uu5Mv(IfXSI`lQ+DOcGGsvPkNcFa)z5~~-i9sdNvt73?Qog3~ z^*ODrNU|lAZpf*13T9Q%#>#BHu~?0kkBk@Ls3dN@)PQ>XPJ_{@zCzVG^p3OfE0@}6 zDh2?C8XL$p$+pS!s<$sc5YhP%vIC0;GkNL!em$!xkuPzF7?K#~mPEfa67!YuHPQbK z^jmVtIon&^f#ku){}~43J0ZIoNmdIq`%f=MvwTB?3vkGt+%z9#E1OwC(j>BGXA?+G z5j}{YB&!9*1Ma z5WUp>_rl-jhrixstq~^Y(HQ=NPLwqYw850*Y`;K+K9+bGSkpK8?WA$lvoIcx^?|&j z6JR(cOZ~vH)V`mEmp#_tEe0ZQ$J-+4YBff`XkGbSYSN#JP-;bQ`H3Slfi%JF!f%EQ0S(KA!HV;?rSYK~B<> zO~z+y^0z`R`R)0CjlKg<6{Es7^&MBlUR(=_fA2ex2%c`nZxstjq6MzKVgvzY)RqfH z5=*FFHx;*}bB#YbmH6WzsePd!`3UxqBcj%Y%*G1RenLW_-x171%Rv*~J|`yqOe61; z=vQZ-a2$;Z1W?p8JZQEk_zi`tousgm(orBL3ecQcwEv_llQb>oN?LB8%r6{Z1zrZR zkS`tCPl}{)+LVRwkkEh^g{MTYkf7QI+Evj4QID6FIW_H0z^fk<@~T9uHPICcMAFV@#23s#X2n^W@(7Ab z6$i_yf*6HzMkQe;jMmsfQ@dih@;I6!#7qA8z(IcCJ3qjUkIwsuYX_ZCvR84yh2B|` z;XS4Bc$Z{03&k@8OY8QaD3GqM3`QlF3k)p~v{3ZZD`+p*+L_KdUXH9ra5N4k)N$UT z7UAnYRUsnjU_!t*tk0GXIPMfEV83;^%%_{B80*p{lYiUR^cAhXLuXS3y5ouRvChKYG@#N zF2y;PG9?ID9r98$xu4^6dh{qjYd>VUn^%^JjU?hdkxWB)xNk$~_gN zysorGGDC+f{5JuDph}cac;P0jP)k_tQq<0bE&_wl2$lFBmqeMmSB>pKav z2i-@t!yBi$^)F6#L`||L>56OZEL18O{^_6TM^sj7q;qkXA;9m2z+-xcK-}v+Zo@Xd zN1T^>q4;NA#oydB`qt#QWML{5O9>+P7;a>gy(MY5&jaWaB&3d`UE1 z;iSg(+a-ox{MOK&3g0npj*6e|La21qEGAcxPo}YgS9;u}U>CCZ>CGD3l{TCt*^{PP=Z~EV@~TBo zFHVL=?W#|SS*a704dg24jzv!oPJ(-S3R&a1s<_L!_hw{6=m{ccxk^Ab@xWCnYuZ=s z|1^1-k33kE9FThZiEF|A%9#tSn$%A&>~c~kH|&LMDn1Got0&&Ms0%so9$#PgI?)1e znN+DjkhpgU0V-ph4PMS0*(~KmMfb{n^WT80_B}a1b#!abvEe5-SW}-q|B9;O*PrEb zG9Y#IiCf{j&HZx9>N_O(*S_>Wp^voh;MWdYf;qE-MKvc|PVt0aJJ5x9t{sRYn;Y9E zBG*$pi%YwTKhZVnrR2q`il0d$a~Uz*c!D{AjKWh4&>vA$(}N1E>dG%#DbX+aN2f~+ zcw*;J6uzzTIm+r-*Ss$EQtFLa3m-2`brp9N)ud1jR2AdV5l;Zmjf#AlEc;ek7SqG` zzq>bG_d?*i;|>o^8X;p+DF}J#M9(a$9fGEI7|#!1v3My3a^UvV>$j(ROpPT*S{Xvu`BTD|2$($S8>mQQ9nqI+R{bb(7Q(lQn#o6>;I(W#S4<7 zj_Le{%O)t{s9YabP#BG_E3_%obKhUh_I5gcQVs4gWdp zZ;Qyo?ho8Pc1m$q|C-dcnj~47f|XNIAtAxryZV>ZBnJ~2n*1nJJM=$$@(yr6L3Lo3 zt4KDr{qSrmkV5T1*;m{Z1a(oey7=wpYsL1OKjbY4xT*UGb`_1M>Pw;`Jvsck((`=s(w4JXGPCV!QH3^0O*L?0Z+9=l2kiTStasG z4cSAp^-ITHXT3NfoZXx9UJwJu9YWL0LP$X&etV+lyKkXvqjo5o)+48($agh&p=s{< zF16TQ#cy|{xnU3|3Gx{SW(@tNUXb6Fsu!E*KCxqaU`)*!|JG6bX3?mrYSe!ydiXB< zZmP!}{M*Y@4VS$> zWLFh&jaiM_!RJO7h8$ay9HQYuOm{%Gz0$sXdaSsspeD7oCV4?BB~#+Jsizlvt||zm zl4!NLb}-ohFBHeb*^}W|ao1fWWsU3>$-j=wdx4+hRrAM9bGP0mMv$%1FPU?9lzFGXW z1I7O~dGxJn9Q9pe$A~;4mgeqjWKp=~`H)s2adB-*T)G=03yVh<&Z0k{87jH*0p$8; zQ%4GWzH~5&Dx?mV(^rlk%b$y5ptACD|->bM`D&LfK;k1Wokkwswr@y3t6Hsj0RKd@l%o^vi2 z>*tSu?diZb_Z7a;Wo`V)MHpGU1=)?M3n%SA5gqj1iqsqDb@zPciR9>;+qXO?KIZAY zo<z4MMHpGEQudV(K*;daGw1c#?5bePmS>C5^-qs1XhO3%7UYqIeb-&! zK65`@6&UT+4(XAFdg^{_Dz$2q4N0*#8Q_scF^w$5g*37VVq~!>S=dD*3j|7%f}<&S zLa!aKTQ4ZbFqgn&^c_sy!>4^60|_vg?Cb+KXk*qO&1fk>Z@Zw4s^T>L|KxZx^?r(Gd8}q z^sPU9eE*|g-uUEa7w&)f`He4s|4W-Md;YN_zj<}@q8I;d_aiUgb`5iPGeGW*zkT)d z^PhgKxbx*-FWmRy0D$e@x@j&zZMPlTvS9zuZpZ`V?Y>vPf8Uecqc>cZy6?#sw|-;c z{vUq6`f&F+AoxNBgR=YIb8efzcjK4WeHnWHZ1I*SZ>!n-sofi2eg4oz3!Z=E8~R9U z(cL{yZhR8hwy@fG@IFG6UAX_=U)_Nu-yV1E#)tO5b>6t$k05tIKKbj>N4me-ec5hU z^(y7;&<>DU@69I3B!dPAI-cylX5YOnl+)dx`j^L!>}Me5MK~Ylmy>b(AGvWgk(aV; zL%wD6rvQcg;-UW-w;$yMSN9Rhu2Jwt2H$;<^V*Mx3L3jz72Rj~4Fn`Wdo9(nfgZALb6-@Vbp%{{#L z#D9SdINk0A|8mpn)eqhFweMZEfAx{Q5B=B47nz*4vbStH|DZ6}8BP8ILcGFO^u-iH z7a@l>%Vt1rIxUFgB5u9|x%{4Y;r^C^1YMGmJqgD5;9^g~@<|yXPD=t;L+!59Sw0Ck9ZvHXnq_@xD$D^uZV={`Pay8* za>yRQR@P%jHMd#LHe|Zn2m$`A^R3y3S(7+xvLrDDvO=z7No*z%-S5(=G#o3gA-SUQf)nq6@RWRrr!EV<1F zcXM-eg>>`tWHb?Mf$3Pw3@zK^1R}FTC2mokY@AqIGNHL#xQ$<#3{5VKhGK5%s`99^ z-SXy{_@tsCZ;9iqjAF~g@g+c`QiB{468*{qa7QS~qD#sfCn@*r=7Rwn1t8%#opTxh zkPCBEbf)v8N=pa zkr;6(WV=>#e6~{d)WwwKSO-aV92x+}E{D? z*#K@C9VuL~SPD8{+|eu*cJJn#hu&Zk(NI2{6LM_W#o)Wm!x$j zc(P#*&LjyTU|*ckIp@wKLh!}eJsGm$4lL$+l6S@sE` zF9p?3kVjy4!ISNS8ATaqYZ#ludfO66xQgIiEAoJ|MVeR;nP0txu-JeA7NKQ8A~V_& zWEa*p9sqZR95NH+WyEb?K*kr;!AiWe0sKGFPT2s6tm;Yw?WIYRR-rqioI9PB@tqS( z6BR<9TkozE`Br^H*maj!!6`~vcg6!vK~?fpk*L6%yf$E~g7Kgo(w2x+0#{2U2$NR~ zTGAAZNAnx8)fuIgT9J`p0$nzY7^za92BWdnBL zwc9xx>fKf^(?Evt8a@h0@?b(&0=JM*cGD%c0J&qhIn$DGPNE{KoLm6e6@^^BD@-|Y za9+o-w1rTRQYPb9S`2Sy3IqwsDQqK4!BiWKBjvnE8*cIxB=kC#9kGV5vEA)3rwx#J zr4BZH*;%I`yMj=+h+OzSo-{(;>qC>%kV*YMxZjbyGOahUWqYvpHB1*%?eLKY-A9Tx z&j|?OP$}jhvEYw~k^&EkDWd35i$B9-UbpRFvZhNyXgWZMMZbLnyjSE=E#+SZy9v_# zc=vi|LQ=%gRK8U2zO^`a3_@hLT*l`yudR_0PVB|g<+CT&$|xe})Uj~29( zB7%cz1O&Xs9foPjsg*eOeF302i9Ky#MjUV6;G=X`RqEnv+xL^xggKXnhY>-HSjL>4MjU;q=fpCy!o&;5F*dRy&#DWEM#24WO z_W&)7610_e%}ZcKW`Nhcfy3ts`R0)_rG{ty%&%|(hvDJ`&5 zP<-_6H1W*KTK;+F7k5RFj-ne%$eSk6%W52?um@KwC>s`ePF@7Co5D#Tw^Yn^=q(KT z?)3c!;}*#`>i2*92fV}j!|$^C4h^U#)3~Bkk5GO1XF-DYDFoFyD$y+2sJDec`z}!D zm!h?r=&~j%C}IgfnNo|L=01-U1n?x9<}C1C(wowXShBLN9sPk3!Qrt6G``@j2RV=W z4r^IRtifH|6owEW-wJS76{TexAuGWhtZQ7${izsK8jjuo_hO{jM$LXT8Yya>+G?Xw zHxZ!rofK?7qYefXRi6QK(7xa)+ZF~lWVxZzW`P})w~6iG7QZ1OAd|U~HWi&EmW>jT z!foy{r**ifYqW!jfTe=cT2={QU!*No#tT#xxbsN1`*cLf!Z>{(F;C3g6S9(3&9%U7 zNLVO@bz-e(SseTgWVciR2KWrI9DSb2`N4aIo^AA&!C0Z~E^pGZO^D^9MJ+pbL&(a< zhXKJeN*=qB%qduA>+0}KLI;j~i(J*(v;-a;vcpMKulXW2T`H=eY-lJ7C?F4qM81wp z0?MvP{0Jz!XSi!;!SR95J?!YtFn~VA&qu5QbfHf0rzpHv4jx^VHJ9c!&c z$Et44DrCbM6Di5+@P9?oV~Sitt^>vm+R2ram(;!kk5Unt3WTWJz~zJ#)W~^5Hk~rZ zpc+%od))X*b929Bo2xnJtC$SgNYdmbI(!hB#hon#k2@OMqG522kjv~$(RBQ0hYB*a z!51P)8#@!D_(+lLwDMG-YL`e<ir4ry7^1cH3S(CwhYFFT}J?|Mo4+S0yoMwTxIFV z8E7AOAGtK zSo+5h^2O3TvC0YqV%SbpEXLy$S~*+*au`6pPNXsR9Fpw(e5}7Jbu3*z;#Un138(W@ zwa_HtgyTV>updiA>e>RsswQEV zF2NHVu1DuYcLcXlt@h7tzzQGO+5~g1K+dCcO&~N7f@d-&U=CGoP!JxYyreSN&N+|a zAd}PO1ZUPTayygTnOo3#r;_Y%v?m&z5UM`S#W=t%KvGD!`)0La~<6T zyv}Qaq@ScKEFo1=IF6?CbdY$$=mgzu4K|9RPe%uf4u}@wxQFAo-6t1mqEyjA(2W8( zHi~{KaPVG^K7c45&TV**6`tgz*PV}pNGEfAika0MafCmN#b`K z85TVE1?YQ7f-C}cNs&;9*gGj@Tb4>vD1f*l3(=!rCJvJ@hK{uh3X?(yF99EaDTpTf zemp%z11?b*o}Dq=3OHLCVtCL0lCd@%nFx-*16m!Zj6y&47Rk=nN{H&pPWXkucj2+0 zf*TbR>3KQK)KwSb?P>9aF1#*$hqb*9b;zGBD?slOo7Yqw$ z+wo`4$UR5`M2>iVwdrm_sagsLj?pjjj&p((#GmaK0B}m-SaCjAxF|Foiby7QYH^>L#&Wgavby>P`s54*a@9P z-3a3Q2yhfapJ~zsbD3fvMkp@g+QCB#?G0u6;gA_?)dwf_lYIG96w>t`l74 z7i4Mj;->HY%kH!$hiPk&dzak$=u=}uOTc~T+*`*P@LeB&_In^Rh^=o9q3k|2_J@x? zwfcL1_!U{Xfqe1+8~^tAmM*`O09!#muVcaCmmW^6!yYu`K_-v;`76!r!F$$y{?VhG z3ZFT;YV03|VYm8M9(*u{eNpbk1|?+ta`@y+z6ZbZ36@Q`xr31nw~%AMg)QD6zPA%d zxSK)V0tHtA2^aqURt5|fo3?m9VQgCxvIDX~K1%4o=l%E;_~Lp_vY_Yi&CBn*?^x%4 z&W4kJxM2}I*ojQLf|6YK)W5Ph55P)79wra2CGw^fd#4hcV-z4tIEE@aIgvL+69kFen_nBod^r!>PnBXH9ZSY-09x5aJbxjF9Z| zJsq3E^eW#ip+e*il0<XI2OzI6XDngh z6g#c$XD04!4`ZzuWZ;W7H6@yR%l@#Qw7!iuPeV?zr=ocZ**%u{vqlyls5>KFX}XB% zyV3ocjgz32bd-f2r|EnZIRI!zpwE%%Hxo=pD|600FD6RvY-x$#te~>u-XMZ0SkK3& zihT!VI;_fE^-~Op@}%kq06ijmL=1wpP=+yx@pKGPDdq|cBrsr5P6=`P{Ygc!JeUa< zvdmUN^!U+1jA6s`q$SYDj^H4IwgUxRGtS}uqlJsAj?yfWxCgye67Fd2&|L!PpS0c~ zliX+4WR_!F{k4r09ZN}#cLc=XHXEOTOIt^^<%qnfqh&MD>LjFgV3_8BS-#+^wxnh&UziMWUt`5Kdw738htjEd2`~X)bO=kz9<@ z*RmoS>6=xhIF(c1OgoE(q9`C3SUE`-PQfCQtwcl-nfPHnj(-nf`gL48KzY=b$RSjV z!bUv;Xi3zq9}-ywqmdlh?tqYp#wy#Qhb1Sulr?c(s>+ql)dY~Yo`S(_1qZki+ulc~ zVsjNHH=Sw@3O1}`!mTQbCMSTqL!md|ED;(#fvF+rT^keNmbF$SlD8x=VS6jKiv<|0+JhRR2@7Bm z?1nF>Cs4}BtgZ`kXRU>1IS=T-iI10-pr?TWZ4|~&DCryy*@?r&6+~AEn@*um5(Br%V#tPqgU-^F#Z)wg~XX02Y1|X8%$o4qy{oRh)rZ5%GM$^CTHob40*`F zv%q~$X*((8D!`}&CS`H2LA-?YmbEyL5VY;(7f?JHi`HpTt1PW+DM`yI<(bV!x>#Fl z3$Zm1jWvviQi=9G;4n!BexA^9%2Yh}!Y!$dL-u&Ow;?7|SI7JEm+vdrq*+jd&>pv#KpFIac>sAP6xTnPye6?3K{f z*91bck_-Y)m#&Q;kNrg=SE74|oX3WQAS;mjmd%=E38CH@xZg9`FWWUIDzlgUx^oph~(z(ltd) z$Vtrdsmzw!3w1<$`l>{^7qUetP!dGtM-QSwuvtN)r%ig}GRO$5?YC8dU>z+{7h^IV zyn85W-B08^sA9`3GV5(OM~J0u1z1{!L0)*GXa+$N)zUh)&J9j?R#u7{qQ4Q2Ywhfki5Ib9@qtT(PGwlYs^a+EN6Y4dZ; z0y7yi*O-i7o<<7YQ6AH8}k`^3PFJ~ZRU znEU4q%Evyl3zOv@yemP+ntjW&gv>*dSFGFh=&bKR3&@^-%`4BAL2t8>{i6&WJ9Q`g z4cU!{Z~G)~!~W=8O7b}VJS*2zl24s5K(jaj1pG7ZKbBP;*! zwpFb6G{DC~2$L5W1s?@C?lU`9zdhr`v>NQRzYY`q>vjWt_b7Zq+sD5&4S2#CR{={H zdXpxbt~q?$Lye>f8&6QZM!`8Ze+NmfW1M0ycNk7LBLpim&tOdidei%H4bC8!N9kLPCt}tlTlX_%HYT?P&r|#^gPPJ3C2F$X+Dd2YFf+8D7jP5I@G= z`S8i|$G@?C;pz>?TOlA_*m4x4ZSNOQUjFzP_Mk`Z_z1pNW@MkTHU0T)Wi~lm6Ufif z6 zVYTjbnykU%L@xJ81{hPA&Ck+Xx0W&)8>41%V+VD}W!V*3AM?|(SUlCiIzaoauB!ch>O#vlVMkFk(A}a~o7Rw|- znzlC}w#HS)C*i2Y3hrnPO<8rXYkb0a*@@ya7sk7=G#uTAza}fJFn-4h2Yc|b*pTQp zw-H*v%1-RZ3J;=lbUTFjvdMV-5y;)W5YU^<##5#>=9ZIO1xX0W1|%Ns3_B4;2<0T` z$-bA!*ok*y*_hc)ks+CK0uO@I4voQ@1si|5r$I*S*1}keQwU8kXW|#+I47y|-SBMo zp!AB5B;z%9@t*>+ihE?T(r9w3mA%Yq%Ag05Ir!_NAfMu@UP3&_zQ-he54sNy_$!Po z&~5d5libNNUCOv9^Lnga&&!adclK^S%x!KF+AvEynYqimlp!E?N)PlDCdr+BLzaB6 zrsFVp{fKw_zMBoGHqlAgn1)rNEn27}Ub6vL9wW;of{p**XuJ2nYS-{uhvV zjq4LyT#eB}c>EL^Ck3r?Z7CWAfbA1(r4<(xG+r2{WI1S3iH0VMPw`G9t-(Mx1_*`a za3e7KIv`#O%wC9q7Nv+~0x8@T9w)qnL9LoTZGbS=+Nrf5~UTI|eL zP;;Ar*9o#K(K4WWIbd|rUx7!#|HWZGd@^5=5u@F8^X~`u_H7d*scM>cuafdAYg}d9XStHT8ZT=pkP_J zu^&1()s7KzfViuOyKx6zK4_X1ehvZ>=p?jErykN-^%Ly^AOwWhL?X))ybZhCM%)ue zQj1ER1SnVyFk)l;M95D2y9(<#7O;xLU!Q1S4A^;C*$GXWAQ!u|hX|0E^d>7o2K-E@ zTAv*X+psha3A2+_z(yxl%Vpg_bSU>Po@g?Q!|ZimPTl8x78(mpvIH-uP5 zlMod&B-xa$_q+BTa;*Glxd$BhG1U%lNUmWt9ZgkbswhbWQ%n9u$y6On5-qgCmjEo= z@{&|cj)N8mQ50m!|Fy3Ps$-C;LDrI@LTemw16c7*pG?c9WLUP>5a0@erh`w$uPuqd zWUL2J$TB{tiEs)zBSZ_l1?`w5OOo2k_ovI+BxV-97rK2RK}!b321qMMqvAq#fMr8Z zN>T+STde7A2CVdHOGH5yj+7!E@{+V1^bDx)Ws7qmHY(b+&LagUG`%Ac}1I)$*sb@A5B_vqAb)3{&u8!$pBxqSYTo90B~*a>8FGnovAu$W4hQDLs&tu zNI^l@HZylvv_S8;%~OncU4X9H77le}4!E5X4Q`5J>NbcKJ=iEj1XeRyV!jgof;ki< zA2r21y_q2SsH6C?$zR#I-pxjk__L(O5!@6&MnrO43n=H4xeQ7=({z#MmW4&B z2wQT0L35n%^<+ClVKV1aADVFQCZh?s^Kc6iLDDRnG{JQi;%fqqw1SBK?U2Qd!~Ys1 z3-U)!kU3|6-igVav<%MD^jRMflN)_p=uLv8wCvj67rk?hUo9$dROUhP=-EG1F6o)) zA38t-6x(!A=Im@m6p8MuxxplkXN<`0#w@G>lxvFCi3sFaSXH#CTU;tiB+BI!Tp9*V z@ZWNjLZyUsI>j)IE>Ou}ea4c40^(ZP&BVzmQmVa!RZ~unLV?e9{rM z8og5G7jXQRXQZ&&nNx`*`OMDH-Zh5jmV)AAuLF`z2bGRdgi=-%$qL!rS>&u}mg-s5 z(??wvsffYLFW-w2H7s%mWE$!HJ%#WTt{u!|IZyR^D5N|$`r(o9uE~{s+kHEDPWK{) zUbGbQw{0`Qul-yqjQY@1DQlHC%e_7?YoRoafMA zzHBw7zKtgM3Sr%4k3$faGFn&T3j`)_fqY=w&H@bZroBg(0web4G+7QIc&-;ri&(;_#M{zb>1`qC7T{hze!p)uk8m)vpGl6=XvO@Gc3-1r5d}--CZ4b4)h8SL5F| z_dT>B2rIRj1uPI?y!VbHZ3A>=g1W7@v8 zX++}Q72(9a4Q6UR{veQ9E1dpxhX=Yg(JsRTLmLUnpG8=!LlTd*R7L+8@@or*L`6m7 zPFy0gF((+^I^qXAYh~gwkO`_6Bdh531H#iS&q|sy7&$}(3^q8^4o;dwW7TTToz)Em zn9YwxXJUS!De^r}Z=EO{lITya!Z!;|fFL|5*Cysbn^5)kWtfM#9`F6nAuET&vBTH4 zelNCt<$&o^uE)a1!N?j~S7>b-j=6!7godwwADXV_Rj-kAtnDpOko^q-*M|sY7eYoj ztxeKdT3TStL9%mLZw(MztXF&vAhtnL5Q{1dV%vgG4Hk#M{hNzP!Dv(Mn&@l@i8m)E zewTc5R@?7Qrlqri@P64G7*0uI#fLR9h;wGNf0xI-)-MnfvxG!Ez55d4X9MP@I$5?~ z>{~F4a6Q&6;1jrNtxxWyNo#yvV#l{Xr|%pvebV)IQKsbvMvUcQdq%wy6c60 zTGoVcnlU?~=){sZ2uI^UfhVFlW+v4+Y7V~WWERbIJ97wvhU~q92hHPmjtg9R3rV2s zjvysXE|57IJ^L|w8w{bD5E|@LsLVq@KPO-*1Cks?T~UksyxbKH)(WX04}Es@&mquV z2L_ElKR6hqBed7y5QGZK6~o%9H13{Tg!E$7Zbv~tV$7fuxDoGL14CAem?)Yn?R&~8 zvA|blX}DB`bYi-tLZm5C5u|m-%CBRSLPYVRkXG2xDS@;l-o6qJzNh9@CUCkUN&GEZ zmC`kOcwSzRdW82oiq^cTs>|qR@JKDa4&4+u?wZYuurPJ((}Vi++Id zNXmD&r=qz?saJ|Bl$Y#)1Z3vC*TrP!%4uUr3!0FQY67K4$#8%{>7e|W(ul!>aLuVf zrAhDx=PwEKsAObXcAD{THS+Yjzee97jLJEu-gfLZbv9L9at#{AL@Up@qiz*Il>3v|xRGhC81Go!ShYQbA|8AZa{QztLjuGA7TyB`w=o9-&o;kC~9xW6qp*z8aOd{cPm`;nWMw@iH_J-&BRE|s2L+#N zf{{pO}7NQ^Z+D zeTTa6q~C-5I&wZ9NM44XOuoq-@(D~K*?y~Z_Q3%k@&RZM1o_m(}Y({MxYd*JWuL)2q5LUNO= zWinn+X*$FT-h=)Wl0=sl+(bS_L0^;JNqP$YRmdd`&;2#}4q2^&#sWRB=1b+bvGGjh zjY^t$EsaAuWY@ilcYo35ee0~6TnT^XJAE5BNZwf%XZkutvUn6E5J^#T{00M^Q5zts zIptv5L?;>InR+4xsGYPz8lv!5Nq0KjbedUi1r{`=^b;Vtj>Gb!h>8-)@7qe@XllbO zSJC$yZh+FVG`XOlDxbgEY^GO!?GB|Yad!dYjusONl{WM41(MZ`3opbWY#8E1i0EXb zG@(SWtq$7^u{Hb-yI7Miad`qv#>L?ZMv5;eqq)e#6$)`1dZNoCMH{~I#^qw}@?}BGoyqM*{ zI)MZ19KbZ5v@~jXg@?(1{e#M=;OI=8VphSLzeergDIvXIE;RhefS}SuFGBevdlTtg z&kLtpYt3y}~Ie zDsXYoCgKS%H$;n2|8<1HUsuyUrfhvQ^i-Lk1+#W*kRfM)=4IkP8D9J6UJ)`yXR0>E=pH20Awg;eB zua2JUq1FCrPi?9=|Fv->`!mlC02pj{$G+4Pgv<)it7-@V9wm(5^5x$UWD{ZA0#@nL z9`UiqpZnMbanqaes{!qmtWRBWUTUlLPyZx}yJYH(&nS_YmHY)9n;L&IQZVg=y!Lf@ z?HkRDwl}L#NwTga6&=((JoRev<_%}=ueum0yDGFFWHJ5^&A}q8xM%zDDni0VHgu6s zCS7G&3&>T)UF4T^AUHcqKGW52E})GOTUZ@=%^f0N56aip@Qb&fw*ih#p0Dw!!b(LRGzoc*WwN30(W%+xWkXG- zoMaRvoMH|J3D-O6`v>I&nU)ot$G;i-S<-&(xDw)wE&H;ry# z%h{zW3r^QMXOVNxTxWeZ;J32Kxg!5&&!#^3SLi!X?Jz3aB}D`d31rrz27K25D*7O{ zGA9$WZ_AAn)+#kfRP!u;huVSe@=Mg1ytZ&o?O=p}Be!;71(Q___xMuk>G3|$EEoaU z+U-?#z@J@Q+yO+{^G|NC(N(DvK$QI-0OT^9?&`S)t+wi9Y)GmrZ_gj|_J{%74h!|4+KEF-f5PypsUk?Q&99x=G?&|oF5KBMC%QI(>q>WLmg*n}~< z_-(v7?JS7BWNHT)2kPs!UHaOS)}kGj8dH)Cm!u91(&JOxi-%SfrDC4}%5HMZevriz zf70=yKyep(`u$j~5nkmA6)1g_q-B5x5P;i3-wKO5Mwnj~{Bo1CZ9EXYiAO2_`l zVutDs*3hZp_Vbc*-U|c+?2~<3uU~Kj!8a?AzsDuVyvAV1TV7CEmvW+kyL)~~$iv3~ z_4$vZcHNa#kZ}Vza zxX0Fud+shSOBTJc;4?R&cDP`72aF%TP`9J+u*X)|ePB^?pu($7<#}Sy;3}=iD6R%5 zVnW~rR0`^~Dys_T)@W$*X8Hb1mZ3{wo!f>>cu=@@SfT<0kE3=dn)WPehia}Je*Vob z_Io-|zy6Q)0LXna`56U%--8r2!Q@2F6I@W!gKQ`VRBa9Mcy$SB!Xd-^UTTM8VB}_n zGcN*euDampehIMS!!PKpOF7ZNv=@GLMb(*;kByj|yl~X6buiQqmwR#R)}sukI}({z z`;2`_*O~SM->OOaTZ*YBT&%?=3N`xlRsp(((Ahz#y zWI7JK56JW4BvIz3X0zF*_8BQaMz|f1|`q+k|1;-8mIyTi+pDMiJWV1MNxhU2Z z(|a)0m{{bZTX1}I-MAkIFI|k0MMYzePHor8P+(WxsBHyTH4i_rzj#B}nJ?_RxJO`Q zk?Mf)pIt~Ji}O=XMJ$+D^z^@|k*Npj@%H0`aO+Wq(@mjv7@k^N(dDd8L2sY@ z_k*%q7UJ6Bqe0ny-T=0yUhTeR|HjLKPYYz$CxI(^({25MS!y6yi+}XmW`oS?fx%vL zaPuwCKX%)FJ>54M;L}?-UA1@PlP?~gfBjw$eD`N}ufB2fS2qJ^^u#nDRQ1=_?0b0i zqc z1R;BU*{^sItOV@)*pcTCJ+gfN#@8M>viZxY9-Kq(LN;eC0iP0-?9*A;w%q`Sz4pH1 zAHCfD<$XW$Dh5-fE_Pj55CR{KG}WCBj>!h@yMbt zeE-w%$-n;7D}Vak!#~_|IQ7-d4KN2;JfAh`UbJuhD#%*+yPJlNKC+ZhjYmT^^rmds zY|6=M^7ms`|MW=re2@npISGI7z7t5e-SZiI_x~B(-0q&upN0pMhyD=&xqd-@WR6$Z zehVSjZv5;czZ-RO->060tm_CGne+16`@sDlIfX5G=sXtkkcST3O{I#!j(>X7{x>rU zo(>83tD8S{_q{LgJ^VJT7)%hu`+yrwn7r2jjk)3X4}Ki3+Ja2mck!<`AL*X=_Ba&9 zbgA-;Kb>sjlaGkopzK!t^p7coF7W|TcAXZd`F(?YYS(EV7Oez~XLAQYRzr?XSRJ!N z*qscr3e`xb858W2L3XSvV8mMefrlzop+n%LlS0l)#~v94RBuJ|1z(Z?%xx}@2%wCv zz}=GxZj^z22RNN0th{JhJ`_YsuKS7Rj;N|_;3OHU7ikT0|37o@0w+av<&U4Lt{!W~ z25OpyG_xdoYaV6>O|}O#AxPwQGh%~8n*nt+Xu2`+KWH`!F~8MSSMH28?ck1NobZa9 ztTQ^J;5!eaKg^@Si9r{EUshLt8;z1|@(-ehEb)_v=={FtR&`I$0GdRNUYM#|r%s)F z&bhbmv+91UTekZ!smB67bqxamtre`pzJm-}IJ3U@q;UNOeReeRLvcRx@&tG=nvS-t?=}*v zqS1`~f3W5Um|E6kQLE)u7(!yhJZqq~DkED@U+WrGcsD8>VcCvT(NSw>aJ{x4@AAxS zfjPD5nJ_>*mVM9x2;2xX6^m*>-bat)vHFfCd^C2!abEp(&FMb$9&S1aD=SWdf}Lq> z(`{Yn?(Flk7@9;nT)ZG!V-5FM-D!aD)^9I!Y~Pqt1;@5@)M9z0uR7Y&<=UkzMv|<_ z--NrNN%})2*|@5vG;)W@QP&}ylv6)XdY$F*KN53Ox~#x~Ew~83OteUe{a! zs42s3PvKPxF>*VEU7>x_xPvjJ zZi{3|PW7_L;suNj>^9DK2oQv6N!aP7&OpwS&W2VkyHp0@qhU+2WAS1a0JIqX4DV^+ zdeCA3JzZkA0y9lxAXlZTzYW>a=< z)n?5crQ&fpAIG zfv8WxT0CA^Ee}PNtAf{gn6>m`F1USOlc-R93S|{R=_8ZBmKqIADl)|4$1yz-?9jlY*1ZGV^C_?Z0eojm(rC4!tKHCUhqJBXY z^;IcF-GfZFupKNJ5RyQeA*paYp6LL{MarZEMCkv9*22Oyf`gM|7qFzs=)Xv(9v_v$ zOm?WRE`C59AcVOs%f7Cl;D#{U=HpeVgF#+{P>I*Y74%3f^RtcRaNx(;MF>J$#W5Ki zsyT)=7!Ho5B<^6ZVCX4l;wh~z?sU*%9C4G)VNv+c8F7>VI80y*9OcG1Qoa5v)d`Y@ zUJ{x*7DptzMv(~$VfT7ll{92Rc@X6nM~(jpn!L4;s-ub?LsTDJSk`xFMKu{Th+$o$ zX%y0T2DbAE*+5f8{in&&ZxGRfRC`bXl{;5m@q&$p1@(3$6;n6+3V2tw`IH)LfOjL`Va|V zNP^q_pf5Y^_Xfhi>@1?Ea^Innn@^9zZp0@e3Evzf*#iXu(7N7IMrDdjy9?YAa5Fg& zvI7MRNuo>P++9a*BOW5JIg(1uZ0m7tDikt3u2Hvzo4Ac4y(1oN^P1wc5Dla-8URG; zp<$;M?!}yf!UowNA<><>ABZake1a}UQ+Lu{l!>h(0Tp^k)hX$uoDHIiJvg;Np~cP& zGA8e%=sOI#o3oMHDS20k&8cdSb~IG3fWLoO2guucGoMrai!Yhx;VmBcpmkclF1|gT zs`Om+>CLtZxT-r9Yelc7`-QX}%_qa|gw$*x;SSiBaNnUh17{e!;qRI=mY|bRNB{q9 z85>4kHiqJm4NY$1z5_B1AAvNdrTyVH&NQSo%z4@BXK^yUz!?S^z`i{e9-;)QBe#1y zd(^dDd%mALGJ|Yr2Hq;jVS>M54ggD24tFt1J-aOcwPa1uNkDFa49rf*W?98f!Vg{h z1$Jx`3mGM|5S^q^hZ7r*lb`cxumwWO$XV9JHH5;63mbYGwB99ZtnJ-}IsxTk9Y98z z47Q+$Ozm4%^Bz+++Xiv6oadx5H4seM2s;$X1NC1LC4E! zvY+IrPn1EB?Rd`mMlhMnkYvUVu?GdqV%NdSqB(;u)v>YEV|0TXr86SiF;M!r$q=l& zsMp}%d(vx~xTJD{Q{UXufFVO3FEQJf##7{d7P9d)Kr-Wx_in(`?Ws)E=~~%H@kLXh zx3Cw{FG&rwUxHnyQLAMDrKwyaXQN1iI}R*i8XDM~OfD zxpC%(W6y=#C49oaU{{0h#@vb0pk)d|Pz~s8SinHa1Kr35RN-Kg7>88UBW3i#vyEAM zQH|oF=@*~I6edp~`-MtaNie?E`5IdZG;qg5|AGC_+$%Uq;|Az`vNwRPOh9JvT~s+B z`?(Y9MeUH_1_0wew$dkKyy{vyi}@O4PO>DMxy#7}k;Fo^mEh)jD&Vf=yz{wJ35)Bg z7kmZB3&Az({hpGwo^Qm)k1UYAW5S=%jTyuLCKo}sP=Uob8k^8W)77T}I$x21%h#dD zzmMLcCQEeG5S$`YK-4FY(c%!b@RgD~q=k;PeoX1dEy*_k_8kPjljGGc-afoav`?4+;)EQDpj zF_O8l%ql3fn4@VZN@j)$&DsQ0NtB(WAcSiooN$4osTK)=XLyh)+wh47P+dU{(QttZ z*4YBR^h(S=AhZJ++U)3&l%)wK1`j94@C{~o_#o6XLxmkA6B9yY3=8d9Z>vD^AtY(g z*>%t-F*gFG3;O`xqNpH&>H8TILJRS39Oc1;A;kwIJLNb|%W&@Jn+Na5*oQr&4F+Iu zLc0n{l3^H3u8@43UGF=RZNMc`?nb(XeG-2Ae2q{j=#xIMfzTRb8KYlvRN+tHkNCS; z1Pmk0om9&s6!!G#ni%LHHMgcnY7Xuk;}kvyeLUHaOksa0{Bc!j!M74M#Y@!$ql>zShL~ZG^py$ z%MbkTt&6vVy9*mzZ2Q*-9@pd<%#B?)fXs@WNEn%Q6oU1lmjl@)aMBuZ-?e=EssOXJ zX3Flo4^H~pU5(gwWE9F2u(U^kU|lpS8+zaUraq~fvToy?7gwBzy-T(LBlhBZfe|~n zdt2`qLr85`%56 zb4rdqYt)0E(cIYI=KIfV*tKcSj76IuWPoMgeo2Eb`>dPFvSBHNEY%3UkHfKW6Q7u38m{-UUbHsxd*mt7~sJVA3~D7x4ShioP_G7F5 zdvCvo3k3AOB{1i%4p#8qJ2=U4=9Cr9l8_^_!S_kY%6CUd|F3_dYX|<8*XwfmyV5rW zCvC+ixVg6t35t(jbM7J=NnYz5?Ww*~Z4lAGz)B3Bpij=Tz+el4xH#HD0ySrDyOFGs^}R8+O`Hq?ZC_Sew%@ z*tONrvZqGdT0VeXR3u<&UvLMzT!YP_d}o!+MB`PTZIErBpVHCgia{$gCC{6q{&?zK zSXfip-Y|S)?&89xsg{>F;%%)_$AAahvc|1Q(#o65ygRUw&AF{kWMy6YtQ^4Na`@!A zPwzqB-a45bd!+Hv&5*qaN_w8ar^(SN>#eC+wTs4=$Q*<3S}c1VL-qE>)92#D@$Dz3 z+u9ojHyG}geBV>pw#QlKm#U-4Miw`W;!D#x8}4e#L4f70!&U^XGZQk5 z#cUVcB0C7~4)#f)Nx6{G!d7mjuL-o#1!6SGcaJ;zg;Th8`0ZQwGTuQB!Lk$|g1!}x z4bU4)7y?_RI2ob1M`~aTb)XK4PM+{q=#(|`0~R0f^)ep^_`m_*Ci;=FNA8PS3I_zC z{JC;;5z$jcVnGOI(2JL)bGjI_xKk;MSH*Lo7}4iENn=>)&aB|Rqe&)@M;Ic@@da@o zQZTQfyOx-}4=;=O0|IE!Vha+6)7Z&fY4G5zmu|3`j9zLHd~He=Vp~QE>ic7&3=+VC z0BflHK@`B)KoBIm?T7lAtVpD7%eL1deJkDn0fWT)D3Xv!395uo(F%tYcr6nL4(uL5 z21y-PI668G5^f3{5VRdW*(U@?B;c`lO3uK66+?*e0U<$Cpz?r}0zk{qKq_P$o6O&P zjs}+P%g4O}CnBV4O$5#Ihk&E3I;MFQq9XV+apnN&313npLw`XTy)CCsk?-pV!K4Gu z6&$gAg?wUgG;E`N4B_Y(royH$0A7&(ZQ}-gHj!UcF@Qrj&3VPa>gQuGipu*rtnJf% z2MlCU84;m=Dg#w@-)(XpNvaA?5*5Fop7xxJ(v?#c?JerKnIEV5(XYu&1&~=?sT#zf zui#WNC(j0EDX?*T1wC~Xy>l=}VfPn{OjNfRB&P}7qHeg0q;DmfAtvuThLr>0M(0NR zWC|wYeC{AgUlSh&ID`CccclprdW2@eWDi!S_bQoA))~HI(ONq(utSKnwca^*_=lGA z2K%N^Urqe8ct0VX3;k>+3jyk7U$k25EfpiuWDGxd*j0uZotp+C9=cev7vvksQ+Ky= zlAGBanNyOCeO_0FI`S8QocS)b+BVwA&T2Q8?`l!r_3(EH`?zPaS`(2w(du%bU=)tk zRz})f_p=lRZr!*{9gTZxtPrAjArn#TSi>0b zZj&w0n|%VklWAD$=Rcuq zhra}$GnvHC!U%KK`=(H)$B+$J+JXZBQ41A%eOPu0^FtKdYcevcMalUs-S#xA!e|Tu z_*5x?!Q#cXBHU;MEB=ufdKZ#q8#4B^6#LINeu}lu1roizXuh zaD&AHfT!k0BXSgT0&YyAm09TnpK5T@$_ZMw)s49`KS`;5f))<4d5zzoy-0?VIYlO5 zX%Y3l#N_agGo2x8zC=TBgeOP?nqUWe+gXlw%QRR>Wtgm5vZnAnW;`PeLB^-_lNE4A z?Ay~J+Sw~jK}(X7CK}=6s1-u01=iQWZBWvXMg^T7Mn9%LF~ybE-?X-eGgYS>TcQ%~O(&UzmFR{|!B3kgBc&CQOYrIhJ63@ksk4>pNLM)^3;tn&gTg|R zWNt(CwOQShRdOGOUPhR_j!%f#`FN+}_`Q!?gR$fiWvZoRj`ck_nhl(nSwD*@mOLFw zEF9&Qel}=sGSKZ2)-qPm{=eu>mF1;lCA8jqrINHSt=RYQXkd=7a?${yx6`0WodH3km!beW7!r7b%8iMlhSh&!YhuNsy3Me{xU@cD#}XRVH)3y!#8lI8SL zFH~}PRRfhkZ#@pE5V3-3Vhzqv{9>3XT7*$a9dLj_jb1OxJGuR`1D9qCbz*78u7xZt zT^hW93j_;PGqt^_6Dm2b>fu<_y$}WM;ALMOY2do(R6NAD!8RH+p%)P0nxslM1jkGX z@%Zs&Dy0og{b2d5!PQYus6Y`s{vl(aW}><%K0M0ap`)y&(TGFH2v~5cfn^+|63~^0 z7v%xgBj^JUf54w1Vn$Lv*}ql($eYD|ae=#hMpi20SuWbMPRS&FKmrJVo^buhU-xjw zDqTCAgGtt7;EKKr^sagenq0I0ysIME5*)XN9v{V8ZPU=P zUt~ntq1~_IuLMq7!=$m?SFzp@qPZV^0NcEmxRIof;|jo5o?Yf7-x(|KVskDYTK@V{ zz^`8Z>f@VczRI#6J8yPT!CepF)}}ezpWQTP`fL9g7!S#;*aKF1!dbZe9D>2N)yvo@ECh z1mstI<1ajL-l@!um58BVvL+k0u}_v=#^$h)rKN1Z6YMI?{ez5r)Oug_6c%kp$(Ik^ zpvfAO7nv3af!v$m?~QZL8QyeN02=%e$S)ZWC3QBegzS|8S>qJz9qZfnFa7c;H)Q)s zGKv3oZI}h0oOTZMZqxhQ2j%2@xgl&H|68r#)1#Vb1%W4kBz+j*Ql>$`IeY#S-UB-D z%FF*wC+Y8RUn(c8V42={A}Buo{`S7?fO`g3*@A8FFTMwyAOm*!>6#1ofAJ{X;}t9= zsr^0bs?j8mp~>4M?>~<<>3?p!t{r#~=6U!#IyTt5K`u+u>F>ngE`4Kpf*RN z>}DVY7;$yyfoh&Xo6v;*J(2T zEJ#fOxyj2{IoObv*DQE1cT8-DcdQqh8>1>#+HNi>*L;1ni)hwiaL6qGTXM})aIbm}a=tULIp^{G+ zVt7IH0_e;Le>!*ACoo56adRCu;cp1^?uDg{l;_JX2|<#rEM%070+Gk-Nlf;Af+U+u zNkZ>MATLbnQF%}xd?7w09-H)S!ej-;SVaYKRRk3xKI z1#KO=K{M(ULQ=>3wG~R<>Whh3wo;;%%>kEU78B+vT=ioBp)JP1pqM^&XPYdHDO7#3 z#WswX~M@Njp;Kr$i>xLvE-91fe%_@sK-ts0q3CO&WQlhoeQ zYTClYWJ5tgk{?(FhhNDmg@PZea!V9Mlz$PzXCT;>0auA2(>nDH$d+)7#Kx9kbm47$ z#KD3a_08Zg>ezCsc7kFZf*!lzyOIxMLI-6{pJ3<{iw}a*X(|7z*FTlr4!ETMnGczG zXDo!dFijT0P0)^fBDhz6;{Aq$pl2Vbei>i-u1b?&-30!$uXPL;@7G{Vxmec@W%b`* zq3XhFfv$3km4CIBMM@Jj+{K`t(8lJ=o`0Zr=;d;7G4>QN#n2=oTH zR5q;q^5p47SX%pXycz=e`5FV<)6+kqwU57G#M{xn4}!d4ve9#WEBgc}y9Qufs=a~V z2yb1qx?^26$TL|skYBlp^EFZ50NFwJ%>|kckYuyXCB1_t&Nl~8LeS)T?1sOfmiNwQ zL7w+BH@|?hp(g%$aHEG5ZwKg*FS~=yX*)X_Pr2Wyyr3bsUU8CBCu=Lg4NhMO?=0^N z;pVfQvpCBNRzF%IJMk9Hy)V#P3z28&-WLnW50462oFdi)ou`|;-WA%)Vv^wGTj(JX zHnOkAgcrMtdl_+CxSj&JBFF}4;sYte%A#z|&B+z@)~@1?lg_ljCu}7HDKj^|S!db0 zQ$}6|tn`ySLP-ATvj6(z-}ma;LFnpB@zJk<%j>pxjf|GWm#r`>DeMB#marg%mV%Tv z0+VV8%3y+p?5`jooqn17;g@#XgcN%rZfrTdmTtwGBa@{m$PiTJSpC(N0@Iltjv}IZ(?og>*7y~fb)wOn z-=PdlI<{I3XoqbZPsPME>@zJD8;(DG@6?SLDS-9 zsbxt)-U%I7d<+ra1d}2BBq5{%FN=eyUl+lN!2p8776{>+nj5k?3T{ZzNm_ylB{HJE zCW5JdDp?3>K{rL21x%$n>(l5^>BoiSE|6jav0j1WUm$y&-tU?a`q+Z^YGk2(6&$d3 z$6ex^aAdb3+iZ$TTpGPJtgkh-cwnch;>A(VzRSyRNqtdYPU5&2M_|$80`k~b3iKo8 zGPA&+{<$OTbx?dnc`H4qspSX!$ayhCmszPJQcGYSDRt>lC4wK3d=C{tE8%{ztM6$d zemshn@GDYABM4a7*ZOn{;*kX_h*^BaGyl#2Uvpqn_|HUg&{Z2=kDrL-xIh{pb1?nW zQI558l*p!x2`w_XES`PWb%0vW$_afNj~(%(zH!8%2sM1fjUoYh7T0=;BtGM(juZkf zXd}z-9wRP~r2B1>Pgh6YE>bw5F{$`wW4T!p{{M-_7Nxz{=g<5|*AB1hNl-nf8%(fb ze)L^|1jRh}ScFr|>E6vV?z?|*c@O4upZe*h8!kNXNMia*z`Fvl_3`h0@s2M%J%d4P z84s0bfH7e{v<^b>Mm+0{8DPMq7AJ;%yp9JWs0T`@L{yK!*g2f4+`}dsWfkT|+J(v-Hb={_`7_jvkV7M+naPPQ|w;_bva;iU!sMUwr%y@}Ip7S^U`L_d%N=8(0XwDd3#H8k%5nBFLSy zHn9hRvOCtX;2t#|Yb|5*()V{!_ME34-&Awqfv4u24-i|(AG+v!m*2Ph`8lWT{`#Eh zKj$R>r(`_VE3m{<%HrisFn$!+w+%v;@Ba^QUxA!o>KD!O++j^--Lw0a(_qf2&+@9z z%0O>`9lrtGT$T;I-{t$pnWOQ3=Nul*wEJM;tGmm{C0Cuwt{OGIWaTl*Unz07{ffDv zBxJv$g=|}Pm)7JSF338`KTq~wg}eVdJ-T+-j1iHS=f7hiZkFNGjRK6leQsALIm{E< zJh; zFMxkrfOUl=Alh9ZGJGPy08YuKFL*U(v!Gx8NvKjEE_ ziKnmC=EUIu?|K#lz*c-YvG9_11or#9w_0jYD^U<2m|I(Z;0lu4*?humf%J%~M4zFWf5_Mq8 z=1P{!uJY0B8nxbr?-Sgr(B)+MV&5E)bDoF6IvYP2%`DVpI5r7RICrCYK5os1?BxrS zbsYIc^}vgQe(1!>n4#m7B)@_q^#d<} zk%g4Hv4UB!nFzK?=3%`((~@>rRbgarNJ?VF-2zLn=R-y;2oxk*Jw4JDhEAWT5?E33x zq8XYAA&jyckab&DY7^|-fR@c|LLN)o|H#w!Xjr7-adrMyeIC6SURFVWu-M*Uj=PNP zw@#O!0dU1bX~;$Ut^j$9_I-N#1bz)dbn_H7H!SOzJe3yJqVmtWn;&b|Er^#VwAj@) zTGe>VYTeUN*JBMHtw&ehsV+ebpFYi(?bHmfi_YHpS%!D5dlpGr@QIb5`iWS+Vs`ox z37@!|6>E_rual8*Jjjvq6jc;Q2A&XZFtCRJv z337)U+kt1glb?eJOIDWjPU2k$?mIY@$TZFdWEwO{y4IuY*is)8{VDF3loW(tN`;L( zPDM?)@9@zh%mIQg`VOWeKi9OGlE|H`YpsWD4;um>Y5Z8($4K(8L3TQ?dC&R|vkei{ znwHy{-b@m;>6X(eR;$SBH8T;aQ0H<}MS|M`7rcUNbX3D)BF=cfchzLBe@&riJ3cSK zd&J-=50=zd=~0@neG)$&1O|JD%(oL9%%~QF^dnllp<5BHm9!M=%xyCVOGsF$qiVa~ zqL`YGxzMDbZPAn5nj3FP7c{R08#%bOXt?{q1V*&gOfo8~xj&)M%p-JmU}A9xB4~IO zc6&}uqsQx=h-pmWtu|E#&nRdUAtfoS^+4iS+Atvy5v_@@X#v-xK`S?U$@Yv3X=H*a zO(``!s^w)7PvBoK#p5T(ovNyc3fD3t2^k1rI}L$DDVT^!ebvfG!xPnbSzHs)0n{!V zP22-3zdfDNca+q-y>OhZLdudzg%M@LMxWx_iVqxm@#iEtCip-deDE`iWBO4YdFz85 z!@_B@0{RabXbQ5Bn;kQ?CTYI=z76+&W4ki?VDde$ITU&E&HVGC0>$Rd0QfWUv=ve1)HLi{MB2oh)H0qY+M0 z#+)Ibw@Fv!gxUnG8f`ebacb)So0>mM@WE7oVQAcUkVJwp>&675Zng)q4h|hg<19nX zp@W>{q=P=WOo&f7;%JcVeHq;Io;>0f2s%mUzYd$tK(u`Qvctxtkyq;go#$gl-q~>u zU3QoNH2XK-{r%r2=$hYU&t_QK%*e{nq1Tglynf%vt4$l%U&jc((MflvhUm;mECl3} z5*0r!2<2^8M?-&YjG+%{~o7Mo$jzKMd3ee!gKurES z?3$mT@BYl8(+aOWPD@WQ&VJT}tNsZ1Euic^>F3)R*t&AL(sY45Oji{`4uHq_&UjWU zH1gz{gWC`7={Q8k?fFLwIK>BvGYw(hGa~@Z969p`hv$D6xdRBoa&wNK_eS^{`rDB$ z04zkNttkP0j*?lsc|Sg9#9X80z4^hKx4*ZCjjw@@fK>(P=mZZ{)Vw!(zc;dXWe)AsG4(tt$gzLLy(!t+fcZfEuDU7@d0J`f?JG^ms z)ytWxA0$3`IY1U?(}-P7{n% z{!4s~2XrVC;uDTIqIT%`GD?(RgvZ_XF?kashwTI-;i5R8{Dl&H4f76qg zIPZ~1Pmn;_1sLoLp~E5;y5YWqU;gct*UeTpomhBy#HQV3>=G^Jb*LRi^~L}cU*co5*b)Ey7g3q;wLkg75O zk;{(7>*)->%Vce>%JAtZ=36v(SaTE)8iV`b#OfK!uN~g-ePVtYn$X0#KeK2?;Vy;& z4vj1`A42V5VCPUDpcEp;|Ky8OF<~p_9}aQeIljLe$Fo}S;F>+g+_@cd$vU|0xB?f5 zAdBZ6WSruWpFhmGbHhB;4lKB6&TS)H4LBoPoP#v~jsE$i+F?hLT%~J=gLjU=hPMtA z=j~S(*A8}2I~X*f1JmyF-pCsxzaM!${$rN$;a#DxJo4CA3KP$sRR6xN9samM*AC`e z8~zS+06G>Gs}7S6og6ttkpl-&w@!KmrITV;RK4;-)xPiQzC%5YSRv|-VOs;Ae*)EF zoNzKxeIS5Kg5+WJ*btHlQSCb2o3mG7nM!rfT8;$cmZ~SWl+?8L= z&YD+fP_%1^?xfJHKuDxO^9~kHkPPfQ0?^#UP777thq8Tg^(#b=97G!(Zjt6LO!`YS zM!)<0CnbIGsZ~G!6471T4__1d`NuGnkr`{s3b@MIU8tu?k37{F`otrVXAedWev%68 zK^PyRy%G49T?JrYbl?qnGWFL3W!E2yvk*4oT*A5X~J2X!^*fEKIxCXUD zXbQR%RLQLK3cCulK|lHChst?-^k{CxVu)enr9qKS^dIJpRGN4F_kAz=(?Zrk0%9^Z z7rH>axybkCE=H9I+Xw%ueFr~Lqzm*^A4?bU`wspdzpKz=d;jKDx+2j&o6PUNe*7Ui z{*QNm@^bp5)(lN4w+99%Gh0{}ro^xkv5}SZQ-F+BY9JD7D^i}C#h`GacD3cr< zs*0kRn=bHo5rBltC;C%I7WUCc7Ct6#bR-dtFfuDf5f~Kw9Yxl>*nf_!KIf099h$D; z+JT{Z6Ok|Y0J&dXG_rEx(|@1(>~1}>*xWPnpEpZ$)==skviCp;W5m`as(x^A@9nb6 zA6cC7db-8rk;UQPqjs44+}>Hc%F_-KNWM!yLhbO>{E-*v+9!_h z$Dkncb#_&JM4gK@}iHG|qJbPFjcCN$N?9LP%J83%{E9MN|cQA6@VGKBC zPU`0Y$L_6=4Htw5*%U@2tr$m5mX|CgU;A%I}r1e{R~ z!TOT`me!Yj^M1z74fO-*_PJL!-SP5Ia&smE%JkWPnsMzd4<4uf@ac=5skrWXMys6& z6w;sWzY;=L4ly|C?VA8<>wx>gm({OM1zGE@Awhp~#kPyiX6~Py_WZ{`{lLqI<~;Yx zhE2N;U9m0o{Kt1`bH4RY5V8hIK7i9}^Pd0K%NM;g>zX~^`iB!f_pK|R(0ZQ&g=YoE zk39JD)z>~h^86-%!M;}U?+?E8+Do&(@cg$v1M{xg^Zh;Fy63Xf{$rkoNqrbdtW3W4 zxzm2~;5}EbdF=~8eI0rEz#B0BoA&^)^`rj+wA$aEdi=jU`10>w{^M1E818!l(6Mjq z9%3sez#QPu0#)~{hhgQO@1ObL{)hh=(jFZ7>HaUSdG2>t4?S`9wVweJ?%yA};zJ9b z{vE75^OfhnGyml8y>{(0AEM~rpL+18AASCgkst0n^|U>|I}^CNpMU+VA3yx-e_Hd6 z+NVFT|KIjsJ@?-pylUvz5c%S!@9g;lT=o2ehk>%Ye9sU5fn`7U^7_6vM*i`e7yaaS z`$4|;=~-vq_VR0UpBY65e(A@5|Jv2JTz>TluU~%c2%wR7z4ozhwgLI}r{`aN?e1@_ z8M^0xt~&jdTQ^= zaMih2ExPD|mnXk`<+VTAboQoS|KY6HPlM}T`_gNl$LZkV55I8>!vMc_?dt&kEf!=J z@>~GEdlky$$d_KjL;HS=-1+GppSkUxhu3`l+Rl%^{6`cv4b^)po;~x{cDU-JowpQ) zJPo0`AcK6`o^QSUN53GKlX3s$k#h2<@A$>TDE6B^4k7n{0eZ<7nl36Yv2XX6Rs=id zl*eoGc4gxywFyOY&DIeFbj+hxhv;Ce&rU@CEbLFWoV)87LK=&*-E-1Sq@M!gsRc)Ph^eK5M&+Zho{vSq2mYGV59`L~{eMwTr4i z_8jY9L^{jhyUcyFo(okv;dRs+ z$xm^TDhs`%6^2N+q#dur4c8`_jBA)~zVZW0ZIhrCaPW~3`5gsf=K?li5A_U&M^hU$%4_*SeP4=`{Q|G_jF8gB_wB#y6}*HbkOUFUW1c?6p)aJUFwW zqk!pM_$0PO+ORS*AQno1WU{~Gea8)Y1{8`qCnUw93?O$vw#{fB*#NL(Sh>&7w6%@N z>J0Su>|wa7*H|kSu~6Xs;^{EBQL4ZlWbt*bp%y`b76Ud%otupps_@B3w1WoN$~(Od z)s9ctX~1QlSRJ*~Xwg)wTXRE5N3onBqJ<>VC`U|It!x!=igB{wDy*Mqvcw5wFrh%; zA)i~zhEE^_9v(;5vdg(02_^`E-fJr=ZCht?Q4=ksoZFXugpl`)>>K~_4!?F#484PG zDgfM*W+)y*L-AM|=I5Oz19pjFe$;B5T8Tk{+KyZ10e7tX$C?ZUOxgua8cnT9_X_kp zl>toBRtCAtqO>u(Rbwl20}cw@U95Li+{;18C2=bPBt0Tvtr`T}C$fwrz+k6!MZ!Q! z?VIU%S#aayT(?0G;Y~+C$7X<^)RhBD)S`3p+IVb<68HoPO4|e)umV_DybC^wpIg&P z1Wn=qd>Z2_R@gSu#Ci*u*JCLIC%o?IV#Blw333CjJ=)9UnL>kgRrPu68;P@@{21Ugh+J4bgbPY)@IY zRn3>JPS+`&@+C9Yn7fYbOQt;;p#dzL;`cfb0q9!NqmW@|C=chPFQyA>9w5rKrHs63 z7;9zRiK$9#OUaH^(ym(HmFsdFQ=iCB$y0nmJZb`U?*&=_53(lH*0E10KGW#}&X&C( z-tAIu%ehm!Vw8(#W6rd$+}Cn#5}5`o&jTtB9J?Ssvl=*CS-gYb0za^wGw~@jYZMaTl&Yk8pMUpb> z09%k9yIu=Hy)x)lq4;Pa0393lb0>;27(wm;br0N&Vn`AX;Tun+eB`geB-R9uADi=0 zTvwHmpN!s8Ud{5t+D4Yk~s7dLLEDTano(+RAtJ=jto?%tXI-z^~-5 z7!-c(NKTLac`h+alE`pSS_#8794Lgzb85t*JayuK6jN3_2G)~0lKl6S`5Nr{$Pw)P zN`kN8dj#9U!BCC@J6~h+-sJoGd*<1C^hpJfk(`OSJ;X|(z_B6)DmZvUKoLP8T8=S6 zzk39V(TM{mh%g&<894bsy;8rrqc1J{2Bv7sCEp}TW5hWixK2`N=U7w=4#Oy<(4HM9 zO6s%-%ugD|3j=roSxK;9a|ryQX}0=FipRE}i7SR14|P*g@e2`V65IbrAr$XWTQJ{n z`em)G=!0y$U1DBm$9k|1kB$*htpzRt0nlVEn`1yYT-CuOgf_fOCHry1U@Jt1q)}a9 zzT+^1MJ8r7K|e7`QVB^h+oV2ZW37qZBq=L|l+cU}9Eo$qHkHDS&Xx|mM14Lf>@|#U z*c}r->6I*>lkr0fCM_$Wy5LKP6YQ3btN6+fF>C=~+9J3zoD^bK($+Z<5cLW9Wfg^X z5ULx6U#q^O{L+YcC?q*y6ggc!%O6Kvt3Qr*wFnGDENla? z6=dwT267MTW$Hvz0c2KOqgXll0W{<*w2&>_G!D!O)-6ay-*BBFXhjWU z@&XJN3exdO+z&blUB0WB38zmY<6{f<%6^?fz6f}Dw5}MpkH0)LtBFAwTLPS<%;K70JRK}!Xfnr{W zTfz|bd}A2o52ln!Pl}Ty_G`!|N!@I29;6)|n=iTl_=-W7TWmm#vLhK`vJQ?6+13E| z*Ql+|H>>{S8Q9w0tBad$rm;S^8QF0Djd1KV8D9?%PPin|$~c7P8=P_=M4E+5b?GJ!l)?#-_0`yip~3mcsbXt{co=T{LAngiZk=cLHeSglVsD zK-aSt*`S5MC(UScTYJ~JHa>I*8NG#+^KGlgz!mo$xD0A;KsqByCIfl+=)Z>HqmxlC z%6~gRB981xL}?INTqUew!9zr|yzfxX{jU7d-o~#O1sMRaGd6PV@LN9%*gtR+dyiZ` zF$>)E=49?Mj&cL?))#_qr_NUvg6?sV3~pJE(v07z+qME(P@#+I66LCX3#HXjb(Ax38pveklVUEqf%Rd6{MYRCJq6pj3QR|BjdsfNZ3q4W-rOCVeLy-(4=Q@Dq z&NjlFtkuvVD`mA;Np5Au{b3qJ$9!{iLzmH*jdNFAxm2;N1x3CQxVc7t6~;Jbr#DSu zw8drHotEu2X~RIgU{uuX&XU1{Fe{9jCr(j#ENfp9^9B_9_too+yxX3NQ%zT{TUITA z6-I6ge1OQ76rqe@v;_t8In<~NYK95Uzz^FAbA_}hK9R{4)AHbMdt~<1Og@*El?yg| zfV1|J|Gu3#57}&tT5`BPH{Js>ewsX1+k)zg^7ZH?o=cXg22=(5^IjGUt5v*P zJrKLG6Px0ny%XzlE!G6Z$5kmb$N5~h>C^%S*v@n_mMw|K9SOh0Vvm0qC+!uQY^S2d z-#ZFldHG&X9_--a!v(?@0)@d1bwM7>?aOv>e~gfT%$k+UW^y-#U^j4(slW;X;+b>j z*s_l%gt?C*``spM<8Pbs&WLX zJ1Z$>#9*X=6RwFAA41i9380F3e~Vc)1e&b|++ZK+Qx|HCy&E3$j&4P$Cp66v(`B5=IIpeF=|u zt1M*H84!}_w(xGM-3*jn3Kv$bqDE|qCP{TySYUQfve+l9AY^{1Ac+>ASxZJD5kXY% zW9il!;KqQDZB1F-I2I%v9uft@2&KLX1Oew+wi1X!JBc)ToIzPU!WwD+@T~+gvl1V8 zD!$N}qYFfT(!Xw@dRrhj;7M0g1avSs-I&jykLaR7Z%XTnMjQC>AYP_sZ@$jsmc-)88hsD%xo{wK#jrpe=p?~`kpHgxS!1_oP#NyVNN?;iO%j7@z*_CPB7D~OZ+-xpymIMzH5dK6 z4>bE7puRqO1Hef)T=n9mPt`2{_13$veaF2GL)f$2hZ{Xmj+;Ah^+!rjrc<#YhfeZN z#-H8&eFm}Ja!e~f0Peedgww6q6a5G%)7x2-vyLF&d%^?Q=wn;o2I#%z(y28_asd0= zY`K@YeTd;ZwSsqT1^M1V)@0kiejWR*7d7!gY}YV(1NJR>@zSMpmcO`l;8Wm6lKpJu z4Ih~EGcDwvyDoZS*1bO)!e;Puwsm2fmsc)516#ar-Fngfe*}Urwxxe)8(hVCIs0{O z{KaFl`01G!9@x4c8@H5`@4WO}>_cH6LtTMmwSth1O<_vhTEX);$t}x& zb@}bL4_zGI{l?83*7?QfTqJoRkZ^AYI`Fz1{`HnUPeYS)<}AN#3xr&uh5Yn8|F+?* zuV3vO|1Uto&E0!P7ku)N#-E*${o7OhOaq*519E;_jrPf}kmUZYTd)<-ppTpTIQ!cd zvLEop7vFuy5VjiPa`Kg#2eA1*tmM4B^LE}*zL;rGv+Vxm`&ZoCe-D$txdHp~ym;x; zFn;Sj%NQV6=Z@CImkle+j4vhmX5hPD=A18;!8IsVK9KT}vfm>(-J@8^n%uguPS*}; z{y4SE#b=>KSX%uR>N`#z1TTNXHPzBza2&9)MhX}gB%#U3EBK@Hf-7&9U*vq`H1vSB$&xU0~`95SrCX(JSY;5wwc{yCs8~!$Hl5wV2 zrF4O~p(BUwSWFr;A)v~%7wrdV@cvVVCp5XpG-NS8F>l2a$z}i_)(vlH;@p`E$Hp_M zna-l^a25P*t<3e_9*r_N{lyx)&231hSBgPogSs8%y8>hY5`#0{z7GYWX~4^GJ{egt zcVTNQe|g@BBIE&+w*UY=$cL+ZZkFAJB!Pi^1lh%J#~DKgI^l5FN)(8`Wt87bn5~H~ zO1cXf*cXKj0<5D7Ifm@_g6#a{Bk%0U;!o=naWArvbs81AToS!GOUe%PD;@L($w~_> zA(-g>nrYiLCHlx#_Yy%NTj4G(LtiaMVY9y;ozQM8`>R{!arR`2DS^JULXWz`)R?f2 zMJIQ5m4B^|9JjPE)4vuHXT!+gRnLf`xGQj}`@A^bYDo%X$iqS~j<>8N-!lxp)`9&L z^;l9j<^y?IhOlbj7~q9Df~3SPOOVs);WCYm`gX`;nr)C$CYUmOiBG%n#v|_+A9Rdy zmpj@Le=v{x%2NnlXP>aAxX&I&iu}DIcE7bU2G2pH`KBZTQY;10WJ-a<%x*kl%tTB; zB|~r`WDqg~!-zCrRUu1k6QVG@$1F`&THQQuFr_mccR471M9P-Iu@(p-%pZoazrAYF zCRyYu)@GH&{i-cEP}uhqjvT?#DrK3BpoJhCK(~66j$?ZTOp7v?qDqz$1mT~-o>nwP zrf|tjnI_3MLcSc1mIqNLpqze0T$E9^`okb574WAO#QA+5PQOss4w6utbrH8tSOVj^ zRpW-d3uJC8Bsa^_a&s|G(u)wgmLtaL?+jJk5;nVS{VK1nP11VzRHdaBLsjZZe%Rgt5)ssh|mSh=dDo4IXw zAIrAZRbYPtY{BkGyFF(Z2K?=zuvR!zLm;O!!bXGTXtJH=UJPc%;_Vr$2m>r`f~R05 zrl@r8P|i)6K$PteSQIqboM}7vLhpmk$tuXc@j7l*zZ59Qd(cb62GA^LvF4u5Su9U? zsTSNQti#?{S{U-y*2dQ-sregTo=nf{YmvIKmDqsam056KugeLnjLu#JZnVGf$%#%E zNg()Q4kB)+9V?A(^Rk347K~Txrp8Q|V?POWU|DBWyY7Y|Oafqkn;*k?nQ`p4tdWJk z6}Yd@pUV>&@wALDj_-4G4*Db@d-;#SN^|xzEwVf98U$Aj4?s2w1nb?t$|6<}LOhN4 zi=2-l$z{U6@&&P%T*1a;R~bL&wUx{*{4!{UWeD&H=a9Q-pFo|hHGv0D9-qa?G?2#$ z(N>Npo6tn-9X;6r#Bg%2#U4zaY`C!jYC%uK8!y}E{!?VLmG3@x^`6Uh?Jx=nItGRG zT_9sN&Wgp*7Di<@L<~*}(D|^r)})r0fvGbmg=v36SKq|8WhOS00}81jQJ>?=P9~>e z!8~l)m9!uhORk;|SO%2RTr$y@Z^qNI8bGtr`mEzfv_nZe<|M-rG;<&mE;2i*LgS&T zP?%c~jfbk7rIM5dO{l^+-Ex`CEr^pT1VX5if2xq}lOdK)2RCaXM!7*YAq1^c8289J znYm*bNcFkbG8wPgfh@eJ#6@yGl<3f!nA5+BN8)=mnGXgKe4C9HB#C#cG7__xFvlV{ zTu&hvnuJhIWLnUWBT0i!ywIYVA`C98#agxq$s{Ejeg@wq!Fuo2+yWl#p9;MzkOHy+ z9hQfdNpzvuc*|h_QyAO=9TX`;%1B}@(f+VOGmI813O_o_(&)7?H>_miy;#iA3ZnW< z&ZpRE1arJAKN@p{rJT&?!e9u_@*Emsl_jUF*Gu|PFF$*$O7Aq1m&d2jV$JS$ax&u zu|bk7WE{CQ4Q-hWH-;hAnhNf;R#218KSc0G`o-_o|k67 z5q2$w*L0A_IN)7HFm*VDLcr7Cy(Dmr$M~RM%pn^cTPzMR0lYTJ)Ae1=0h;6+Oy|JD z_>VIMvN*~?pEvq(eaolT3w{cd;T!~uVn!`V=`^sI!nXh+A*jj_h{6NDZishq+Nm&; zIp~oVkADzEuTHd=%P)dK^_7%dEifWLg(_M=GQo%b>oB43W5HEetCOUv2vvwBK3fUt z!m9V}fZzB5R1WZqLYd{$tr8^d16CDpHd$uQ6_#otAr$UarlD)<1PHR$C+#?}bse07DJUYrO%z)KKv6S74B%nM1J?_}~W!0}1Rl zx-k$gm1*~W7B)aDMUXfskPKcrljLB<7cq;4^ADccIT&FBQmlUM_-KZ*SRK(Ugx{+l zqWa_`_(BNi7>LxHcnTwmMncLE)5{B5e@4LLqMZ5t z7&kt;PuC9TVD2?Q3VoaSoaLaZW8g+H!#oC@R44iG4A{C_C%Nl^|MCFYg9D~OH# zA^R#H3HNG^vI~DhlNxYCxhtULp`qmmE+6p8?AUE^>^3Afrkr4F`iuO1;!EUL;Hq70 zC9;_H{?#nVJ@k>?w`^+xZ~&70e%l{>A+J2J`WJgP&3yVtw}8BC&ND3gvE0z3H{pq= z=S(#hvyyEbW5q)3K3# zP#{X1%|nF=QXY;Jg}; z??W~WJ?hIohq?U%QF0YXzU(7}yjSD^Wp{$VuOyGNJ%E5=?BwsxzCh2?@;LjQC-ZDV z&W+eB1w+RUV_5H5&YZ^i=IqMPg{soq8!Xi%`mc*R7XBDzcVRL_a%IDy=Qa$6fQOpz z1RPmsMD7z+m8-?zx0@P<6ogz4EL1Jq(B?ok%*o&{zR)*E?<((;moPa1qSM^gv5kKe zvUxXAXp+t~_&#xkm7nRO>{e)Vcyo2n<0L0L3M9P9(*{x;JDqzTxoW+6zlua_GJz&` zliS1G8yPnj`>p#v0hX}g3qdw$OkSO&&FH|;8(Xv{U}X)gtg&--5jrJ2sN8{yyhGji z03zLjz2cL2`DQ=XTAGFTpM42$o%3HZ<;+g!Lbkon^;EMl7>hdjiBA0d)MV^$zuo55 z6R+8QG=BrQkz6YJ5cGymumaIsH>f5%!@ME<4#?MJn3H42`aVguVprIPxXiNN0%Y=( z6K~h9f{>K}Lxh!_4cK_KZ9W!0ni}jh)^OIlbzUdF_=2Exs_%ky^C?y7-!y>SfW-r6 z@H<#dnjfuN)HF09yz~hRxOSTo3Xrg+Ty1w2oosf*zQKeG;9@emp5{)nF3yAflOPS8Myj&FfO9|hH7dP?>GhNxW=j($K7e+C{9eAnOu z3qhX~2_m%Uqdu6X!r3Py0@M7oO?>XxFYRSY6f^~$ZwVoeen%#22Ra2OUqQ0a6GxI= zct9EGw3`M?x0l5u+c5U#Z$E5wiED2+j>Cl_OtTlrQk4xz5!_XkVi{i3l$Mi{0?L|7 zk&(gFpy}YPf1X@v6iCm2NLbV<$eI|ksSe76n?fxLVycv|d!d7HAs6H==;aeUAaKx& zCYn3USL}^W9qFd2SXj#HxFS!xN~#1lp~7f`sx z^OK=lL4t}Z5Te+`rf$;Jlip#S(ciaT_pzrdsbs!rG2)^wB4Dh9_;0g$dJ9&_G z&hOJ59PPopqh&*r+3BQlCEiIL_Odyhm%d|1Gc9+S&W27bd{jjHv^kL7pW_|tn}cj9 z z3&_Eok03)CVpIsveIqntR>~zN;|==?N?nQRu13{)652t`t(i%@|OSVe9C5Ck6}2q`V)C`SbT8=M~g6SeYz$qHn= z#t@LTl>%f0p^pkjv^*A0X-yFNsQ5U>CxR&jK4@|>&!iXd z8UUG41v~2EmQE6lFz(|n7WgB5X@2GC@{oQkkREz#(gcQ|dpH zx>t8P(`)Ofo+5gh7Tg6%q?}thWwcm^ZP%Yf9m3%B6s35QksC6tNlG9F4_XNWGrd!3 zIZyK;2xk3%1i6xF#uer9&EOyUZYCBlMK&g8`gZFK{-B2sd)q;yHSx(39QQrrcl zAT2`aRjU@xhDnTG)Li6M?KQChL@WC91u9foF;!Y4cyDX9Dk5IBMBbvPs0r@>`<&TL zHV_pRZ|&!QlAV`lo_WsmJm<`LojG478$eZ7V(74#84_d&#TCZ#X+uId{TJgnF0rYG z(oD~0-?AguPsPW#38R7dJxYoRGc5Y#lNQgW0lSb!@0MWlU~brma!IX4!I>A#UQAL3 zSQN4cSVAd7F(X97lA`5w>}uliNF16gkPixDBf!oZrmv$pF%bz38a<}{H^%7+DSV8lCm@P{%lRY2sbP;AfsTs9P?;df{rk4AT=a1P*?GcAmu$O!LorsREXE>!6Ata zp^(x;-3@jM64`_@QBsK})G1IkV(2fCP#R+pg!DjxQ1P)Z*nVLc_|(J+4ZUt|1=WK%U{W z#q6&@9CW7R5?C`ry+k=cTn636Ak2h<=;9c-iFi?L6sjxfP0Dm=OmCoRSf)Q4H3msX zu&DC^ojQXX8(#NPT5%)u8klGTM8U%^cG`uH=i;!^n@$YeIDIQj`bqLOaMW@4;B{ z=4+Z}F9rFIO&|yJ_F$;@1CW8Wig^WSGL(j>C$`S}Ek>_bZM$*qqZn;Jw5|WSlK~yu z@uzE2(6QqiAQ#*p{d&*ycMs}{(jALlmL7D5m4x>@XH~5`f#3qoD&SL)yGE{CpQo7u z3_8!(~t3+&xcSJq6PZ z*S20nQQo_41L?hSa_e%y($e_+_%2PJ2X5~31M&IAefX3?kud{t7++?LQ~0y<4&c%n z1xvem#C!ks{HV!$-WxGxcb7Tmk!%?MG!)!D{yv&iNu$2Pi>`uc?zyX;yl%vMG-m-T z5#4=+f!vbeli%W!QjoB1yNoApQjlanOo?{&dzce>xswck+&E16L``Mvq*;gqKLPkI zggopf8bvv3GU3mz{Sm<~*Njl%&*gxm6N>c+INw9)%Y{uE3#=?g2{3?^) zxkb(Wtbnm3WQC*t5)4l>yteNw8&dSU<^FS;T$J5GV_m%sZ%k{dt^X0a$W>+2(YuXl zE5b8DLbTq+AY+sQWD$$52q(1Wql^bP`NJN9zUlyHx!*+3b|B^~Qa<4g=y}!yy^T7& zi40eHfrN`o_=23e^fbWGd|jDsEE@`dTx%dj2@+}J)Xr^ms{JdQYx|89r_#(!hQA5h z7B5^*$BTz4#JPTUBP=Yz1cJptW-!N$P4ju9MVQ^dTO#@Z$j;3n1!+*E3|7_;i1+i? zyFwbFchsqk!r!3*@;WPEzUdzs24)`DGX7G;4(!wX5MlS*s6l7W!FejCDKu*DK6jurgNC{{lm8SAZ?urWdu2?)Aj=gm(`5T|5 zkb<=^WjcmBrorFSU^v|Y*t&&%2m0RhGZV(M7^WIxTB4u-M=m!d8hH=`VnrZjkTVjE zU>QlapEy_0oE&^&tX@38chlk%#ZUF&;b;Rc75FI1>cwep$nHtVKc8&<&x(IW&%$j* zEm1o|bq{r+3ucH2n$V7FGooE)B{Y_63O{C4wP#u99-6@n--87`dq8Kp9q2YL32`UyFZB9B^Qbt>} zJr!A#bOvF9whf(9g=Adf23-=J`m%T>itdjp)pesRj=n$i0b>@f>0HBWOCI&glc{k; z+kn__O;)kW!}G zrLWJ4zWZnYXKe>bbuf)CQ&q9cUKyUJq1!>St+4U83`4TEy@!>%2 zP5wdWo!S?LsCK)UhQdEqf*0inI*Ts&y@>W39)5e;&$be_Jhw4N4(M341XMMIk~j)( zR4#-szb;i(pt7yl)kwv;izrK)Siu=oV?T}>6=c*kslq>1ZbQf}nkg877c#7hQ>g|? zm+~C-&B>CgiYqe7B;;fg(y2)-jz$9J_Sv~0TQgh*w?dFpTN0N**+r8g2%pfmrFBYg z%;S)&uA{aCM%<~*6Gck^6Zzi0Cpp)-9*n|O;avsIR67~|U!m5v!f)O($b>mFcID=w z?I2-%bFKfX@bsbhsL@_g6)kkU(+ZrYT>RO(>Hd|f`W&l~@k4zyP~YMfv?k zyJoR}AR14DDo(|ujcvc6^qvacbs+fm8&vgXzN;Xa6N48?NI$E}wGtJ1V@+*rTB0}7 za2$BK*eiQzFo8WY*+Q(1%gpvW=Hpq(mGs$Y!XGM6IYC90O z_a?tNqf-c4b`Z2E-HQ2qvi~o+bD!`3&uKdd43bA1P)yPo7M1JygK)sPALX|M-AF9N z8Ori{9hslmXgu7BbvMfOZ)pt)!}NK=OdlE57_am3lNK5IW*tYhLUj~111Mmp$(-s4 z4h4>-s4Vf>(q3)cdl8qa zwm9usXLVw#5m!mHl!mDK-Rcf8az?ia{DL)17$Sr_;|5bE=mN$BF`DlYjC)jFk4Xlw zazrR4jsDT#KLyg3sQs$>IOS_>X2AJa zgG|8LK~^o)5OWy00C^ak3~drdk0mYPDnJ%E7Rbuwpfa#vqjGK`2REm4Ts|rg2}y~6 zUHvNt`Y=m7DupEpP|ZcU3GuoCd$rD0*X^VY;!FiW(V{fqX+r0oraC$UN4IMU(|zU2 zC&+b-Ix1PL5Sm~LBSikhDc4lPJu7u9hgg~%urvb=YmKC7MlP#htWaQTjI9&%EFh=@`b*m1H%H_av-OZ-v^MygOZlMIz9?UH3V$(BBc4u_T#8DO+*!QVC~pFa`Y$s5LB(QErC=KG-kuXeKq%>dof zk`yH#f{RYXa+Z9!gLM_Fr;^?)lRtTXt!I828`#8GIBQ0V{)qf-HtQY04u(B*zA0Fr zJ5Z@g$=J(xi`&=|QeM#TLZK2$H zxXSGFIAjmG!(cOjclXpUH?c!Ieedk`?7WusQCWJ1fAc}rtbk8CDt=l*LZF~v@-<7V z3oWY?y$*+4FJ*(@yYB;s&9N52-z}ls1j!zAY3X@weRkgeouP7Ya^c}Nk*q)e5V`7t z$%Z}HNKUno4BFjd%gXZ)l|b+BJ=50bv9<%F65nf2K(+@89v+=6fowjq9(wC z#`l1T+}7v795(CO(qyxCD0Bdcd1TN)>w$bE8)NgIWoJhq`VXV;#Zc+qwo2eQErj{gtkLa>+?bU9h44FB|NCf>jnqd=xx6r{wjc8wNfbx zPkW+cBirlkedk`!Sud9YjP;zy@A+xS5WSKt;9-Lgc6go~310(+a$|o{ntWo;x$K#9 z`*g7AH<{o-XwleiuTFJ18oZW|P3uUP(`{bXX1HXe19HpoxJ)M4PLvKR?;n*oR5~yK zG4|Qbhjy^iuF}CXoefK}69DknfjXg2x^(am>Lu$#D7QpLSt9h(JW&d&)Y2dB=X-u%EY%uHX3bsytkfw{cg57!AhGwz-Ucc2D2~n2`D%`&R+BCQ3NvyGi6(NKASS#L7m%YX*&U?VhX##sVF zdLUa(X4k+=i%=8xiKDN@~0fU`g4%xS??7JX1dO^nm zw{+;kXZMP-GvdGh7bqQaf2B$XJ#G8{$)4-1Ll3?qdrwVx5`~P}Q{=*boYlV#FU9B! zgg9fIejiYxcY5x7SD*dMbA!65*YjI6V`|Pn01KP2H+SemMxMB!trz~^6WN;+ea~gj zo~xZA>-#VX+1bddXnZnH9b|JKyQPCk*RI`o>&A4+biIR()Wu=ON=51GZsXKt>)Mtk zaEy#*Nb6i`JDlH^E(eCOQ=T9`2toEtQ;~0wc>u49XA)#ZAGKi1q)BL0x5=?uLJy4D)HLdxCXHoYh*rO=_nSXUQj z|3smuj`x=JJ|>4(3!e_WaD7hpMXWHFTvgv2-$dwQrOxg&;i}S2?2enYoh{l8C>>ND zk$}NguA+yp9!+dlL4njU^*Y{G$6=qZ4JP*K&>0|iUn76#CP+3G?Ej!GyWsvg$wclB z*@y94SiwadeUlw0U9F3t&Jt#CS_U6h@();ibBFicwiC>@IeQa*Q97Xel;FKOv`tJ7 zZUcNc`N>E=cv-RWh9POTm6_ee-=*`0nTybq&1uKl2@R!b+1{ z(IH7DdG8K#6@herz&39M*>iDW@^bESWp4K~hShgJJFGL=s4!%o^P&AxP+nC2;IQD} zcXqSwR62OR?xllDW4-XHe?r?~uyJOGQThwndv*e7F2h=W_Oy_mgm-NLTBB~5VJ&=}8o(=C1PJI9_zWJ^*n-H0*YQC0 z5K8}wH~Y}cXNmB+00@8R<|J~Y3d2IDJRx+g$DV~p^(;^_QA=09E&1H4RXNF3S>q3f zmMs;y8?D;XzumX_xu%aQFHAnUd{nRP8`(Er=)VA^1NAJZdqOSd>^0Q0um?4xneR-G zu!c}}>6G_v&wLww1$)4fNdH7W%8+Lw51bDq->|3S+-F&zgKg}43CTQvXb^{3mPa#7 z4~u~Y`XTJH`s(T9pG$;1b;1i*Jx;xr4%M@mW1Vz&D0d~b{(G09Z^l;|=*+OftQ`kg z{sG^Udp!sKkm^}nOFav60QD@w+b&HhD42t;PhF_34nFx|+uPK$fNb>SREL9l7LS|T zOZvam*5}OoubqW<*TV0$iCb2V9#5_+n}j~jlKU>J?>&$DA=zzWd`b4^!xz2McEaqo ztACw189fVh2O;Ee*>5$tj}J#F`q%uJFxQ7W(FYP|xDSJB0UeuMaIdjqc+j&*hCWORC9d0MKR|SQAa~=T zlLZzVMLmns4@VzrH8*^}^e@4|hhJdxsAr-5YxFE0iK)`zSW$L&?get_`pY-&dujUL zAA5Sky%)dPe#VaVKfi7NrmLS@fB6IZUb^hL$M$@4U;7p9XZ+X0`(6V1CmTR+pS|O; zJsa-*@$}@kUViB3=R(NN4YPjVy65WWNJ!}wAFlh&hJ7#X-P~{I`pa+K)jl7x-`n@% z6$jVtc<|@9zJ4EIX=g3oJ@4vg);-4b=}h&o|*UEO{abQ z@ZJ~q-t)n>@61{Z?j7sKZh+pKPTPL=-WPwiY1AtlW<5Z_zTmzW+$kZ~Zo7ZhVxZMR zlMOA0rnH~2^Wm2Ey_>Gx_VBC*0Q)Y7IW6r|KK%FRH|*PqyMfHQ9ssR7Mjbet09y(C z^`oDW@!N^K^AG=)+?Ra6{q#e?4&J|U`b%(CYy0#akG*xrzL%i)j`jQCSn~G+tvl!M z*tlo&zC9C@-)i5`3dfFutCTs9&HTsX?cZ%LPHsrvw*R)-J2%XJXZuz2fAd)DZ=Y#j z-G0XMe@4j+E(={3JQQo6XRB({~4N`1u3-KAQiH z*YAJ_frJZaWCgyvx$L36_spMsp6A_t?Y|~R?_0e0W0FWb-C zxd!;N?~K~H=A!%8wZH$3QGZ(M;^rROw|w_U^DkaA__wX?3l7h}dBd&`6hQ9b=eyu^ z50lUgwA$@1g6|i>w*BS7Q_tVf`p4ZPAhHV=Izx6E!S{$L|9NBuT-`qB2kx5Ggm4NwQy#w|d`bl5=vC$6 z5#IO^Eh-lGa+)+?t@{0WtD?UW;Ymv#w{z|AVyyUgy$GL@>t_&BR&`o!+|K2YWn@W` zt!Mo)|EjVXe(&QkEq_42JjVceaV{`q^Rcp6{!bU$8Xr3_%PC*TuR293c$F|mKoc7? zcLvDLjKTH(JdMu)w>Q2^BhJF3EPq~EG%U=4q&J^{Rf2oh@T#FCq@hZL{XQZ? z6UVroh5Ld0I7gJqN|2f3z%{4%ajwW-iV|0y8#F5nI9(z~fdU)PN8Em(zSi*YP;02S zk%z~IhdS|moAZJ2pt6!YNZ`At#*4J5x!7>VKtVXx2k!E4K#*fewg6i{_#3iZsNU>X zO}MHYWM=j2bv++j4z~Zav!fLQ4Ff{)_0eKPDZ~u_l6WKILMI_4o6vy)%vq_7C)vhA z9@edXg&H4MZu;}2tu??7!;lQCJyGhI;1UO!lJ^653$*0mZ5T$ z@K+iQOj*e^ja^o0NQ1HuKG0}{v7#UHfu_yypCpYqVg`J1JX%#(hbZ!G26JvGUx<`0 zf@VCNheVY4s0BF1ce>mbDY$}Aj+q9~L8dFRsS*v+a7IEu{b@K>Zs7eEhVcPAMd3ba z8Yx))6-N1GWh({ccilIsnIwcBtc-!RL&72KGzHmY;JiDTz>OT2TW7>=5>OAzBm=ZI zgIo{pM%n#>j+z>)Hfz0qw=n?FAvA|iK%~E7c!jlJ; zCawqZt+!^Djh)UArNPL9^`xM;thNB=+%OSXSos=3Hr3h1p-9Rn)#NIWEeWU=;JoR) zh8!!c-+|kl8hi-c1P05O6ad{yS}ou%4sl1jE6aE);N{B*O{{uSE#4(5o?4mEee}Xku!?*l&2(o=8$j~elIfkc!>~OhzdUhbY9!t~MCy0Qz zYEcQI+ydj(lSWp5#*_BxvgzuVR`64VCx=b%Xmd3mxOF zXU;TJVB8yNp%aM((D}k-0uqNCija>(1YP9g2?LJ?GIj>U0S;E@h(tunNIFHJz*`wA zEd)*kChPGiGxdy`oL-6GlE|3vW(H^CL_EqmeV5I_N*Az$_$-Ep9PGp`i3A!&uCY3u z7yC5MFmPt#3UQZ12gt_cI%iUV1hV4RSr{KkLK7l%lGTZNy{HZ^`IuT21b2mO!Es^; zW=QNg)0kj7q-%K98w%tY%yvM=7(Bg`fQzW_1(@g!AdRfDSe)TS1VoE_Iep#8a2-p- zGS17G5wSoHo=OE4f^ON+1pe|ow{r4rWM3%%U2*5Q;_v5+S&p z=^!vGSm@wv2(8&tEt!;fb&2SOjBx=S5F@KkOaMjmX?Xd-D;X5bC$g6b+^OQ23Ww^Q?h7G5UYj6e9>@7a|*Nq zU(OO{v=sXiL4T0l1MV%-m{nGES_QZlVKDqG|Ij6XG94>&TOh;?r1`;=6?TgI;z+BAl>vk5<4&2U|c6PqqBPMRQlYDiYR>Hn@L)%myooY_Oa} z7^QwRUSR4a5Mqa?K@+%&ZQX*3-BSRVQ0Q&@$oM9Z84uRlS#IGk?d;I-p^-!kRoqkn zX2y$rp^`<$vMlp8t8b(k1t}K6TV%&=7K$u_kkhsVEvp$Tr3itBlV+bVI`>J#iPt?5 z=xb$t8;?b(-Y9^TX!;nAcH&f!jbVWV$WIQ7+t!wVV>D+$Ayh0{0J85VA=F$kS{i3O z8-O|SfcQ6oMuMs*YYk(FIR@MY(oTSlxGRBO5A&vD;cM(f_zCPJig*ag(^REp6ah3? zFd5Z?3bzz?gd$i6j<``ZH$n(;C*~;G4CJwbEh^By<5NOTH$y>xgtAN7Xv;TGaW68k zmQ@G^UNZ@ym{n#2ATd0M&qA7QYO5(YU9bUVw*a|HXX|ZW8B))IzkQ(~9P9Cgm_LFN zMIa#@6sD56f!&Nm(tR2Edkhq$Y#;2N?QwQgws+I=Gsw-P3F1nne43klqTr%6G;V?| z8EuE2xZ&@w1=(#o?4OoVI_NMBrjUh5P;x5HkpvlJYQCWu# zLmd#;0_k{?YV2VKo=Ft(NXMoavXg$H)Z`__ldM`3ALB%=d~U?<5{qsC7_>eVMZ9C0 z_K#i-vZM%+!IhizWrku_!Zu+pz(kontw%6j>P?Vg|`(XpN#!nQ9r9)R3GZ z_#nFs2Hbv*!Lvu#*QSK1reQX@1~Y$_?L?3RXuFmHSJ%Nu z5!76^ETB;bLzS|?m#Dh|MU0-0x=cdI3Kq!cP7H5BR@bwF>U^wgVA5;iA-D>uA?m7^ z{H{dL&yEJSnOp6!9^|~pHsLC^!mb_~@CM1T(1hpLaNxyM*CR?jFuwkFOEy3V%ozer zbRth>A)p%9Wt*e#AHxmJEX%2df_gr=D!WcA4h8ineDXMqM~CAKQ^2uuCS(iJq|B_Y zGHe~4Fql(^)QOB~Xwu9WtIl!NkE7R~laoLskWKz~ zth|}Ui1LCa%E(@j!3{D!lY%lTk%?QV64R`uo9O&Avb~bcz}Ed~I2N+23GVIE1VTub ztBJ}dJv?d0BKs>8q7?l7gP*TwL5;O^h|qy1+*4|J<++F0a*U523P>+bdz2_liW~?h`P||tdKlW9jB7ge2pCpE$4`A*fL63%cx#x*Zj_v5Xp+S= zPUJ+Ku}C8`Byls@#SOAxkQio&v>TZko8ZP(kf8|7!7JO~)>C?ejEiJt!i^F~M+Sok z(42HeR5(Ph3e9yQV17$orhIyWhR%TxbB?5j1zv+CP{6Wm1}^0?Ni_W99dY{95vRfj zDUvNAhqA~+te9n4WO|+!0U50*ToAa~B=_M-5Uh-p@3az~e$pGo7q~L4#7z+{Wy(ri z;aq7zdTPoi21hbV+(pKd5F?jEh=oNW4Nw%Bti?60j0jmwdLXLQOK2c^JozFia2{ zBIB{Mi(5fR${%Y+M#E6Q$vENby- zaHH#1H^x@&2g2!1X*^VL&m^o>EZ^~17nJF&3?aZ;eIMNG-NRzR=Mo$2upU6GFJ2${!Gt4!MMcrK031WLRc|THzxRp6QrcTvY%5v~MHYWSV3cG?d zd5C$S98h-q1;+hQEsQD{K6kE(#hMrSPr|w@f$;calMNi#C*c#NN!llkPHiP*+3fxFEMCs3~ zg(e`=QvG3Cqc%mx_ue2=GNcuRY}Zw3@Lk0nqXk`6%7-gSlQg$Y-1KR@Yo$GjqRiqA z&C9Lt)KpiF7(4O1gTjfdunq@!}xQv#PYGcvr#Rss_1F{45svo?~4QtGURy$NbLi^M$YUg&<1RbZ1Qgmz@=paoDx?LB>1qYRW5 zO-D4sjf^I1=tS+%J?RP(q_j-e`ROxCg08^TA#rB}sUprG;Lwv2N9mXjoDR}*)5P>% zrVozTE4r^7{RbUW3@TzVL;%hY!!iQWIU4Zl76?|n=$N8Y%d{}YIT@Dh#pVg;evX~$ zQn?4zoigwdilSoUQqyP0aj#RCLPZ0e5HkI79HTkjqUN}G7J{K5s=*j9N;IaU=!yWa zHD-T0S;M+0c`QGZJJuxx^-Y(MMV+!wQDifQr^k&lu7FSb1w;h6xgfLrlDZVPCKgFsy$NNQjrCbO;~B=8Q>m=rI5(VPO@K-!x`i( z;_i18SqT}cWN&pfNxKTQo}cSjYu!Ts9O`s>~);qPd#5=4dL>@=1uG z#*JWD+@}TuEB*m_{sODYoFMAVx2-Z3vzy{f!x}e8c{C72IAxC3bdrJfV%P-6h6c6< z8MOf|D`q!mF=2RJpM)=P70fgzLzAz7Y^Jj&$^K8~TKUyRdGxcBGCm{aGg2obM+?q&%1c-&JJpM%m>-mpi2ikuf+}PC`x!VryZlF_jE)l5M7C zgQ^tFij%)xa`-6ODYV)NA_}y}(YPgEmd7&`ObJQ33aGDKC7Mc0Tst!RXuL~r9hz`A zEft*Z(cCQ2meJa+hL_~qgUGKviRnG*h_bDdh}7IA}%*pytijVS4~JK2p+C^BtQidyO} zK?EhElS~vr8$^k{iqah&Pm}55kwFjvZ)Y*QR|O3zD2?Kr4h_n`{z^J@gJdw$NDcU> ziO0O>&=3+Jf`X(SUc0~#3TGNaR}pkUoUSu*stl)n&%req;zatoD>8jX`*Ow9nUI;6 z)G@9IiidakLJ3L9&bX0W<#MOe0h1v_O0(cL>NG9W`(X2M*2 zO;aeO#{>J*#m!a7tP00f$ySSqtL4nGkod9@JN7RhF^-T~Tc-iu^{O{|ZvYbR!V!N3 zqU<1Ai5w8DKE*wL)t~=+#B*2_zG}~SEFXXHUcy@4_V5T=a{Re&dQW@fJm8^1?sGSh zITL}N+69oifB8n@{?VR^SG!SSKs$w=`et|I_k(<%D|^B{(4;$hDhY96Y15#pm~S~! z6Ouh%k(){O^8+CSYtUnPcX!Eg5;A2E=0qTS5G^*3D7Qn1S|{F(Qmrcg@HMO6BH2r? z?o6GvBs;e1JUCWyUwpL-F-&A=(to2%cCG9}9^UxZ^Jp1%^7p)ZF=?}EcFM~Aq#yy! zrpn{n%sJD)i!6C0r1`DDyreZ(IW=!n)fI<_NLTY?zJT3c_?_L^7pn!$CHrvT9$8| zvImzs^;U^Ss0n%Z5ciSB9|vD*no`7ZTY8u{|D7+E=8vtKgmQcva~@T{gAQ zw?ZjHpKlC)F)UEtBiZG)X~;AavouX!(lZ%D>lOi{5u$8M%x05g-*y}b@j}RIEKM)a zG39s<{?a0881@qHV(YJ~{Z(5>TY<4L+s9&Bvs0ou{ry%`tyL8cEe?cd*g4^7k#xRo zOGaeBX+rk67_AduBJr}Fvl{O_rJ{r>X$4i3wUy8WgCiiDWF?tn9mQ>Qw^C#X>B&lN zVOx zlZ+oblemkvK<`CM{FYH$c`}h(S^-}i%QBjwH)c+pC-q7AI4)2ISDBY+%wZ){33h74$ML^@m}pP&zq{<{?@i^3;S2pBSi%vn`CG=~z6G-1(3a+RCJl@Oxlh+Mrn zJg9ei5Eh!4L2*bngrt)}JdQ+*6&VoXMw#*nqJ$>k23fZZEEpSx?BduE$kv)1yTG^! zP`zG%B>aT`TtM}*iWqmCq7uybp=7%1ukW%DV)zbqLx8SCwTMj#gy+ ztv*+2G6rkZM(u29(riGJW6JjAfMb71(%e`<*aF}|AWW$bpCFl`Apa_(JPxvzPpoMN zzCh1HQd@{xX{m-YhsmRi8*{8|S&*fIFe+VH0m$W@X?=Tb; zi0ue67$ts3a(9tA4xuo%0zYcTHqbs505j{hCnX03pAl#RZeXlBLqQcK^wtDfsk8f4 zlnp9Mkd=wJyBNmfdVRHOpPE>Oa}LV~#ZU_3{Z`V$EC)r&7A(&692)F5n1`ZNWUgc% zVGizu5aQ8?1R2T+yy1!tys}7w+T|1U%Rokuv{AAVDFv?nCD*#kwpHt2PJmOoIab{y z=|*~Wj5H_LacrqTgLs&elZ6-4vSl1ZW)+(9f_i)|;s1|>8#z%CxZ1iK3%wC{s6m&8 zUWb`4ZZNFK(ZzyS>dDrxHYh_a=|+NV*%dO6yQp8Mqua)-(ji^Y9fwi}MI%5}At==V zX>?@+5A{jlk|;8;S}=^vrbZnnii$w@nFA)5upMQy)`l{7oQwB=2DF7s+7 z;W5Dg+ePGJz|tx!vG6;%5heT`juseBOp{~sbEWqbVB5Opm?V1|A>F&?0ID}Mm*99} zN)v)FrY1$EIYKaFD<)MNN$>pwuur#&8&)DM{p}wxsKgsHvyA( zDd7acDdf0l@_B^x{rzGp9p2@t#FDh_ zR;Yg=G9;vgU=2f*=V~ia4FJcL2%Xi7#;NiVOkc=+6&&PzgGzs&DNtKr6*)m;Q8W&T zYJjQ{R?9F#O)@a_rb>O6+>l_QVqHVcN@UO|N>q7ZWV)&w6j^dahF6jWkv;Slg~@Tq zc#yV|HcCMbZUnBj4i1D;n`9$!wUx9znj9y3H?peQiJBrW5_HV*)49YTB|b`}?@wLX zHaDH{p`adU`!u!ud$Cw5xEN(wkFs6db8>B}7Yk z&<4>@H)P1h85GpgLX>-8=u}q-acd9~=S<0Fx+sp~5X~3CScsLei2jX0p=M@rEt0MA z`jE)Ncl{&}LJ+tTEOBJ$dJZDO521k98 zNuDbkpOoM*UlBU>#+f9_af*^eeM#But-HQJ&w?WU(gDfS8Po&>9EP<~VUR0 z8ytB;;|z~AHcXHM1*3b>*wyGVrxW@n!|jmZgwEX^arYFYn*#17-o@2LCIRy3TU~b_ zO;1yFK^$nnDgp^nLC{uHR=Pb4^-!>KD&`P$flWH7C}jG~-Ak7bmXl;`Q#Z0OD(L4yNH-R-7uyc!3Kyr!y=L zNM&411ya8242P<4PhZ8}(!fH$RKOuVSEsZTppXuvjcbflN3>B#T{o%Af-Vr+uCgS{ zjn|#opeqzeoWNh#og5TI02T3($M+fI7~29V6$FU5-o>82aGduN@_3g_X!9=1 z@WqGcHXsik+O}}S!R#4xmR`rHlII`)gll0}uCPA6T(AT?^dY?zj zo@S%dIN%}lhRmO0I3i}>Mw)n4*IRLmOZ+rSu_8f2W+)*|wL@2iFVO=PG5gj|y>k?I z8lp{+F}&_Zx#B3Ovr2HIGu@Mw=;`-FhCe=;Yyw6NKk{HRqO4v5)K_qeXc2kPs8xgf zxtMRM0v1~Uq!q=nSoKXoy9p?}vbJvuX!aAa*iy2|9Mw^uUQKc-N~xe@{R0xUkbB8c z@*pn7oD{hULo`*>)$EC@;5_9MfZQR^kElSKpz{+YGr6BoEt(y!DAPWegC>1#~ zpY%vJiMzWH=pLx}sWSfdln_dJB11OG&6J&}g@EZUw>fu+bmn4W#UGG2S(78LE&iSu z^8MS*UAEJ&%x+p%Y1TtXczO=}tw9Jk(iyqhKbhuQOw#01yJ59f;N+#FRI&pKDbGfR zBXVbynVOJ-N2Po}rT0-}S9T9%xx_zt>~z0tr3=o78KuuDq(@D@QX$s)>yA^;LLA*( z>VeeNkYq;ZWt}aOXx{4Bum)-$sRe!?T^*=TFx(>=IH2HR8pjU7LtWaV zgL|h?7t~g1A=SCsQ0qG#BprO&)+S7WNY_}1OO2E3WXvG+05m$_?4;9(Cm02XYn`Mc z=58^&K}~)N5dnRSOyoI7|~dqT`{^|$D9+5 zsc;TM1h`;IDmUQWPMoWt6f9JSy@3tLwjz(YeJ+e`DYA+V2p%p!ZPWI4QF=N$Hm;02 z(UrS1edj;vTvoKqE)B0otb@z6jxMx{KFamUaB zPojX{Ivu5a4A8M@ZVS^5-OFvSD}?4OW`Mi1zQJfdsv(S{qe7&*tT829k=-JvA6{JFTFKl7yhXQ3`e!k|w*gD#t9Kp9 z=5(48NV&=-lLyl^p9$H{Sj_qiOf^?Xh_+&DI?A+yu58HfQm{*iB6qneoEatE@rgnt zq3nViTC5-;y#S`{?3Hc;#dDMw9rCgkgh#_eC@e;8Sqh7?KWElP7h=v3C{A zr%SFvZfk+7b3txJh|;D@1Bus75Hy--(l|DP-U@ev&QtfK3CFbWlC9o$a8EU!R*z(K zv7~&iEMa5l8iHV$ZpsU9~Fm4+mvAVJGh&Y_fG z7^2mTpvQGl_XySHuX-cLu|)Sc^zNr$$20AsQ;$>WAU}tBZmvz;VK5z26%4Br;gc(k z;|SRSi7#n%TW7^c+;WX015tW|oO%e{bf%OCTW9{o=_duDE^yka_$3{=!62!rAl!_Z zK0UU*1RL*UrMZdJnIxh}i8nYYs^q6GD>fQNPoLv3Ll;+3qLGZQ>}0yV1#}_0b8|J{ z1Ah=%(vBkI_#=yXmt<4m9KlTpI+C4e9QgI(e{vw)uL327j(RlCXztwM+ zlnWGW9!je31o-(Fy=jQMXwfi z@}n<6!LNI`iAH0QH5$u#~4$|wIzt{Ro$s%Lt3m6Gjx@KY0Q zze)yIlRhNdjqzmPF?U_bo?CxCx~c``pRs+Y(Zc$U+}bzD#vSD2656oOO`g{! zq(lih7$+efB0tliWJgH$VI}(>B5%K6k%L73@3KzrmOLVV=L>6cT+HbbG8k?ZP%4l7NJ1P!?rIkm$xv??pvmOVXM3Udw~qX$dd$oLN)C*yOKtF9&EN6sPg zTZ){eTy++aM=4i5u3V)Qd`7wIQxok8CB)?(r-VdE_7_RwE}x|GiK}-9DfsExN9pZG z`3-RQdg3*4QKamok)6&z?AkvF#!&frV)iP8H;A;301xn)Nd4KY#b& zHq_W#0miHRJsOxTo_9T?GD`;|xd6$4=?f&y`Cn=2!1DhnsOOT5Z(>hvAJwbHGkDn6 zuXWqO?Q<6Y{8-x#={_oTA$-)cVASjkn$)CCcvR2gbCDxm+~?j!LJmDaLJksndxvV$ zR;ez;LLxt>xL;P};Y9xL(OuksCGvMZ_@bH|7jwFVl(HOoVdO@MEUWKCyI~*ps%K#? zO8#|W0-(LYy4XNbJ5 zMUhqN99FKnkI2vD5&2USt>u3^HF;)Smyj=##9cl~Md|9DuhR0iibSv=RCz(9)WzX4EIy~fWciT^Ios?XE;FZ>SSMOLKYudNznjLQ~|L(qx z(;t|!bHm}=W=@&ZZ~ff+&%Ea9+k1O99Qf$UjZ^xs+4st>t4H0j;qoHiHJ3m4=90}b zXJ7O{RdV^CURit9{8!GNRkQEG`78G?2axadjT6s*m>^iM+_@g+w5llgwqLYyVsiQJ zS6Z*RV*6Q_$6tpg`)d%fL043 z*UW#p&q4s=E;u-U`vw5EZi3AhgKhqf`7dF&pnVjOSfAPrax%FAXtlRJ_Dn6VwqLe= z4S;g zyKVl(qkedh;9aL6idR}UU2*c7S*!NlHv2ap-v&_I=*Cla{$t-OGsl0lv!;JT>xY26 zU2^XJO6QI)8!w|ui4-K;2Q_ee&FJlHYA_iea*FZY`CUq-=Qfm z{{QW3zjFOVX!7EpemsB5b(gK$*ZyOWAGx=EO8coVF5UOy*lRxiucg1Zq;>Gx_Q3X06$Ga1@gG zz1DUl+H*+at>^xD$DwPA_5zdl&E;nwPF}fj_VfQZ{L0L0K7MKaw5EN@*?-@=eDl8c zpKhFZ2U+>!1v}Tzy7cGC`F~%%e9g~E$ZhLEj$XTc{j61g-!#AFjO*Tq-gi&Aa>KpO z?z87VzhRc7WLR`d&AxUkzxU3wkvgQ{_6-OAHvjK9IGhHt-An%c!9%+bA{hXu`{KWU zg!5g`zwr^6&R^WzKL019o<3W_>ApfqOhPW+K(cX=iL$%%?4HS=!2PdHRw%n4&3SHN z5~0n-{)~SqT+s@;JI>QW^3zbg(Xk-gnzq$%)cCZVa3o@Zt%{;tdTAL8_^~)#ue&bu zTg)dh7%y-YF9(eqWH?67@TlJsW8yN5MG9);jhMy5Ff+!uX9Q68U!Pwg#H~hLE2|T~ z@HrTp+DZ_tP(YbuYXoIllLv%TKNfBPf^1lf@x^TnQe$CaBO6yO)}Zkpk=_e~irbNl zkZ{S4t5|esVVn~=tH#L!Q^4=jc$kMPeeoh?r8G3a=USGrkV7cxZL?yM%?brTY{?a2 z5i#QValTan`!*2^R~kLSfPb#>2`QLyLy-0lXnuSTIV4 zUMxJ&>*TTMjKNmGh`$;Z3BM!p<)-j^opQ!2`dRGy|0EASpoC;sW;r>SOT|2l5pUQE zZboTYt{c+GhEL$wMxKqhycFdP7DZ`>2Tnkgyf`8jTOi9yU0Z5s4fw3wDu+*)I5{qD zgO$ToKC7Z+lqCU+DDKf>n#Hh_Mpns9s1>CKhKcVcL)xek>;&Zx}21 zL~s0cE#B&fCYr4>o5sUVxF6HV@w!oMV3*1eg<$|GbDM=3-mn838V+7umEk%{1_q%n zHyjT#Zo`i5{{JnRvc199MBLIr67&w1slXZ>Sarj(H3h|E;QTm|D+!COOiYJtj}0U@ zM|TR$(f-PTfFly$sxWlrq+UD7{#_B_I-!lWOM0W7l5<)VJDcRf2t&)f6-K z!kApCdF&a$}cbK$ign|)EMj2q#a%-4o*X7W3dE*Lj z53HTblZIE`99L9j}o+l4F-klVs#z{Mn=;K6giUEJvt z;L*nLTviNl@VYd(6~+-WCkfJoFr}CTG7r|ng(D#w_+Sf*!l4L&W=VGCkI3HysKttk z!Yt2`b+Eb~K)C5-@DOBEZJyDXor*Hd^gvUAM+?AXhP+hCuF(kh2{5!-nt7R3Lz--n zL-T6kQ2`Y+4>yG+eOY#BY9N^Bh3prm0&%Ve3SnhUgY*Wsog)-5RaUH6x0+9^`7M@* z@#{_zWq6jA4L|%eK!css^+Ji_PXacmJaMI z4M?EWJ5SU_)ab>KsIFs+R7dh*9vYPg%WkeG0$y*x_6EiqxZpyLmcm5cB7swfH;BN8 zx$B0-W>;JiPcM5JM1D za9OxY5lVwTUX*mm*sJmaM)U}NIXG~zr@%G#s>YR5^q|rSMC?(MN;+Z&ekMb8$+Cl3 z0Y+Z0MM;i9cP0=Uvzd_Ck<ao-F8DhmXA6|YbW?V8O1_AmH(M0nSmKeedYKx7~^;Awv zQJ{rXhZc#4d`L#fW18Svi_{fG{>nD|lO!WGzP`bEI-~7?`iM$-l!qwJsaQlQ zkKLCf8>(HRn~)_;4%zf2Ek4n%#(4y5_PFF`TWjzo@Lb5z0Bl6j#mraDd@ZYhd}lQ= z%#?(rZe|tz2ZZ?XN-eu9Wc`j+YvTa-h~{h^$zEm)UOY9o7Hx+LVAq?dw78kCq6|l@ zY9H4>;2L$-(Uc{q>#Fsg)TRDGs= zf>js*#u*M->to=aI^afBzJs<54_VCxW;9%EErON4EvTL3LUT;OZ!O9KIRSshdEMyX z?~G-Tb-&+q3eZ+#O2Im+SfmXsVUJ&)PF0+>2nw1Zt2e8TqF(f~B_${V0rYt~GWj$h z%7PolXE)nsVyh?E3|GkzWW%wjCrzUS{?2F4w@FAnU}>Xay_>&D|* z(ThwL#Bxz3EJ1}hqO98jH0-^nRM+roiDQ9bxO z-~s1sL6oGvSgV3O0^q-fov8qso~Lv2Z^j(I*>4-;OSNaT$LgtU$7jQ zBYcIHj7fe&$a)e{hPR-U02(l?H=8|@=S)NPcu1mXVXVP6nW+zVY@{_BAZ!_V?1&r- zGNolOO-3?gX}-}`lL0hlax8PRebc4KG25q~9VE-+VOZ@xQW9jOWm=Oo`S?6(=_v7} zec7j&9s^T|nvBvxhq6d8G6nvkbi_F_T94#F&*V;SG^RU+1OytMUXC|`r)o%b5{iWV zW|#$X{54S~Op%8gp>fj00STm3)tIUr_J+MujH_TWi)k}L0fSuvPrp% z3N>3y`V|yxtQ%d${55@gziI+l`W$4-G00J}5t+eD?Rjb!8&UKurdw~aTFnGtEAXdb zrFtgq;;9j@YbBk7oP#sq=E69ZAaHG+-EI#Q*@|1i=^{$t{ptceBnCUsk_8$04|k)b zi-t{cSvGuIzzT7OY>6nX5XV)Z1LJwmDxxRBsz)2UKBU#y?7y?o=^7>r+0-ClX?dW+ z(xYhoCr~muc$~~K4%wW=xz3j2`8UTflst{PZk1D(Phd6wtYJRk^9Fn+Y)BxaibivE z(4Py4VWi~%N`^4NpN*O<6mGyBgOwse#TWHjpkNew`}3MW&SrViW}1n-Yp{lFJnsRS zRTnj<4|shTgLwcmul1NyhqFBI5K|P7fg_n+R~cBXjHf~aLYTh9YfC%q)lf*AflwY0 zxzPHj{u8=76*>|@+UTjVKrP3EJrP-&*#oB|L)6Z!tC-_mfes!sE0Ir_R_8sZmes~q z2ushci3M2k)I39zD2-9MNG%)+JEePHj4!mh^nv* zU_xM!30DNFP1Js1q9EuDP5It*!YJ2L!yY8C1|dXnq9fpn(k@}(K;5jF9DDF}1)XuX z?VYwn3F*2{N2v2KcS#Yma@w%IFu|Y$G{O7<;3w^Irkn#I7K9{n zndyTPoP_9#+rvB}0YV<`vso~U>S8Vmc-*gCN-_|mPztEy%nit-4((7Yz#{k+?_kTbSe{PJl?YmxxaL}~4vyo7 zQ#%*lGNJb|MxiUpq6c$)7haUb^kkyI;%y_0`biu9w%&yKnWX z@$Uh%^x>9;#jl;Vpmf4L*WdMWE1-IthBYj5WuFclSKkwB*ZOu3>%C^xwD)Gau(bOD z!3x=HC+|7<@#2yV1~+rnU`@lVzifV^TUR!@Jc;-V4f?Rg~o<301%H36{oyp1=U zgk?c8xmSaH)5d`{N0N)MG|0wVPlAxUcVm_Pd+uo^bJh{+>&9Ch0u6r6b?nI2xp$Kg zkV(kh3di-4E-=`S$W;nAmt2+h3AjO4nv6Se@7l@xu3UGgD}=}&K?rbj*G_)CGc9Yj z-O|m%?-I`Aqid$V!n^6h^F`S}r(|Ccnma@`t4*&80LSZH-} zQ}!vEx2gru$Vd2uxLv)6r@6)evp~j?z>^*t^c`g`R2EBkd}K|caPiU znzLo&i;x}MKMRhXwYCvHdF*|AUeQgiPueg0ex{IB+a5@1f~ET38ge}_5Z0}(Ax&O< zE~Vgvd)D4%w7&C|Wc!q3|5ar-Y^hbHLzuo{506kvtbJUGAtVC$f9D#`+5 z>2JBS?0+WIUe|As06Dy?QIyAG&W*O+yv$D!!x4}J;kS#B3?V>;-zH5UccSC;{r}ke7WgWvYwx}1%!!j2VRDQ#aB1C>hYh~& z;h|EbW}hVBfl@t0&}gZg;{${EtQLFK5B3R@kor-K7#`a88rqiM@YSP>3ZsCdUd+lk>z!Z4q zJou$4+*p0}2`IEG<{HzQ-B4^29BU=$sRH*1+MPIKPFZv$iWtBFOGi*Kc)-53dPiGA z2WH%HQmyUu`27EYZJW~@4>HVwmD;iY^9qKJ-AJ{=TmJ)n8}ta#4-8V*j(W3zVM8xV zaYbZ=qM{Tw5kEYUN^KZ!mku7USf z9B;u6<))&3vrO8!(ZD}+UW2&R0`M7~jKUwj3Z=dza>)dRg!s99LZT;#KLp!i0c<}A z)if7y*!-aA=#5-R4TNeP({c+1o0p2H#VQqR1K5=!BV2!6VS-hl7d@OM7088f1&vk6 z{B|Z`Mv$`tk`};7Lg0vCG)u_v24?}fR#bv+zG9}(11e z$~$%6K{C`&EqH=a?Ne29c{e2LYClV8?B&SDgtbHQ_7as zn-$VdeAz`s(7iA;hTwFgo0&Bw;Yh(nR|^x=u=rLMMn_1#YZOIo)`mKp ze9{fsCUy*Q(7rbv*e6&PvwUvXWfoeeQs@oPU{)BN0KK_z@eHGba1^HLwPUdx$r$qY zLYc(gIkr;^1#N}CgA*~R7%*kkT9Q!^tw%EAX<}q#qjaJ&?!-70RB-G@yObYEK9S(& z5kW%8-=j)Pph>1I!3_^m8>tlpw}C9PBu2;D3=)`~l_L;#kSv%_w#C9vZV$VOwGlRza}5RA}M zW%58n*+nQ~@?gnGbcG<>FJg(u2fY~29Lcc7i-&3~RhurfkxtiA;$AY%e;`N*m3+RC zj0Zn!Wc&NS*R{i;#^>btX)*vuqDEFE+hBDQut|JLuz=w=`=u|IuQy6JN2CChX@KFK zngV6;dT`ed8{3F>gH4aHxa3up)ckbZLP9pjrbj*0SCTDEw-mo*2J$EXiTR+bRZq@=o*dIXdu6UoQ~lSxlO<{2-En*{|k;sD46pIryN z?I+OBVdqv+WT)4LF!kS z@JX9R3UW5}ENNThswiGX4da>}OL1udH@8%iC39hp0y&0`9DIT%JqQ`BU<_@9V8^h* ziYX(wR`)%#STGmrgvrVUoo3`Lg+7nzdoYf-2aqMUlaJIwWt3%1n}bP15>h%f0&Wxo z_({RLK~5M-smt9;a84V)@mEDFD-*@-lTU zNVaPQ=mIju0YNE>D^Zt$=7nMwWJ;8n$bvX^9&|-eL^3F3xN(FP2vnC4)w?cm0(!k_ zr<8vyr96%GgIc>Jjz@VCrI zs~E_Tq!|6Cp#y&SNK3rIREf(Jx=A}5Hxv>V0>?RnT~6qchAbOf2C2D}LI zIN*kIBm`ZRbY>ty0bImE!Sqs!DXGs9DR9SeMI*|2A6h$)rHMToUaj*1M~DFr5FN?6=K;0*~ZoggbbTz(KF1twHdoKcn1pNBjT zT)#)x4%0D#`>CO)=43xMV;|;=S6>|jY5Imtme;L%btmSz-^Qio<6d}r&A8ZX%;@)A zbHWU)aGbGv54OD@wFQ@MyhoD>ob=1jf{b0rU)+_({QNm<_x4->s9tPmzEq>t9<}if ztZ?|;JHbi#vxE_gUCu$qGReLfvq=-m@-&*g&T>PDM;8FOxhCNm*q4-~|@f~VliSaP@#RdN;(qcZPd3b% z@ka>hxuzHJ$evFidsimQ`QMw-QwK6O;n!J`V^0Ih?qhIQ*BN>K?zs+Dp4B_0r*8Eb zt4FtN{LQ%OtuVf4Chb_is<9W3&uVP$kA3+m%cpdfy3l-|yp2mUuG~VJc;w7x^R&PH zmT;Dwm!)qGE@d2>=fQm0|A^!s?ZM9U4|MH7qb=9P-+q{8hk}efx&f04*)hzz0}mB{ z35P`^Rc^<~AG^y!VGLs%0eL%o#B5QF9$_cG4KSB2Ve1RdE{mox_YkNmnv@V`2Zn>o zLa|h9a~N2w!>ticQS|C6Q}Gd9KMHN`YK_qbw!09c{U>#nR`gBB zRT!+3CNxE@HK`(PeB*65hiOLRRp>3iNyFGOIZ^K;o5$@z%Yh659vOE460c<;NKe{j zv4qBAQ?5tG&>mSrn>YdFLbjl-(qpPwYZAknf=A|#`w(F3mWSXIE!&FrWEJdgy|ozR z@l&q>n%!|#${isVH7~K<=5`>;E*UOoAoQiHj z!lhz((wD7E$1&j(wy0qk&}xTc^~91pu%>`ZGGx0qgR`~)o9GH6yQ&Hv92M)v9ngeU zI0$i$tn6yVi&V&ReJw75>_ZH9!Af@lWoiEttYp@oITUnW!19atKs5Z5jNpYND7eU} z!eWi>ViD``T5~u^tSQV*6xyO?A+~5?Y2y^U#Z(tLp?K1SV6m#Gz=91k7l$^lpSWbG z9EmLBfFFb)??GNM#VZ}{!9@;{r{ZDrOWt8H37XKO$=#=+V18L_dkIA9 z6;nV)`bbiAuxL==bvkjLx#)BItok7HPqJyhyNZ|n7>l!6k~J%+i1(Hi2ws-x?IQ;| z_d`aL%w^3Ol62KyvcRwan&|7$pIKAU884C;P(j0}pCv26m2#tqZHIZF>HkJXHVqrJ z5U&_KR|yb_oXl9P;5d3YiH33tlMIXr34+D-K#7<=PRQ?JRUB;WiU4g%Y1d@1wppwd?LdvHZJi9*496klvd{L9G9X_x@ z{KS>$Gntl;>w0^lJr+~z!=gJXT=p*|?y@D=n%)jur|Y)ti|0BO%1k)j3x@Fa#SP>0 ztMe4d6Va+t%a>ovM;&YtpQMfK*Pl5GYx@=Bqq7s}4OIIr2)J!Dh&eFW0yJ6$5K@4C zNrtR9vM+I)K^}xZBBKIzFQC4|Xe=UR+MB#ig14pq8G0x3eja2HFcH0&grFBET!ogk zb(pPMHJP%610Z_``~lEl%JK}IK~N9h%#dZHy(y z%ESoIoC_RG@_SZJM4tyj(8dE|_`7cFu#%2e8>M4g_3@lzTSFuDyA)4jZNb-j5weAl zwi96vWD^oD5Q0fT)oP4v(-_ElYkDusgaZWu!n>rbaiSJ76oO2*E@3Y`>)%-GFczO^ ze^n+DHrr9+E`lJlq2%dS^m!&~A!D)1;rdyk=cu#PnxGqmVt^(|-+0eeJE}+%QVdt}!F&)J4y8CNG0P=W zNlY`DoL)GknYEu&cFlK#hBiv#ZZVW5+BywI%^QU_{7ET9JKDZZDIhzERyu51$Kpa0 zYd53^Woob>E)qA33!0)sON_HxB6yk@LZ=nQhHiq8D}vCmqS(vwAmn1rCv>bR_EgO0 zc0rdA0ve2tXJixEs@1KU7Gi`M1yD%{N34ZF!O2J~{IO zh7`lpB?T1~5W?HxU|eZrrU;P&Du8PSqwJ#iBTdQ?D@r~u=@L!cf*Z~?K!y@{>jX1; zS`%;+r!pjC4T2$L$dpv*Bw8>Y{SN(-GZUg3^rY$}AwlGadCYP%DZ=DWg+KIi=%skw zRR}mjMIj{01*;_nDtv@Wy4h}Sr{xPKp^$Z(&2EB%R!c=?+r=VW9b$!-8KMom5hamV)^9=l;x**?F&h`XdYNYv0>`S|27HWl*0L z>w6&0l$q;v60}g~ArB zvXFZA^ps?RA-$Ck@5vylcU9(+ijsaXGl|Uc7M%cjzbhGz107unszH&?Eu#>1+(~7I zNq0@F^iFiF$=>x0zfTuZC)x|*xFA_MRyWHvBmLeHU>n3~!6w-cN8xu`Ad!^#WeFVh zVhT=lG7}k6rv&19J+Puvy=nuA0{22L{t&Q|#7srROpr+As|okC``2)DE~s1*DJ-lC zn-w4>Jv!&82{^09ndb{AuW%AKA<$&16Tfj?-$3Xj(xZy*lR}xe3$Doch>GngE)sxPLh`fv@hR0!*;QkqJ z{BhN@k6rg)XKWew_AX2#6PeIcpV-r~>#?(1d|2A)_iPy#1$ydK%oi_yjFuaYeQWX3 z>odToct1afaJrNbeAi;$A2aUPt@eRWPr?-Sl$<$l55z<5z2+`&0l~M@SFq=ryJpPB z)VDvO{pvcbhin=5GTzOf@sqqPeZ6&-Ic}O2&t^HAgggWb0g!u|ugP6B{k{0HhE;%$ z{r1L%8SdO1`W^i-c|9xt47qP__(F!;N0hzp&#x{&HNSMu2l(z8p5E_}Ch09SKTq6u zdFC8T+~;Q%^vqejd{~|kUlW@9_sQGV&e++fvjlwcN_;l*Hr7LC?0j)8))elKVxcMH zD$3HAt(RbY5AMp5$@mQS`ODXOnq&cqS3-cV3Dzv2_iH!kLW8T`T72uc;gCJN=bC>; z$rJfc8&?xl@3Aj?aJn~RJ;d3hA% zmnFW1fbk9lMDW)P$1WiV!Z4;$z>PHp4DHkDcd3Y zkC2U`csNuDK;p5{ON^H%&#r23bb{ux!~}EMO+%p#i+(wTxfn91YSCgc$0pfc5hF{> zsWR~l;@woQ&@O;;@l>&kB+TL6@SyK+B11vXoYqf5wsJyLt1in!C;N~n_;-ca#~dn@ z-M`zTp_l7*K>tq&N|_cm4DfoFiq5UEdaOAX14L}FAkZD&9A+t8D%4|R3^>9?j8h*C zZ~Yhsmq?-Gi4LU+Zrzu*j#20F7IuDNlkNy#guXBZ%CZ*6TD%UJV&NY!iH{$?Mx$@) z=Ck5}phJwLl|H~Q{D)L8RO4XQHfMUHQ+zV@=9QloTE2h6Bqg{_yCY${BqZC%_+9yo z%tA<)xXefhvviENnWUsqGm&4w##ny9FdDieShN^HLxNhZC&uesGn<>~Dgu3EEish9 zNE+R93BMvOS!a;kjyJpo4qAe_9Y-M%c|qy}a*?XtCow%*Fq!a14JO1=Kp)-@q?7Wm zdL-pv(Fc7SuZLwOCC!+odbb-mmqQd$v>WIPYQ{RfQ2|k*97R}u!QvCgdu>J;2-uzm zaSX*F^o)#Rld*A-3PTUYw&TsHPTYf#qCtvIcn6(kdR+?_EfhJ|Q#_vYF)q=%dR(8! z13fVP%}=%OkY8JVk80v(d{BWlfhC+<8h0?a^R;*23QotrV;)htyc;QD^ zR}5KDKD2aULm8Vj1iMBaw!+#$)p^AW6RA3nQJY|cbHy1~Iqt-S)A8hyLl?DT%Dy&K zW?g+;8>&S#6^{EeUHMzxG}Xp7d?W6vtC!{94iIX@y&2{}cK2dxn(@^~V!?{0i}8i4gH8y9 zJQ-?hgsY(QZH*DL5}?;Dd?Hw zx$5d_MIDeOAm1Mv+c@i(@mR`0mMdGp{WNhOhE5Z#ECabIv4gsYsb+L37S;~O)}&>P z5q`@Qhx8t4x3Zy6|14D22JR*;L}=MM%MvOETM`)xQkKi8GYQ9D8Y{R3XN+tgf7howD=APN*=Vm(`#*^YwK!S{lN(9`R=3l^NeQa|cI$~w zYP{??SlWn5F(!^jgMlS@CJqA|=FB8+4;dz6>~q2nvpMzyxynTwRNWlmCc}nIk_}~5 zcITu@yZx8c(hA&0!I5~qf}^bg4fnGo{*W&M#Ak!@QWhF49l#7t=r5nkyLO;hX|?s0 zDCXDcPTVWeQ6VwD5PX+tg#}~yKOlff*kIR}^c}>Yn?SC`(KUo9EW_#!-a|lNBL!~g ziKfsb=LztTfp{+fF-~whLA<;xL)=BJxwJg&?lKN4kTsc0E$ONlilkhbfiUTVa z3a1vfdsMy(bVrN?BT$-hpdfXO*PbchUacB46;cS2u5-yPTPUp-`ZN^c;B&Q|B?_l} z8X`gG0yC1#V4E$8Y*1c8+V#TVEFkdSL_0HuX{Fk^>?|?W@nFZT%G1@;Nt1jJLV$#q zyuD&@L1#w{S_Mfy`8@3Bfk(V|CHJF$3IxB%B#Fjnz#NaIK&l<5K?4s?fQn4MjSEkvz^BW2KFhssxPi{5$+_y}geTK~;IwA?+4dhm%8ytT zWFpWh6p%Wlpqxhr4J0opItYp=Xn}sr*rFAclBl>#kti686gf0bXPo5Y6hTnL1tKX; zPZyzZv6)C%y&!iQ@PULZFORGyv@;Vfr6=Xv{5?Pqt7Gx7g5J+3+OKCUjauY)todwvZMjo7$F)A>#lpkI(?rQZ;G0?mNwZc{rupc)&|qj!Q2}BT=DVUV&+>5Ap3^Y8{61B+XCzU zJ@7gg?@^XZFR&M-LZjPiOIY0;e)t$pS;kCvez!`6f^D@yuiWTy1o_m*)q@h4X0bFo z?ERkxZ)8WM?>=gG!MgNI>ry~si+Rk52fwYKcfh+68GxB+nlxZ5P+x1d0yZ&p#0_jF ztJ@O+lIH#IbzvSt3mKLkU%QG>b|-i6V`sj7KV-kOWL+xsz7M`TXP*Y&1;$7@eh;Bw z8hczsH#&vWIIFl3CNYxBegv~{-*)Sf(5Ma^Ml2$!;hILha1q)kx>k3l0A4Iiovn9Ch6x(P?q2(O{yAQl;zTEsN}&Nd@wrkC)SV` z3V-Vs{`Rd!e`!x%_+;=@_N$}ijfK|4%Lk`z@z(a5f0v`vCChZ2C>%) zxE#wm%Szrfes-<+!mkv%gQs8IXe@zc|4JXbaEv+4qteitu31k>9Gvv_e}(RQw85}98kpSzj;bz|V9@d4~!44f8Z zSzf@{Sk_in!k$XAI15mgu|ekir&(%ju&vCm9mpRlR$Mc$nOaT4x;*UtWd#bmuQv{3 z#rk(cWIy1i>OW64DUTZ$Jiyk$yNheK;a4`ccs^=}r+TH_5V-m6sn{&0CjB(X>D|^U zLfO5bBXHA90^hB92|w*HPXi+sz>jO!ftKyfnm1BeSvU(?k1oq(6-GGtu4f;dFt?D_~A~es|4Zf;R?iY5<7u^U&V|&Qe`F82zb-_6)UyVu8poL&lC^ z)rDM+39!mQ>WLexZGH}sN00yF9}4-Lb_MeM&4G6fUK4yf73h16))bDrwxq3+y<2y( zYCrv-BeoG4-pk4h&1)VCq$*2(wt0wXX8~z7OZH8cDl{kVer^zZv*D?6V*={~a-7aG zjKRnlbWVe;ZVQI1nj4y=pJAynK_X{^jIqHf>O|nDkY>N4+95FPyhcDW>fa5KvmsYI z5P1X9jSi|aiejv0ekz=*oQ?_xKc|!$j#fX0>z*r#7izo_sLHM>!0;t|Ha&7S&$A|c>r&p_!?IJT{_gtcQ6iqe2&ARmF!fn!;U zgy5TOXwuj$Ss}kPNyUJ&JZp1cie35z6k5cl2f~a{5knKE6)ZAj5%#qzG^c3U*OKu= zDuU-3!53ellAkiBq?esppWJKOyyl4Zlssqe(ND3Oosv-q^zAk7)~SAxJQB47g)?^} z;!s`J4kpzO)IB^prmub;`5y2_hL_y`>GvI&H?kOJidZrA{$(hzyEd>VB3~~&=LrYo zn&R;oSD3>@seOLRrKE8r8nA3FK;MmtDS!Ir5lsh>0ft-cRRz6$5_F= zYDpkfThc{+*G*qVZ?tOW4E2|G_nggdoX`6HS~vgNz{?!4EXZ;xI@cIkY(U@jYiWM? zFLd8ER;r%#f$^+k> zv+qt|^4__$k%euHECPCDaXPoKKsfmAim&b+{0F;a%kwZk@Sx<^8Yf?_M;67Zh6Rpl zKz}}vmVpi$S#Q4hTy0}dj)H`)s@viK?W{xLS&5D+uED^7a0 zCLLOr8ikR?XcjH*K*ya%7U4lPyHRNN4;f}b0PIIgcTr|33cX4t~JBndC%JQt}_$k&GUKH=Tj$Mo~j{AS8h)Zbb1KAbh z%&PP5#mK@vlSURL*G6Idklw*XCQEHt^atC$VoAx{?2R+!zjJHTV}+|Xi*shwY>`Lz zN)#Ytho|>Vnl_-Z!*q;gpmqoxmm67VOy2Zh8d(@8rSJW`cF^7%5S7l?wZn%++1<&% zfqh>)=z&Z^_P2pGpp}s&pmwK_g{bX{8vxAeC=ghXT9?HuTScI?`&i_=Jl6L3Y&7c26a@EeWxBhI~UA=v;KYrS_b#FZI=TqmM{`j*e?Mu(ybIjIty<0DP zbk;lX%z0o#I*nqu^}fD&JCEPCaNqCmU3W%$`IX~(-vuUbVD%gC&E0eSwsq;PU60I5 zuey3Bkrtg1e;XV}F8S~${eC>w!=EKUnzW(UkoyX6Ft1f$R-qGOh z`Nh0f);*JaLmR*F^6zha^op5p!&Q%730EzD?2);@K5^T+eczbz=)70p*xq~Qz4-XE zk6ylYV&BiUF74U+`XlpRdH9M4p6h%6i}3gCM_@cXC$Hag#jEKnAAk?2&@O*>?$#6c zt+{;bzdvxrw#Tn*-gN4GpfR7;yL0Z?Gv0alzE_`l@L%s(^G_?EdvxB*r!8Fjdf!!- z7Tz(s_xWDn<}Tdzz@Ph0du86uD71_F#-SJ%zIG~rln?Lh{oDL~Cq8>?Z~EJPXKY)O z-h!ttA5PaJe;~^4N5{?m+x}3!A6>`+@P0piAwbz(-rIN8jI(s@z+}iwisawL6}5*H zuN2Yxs9l+Kczg;_U+Zzxm+T?98{|-BawU&jOEkGDlpz~tOHUwPgdK?MljTkSYA-AO zZaLxRnn}k&mIYldPsBABQCae$&x&bH@vXSMKs08FKvIIaYvJ_2U3faMAXH!%jsks5Z z>$)xAw#jwS5PBvYZiQ}gO@qj`ne2?((lAvy7fj1$(NKBFxJ5+UWXMel&L+cykWE&a zK-GnUjBDdfGYG4RoD>!ruXdD($)U=w!;;6uk!1lqB%`BD_YZ;kfp;r74&9FQ3RiuJRX^}JyiK!$5E5*V>(=Z3}k%CEZklgm9>>^RSvtU8M{$I z?!HiNW7Wj;dE7Zbaz=E+~kP&FO&aI3N)Uf(#)8$!0ULeFn+sZ2@BVr8=*6 zP=x-2@0zg(N3<3PPUo(v5qk2-OnCT7bk$(MIFeYAa-IHU65^9d2-C8$07>Km&CNAA zNJ2Qs==V*7kaB=9En=z)kWB{fZ~zBYRQhy+iE3#p2$=#@IB>T?c8Qx%8VQ%F1R$BT z5Lu{Yms=B&CD3Yth{R0CY65pF$*yIKn5FfWT9f7w@Tvp=^S%e!Z9t#`BpwvR(mNw_ zG^SJ|Gy$MnSqwihvJ&bq!c}d&i)Bj-zXp;$Su0ppi&44@buplT2LM;<7Gx8O9;^8FxJkdJL`N)yTlyF`X`x(k z{0<5jPewsDxQ*)(%$_56+=f|pT7fqeorVTg}gNg8+YHiZyKm9j8ogI$?;JxGN> zq5vc)EaF$!K}He1PR*DM4lCfTUUcoI6m zBWUM4;}B$~;zhWQLa@v@xu3TKX3k+|Jc>nw1q!c^foPhXSvJE&BhT`7Qv4UWf7sP%`ycc5~yW<5T5C#qH}{KQG_m1!WfV0 zSx)5JrN@A`oee4x3PBxbA}5o;bqZP^fgI@skzt8S8;L?v2cg;!MwFR?IE`w!6gUL1 zsB$|fWTD}ShtZj!C?V)v3!t-1FqOz85A~7Uo(VFO_}_EHt28hCB(Lw#fU4|+@i_w( zRJRtRQDfmzVbuC;{gZeYK(5nd0OW!U4}jbS7<8+)-6&oZKU^{*A1;YZ+>#ZW>L>V7 zf;_TlGsrIlP(kCjq-7H~ihcdl{OLMyKWz^J`3Q+&1G_qjrrCaJ4tMM*mL(U7!zcbC z6jrm_Qj=;|m{r_ibtU(j?#LtJ=%sg!utYRvcT^?0J+TaAr?9p?6f;L3fu>??X$2R& z4hWMew4xT;n*bANZ_uG;)*sJ(2+s}56d?82d=br1NjHlKYYQ_903~anqCpdviW>I4 z)ZRR4!yE^{4Fca~sc1kqTJT9Rwdg6^E^A>RGrPEo2NzN6)KQJbHiX{S5wgk9El71O zaC2Q&SQkcnb3#!n3XIMXoU^CG&8B-CuqHsRI9y&J62cyf_u94Ks&V4JRQFaU#di8<3MEDM;N#Y@H3C*w|wZorFo~ zd9dV3ATKsM0Nsf_g}d;uS;tHTf^YaHc#Ns)DYe?)R%%MX?zq@=V28^(nI&U*kSnYw zqLA%yf=2E_j}#qK-Ij2fkjK*sqYhP*uvnn0+Q9bS}|Wh6^J z)LH(WU-5^qQuiI|hODOA;VtTkp~pyWlH?zUX*W^#qCZ(!RKcUOE%95RY5?73)W-rX z8pwh=73iU({n9NXwRgnLiqNYAyl&hwhPEa^I(t~F#a6a4G%u~Z3=phnxthzZf}yz9 zS#~Lr!G-|`U;cHfF#ayW483M7O_OVAK+CYlcvxyr>o*54F+nrd*&H@E=;9irBDnmd$ZkZcs1C_5}%&X`jjZ^M8Now&kT zAgju~#GnWja01*7b^(g`=--sFVXL@|p_U6C#?WH`zW0O#2^rFYUqUs8Y*lO}nZwk| zsKn$6+>S@Ub_E`v3#i{n-F&ZhARis*`KBKPJWN7RTKymU&mNh4An!c?BaJMW7pN`} zep=xnN8tDa*%EZJ9Sv0Hp z^r75EHiQTlLW)gH4lr;)h{+_$h7icBCNct%Qy?RNjNp^egC$L%E0MJj9Am)W!p+Eo z0*>gKqf~cUgBt;4uys3>MiA(<3mmN% zok7SlDc6!jq%gmwzD4Je4FT$qP`dRFbyjD$)d;kWFMrA=%6j zV8D%V6|gaYXeq+l`1(oR`NkRNsM;KxkSZFPn` z5^6QrV&kfz5McxbH6aw*djSekQ22vv3W_3-=_X53?1fw^L-~Y9oi%)v4Y7`F@_#`> zzSF8}hYav3cHa18kf4oo4hRODgBvBl*4vlv@^DpxK;| zYgYB=&f?Dks&^?iCCmm@40)#coK5(2jU>+d{)Un z;-~A{f%YGDTO9gk_0WQRu1_2UDRcw@uerhfvy{-}mkvIx{Qyny-E;%K6DHXg5765q zlMtALZ<{mb;QQc2&Pv+@nr#Syd=Lc>MDEXRm+B9s2|BjFkmgnculDGVfolQP>$Wr{ zIv%#i@K$7ZmW`cTIRV|dj>8oYWlj2`m@w)R@JZb1@Oa3jCe%Sbky&mcCrCE_$^gC#*%}GgZAoyDJS#!QPCkt~O9&$Jo&C9g>44iVRSRl| zNA1u!YqmAHX?8`|ghaw!+bNX3HdgnQ|zwCo#`TsfD{?;`gXJmn1k=L#Bx<@kC zOZotE=914Xa8rgveLSxhnv+B{)89FT`ep&T0fELTerHi9gnyc)5z~O+$(94Chvszu z?kGmQ*yeSl7Vj!A7ml6w?Vui-NG3d5zV#lZU)*2t2bqW4WL8)|86W;6d%u?uOyORr zAaTIpi-s8#q#p7xx+>`$!6K4>Sramm$RsjHZ-^=Nsmc%!aLnqGyB3j*R%RJ%!axGK z*>}^(1tT@780I;v6*KHYp;$6vgTQ^?`GRl<9E1^GA(oA76AT9lf5*uOx$7U7{Poeg zc7X3u%frv8|CoUa6eCMi<@=Fk7Jv32+{uFrp@y9KK(p8uL>iQ(mCaJ>EO{HM6Nl_q8RMIJ)Gf%KkTC4~TD zJTzJCp}yAVnKOYDv^_A`JaV4iUg@kPb6_Pyzd>_1HjtHx2$iK4k||3G@D1WQXk(LzjJXw76LA^19yqY?KV%R+h2Ny7KwkbaMkHlED!$&Do2 ziIVIVrHesPmYO^=M=mMNkvWmcO2Fle!R8oa@%dTQ`eQW|Y*I&bD=N!S0Q(WfA`;u? z#fW<;lh}?AnqW7a81wWFJKYPJ?AFg$m=%u*ZQ*9B3VmwAY?c8nVRNCb@!_c3Bo<6FedH5s5-#EpqC-0lk@ zNj!+i13ry|yqjdxw|m6h9}iXHZHWEIg19}|{kcId%Hx(9y))c)MsEoU3E5wEMsFC# zeR$Wi!EDF~URa1Ee}J@E%1jH`wh=UNkqGu)F3jnzv-Etjzk>brJ_@Uf8Y7lzasiuw zf-yV=0pp8&@~xz{e?N2fGk*UpF_{TT@QHvy`NcpUWLj_E%4tN1#1Lt8U}heLENud@ z2o(qFiy>R5M)dU>yp>zXc*x9vc!BV$9b}frfCaKwwaY08;e?DRwp}yDEaz9 z1~=_6D^y39Ky(;cn!0op`5U2>W?h*iz4MBg4J=~TJ zf+i|W@pvSqJt-dhhay7*mu~b8la%&V!Mj^Dd5#`|vVRpF0D2I|bZXL|NK{KBM4S z9`LCaf+^~=wcfza9f*f|?g7nNOIDsg8_=$+=h6n{&}5jWARt(2$9i9H>~s!Ipdh#h z%03%Vy%&NzmnG)^Js}s|H%$Zk;!<|=^RXlZ`>p@iM(kL>ap}0X{{q=_u7lpAeIa$L zHfGH6vV;d$=6MiSYE2+0tDskC*OTllIV%LRDN8a3c)wW?Tacl5R><`*9#)<{ZpIEx zt{~$VFCX^`$S(uF*q42R_Q@6vkgLhh=q#~yytdL4a*obYZ|?7Bi9PPKj_m>RKtJwb zq=^SHJUY+#>rsxrf}>Y$x^)sFxyz2*(r;zLnH`Ww9?&9?q3ezWdwPNe|T5Q~&Z~!k? zVY*=l!%Vxo6?>1$&``OMJSOp%omZ^|lgx zKOq;cw4xX0DdLi!!R64ZuqkC>JU1DAtrTimepmmByu%FQ1l86 zK9s^sHjsT3$YC0?DBMA^xyaU>-M- zP2#5@bbJ#FSVD7aO$7G1U}4VM7_V-o@){JiE@13#N!(Gq-pKA&29u1F5TG66RwNXO zf)M=28I=xl(H>Mp^RmQkg82N>0av~zf++z4xDg-(!6C^?tw&}?iNJJ%VC~Sl+>$&R zR7`c=3I&(2yYGgm`lFP>Ei@Mr(J7oTqEO)U$5p977Lo`D3|gTBUir)t7kFP`QB!ot zYDB`{*6aby`s!&0EhO%w6CR>H|?GRy})r7hkaLNf6b zJQDb`RLj$g4G@Bz*;(k(zao(^amhudZGglS?U`-Si~`X=!L zc(6wlOQ#dB^83bT3(f1?Too|OLuY|o%l3?iY@geMM)rM@XHGu%XNzqAZk6sk2wgoY zit}r4K?JV~{xD?vND$musK|1IB(BN|X{HpjG+(u#I$f~>eD}u$ktN+G;z+WUpi7(; zl*Q42;7W!Y4UvI6sCiL{1^&3A6f^Kf0g?Hr0(=ZW6oo&0vB4yfDL6WYf>|po=^i17 zp4^TI+|UXN3Ve@X1CEEexDHJeE_gx&QjjeX5jV(Y60xA9W&_zovL81urY|}`r4LER zfLk|I3j3pJAxd-OyGY_dmZYFWgXoh5qo61gKTA!h#;OUprD0meR3RY*Um$=?uP-Es+G7QWzwR|UI_F{yATWr;vS4D?JXsn9=BXHhbz3DSxPIc^3a6ck0DX)^oI zuYaVG1v%{F2P$UI-TTW>M8cqeuGjlqCY2K}q(>D&nF{g2g8sSbi!qOdG8-5qlLJWu z&b?pu0OH3w-7kMmzf`IE3(Kk9kM40r`q8`-dfM}XO|F7u$;|RRvhK}$#zGJ2ooYsw z)eCoJ1Jr28x7~CO@}w%%1YBT+05_K44h#xBZq9fm%n&T8r9zoZ1))WGvO_wJvh*@! zGLxlbf_|1<(RSM=;|_U*f`Ax(9AKRmfGQ9IR3yaOQPa_|Q=%Fy993CpqB_8LD+(2u zl&}&5$>Az4e4$#RA9>jz7jN*Ee<_zV55{6c_YmLXAw@3;=bFy%{_v=NK$MDsMCp)9JUYsB@ zjNgTMZjIx5Lymj-TJj0Fk0n=mLatc_&Wx+DFrhuT?GfmnBYWI8XdKt4JF?u!?qL3Y zIb(c(Az7bz?UY1L=(V*~>{ zZZ-a9^u2T{7C!Eu@waRKe8ywftZrR8ZZF7$YJ6?aHE;N?>Uw|q_21t3o9q27HP~_P zVcTDm5A^qbdjBJHclLSjJFK9QZL1!D^~W?j2$=@EAD%m3$SA-Do8Ds-GHiJ@j2`&K-HJac9vxERoZ>(FiHb8H?Z2c_FP2`RPE2uK$J1NU7 zcTP6u1vGi3C;K2)#-WXet_JQESxo?9>yxVswCpx$0@)++y5MkgS;)F{R-g=T|E(wj zx!`K2tM&PFHOg*A6Ea0-d7I~x{S>4L9nV!9J^}X}T0I%seJ4O>eGfvLjANke(79HDaOr6Y+ynG}XlL_HWV&G8@BxLS7Jnur5NoqZXSD-e{dypoMVMt~M119*epA{2W z+4xJfQpd6;OyabKrQ$i$k7UK2TCphmk7`yNJse{g%=%C`kP{B#O7DixU~Fs~q-zJN zUvtgpC#V*)P4m;EvURIPZ5hcQme((#_J$+N1T__{1X4c>cTfc#cNY2WJAHV#epb0| zSlSM%ph8pOwKtg1*0uafGN;uSl3&YPabFY45=#x#mU)eq$2|&-78wt6VkNbci*)m8 zXtI^#&R9v@7P15$kMt8f6zkh`TK# z#PIu5c|trZJ#*lbjO;js*h7B_`AsB5!|8%VWUrlEPh@1-l#`wD$#)V?2?UYeFvTw& z?UQy*&bIH`%C=0Fo+d9K%RGN)jR%>0LLSsYF34)qrpbN>gcS54Z>fkq*>LPij|?ql z4f7m(LBcKYxRb3X+wx?i?8&%uixnwR1yRxF%5z)MYm8Qg_;{BBk@Q2`CgD?c##RZNEe+Z_a6z%E~@wiP*K0rimL#AQLZzsHIG+e}r zhrodXgn*oBVYncg7qZ+LLSBA8f9AG~`W}bWH#9LWC;=MQ?rFZhUr^pzeLvy>PqZZl zGh|N9JU*e*3UMW+GUj?7)IRi-l=K<{3?~(l1}9>i_|l02M$AT1D(eq@a9b9_|FDl$J7gZVPvSgDWtl(atshA0G3v(?u};#%tAlMS zItq$DM9`1Z{(J`^=NR!@=pS;n=2HHTCBvvPiT#e+CZ@0Jce^qS!u!E0Z)r3`%^fi@ zpFI)T6x`+M?}^RPsRl;K=7wrc6TC>~rSp;=x4szEet1)_K8i-J6F;V)O!P@05D zy42rq`zc7g83hF;^-zIUG7+SXy-4&sK)xh`P!#8KQAu_YPDx{1VPUus85$wfwiKj} zp(lvSPZKL-9Yn7T)91&04;YDisdjiPpZ0-iJH6ql(QL}5(Zw71=r+-7Tv)ms&%PAjI!M|taUeEX`2QZ!;Zx2oZBC9dY z=Imw10ettpY4`v4G7YG8WO~{+@PULk*v)Wz^C?eC+Byzx0Ad$M=kN(!DrWKZ^(Kjmdv|4|-@JiYUa z7z)<(d#dtEe$#XZdvYHAR?S~hUwrZT>2Dh)XIX=sz^=db^J58h6hdAbIg>~S4MwiayloZ=KY)yP2Q9y*&aFlH``u_KsDO-abgw-hT-?NkzrK6{o84 zbL2PiJMMdm{7paTn^6r=?SM)e-xAfO_trhSZ}4w`TWYeJZn`uenCz7L0i@6TDgUOf z9gGj{i1dc!Xl8C2Wo}?cj$eOdm`&Lurlk1jk4%0uCuIE3b3%ScH9ixu7$uUZEk8g%vh?OJu;$lB;8Xd-_lyxW>Wf>p&&NX?WSdg(H^StfQyie$LF`RG^~T-> z+y1-w+0MhMc34lB1_aa&nKA5uE1$(v%nf0v_Q35Qw(p?%S&<%D6jMi%>5+w{`9h(#KeIjpc*Iimoe(EWbeOHb<{IRoS|06D#fPuJwvh#c0%kk;Jq6L}*9W89~?_tX1B zl+JoB+ae(+Y1z9M9Psh^uR0?C5Zr^GdICVo;+r#0n3;0tKOcOv0Z_eP;70l@7+DN{ z?_O1tvN{M=`}7Icng4OzUUL4iyXlGbFp&4YUzgrjmww-T*8MTM6az*UnWpjckp&#q zFI;k(t{pxs%I-jL(!Ou^0j!k3N$0+j!~VSd`yTlvkhiY6Vb#7HuKeMy<&XFFU9$GP zI~Mld-gCj+ZEJ1__WXVx&^*`d>$_^)pl!>0-|v0o%B^eW9MkjY{2zea+ZP6TP4BBc z-x&X^Q|7FC<6Hmdm0in!{K{YFu04CxT|KY%+;G(<$bRm<`5VCfoEAddb8fujl)gQ$ z_gxR}hwj^X-P$v@J-A_J4h zc;$+h)_kw;x?h~XaSe8JnDd=2Yv!!%-8$=cKU=u^@~xM=2V~sK*S+31-bZHL_WrZ$ zdVS-6^;+Nc+t$o~u=mY*ztwt^Icx6oT=k8IHMZ^7V9vMycH$jt9^3Q!o9}OVIMDfL zpzID>05I5V3Bk7~O{lNycCP7tbl$ePzy9yubytl$V%wV6Q?H#mf7?6T*8Jz=^M5e! z=2Lpo^ZJh2_RgyLuir2){n(sUJ$*XMeSI}`^vm6$dWX8~<@%&CEO2?EE(yH4)_sq3_B23=@`(SVSEqHk0jx7UFc5@GMKk(g;M&6VCHGBdg zA1%vIiQ6xo(DP}8-Hh;1MUqfQo00g$xS~JOLW(t%X>5XRs=`PqWV>b|WCMS8s%VsQ zY`r4@G~3XjOs>hL$XP-YB{`ddgIO4E8fAw~z@$om$1x{jL=6*rf*Gk&+wMxnK{kq6 zH76w8PBRex&XJ||MNhCv5K^3U>iCpVLASt@UAYoMSaU&gRm8SJ1s#cOctLuH*(FSd%UZ!HO7gbCEEU4rg+R?BHvy{G_`lnM`WhlDnLH9VY3{bt?*dC$3xPRDnos<}aefcPge)Ojm%PJRv~954c0N#Zw|WRR-Xb2z~Yi?#a<5F=l?33T>2mOBH9^8!BDK#iS56cT1L2mMzem zd#>^Ta!Iya0wJ75+aViZ$JncGA`^u&-cXu!3IRx5uRI~`#!4|+>+N~atz=z*=GEo^ z8re)T4yazgbevET9I1dIoGj-u9%8sISUzrU9C>HBE%Im{_^vPHvqwfT;6dJ3>(vg5 z(0;H-2X^d;0u9LF8vV!ApgH1UST6MgTm@ zDD487gM5@C{3OG`(;oxMZtIU1@TQnzrS3`wtW~DC;?WC+xmPQ06{vy^cjfJu3d3+$ zLP*gNmj^{Pp88_tteA+6D_eeS#n%@xJXMZ0xy)rP7gjg0ux)l)Q=>5e%Lbh2TxDuRR2vU+Su2p7xE8_y*<{$`By);jPP^+UO)j-Ld~!D6 zp{zJ#ja?%-(Cr#Jn9D74l}p@|Wk3wQ)b50WMNgtEJDfry133#q!mEl~ks8cHiG;HnFtNzftKu+ktD1bTNRmHgQ;kU6ijyP1C2 z;8^mBK_x3JKqH$(E*oXH63!a~cfgS(1XfQBGazXlApvKMM>BCZvV;)wAdI)7za@O- z1youOq2%F{kaR~Bc@HucIiV16is30ov416p)Db4=H4z z7>#r@-%986kP(zywar@e3(BPohAXWbt{@Sl%)CIE;RTM{1liA^Y$Y%INLf=dz%A!6l}x8R+uNGV*Q z{bWEv&klcO$5`mBuu2B@HsZreMWz8ZDU2k&w-CjxAmcs+$tB`KSJ5k123}lt=oU!B zJI+jiiXe5YBdDj4s3wkD#elL5$NMjDvp8LxxBxW`Xn1kV7FeTzs}-GQ56GuUm#AQX z;X`gxU-cp=4nhpi8wD*#r#^B-YJKD&=nhjM%75pJPX9LqzT)J(z5|+Ws<%;Rq4KAy z%WL^QHrdod&;~;mdURB8TefnTSX7Hm;G0K+Ow~EQ$TiB;2-X>GKrf*gjW1d!7qIh1 zMI{B`UI9&5v&6$;SZK?nC2Y5fbx!(!?0pM>6vdf;RZs8IvkcNZtYp}bSiKKjG%>T_ z0|^JU!-~U(ka0!b5R%M*63{$Aa(XeG)Goctn%j_-EDsZN?wSQPzKBLi^r8c9I1&;3 zUo?6#1kA-m#0Qb{)Bw)^_f^kpUpy2p$%TULss8%wUwz+K)m2~hqrNGzHsZd@26vS| zj&ZUlfo@>R_+P=ru&%HTKRgW^e2Q6*pL+_h zxkNwHp;j`jLxg<$BqauHxD~$)HqSDYopwi$g zfIKA(6I*`!4%d6`3dp+B25I)i$8!Oc;U zmV_>;yQ#=2e_K&@YsOxk*E_(BWNWe>DilBD{GketiX3dta(7k5Ga!#aB>EfG-n}08E6`k7V$T6%wwu-I3Gl14=kRus|_?(F<%p55At}HQv zs(e_S%_@bv?2kq;xU_Uhig4*xQbUUowv?kV4{^}J8zm;X7;^pvKP-*wprJ%z18)}yMN?xfW+88t(y+U+eoN@G67vFJQ~!#P;;3TT!UHl?R}uh7mBhL#z(Q}_ z*aC}jR*dMtkR;|}m@Wc0>u`#szmB;Vz*G@3O#-H1At);`x~nebd}At%S2*U5OG1dq zl?cg(dbmc&8^9d>N2l=pW<=% zC8t4-NS<=!i=_OBAdnjZA8nB*m|L4_*awc&%)&%t$R?G|QAT^5+>*&S+MIUo6lFMS zn+{7u!uuNGVr#KtMoH0TKAp&u#NaE2>n=lZJXzpRjF<$b1;%h2Ezz1t%;p@NUC5H~ zeT#JZBYGrW&@ZGFN~kb|PN1Xd1biES7K@p}CzZr45jwMX;>Ec4!y>T{aJKHkNk5=||4 zxRN-My+t6>UvrwjQTGnHc&P6ssOsUOkglHaavc`>K$z6&d-mVE{+`7f^SST3^UfuE zuDk7_d$9h;!~dF&`ugG7dvE*~EZuS2`eu+H{nu4k(c|T-E@ZR8J@ch;_ny9P@vs#L zs=8v$q`ea^-!OCU5AXWTJ&W(X_ho`^YRI!As|*y*CAN9-2a_bGpNW*Cj=)F$x+yDX(_AZzJ*#a-ihViVOTO1Btemx0J)Il?(XJs>$W%j`Z28f{_^!1 zNv>PpO_DTy<5Y0};u9dheCLg6b#DLdqXaVc7xNJY-~eoL&*Bw-(6#fO2e+^J`Y*1! z{LJ4xe&q)+8&=sq22U7Y<;j4tJM>B8?bw}tSsqveWg0WX=P;;E1~w74l|pA z=dNFIzqR$Uk^f-50PZ>EEzRFgY(<#V^SVcdrd>92G*)IuSlV*8fG1Q-`^a@I!SRTP zIzC!c9-XzQT&-~uNi5y5-Zdr$eia<;PdJ~R4|RAKsdMVO^(#ZsS&#;j$eK!bmE)Lh z5R%MlAW5cOSqTBl-DaEt<+a?iuntJVkyy{YyV)u4SROpJ+YL_8a+84IY^D#5)7%tm zbwN6GY`J5m$y!35RW6RNmdpeVwaUUfR|HNie9_jj5b37xAaR3GU^= z!u60{hrE5knO~PU3v$QZ+k!LiZVKKK9a;Dx6xT2KPU@EUJNx&MYv&b>|m@8e^3yrMn5)DGvB?)+(nmvF9jNtpXNw%xK7~Ch;y}2~! z#F<`6#$?kEmAol0u`t^*pQnPmlAL#5Cu~SNnFg( zTjm-Hk01otFoWpyXk1|WWaHs5B}I;Y(M>_h;!n$ljNgPiFFI{wN(?lV44R2QW_1dQ z%D}`#k_tp2BmwtXfD4lgnysOD#Fda*P7~T{g+bP*NP~%5OPvBWY!)!uQJtKoCd|); zZHrn3G-m1s!tgu?C;50MOqB$S#2=DC4(rX*Rt*;m=DZ z6Z$me%@H%?lfOr{z=FH9?;sgE&}K|$(TUa)~3)K_6W z%~q{wOj=tVNBW&c7tObGNbo#ds^7fo8K&k`pOIkIFSyGs*0J6wNyd%w0HJYAj>3@N ztXfGxy9w)yAV%!`7qoya%~6vt5B>vgBZOqkB)PFRTUX0B8S5c+M%0hr8natLt_cdQ zrRmz|U_uT7iF+=Z8_0^$@JY(cm~4z*2@tpxXrEh}ydbu{Y=RqFd8V45aN^p6isHDU znPx2*Bl2>y)}4w-d1dehrXxlyY!VdQZ7wlgH#iD|b!h%VnX@<7lIZBbPuHf^ak^J2 zD)Lh9g^ne%C%0uH3_z=0KN6-=9thOw(sgOu!C>)L1jwD{IE+J*0$d>!G}s8@J}cvO zo1CP$vfONTO;4TrP}CMT8k@>d^PMhPunG1K*2CUc42#Bb&5nti-((8oyJ?rzmwGk< znXnO%czyk9X2eECW0uu$p(721v_Y#Aew(s-acjy;x^!`#<~g|e<=U~QaoZ+wVQj3)3kuNvn>|=4K3*J)qwBA!sq@RQY2v<| zTS9$`9h?%0!4C=O$xN%51BN^>bSWEUiE|u zJm5CMCYr1zfdva8;Ds?+PmY9vlU?I_$gHBW`EVH?UDa#&L#oRtFh zX@nRiX~HoYsA~#fSjKDBbl4;eVeQ!b0tpLt7Q^1A>gMJ-=Ri!7yUf01N=#U=pkzkD zIizJM_SKnF%*Lp30JxM}0Hn9YGH(@;XqsfElhTnHF5?WbyGy*;-EH*{+X zT1<3rC3dxC^7@5C4I@#L3@EA{NJGs8*ime$ouq4rOhr+Raj(_ZT54{uE(HnLi#xxH z^FaPi$?Tffj?*&>Sb5OI(NNRLh@~^>Tgr_bkEvQrRa_wzi`C+yjKa_K;TpBtOY8!> zT}oz0YH6yJWi!wooq#iiZo98ogCh7NWe;uA-fgXT(69$mYJnlHzLc0CMZpf;JQPh z=z|z{OlAwkK{x1_U4%n$q)9Y%6||uXJwKVPdM`rTPR0E;Z*kfyc#*veoiv<5OaVhFc^u3aEGWIKA8LqEtjSys2AGc}!vxhzN(?L1F7 z@9@d(;1;Nyse6Y@aff+!|KOz093S$xHTIG{i@%Bc(O!hpRTG}Ut^3dJSvnSv1lCP_ z2RDW(1S`lh);v6uf_#1rK~;ag_;v(UrRb^8{9>5~!4mH}1nV!r{n{FYV7(O!pI`p* z*@qUbn+^~nL*{2z^i_w}puhf^*EIRhxQCtwnR_2`<8Ss|xYxbs8jvaY?&5o}ki8~9 zez^{ii!=H}W-ax&Gh_+|J7M;M%K-wp`*9Ol7wIjPe&(gbtwWja_ZEbIP%p!N=?akb z8ua@Kq3P z|MoW*-~QT~k6*gt8E{^%wVbX^b{)y$k9^?{MDX=MUYz~T zxOH3BEME89jik;bYJ=cTYk7&TOUOR^oy#XsJl>fL4y@b$qjBqIte)^$6uV^p2PUA6 z+!MQV?t|OCh3wx1dG^&*m+4r-={N}6z^N^`C~a>D+1n<00_IsTWADE+Zm)K3+w?=- zJJ5VJ8#0V;uujEaE~XhKcNC87SjiC$V&Q(Vs~lR(-B!y$^2kgssO;_-QwdzVrvvvH zWzfP6ZOclOE4RA5XW58wcS|rPHyaJnO?buVPqFN(;B{-3)dU!pcR06dzXGq{ATr!yX8h z#vR-yTgifspeF@bUpF+(v!G{KM{H>+wcYi>SqL=C=PxJ^L|?3Q6?5E7l-)wyrb!ps zhB&bu!FIPPIGaqhH6aM3%@dF&)S-r{!BQ>YqMGLJF-~_N$jmlyYvn6Lh**odEbrEm zz+d;_A%YVpkY)(jL-uwNZ7$MODr?FE^JRhA!5w$T$}nc-v8Z9&(-w%(bOhdY$CTsY z1j9=Evp*3r?1s6p35?q22;97&IXu)sIKPB1&w{Y`N~+?~cyeMz_f&(MYChcT>Pl;X zy%{RaY#UQ)u3pKyn^u-ii>ze+8ff`~Frg*nZpRs+`H0Djrw|}>S8+UUfR=91nm@$| zeR^S6ppLl1m1sIKvxUW`QIVdhdNpKNkPM5bVXiA`+(Nu?lxq-ls28_aX(xb;J5DDI zJKMgGqui)ww38=3eFA3|f_#>9R75dM_sOS(*NGoZZdLH~6k}Dj!(=xTVK~Zg`s`Ma za9f-am&r!i#q_Ac;?qEpG(nlp@Tx5mCK2#5qY8d76DB-psSx}6;v&HcfT`FWaCE6G z19NMfN*feM=YoiYTONv8Lgy7tI+}44TAPw^$F>XcPyWh$=g~+05T;& zgU8)OA@aBa1<^v2lEK48-eOOIfJC#6Y{H!d?1N%}q_Sk4(N9jubw(l!;=iGUtic3D z!ely0SO$r>q+bf4i29N$#W7WB(nqxNjG2OOGHu}kf?!w!Yz@I06bX2 zoJH6;z0(tjjti1nAj2%hQDl&+m;NLjD?(n;VFUj_=Gb7D1mLV0h1ZaP9GgyW4n=Yh zHziacU*H?d9kva|2u8&SAQDIF7vzdgH#H#tYOzpM{_)#V+{{x)ZJTirg)_m)Yaow)#&- zw%|5(q8xwVeo?GiftTr*!yDM5!Z-X{9fzN z99Fj|;kug~cVxRKcVX~DkRsH&2qA`RoTpa=8Lj0mAqm+8hm@PB&~=Hyg`xw`&)P(g zmYzDEBy|W#kg=e9QwVhl;S%GgIpqb-1&+CVgsN~?S`9K-(@>MGhLVR?MtTZW9Lgh`v}`g z=-|fVIur@i2sY^=r4XIS173qDg`4Dt;7VwT6iEnpj8j%&G57=xzP7@~lRNDY2qG{= z8*n3`KxBl@E84=@!8WRy1QF8QocM7_FfQQhB=IT&rYYVv0-q4s8||utMH?ECqSS>#JELCm=pFUG9i(xV6ma(y%=$B z6v&dz%<$s_G}72Fq6M}o>?HEaH^Lz&OTXo8f?F_$7Wl}6 z(EN;~7gU%>E~8^8bS5?x&{H8qFrJT)h9nK+0+mKd;g7Tg$D~ZhjWIFbDO=iEl>;%p% z$h=4r^_{k}bviW#oiZ8i`s1M?T2ZlA7eUl-f#ggmL)y5xFKpF`Qw08SvmHD-C*Va2 zF7%EeH9odF3EPmA0SBk++&clk9DisR?fJBHLjg&XQ8*ngEJX7T2`of=2-v);ugGtKr2f;I`8BN~wZu zLL{%}R!kr}a8g807m2*2T|6UV%OKH>;&e$SzR{2}(j=!)pP(5s_V&2x6g=XfPDbc| zRNNIrt5CXjG>F808UBFRH?mwyK5_h;{Y zL&sX30g!E{5%-z1?*hms+{^~Z=ZK73_IrOhY4)LU`d;*Je|pU!;$E@lOz#%;HMh^+ zgyO)Bu(5A$12(jrxrd$*oqrKZ`r=B*`WJ?me9Fu=gN*Y#RHw--9%3&Lr%o3FK9h#1k+dd%@!SmZZr$ zHhLg;fg7JO^y1!Fseuf@=9Pr2u3+Ap{@lDSr z+gD%z*_VIiaeocZi0Iz`w@LZyXJ7r_AYXFd?4Mr(<>$V*eHSEIPS|6Y?3w%eZ(iMB zNm|ZGTTlli&c?QVFfEB!4U1E~)DATWD$*lgb6*MB zM;hM@B8Eztb*j|y49UwE7LGElDJX~GsBKv}*CHXre z-&XRH?j6?BbghN{GV#*%#PRr%SJPC`9_8K*+9c6m8#!aTC=!&Y>6@PoLa zeM@u?ILA0Wh8mAqGh)QzaH4W;G>KVlf~$_=Q7tRl*CLwTT8;>4^Y`Ob#A@L-wNJ#| z>xt1n1RLa{ax0P;W46R=Fk|+&Y!8NN=FtspCp0b5+}$$Q=~=yUl)k|*5BKN+vUL$| zlqb#tX_ULVebzK^`>9AxcHHeN|I=M#hd?d`h$pu*n5njWi=w^)7jk>tnCvDH3AcTe zxdL}q=HYXLY6=!7x|?be&B_?uq;^I>KYcRVRX%+^0jzO0t*6U z4wRNX&27Paox7z`%nT@nGii=a$?!{tiTY?r)JQLyF(tgeBo49y#`)GE3y~8(%ZIaA zL}3Tw8U+Nxa2p4pfAPg?cZgHv7GActXNC3#Lea!o zYol?DWr^}6#c6Lr4?izBkzI+QBH@@Vm1arP$k9FRb3zROX-zn9Tk#4L{a+7_Ace#| z0uD&^b2Ky;#>+Lig@symc-Qh)i^duZEGr5}HG9}7lCQOPgPd@F2Duf;pFBM?uync0 z^G7Fot^h3)UCrR`Fm3W3YzPPtEuh=tZ~#y=`bk)IF$4i`iQ>)RLOevkKwejG? zc@Ad)n?vSNc*1dG-RZ&NI!+)Me-ke>uMU1lbAK7w*MJ;>VQb)R1kXj0qodd2jS=l> zXt`T)f4*bAT^#*As^Nw5wITYhRLhNczX)JEcTU6FKb8My-IcA)>!G|h6`qBBq5j9@ zu0Wcbn--RLi26^vE5vw=!60*l0q!J_j+RnCR2mNfb6TcB@Fgmgx9|z>T70ddi-bDB zFUI;ksD{KGzrV`e;yCV*V5!?3w4$?DMjB|?$5S6qKzMfb+Jbci0yDKF5*;mAT@%$H zr;Zq3pqo#H3n5MSGJj9YSX6N^^l9QI4YigiasrXbYfM`ZUZeKxG`C02fQ6p;@ssr!q3Ef_quq|CdCgy;{m(^i3Zz74Du2B5`HN z05jxpGYaMNl0<&Fv|u00Dq+{qy-5P#BK?#APd3g3p{&uu^3JF%EfQFmg@vY@;1kGB z>~tDY2?-Ulj7jjc!4Sw{4`%k#J~%v*SUln2w`qD6wssR`g)jxfOW5GLE64&E!Ie{T z$8<54)k=JeO6qcYmw}nGgz+vq#Y<)*PGJhi^}{FEK?bigK?Ca};eE2Ipq-xjz{jwP z;P-_&vI>6#r4mwZl`eLJY>ZMi_?PhBktHCQn z!PeSQtO8#t;q@CEE77-Cu$zk{J+DH`!DrjJ{p|t-yf{19uA3pX2yiQd8X%)TOMn|m z8R=&Y_>@Cw3Ys4^X`b1mrUx{EO-39hAWvSxUOP28?>IHnBPWr^f-c3A1~e%kM|w{P z=uo8?E$?IlpHc=riEG8%)6BYG)eP9skxbGuHPv#=v(5z#a%ScqX%0XWLL~~7!qWh{ zD18)N9Mrei)2!W)Hq?ae98e&pNiebkthOHq6eC_FEsYCndU@SVF#Q0-vmcl=9}^K` z@5CR<$EB>0gLd9Q#^&JJN74}fn@&gnWH7%mn?;OT;%QYVPp8H#H|-YVu?ZE6rs2>5 zM{8@^tk%TbQ%RVRMuB=$LP~o43D|7PdrG5ils#}Va|8?UkDhiSdk4#<^5@%43u(=D zU%MQ#$r{dCY4@00%+wNl*}>o|Z?dKD7VZD4@3FTh|Gy2x=ln3WF!i2Y_vBxj;+7t^&~E#k&9_Cy0i?QUp2I?J`9w1{$6miU_-}8r54<$=fv4GhogSH8 zwQ~IAr+H_@pOiy*`MVAp&+vClwlq0>pUsnzVt{b#qG~qUbVm2ErB4H!ZHwT>1_azu z%}z7RFX&)PpIVlBiXV#j-<3lU@9q#l5ctxwD4J+070X%|WQiC{yV;J$>vkyLq#--5 zO&^<#@K=ndW~EY>t*K@^4lUjF=6$KRZ$3Z1WdH7MI~(`!R6`D3ojN2v?4_F@OKo07 z+&6xEQo*iCY}j+BA+FofeXqT>kfk1fWOA0fd@?N8qxOw>dI!7iz|j5Me6KHIfu8&H z`rx17fnm$XyX>m=rYmf!hJCWI(b~a57L(0GlThU2UwwqV_4@D! zmiVsQHtvZH!yny;2C;r#4BW-ZPyJ70(HA(XLELPz#dgybBMzmE;&+Chf6(`?GwC-y zVy*k3@#-HAY(BV)n$I;((H9P4DZt@SenI_XsU)Ojnc6w+?F&-3z=D5#mn?W|O8E|v zbxx+Eu)xURiR>M!8cBqg3XO%PuQlXrheHq_&SaRY08b?g@mce~?PS+}85cJH-gA@R zymfq!*bJfn-zCu=J`tW{?1~G&j-$)?8Bal~if}6US z)Ip}nENPBUC4HSCf6^Y#=DsV?qQCVHXDRd!rY{*PZU;GD%j87fh>WkYD>vd`BPv1(tGjC|;r-qk@&u*M}wiZ1YZt>=`zca|4atm4lW_U0Prb=*{R4mOtGxQTGdm66dGT=@tjYVVXP;q@q=qa# z=)3M<;LnD{^b*|raA&=OwGzk~3?Mb3rh z#L5K-3xu)pQhx7H7$@4~-M)#96DO*`!-fC#uE)fD2&{{y$|k1@0H6%ZJ>%n$IEML0MdTd|OYsvF3dVG7UNTp8MF4}#a)?>Se?ne*FN|WlR53G|qmd8u^Mqe5&rYyx}#UYkDCcMrFycbG`M1KZa) z{8sc1N;90?9L55A7Ri|RHq>GG-p?U?C4&UFb3eJAG_&B=DK%_#&zM_!hF{g*_J>RU zc_%A-z3BF*dFt)+hMQ-N{o$oFv#<~CVuAn1w$RMt)LD9FvDj9a6kuin-<4Zuz)9_K zLhhRjZFgZYK;C$I_>iaB=VRp3PV8Y-!Su{xAI&UMc-@cPM>C86_(<4>bB*ZC6Ym|0X?lQ)q|n*ur3mTKpz$ncaLGK~55kS>99XiKRmJF~FizQl<% zc^}`=xPONla&5t`Oz$u;_*fDb)vSZ5Pk+0*==DRFe)5^`r`}$0U3|%bu63A)q?GY# znpxoV;cv2kh&+~hrhCV%XHzX}Fg=;XKJ?rNmc9*O%q;k8o2Ylt^AJqEPotTIJI6k> zgT3+C@WNMUW)X7ldt(ET6pF-}GtBot2aWx22R^hfkV5b9bQ@+CsD|XUjR&LKo#DR~ zfhX-5OOn2~HcfBE%;J)3;h}ea{8nk8D`M>9N&CioV%O~-dd`o}dc(oYV(31on8eJ2 zzrs?dvF?LsrT!T+i!rxiZ9W?o#H8Tnn=$XRwqFSgQZ-!Ft`6!sCCGZpR*JebKYv4V z()o{XdW2o~dcj*ueB-uFJS{xrhJCx2*iD#Ov|`@(sUe$+=G8E8Pc#9t?03ZjRoh!FOLt2j8VQuAe9D{WlOfFTCrLUBsYnw*nl*W@n(`>P&y9y!fTMS60-a$o~Vl4q)GJqwb6Z&CAEsUE)Og@2ubql(v!PaUA_)U1|a7ZnJ1t&>~ZIQQ8)YBsc9Z}?87Vnezgp* zF4r@QyqKlRg4p)uHj^0vH}#PuQ)Jb&Opz3vG{fy#u&^D@G{zCtxD?#}vS>X(YPwP6hzhUBoT+Z|l)p&;B-n-` zt2Fn|a~901qX?UdG#l}pF+(P74Kh~Cogr&2bB5g-tjK6tqBOZATg92Cscm95Yf1Xr zI}bY>!BOAM<(#MX`ar$U+D>ga^w)#|k>WWF7T2jom@Pj0PzZ zfzg-_3S?ZICkaEqA?E6symoUX6ID}hY5_=So)@1kNg;3(N?4Z_YjPw+Vbs>fNS!WE z9i_&Erh42mqvh0+7La>MGDdR~h$eS9i1&-{fgNDi+b)sk5J&=1i%?`=Qy~&=20P+$ zYb`ywTO4^f!)i&wT6sMDMKD-MG9{dq#4XQSuqusBHfbgSi|iICUlnQp5d`GA$O1^> z30PH+Wna_kxMQkF?zS!o?y;^?cUpBNh-#ep0k~tH1#K#_VM@?o-IXDbgMmha^+0$y8BEm4@Jl+JaCgQoMqxuE1tZzJtg;j>gu8 zh1>=VOBO8j47*LKkA}254(yFkUPP{I*W7h6ipr(QuE(7LVeUtx#NAU#Mdnq*AlxU2 z{CGHH6T7?mFDgl%1=FU&o338__O7)44cW2ShhO%32bN7LoAWZf&;Fph=^Gg=bArrq zW)9^j%*@NPK6~CF?Tv}f(oK2+87e-bVRX? zSOR-U0{<0dyrfs1Oy}`xZkC()@S-vjkR|<+C}x0ixny#B7>^Xn(eiQ?I&X?RQeKwG zhQvmfp?}jG;r)8h1Ogd|X5yx!{-G@;sbcg)ih3YI%cI(9UrB6C{U!T(SlkzHIglh= zkve#Tijwdo1VI{FOO6}HlC2j&iz$^6%=DAqofRgw@ph^QN!;6@jM~NJrXvNS1bbdW*V{6 z~=D5quLx{^K{WBt>jJK&m3))gTXzJO^_1 zTH?O@YLG7*zlAAoZ3&@v^gynI?}j2JkYtfnk5Ikt)ve@Gv?L(OMa965TbBX5C9(D? zkk{*K7`YB0t#zI{Nd(As=aNmr%~}$C8Piccl+@WqMNSDlgn*f|FY>sx3kOrNi1tgY z%^q1IzH+yt0HRHWAF?3Xq_{=56HRVSlas*i*5rnq^66o}oFvHyi4wM(1Ib{6oT&y6 z#4GZIk>T7V(MwU}VTb^GA8EusVUi5)Bn(#MoSnzoJ51G8kbgPlRY9q~%IJDW6?`;X z>j+kfTK5+*kn?(nPw3vk321KJJB;-z3=OUM3~H^X{+^6FwY?|P{+cWG< zH931>&rD0=kx?XkZh(|@yVVgS$JKJf1&CST|I#>ihzgeccfpUzzAag2`W<-@yZNbERn#|~V z$VJuEJEY|fL2f%>5qIO0AV+Chg*e6FzPP1a!c-xBsY%0Kvy*%K7xKbZ;EO^rYBL09)$0LRFnM)$BMx)^h@>ChRC|Vz`_nDOo|L(hZ_Z z+oWUeTH-dl2-`+KHO8Cw@wJS|36RrsGd;m`tlc2H4uM>tO{I%WXt|XmVegSdu1b)X@*>(em)D6*t+CrnuPPRb`|e4i;YBCUI~|CyM2h zP_U29$1w^|!Pvw?*au56VaHdyl_*-{e_{nVn@N1qf;Cm1!a=I`bTdvwU(o(T=uxq#<#?_v>=_h=KWZ*nUF;SaqeQOypPkRjzqY5}(< z296OsH%rFa`A4?kz>*{qeFcnZEs2}EdFp6W#j_yzsQU;-(ihfI`mnn%$RO(UCzHLm zC93lT?0{W*RoXh;*!q#157P#ZBsYE7omb=$wEU~*_NpO~YixZi=$R_G(_3}+FXY~k zulRoaETPZO+h~tEZ~r}IJrH$F=ZYM6zK@$F=M!_R*<8Nd$t*QJ*AO`Z<@DEz_!YEs z#DwUUeR;^WrwK(idDYaqFnBSkIvb{U;}GFV?C@rf|9gkn^|)kp%H)=~h!t z5)Zq-BwE13f+&Ef!34~cB!nUb6C~%_rs9nXCL>Z?<@0uu=x&fzk}YrXid(S$Rn#9 zy9Xk21TU9&dj6q2?)=g{Zkf;N<@*Z_I(37&)TbnwJL2W~9PfJ=S5*H(IyIL{SBBJk zhuc7+e2^m+c{r?-$4;OHw%?+Ahf8r6*o*p_juGpHcRiux8LJ*1s7M6g9f*7k0@o?M(ejcU_g~1~@4LnR zmCD`u-Me+~KvR3y#heoBrRqDt5!`!7q~pB3A2I`omBYqOZG>P&C`piI0C){>;LH92dO40k>VxUrv@D{gls=4-0bDm0F!Pt);G=QDhTqZr9-qo5jvEY`G`NmcvMr$9>qE zA3&Xs^+(Y1ePAEag6|Kzc)_F-GqdRJ&JO7O2&O<6xnnd@?sYHSVBzdhna!m$STYq! z>TRcf{U*DsX#;JN0}%(L zB_K-x2^{o5@}GtfF+-*zJxJszV1(1^6!$x_6+V$kmZqPjtA^($M^=)T4E!i{$A4-cy8A+@?nSngQj`VAGh; zA3L7FU|Wno9q%`;K@tcZ>jlW&f?-Gt=+F>)1e>&A<#*z)9ZZt6fN@7b62#uo+*r$f zV7ZS(CJ;S3r3LhqCszpMVvP-%`(qDos<{ZKo6{2bS>-)!aw&j4wjX&wNd~qz@CWCH zzxE!mJqsqNc3{+AHqk!MVT;VSAbI|v#=p|zX3<5#7Ph^uu3WfjpVFstI$4xnX zDa-?jX9lN6%IFU{Z+UkmwcaOU69uTu0h>A-)D@3!iLfhq_GmH4inuiw{VJvtH51`wy)7}|Jp32L zk6$ER)A&8QTi|AvU!Q!$O$Pmlj3_@JTc%G?o?4mRGTi3FrVZTR&ST;NZd;5HRY zCy~kY{q+Dy5|w`_oWGOFi%h2PucrvMq&g*1|71Gu7Wl7^>6yiwxC`7nI4SNIXQHP* ziyP1Raa_Hquf0N<9*H{}^%eJ{^W)EEK<-?;?zO?fq#gEOE{&-f`GXCOLoA5&!`;U0wrugS0Z zehp&PAabY48A%{Twi-(NRvNx*fd#McOFo_r`R@w5^LyXdy~A3XB(>=2S|$)3O<&(T zSx;dp!f8AW5~o>Q4jW`k7g>#oBgp54=7U=YMk8{XyATf$<`sq-G9dW^;+X{m^guLr zw#eROJ&T(cq#N3O)!8}^livPhFJ2nY=k~CBakp#<=Ec?PhfN^3Kko_HM|rQvrat!G zmgqu}xQmdlWv?P}10ZcdQofgfo|gJ1KZ?wP}y9at`D9(JX=DR*Cc}GX0zV$$VG9z&VfuN-k|Kc|LD$ zfAAb(`Sf8iI1`TaxhJ0C_2ka%9hYAP2R@cTB9lqIqx5#5O-6C=V{|zXOBw{6$;^Zx zJ#`~)Pk+yXNu1HE=5vQm=8lsE>K{5WzQZ6L^dLjUAl!!~>l^WF`;AV$Mv%d~McrFk{Rb^7 zGDEg9BVXwtee03P0LTf*)7-h?v!9bNz!=;|oevIFBmy<sS*# za%QW%euRz;WME*DB)P%_apqFC!|8o;jz7nW+#uv$Jhamrtl!}y6SAg1GV4i>K`pTJ zxufhIOf?|J-yYe!*!szRe8_@~WGZa(6Bo2+Ds1u-7qn+8Z1NKqv}Y=8@)H-dXDV#+ z6Bo2+Ds1u-7qn+8Z1NKqv}Y=8@)H-dXDV#+6Bo2+Ds1u-7qn+8Z1NKqv}Y=8^3!03 z6~tsJZ1NKqv}Y=8@)H-dXDV#+6Bo2+Ds1u-7qn+8Z1NKq`Fq*?Uk^De(qBvFGt@bx z_6aSIqOI3$dqaXC0#mg4n(_0_onN7@q>1OeZaH z(gG(fkg`QqO5UO#*1WA}XK_dmH|R|?z*W0x_u6;P-1)%m&tI`->Mmffc;tqi4?VH*o}~b}_56E2^V)ZAcoyW39hkK9(LEcd z?}sEi&ba5hUwnA!u1CId|CjcDj*5JRB-yom+w)(%^WI<D(;4KJ?Jfp{ypq`ur^7C@A>*Gk4)T=y1Ek}yB__;#%;v?xii51$PGK7&hJUf zUp%($z`_Ydu;BA|-}T#_8<*byA_V-y@};k)ViQh*J(Dke9EMHZ|LTDyPm-Y_$$j|w z`YR7#`=1-X^2V!I-#~`F5{CWAt{;8r`FkI}R@?i5c~3sN_xx9%yY|58yEcC5`FHQS zo&Fy0T?7H*+svch|{@>H*jM*2L6MKm zK5*WFv!8fi+w;GF&fc~E_4^O)zv0Or zEPD)%>%iHcf8f<$zjVP3zkT5K`(NEZ`^kHkJ@CqYkbk@Go>?z_@Sk^{5AuP@J0JSa zb$@(h;lm%^_0}uTz4XBwcHKS%)o{bjpZn!`hYq}X;5;awdgZP!r~bI(q1z9nj-nwy zi?Vh5{xjP5^5tmuf5!fcSu*O9YUl7*!%=X5`KH@nJn-hjpMU=L*NOY{w|^d>#|itm z2skjvZ%$bTIT!x)KniIelR>jlG>T}@Y(gd)v}iv{`@P8aNFvup?TSW+$D_d^ZfzrH zL3?C?6FFwKYVz$McQyp=!Zf!@+%>^wkSi?WzDY!*tk}FU;V=Smm0%yXM>3FWExWeM z8C6yjEC4ra2o?u@jSj1g-UN_hQ57^h!UE0*+n)PSxFXb_*Z7uE+?M(OE9!W){?OeImLtHY?CLXMU{} zgn)LZ_2EEIpi$HXFAi4R6dqL@9XfMUHH%k`gp$gd4hxp}=l@eAIj7BeU$tGKa0oQGf*Ol6ad7-eP(TFxx6q2+k zfY=b>9B_|tH-Wn5)PxFKiA1`NkJ_E0+&Q6od{)9l|fxs0<=(6kUwQQGerM38!izb=nEHfJbOZS{G* zgQCd5SO5>pJw_3%)Zw)6n%M769w3ydjueX6%4kKzjkwJK$wW`p;N+zm2 z?r5alFc5ZHT1`YQL$Gbe+BtDcm1y1VH|$ffc7VUmZsF}ZysKuQ)|8)eOjZ)gw)AhW>T+SMSLAzX$x0t-2~%McURWv!hd0|GY3KN7bL-oltDx*SZ( z3H>3&WJ?#YAwEaIR$1Jll+#M;G&=xkLJ(Ue@C3evwK}1zKz8vWB884ctVjfb1R0^Y zGesKX5jvJpL|N0bNf{Z|GCJI9U?UQ*aU`c z-DGTu_Vec^{zU?$bY$iLLDu5vPHmev@U)sVfr{Rw!MNV_#(`4AfaV%am7XeZc zWtW8v(Xs&o7L_PRG5?fPo4H!ouqDLG+_|ARGeU)nl<@NlwsXa-x#JBef5+~SQ3?SK z>vFyVAft-NR0$k6LQ0U9A*IwEEM8^^6=xK&y90);k{zdDfgmjVf!dxHb_ks{zV zA4GBw2FX;@P#fG=!6LCAu~i@SN7~7-erm`)c@4R)Py3$YweQV#khK9MirUKK?%nLE zVGnRM90eJ{j=d<-Cjc7)AK`L63IPWOfklDc5cYZpTt-Kg=rs_Ng>X$UDd-He0F#^E z+aV$BFO)(N*%e?k7>=?K2O+~vWN)P?I;j8f)_fATD(A) z3;b3a9f-k=o20@rPPmSRD8Y5sjz*64f((gbTm1!C<%m_8fS`oejNMwsE-oknRhHli zR|*B2=+|+ul^e{mgl$@oqFu{_!*mv70^zQ?kdpQxfW#_EbdD6ZWe8-z4KPW}ej6Ve zT2!PE?W_>LNH|U~l%ixC5UMma|DArt6k|^MOVAjK!Br};d}G=sroyKcHq2;C0Kgy& zR&j~)B(x@b^N-;C3ez%cOlB%0z*;5BwH)HE0(gyLtj0eB!dzCsfL{+SVL|3H&aD9J zjIoL;tC7jZ7g(V|?+Tg2n*6N2NH80NX(@+cnN_0KEyS&16183;SW_2rL2j}} zbdBs9oJnHA7t>Y(4wjH9DQU;)F^gJ7q#lw`N*hK0s3A;BW}X6dGqxhl75)>BNwK`7 z^;K#k0)(WA4^0e_VMX4CBFv1VtEVLOHn%Zy+5WkxCUISl0MV7)pXmb58I?X&XFDEA<(8lpIHjKf5 zZB2sPS5AE^$oNT<|K0B3J|Fe+S#qVtw*JEIVLl(uhRJYw$AX+dP~?vocLHVTpgVqu zqrup=t|InHS*&DZWdKp9%?>yLpXB}Sz!bECJd+1!Vcwd7LezzHroU%M3HV+ zv78n;wM8^y-LwntHJS6F2!lEaC8JXiZ=SR)E;P*=W}GuNY&tY0q0vdO2vequqp_eJ zK$mM3wPP4kMVrHJAix8gL53kQPPV({OpK1iR%n+ZOooN;u-Wbq%gUJ^%V4p!;PzSZ z=#((9Ig{ZPrdeJyHCAf3eROnE!lHL#Dli2Oh}*@C4Z&hn5ZFDv*6L2+YTpbCw#7#q zp%K-|e;f({!^uSB23CK;dK1phl(21dByIvZ)WRC8E#JA@%#QdiBgT)oI5{pVqf>2` zXe{v0v7t^?AhN->I~PvhjTNR{r?K208Gi~))&2+gJm$F8cy#ls6$xG*h@AVh+16z} zZk1vt5K3ak2;~L49Ll#jqkF`k0+C{yBBcB+FTq6 z0K~xf-m{p=t((!2a;M6m=2LA&!iHs%VU3w>4&1Cc+EHbXW7uyQ1K(i^MmjVIaGR?O zEZt(u`!(dc{Dz$0zJoMQ)FrZXh$v|05V^0Zh>vu_I zxcgjbe_^ucJH&QV@9^Jn_Fz<4m#QFwA4dBwnXNZjpaRjYkHJt#qYpZKqqw&oCtUMEf-sbFmY}C%=+RMOU13YEk%W+UMOlR zR=lZ*MMQzsnivw1Wt|0>hqM~@@6T}OSaWUHZsqXE%Xr7fs$?r`cPAUHs-8VMlzU+up_aEaGhaE zfDoD02VJ&`rYEO|U5EV34qVTgo7`ngA;9(#;@%x*6-BtsVPV!HS(#9#sal)(9hfs& zECh8#XlO|pT=Ds_Z?qJ*bTwfzQ$qehbSlX0PKaAg_1~OZYgoPuepaly*3LUe!t7OX z#Y$S{Mug=HezO?Us(GQ)RSUm4-dcF7>T(S$@||W|UKyS9RK!@FxaDFKlbJ48R27bD zv8;79TRO_h+y$c&);CI8ep1W$MeVLForX*7h~p;iNWfDt&!|P$SlR)@PoD*!$}MkY zYm1ay908gCjJNY>q~vtR6=%o%=rOB}GFFF4n4UbAm31t*G3hsAFjX0<7*ijdWJAj< zt0d->L>?8fn=vCor@*A*(YOWY&{1L7o8~xHq=e>umh{H~Qc&TKpc>ju9Hq05(2#L< zINQFdib;e)n?1M(x*85ouB2YA#KUIpxWO)|!-LS#qf_VD1w0(KzVv@I`q*X`%tSAc z^#Lj0NE0hY?dJ3-KvQ5M!0lt;?tEEB*QY_J`m>J-#BnfAn#h6?eW@;GcI2p{W zgcXmeU@;+gGd`U_3Rs|k$mfg)x2Y10OFpy*WV7f&kjJhK0E9UVOI*rK0O|Co0lChcC$B&m(`d4U@#GYvoHWGsF zNfVNg`Y~G?5(r2I43h!N#ME92^opJfi6aK9ApuDeBqNE99i^;-sW@2+w*d`Bo;A1v ztOx74 z0oey@;p8{QV_X)(+y!CRwAnc<78uRyxw?Y+AR_vHQsEtaa)ZQ7^zqG-;1qsJ|Oo3{%pp=%4Tf_k6OyL+ABSx9b={n0`b!1eg zFpt=4i=HNZ3PDIZb{K9teDujc`G7NGf+hWaj0Hkb+h)lPeKC$(k$r)W!@hj;bGmnU z`KrsYwBnt2Vr9X5*RR7$jt_rl6_(?BujIKJWXlmjKAS1BYk(rJ8(5M>n*4_h_6M+x zU|+ef&&mBYaAPgPhu1=#Ghe>`Fk0RSl8-#{*o3VYKk-ZC<;#!#^uE;#N0MR3z48dj{lc%7OmAQPXvzF_3ri@; zh8&PX6l8!nHhJ!mEA~x)^udbh4;_NLp9P}Vy|X-1G#~Gyd>@lL?F$8IUGSN#9U}D2 zpJoeR3GxTz7n#P&j}rYKkU3mk*v-mNd^l*Zlq5=$3Awg4Dqh8*z`NFG(p8a$nGFRpC2GSuH0yAiu?_bdotBX`4JqAS6jTNpKI! zfUE)8!Ga^zlZNb;DmMsUs57ALvemBn|j9u}~@gMzV3 z{Xs|(fl9NXyjTsxI?C(sIa((2ENGdvU@(InPb9E1w0am!H3%PRvLg6SD5-;wS0Tv& zSrB9?cOq?AnLCbQUG7|LfYjq>H|I0^o*GT_dWs^&=*+~;dLFCL?p{n5jOH!CC!;QA z$Q`U&$IkD!1+#fM-#@N-fq(n~S)A39)RB@{GSiUkfd%3~ZaCb~$e)D`Zoi}D;e+&H zsxJq`_}%Xgb7Yb3u*e>td%)8~^boUAr?ckt7PTbNJ@O=%Te(qlwi)W7`&uHy*E@pF z=YheQjy{ksDNf?OP8v*Pj?Ml1nXQ>q`$goOi%T=zy3s3Pd2i6*+Z_^-iO2sCtt zbwQn3gglPUZCc^VeAMzxMI{$yI_jq*C94vIe-22DlGOK0GD4D^FBsaJVv>Y6kR)+Q z{;hz3MnHhv0P>niX#oYkR~cYUz2t6|>J$f<9}P<{Ni=FznOAbRK+7RFM zorkgsK%}YE15D*He31>*mRVedIyOU+gNm7fBn=MkP%>-pB2MZ^0Ts+h#_haAWJ6X! zUFJ@lG7X=Sh9o&!nmKt{9Mpl$CAR`@McTU*!pS6yB4$}U(M*Od0tmWTQAXbY=gcH* za64otFBM*bo)_ksDH}}4&7%g?QEFHjdK!vbd`dv3Ol!;*pRC*sacNkRd%v8|ayw

    5)Qw{N-*c}d{Ar~cD(r>20BNMM`_s+Y1@1!MjBzqn&&-6^xDu4120UpMGg z?VQJ-Kj$H1>;8(ZxaYVHOTX~<+CgOw%AZ8Wq_gq&rBS?pmp(Z6AQ0!?xT$Rr9@QoL z34--&GmU*425e4)TrfYbZpBd|w}lSsgZ=siMUAedv(aiH1m%xVc8eIGV^OMrbvYOS zxtoo_ApgZ2T%=96+0_AAaTC1e<_!3*g46956;H9+Pq1yk(L4h8VIX>vCM5evLf){9 z23Dl;5P*Bx@19sO=kh*6uq}Lwr4(5q4_nekXac?SfaOh<2FxLp-6PZvHXP!6P|Lp) zuDVi!29r;i!YNkKevYJf&Lx#QMSvbx$io*YpXBfy?F9Z-d3j5aL5jPlb^u)H6K8`6 zf2TJeUK@Jl&|uWvOAfLnsegFoq3yLG|IglMzO+3JOx{y!fm`~-4XMvfoOtVx{n}y3 z6B2y4r*=S++*fJPxL3B6b^bX0LUca+zx1_Nyc+uU^rqV3$U@$nR$Rd%n-pm5IhoaQL zl*RV5ar^Y}k3!qW4Icc5bI+?7a4@C4N(F*HrdchskM$Ko>9=LYZtD!GG{_8J3G;m3J&ytkS zbA0`%G2&g{{(Ij+|Y(^!gzC#w7kZ^&rTblj} zfPJCKx_!DFS%55sU}Pb=-~8Gq_NO9;YGv(k(x7<-1l5a?MPVfkPryAhJtMXRwS!U+ zpuuuvv6*91b|)G{U37I3PVw1KKc0eubvd;|;6XC}jP!S}IvB#pqVVG7cP!F3l1~sY ztO!OHid&Jhj&N1($O5&)TkTfi#$PSpD3gQ`Ickyj^dlro9v)SAFa*cS49Z;bYln${ zKJ`g-2T~fh2z5QJ9bRdkpB{Y6TV-FYIQbcCX-eN%I&~$_g60a zrPSo|A6~fqPkWzzY20sjK6+C79)RyIzGeNFDI1Wa!p)t!_QmTLKRKu=Ot`tzeslKT zXXh8g*pue`@AZpc0G#pO0}KB$Y4&Y2Wp~sjNj6%li;26KH9Fwdy~Yxab&WL|4FND%ZW%4ChO+opVDc7* zt6Qo8kV`1LkWFMl*{#R+iPda@i^YXN6H>5Yh#{)USTkI-v|?I2>}V`;aQA=~uMs~b zV-3wJ@;EaZ9Y-$;8%er5JSc2*5XA6Ty+($&zXEei@{x<{_#rk}$?(&QJfy!eC_Jq% zci`AwLUPHMgdMo4)elLv8|Q^*VSde1>Ani9aIjwK;JOlk?qW&+quPv>j6iDN%B z2q9QnkVlgcA8qMEkmH2H$Alpy~J9KOz2Yf1Jr9^rHUX|7Y zbAb5eI~G2Hl?I#<_}kTMrK@ttE&U~fBCDWD4RMF;!a2ya zmW0$~1wN@SA7FKXJY2Va!~}t%g*9M!!Lb0bV}x$yG5U#+zd?=zf-H{_uAZI>xpnwB z8&<_I)NI$l1~T~sLZbPj!Of5))9Q6eZh=n#hNxUs+Q*!yU8HqXbDDEJ_)grp{ys)- z6bL9dMtz0FPV688^EE&6Y5W=#M^-ZnN8QFrAtE4KbfI@Z36R5I+?B_e6{qWh4hW9M zJ4m?B0l{ERDalQK z5f$VL;?ry`*9DqQ-}K60TgBCFeq36v;&9{f8=U5>z5^PA03#NaKWZ6NoA~({k;fd# z90Rrd6BW`*-mOzDFZ&LL(7+8))l!o;#4FI=jBCYJLlD?|7|57@Go+PK-@#}l?mDzT zK-rxOAz2V2;0=7NewCxye5*21FF}6-Vh3IyJbo=3>;VHAjuVuut!gcE<+~)=(N-( zl!IG%^B`mjq0uMMFTuN(i8V-a3~sW^>jxeIgwwyNwQ$+2ClOC54Pt zc$xusy4ES;<}UPcLZ#*}2xXW0n8>s-lnsP#XanWgEBan((Nh(8M-~O5`+s13sG_xs zA?Hg`0C3&*J5HLP4Gx6px={`xh47dvRpg_|=sS4MK&44mIXPx?KK$pB%8ALhVR?w= z9Z=9<3VaV!U?_E$@k1;p0J)8_(>I=eR6bhd6FjPTKoVxsTIMhbG;1d1lfdZ-E+B3L zcQZh+61mEfh_Y4a0B3s;1^puE}Dnh!L6!QIU{?c z0{f;E<5BIn=_xtjXyIsfzLO9ba8%&I?kES2D|)&hSG8fJ0We-!I{^HZh9^-CNwzsb zH2^?tv~nn&ag#mg*|IJXc!J4zMrE7fZWvFM9b~&ihVhV%a^RaoK2a#U7pUjG%!Low zs`*j~n7G-5=LEOak=~|~O=NOawom9BWPW6F)dxzZ5fNz;wE}YthgC(8X_9PpI)%06 z>_R~~KgEJi@C4HUQ0g5e@LgmY`I{bWhAd7g(BO6&S%k%pNw$@uic}yaDiGvBBmr)d zxEV%rY|IxR5;c8GRT~K@gkwKYD?iZ3l|05@+NNrUHrQ3^a8)YFJ9u;(JN=H zk+GCY#4^wc#WRbmE%;OYaioR+N^MG))7|LW6wns{^zy~r;I4Xnff zAFmn+0%J{98~xyRTmFh62I2^~D(H6jTIvyK!Wj)g1aV}< z3*C{s@r#hhWpem7*w$n1&yN<3R2e^m|-~D=v|>Lk1@G zh$y6h1U(Ws>Boi)P}K}zYX`R20F>#L9Y9Y#dF-tJR0yZ*fhgNO0kE_yfhcM z@A&b`WvfTrJm-_x(PQ*Y(p7+9B_Y_+qpxGv43%W;{~>{9=RTPHJ|J&5+|m5hofCd= zlEyZax!i}s08 zNxqgrX1xx8#Mu31!yUKwXaWRZkbx*WeZ!ieTTX_8tFfiYV+u}}pkp`u`j&0$C%n=i zebS;3d}jo*r8zI(3r!{iIu=R(R4Ukg<;gIo{a*eOkZ|)zlbe>09^Icr=;FfvBk?($oW^my%y#?ddJGw zZu^&)=Uh5r*6a6nY@I)D9UOc2wws=#y!^}Q*xO!Ox#+3sm#buNY zKnF&qH6Y2C?!9T&-bJsycXKjX++z+j>08+PDTBvIZhzrkSv$D&xoNXQU!fj9AfF$V zCWR&p_l^G+zRaze!A6?AeX8q}dY<&jF!&|9r!E|=@V^IpPn{WTC}hfkef zdSyf648!|OoF$ig%}si}esS#@7I#c@H)PM(QftE(H#ddZG;G=)PdEiG$X-Edb8`7b z-j;kI%8oM|Vp^ez9Z;Lgu}}O6ndH>EnX~?7prxla)2lyZH=ke-n;0lJS@5 zQ8VEb!cycS^0%Q_5T$TedJ7&nZ+L^l4c+R;|YmdL|dWsoa! zPSM1t;5p#C&M}r)UcU)?cVH{uEDNWA6P5yx)8r}te?I*!2Jx)FxGbF8*n02bl{ z?%3K!$o}5)2%KAS-o?wKrc>5X-(VXn%UJuex(oA@`po)aNme+ztiEBP<~2HT0iS41 zdNfrR9$_X5q~0q^6J1;26HhcaR@rRb3mVy zQx_9QMq>d4!=R&Og)yGt)y!zqOW4a5gGSl-MzWnQu?AucX*D>auZs_bEz9OOdKH*m z*VsVDTZ)pjsiD zHQ|@zuXh0Ny9{+1{+yMC4E4wnBWCJ6G(ktiYydGyb$D4JW*jMBy)jpA`OzIZiRWqjfF>BI8zr+kieT){Ew9+-7HK zSTZ4}$}M~3@9}xijjzbQ181lyVKh};Oh=7YE1^tJ400?mKEF6pf>)v3TAS6+w>fZg z4c{j=$Z_(?{4&lGXb?rVtI&LEb*us&m`C_wp99uw$NIC|a~XH9xS*jXR=v z)KwxGH6xxLW+jv)ge08CF)}ZyWkQnJ4Zl{KMlH4mvNsBdvQY_QTU~2J>tl{(k(H#k z6{(|~j|=9;oT|JrFM1fGgKU}Mj5(Tgl>zbyi{%5=g~wVTTY%h5&&>ULL~6pB(i>a6 zH-p<77O}(O;*3wANgjg`K;HJqK9<6Uj#IV}KX=}_#7eyl%&s;m3}m++k949e6%I5B z4`re*%4<>Sb=kbwDZQ}~wSX6ng!8c>4Du3LT%FP!%*#aczK43-&@C6ZsIF#nO>?|P z2}nFl5242h+3<-p=SG7!CYb~zp1;6gRpn)DOhv-GwV3}cJZaWtZL)Ugg%pZ*=J>__ z)bgmsc`ph^YB}zV#c;?K0s@f*$jqz&6ska*&{j*0h7UArFtfl|fp)==uCgwu&Jsem zXL+!I69Ebe-G|eSfuhSKt-P~X*e<&DY2qO=(*~@iAHsOm+E^;Zo{q%^8zYBb`_N zpBzKipdb(LL)fDt5hT}E%Q*|h9GH5~xdt_n#zRmFWNi5+T~&Yw8TYFsrQWg^6T+iu zF?o&s=owU@6Jpgp8qFNCdZ=lbo(*iKM1`uK+ z7b4_;Dpi^t(=;38FmyE{IC51^b|;YYvAlexT$EY${NBLZBnMffjWkDHuH*tWAJG1p?0R`ugssotOPRgQw*Ewfov=9J6hCx`9 z)PT3lnss8spe>KJkZFtumcYxpU|i;!mT!}MgqvB&q8rF7mZMe6=<$A7`@gbwxCk?z z?-sKZbFY0MSpVjQ&?e&B9$8cdf4nt_e1ZiESb5n^*dzWC%t!m^sXveqtO~RAacpV6 zdc<2v?4Z5`OAf&f>e=Y2J0N5sxUUcJP~VdN-Z4UX5U{b2&$t_;i(2ml>5@sa_I$Mi z__o0w^e+P-GaYh-|`DyTR!37rb{MVwrAYBS%)%YwI_O3?ySTm$fm97fs zV^8!Moy%5Z-D3TOOQj~yo--SIOXG=qDNuG##zg#%4bVdEpibtjlH2tYMy%4iCrK&9 zPjdeLK*3+aFSB+Nd0eN|JKHB&3LZ0=cC4R%%-mT?zVX`X>qq{M{QXrw)1W)D7{CMp zD8ci47Cn-+Y5PctKYM-Wg>Qp%<=jUk_o@lAez1d#U-ukk!#|ObNytmcmF8q`Hh+q&6s@ zf}v*OuME`nSI|V^q0-z#0nHR&x_Lvow);IZQ(JPOHK;?<8b*CP|NWexDqk z;O_aX>Fy~rJg4JH%;du)B1dZyWf*6dc|z))ZH_7@j)DQkw!13H4xg;hYFo23*`&1! z=3vWRC4?M+Qe~3y3R+ICa7iy0o?LBdF&?h1 zna^kyf?Ne$J!K`}4b4QJUm(izl40f*g}>nH`M^OaD|GkRUEUby{u{#=NPoWS}Im4i(XuMFr52hrfyCm5`dr7+RqItItk#E!BinU-Q}#+yBcX>oNH3hvGQ#R?7u zas=H9doX&4v%GlLKt#}xhAg1ZBLqdWgP@bFWV5dwIgSB;Zb;>x9{r5`Zszyp5k3j} z>ytS~1bMpVVhEwg7)aVWtwE3-%}l^`v`eN~{!kPou8m?eV}aQq6(|QNgoer=kZ+}k z&hlB_<=FTOfgA@mpK}_Kj@R(zF|u}eFVzdGua8GhL$!Q!q&Zl$rVZgi!XuM#gqJu2 z*fqtCH`Wqa0=~$4dpB|Wu(Y(lJ>~@FI>LzO_@qJhz7ndIw~7JrN_~em^c%)2AsQO3 zAuRyky(6F6n*@^W==64%Zn;q(!Uhbn8We8s_^s%wc>C=Y-y4Ay`>_DumCa|78yoGl z%38h{%jZGgVZ4c)@qUNh@WaK_G}ll0BxSG4Vp|jW8j`f5CJztqPB_f1aXb-cZ9u~H zwxCNf4ZGnlbSAoQIo?`dHiSTL5};gVW3PB!8Lu=^<|J$b;JYwMa&u{=CR!6VmSBqU z7YKnMn3Et(pZD9u!O~+AGSW=2uGZaJZ*G;vBn0LFN;h9OZ+76Dh2{C)+yuetwhSP> zM?|{;NX*~p5F$}(Bug8U0=aI4wT2ild7Y3ad`&V|DnKKk>~^8}82N2%Di)Ti6L~N` z^rV+4#p+2T6*k|oG8 zgw|+dI?3)KBc|52nQB(ipo7!KuJV}0qHBSxsHL-spxRBHaYU&r5Ug_xx-Nz2CQTQz zPopj8756YvXq{$Z>v#s-TpaBRNs^ zw0&|gM=Nh5A|_Aipp17ZO0w}^sQv~K0wEL^bjV7QSP=vr-Va&V1iDLog>X#15;??4 z(*n%)nyh3vLvKNVZptK!3o&nNYc``G8qs6YZKiqUSkKg8OPCxcNIP^tz#+{kvWeLe z)dPs!An=);fYiKlhF+K5+FhbY!_*-WABFLxilD>0^B^nz`^ZA}8p(A*P%fl1TamJT zswnU0=wxo@nHVBA{-d~*v&*^0&|hsshN^@Ewni*QqBQzJW5rEW5V_7uRMyL)sXDudqy^xQQ49D$hP|ws=Km2B)in4l-bH zf=UMjx^DPH{P3RWyNhL~`L6DiiR0Ur{sM0-x#IeqtJH1X;%))6d1@ zPnW7~m}C~{yjo+ZCz#<0Tvkl@HG5fTBR?+yoy+=3gaonx$sPaU^qZ396=J zQ7SdNudIXU7Dr60DmwpJZb>qk5(6?|5jBgv5c+Ug09PhUNL78HajSwj3Z3uQcUFH;Dq*tC%m-` zll(B}hO4BI7qgZGd=K^``@oG*OH~{p_gwulWESf!<8-OOu zhK&bW?QnNf!Kvu5JPdJ$OF90_I=n*M8bvJy{;6zqP zav^bpOqY_<-@uUbW&7ly3@TS;xQVS3AbCxML*4pYzs*XY${N8DE%phijLzVROq;$+iMxDI3Wzw`yw= z+M1epX#_&pFl9Ut{_0J89<0PXOep|~m%~*j&@WwWr-;VsXfg*0F;>7W{Vt3Y{y%$P0~keh?SE%>5+)&#$r52nvEIpt z4cgiTv{FQKH$+@0#Wi4!7TYXT1Z^#d);_gx6DKkCQAia5`|RJuCMdRwuU}ZGWr37G z^&!yKuhtLXS^J;@#-f!%VE?~!@9bv7hgwC=|H*~S&YXMZ+;h&ob3bS950h7Cfacax4L zh7#rYwOIg>N^d0ed59CTJ=e-9lprQ5`{a>Dmep=r{JEGxU1o?1;GoCS;7^cq%!J}& zreF;9C8z(U1h?JdXs6gLi%}>J0GSp^sUYh86Z%mGV6>k>dN-$}QFri#bQ6wymV;d@ zNGA@H@unHWS^}L(98)6245G1CBMj~Ma5V^knxfR_X~Qqw1`{80Fj$hs);gAJ$FeQL zB7|$TQCQ)C)9_|1SSdN>kga)^G;)IDFlwnUxXpG9md$=ARV;Dz+Hl9JlYNK%shT)@ zpssRHABeTS+NJhvtdFCTzC9SK^RSeGmTz1wwR~k>wqN;YW1bsiG~`R|RD;;v*rwWq zv99ZlrM?52=ILlzXS)xoB#Yy93LsaJ@y%esZo06p!D}tCe)7{%n9=|C49EuOPAq45 zjrRlfHTzWAm>sgOFQO!$i|#Nw`s^tA?K0}FI8O9>#r+uWkd6P1#svtzm_x52Avt_$ zw8|}P==5T`pmcMdsW-FeXknL(DZ`PKnpJ9a&)xZo170Rs93S$Z$iU zS_8$W6>Ap%s`3Att4;v7BQyqgudTg4bj7kIC5eM-@+=E20R{iZ;B;ZBMu*NM=vcFe z(m6FNl5X>PRWqX_G`~abjtX^L|Kcd{wt^D_%C6_IrYgtEpr`$4A|cX}9lb!zgGJ~pKw>To~SXN>GR5oFPmD|IE+iT}7-DXK~Z7aYPB(JaPW{ELhR4q}m{PA|yn zE+|kBY94bzWO!AHc~D8YT*v@ zjXZ$^QSr?P$FDO~;trH*x>W@WM}bIAS9PQpu`BHa$T$=Dr4!<$H?$}Sx=e{sF^Zf% zXc_6t?h_JnbU+0oGO5Sr%H&9$bESk(il%6|TX{u-Abi!;0)C~vN09Abo zZGSQu!&2B1LX=(62|3wo0G^b{$aoETs|k4O#8-iv3tUHyvTL2c2H3WM(`5(FF@N)* z@tw*2wuSTd#YQAqKLO+{pj$zcYrDGoy(sg;z|DQCfVi(nu3-0t15XYK0B|doU2%Aw z0>}maCu9RoSHaR+^Al`d!r1p>-9AR>z?o3JzfYXAg`IP%v2C<*EAVHxDooyWYc?bR zR{7OQfoC@bHu(xxB+LrmoHG^5uELZeO&-;n5acb)$zPEu!2?IU8XRoQe;m4~Ytw0? z_kZG1cI&?F-w@gb8Am)kJzbR%cl0S7%kGv()8CPD(V5MF?K=d$^JmfXIxi7O?p@nO zj`dNw^53Wc#PH$fMAWZejs{o1!sPF8fivEm=ir_b41bn72ZU3|sN7un(6 ztgEXJG@zo=yF>psPu32Ec$mU<%p{9f8b>IulVcOq2lu+_TF<7 z;pSdMwFAfiM(bbqm3bqjferavY#NbkFuLEPL(XI6ja>FEOuXl^&7&TXkoFuip{2s%Vs58;?8ws{o&% zrviv!@=0=CSfT8yB*%0G`X>{&p@%@c zkS3?dw`DnYWZ*{$)D8rVtg-<dJdDF(}!YJm>&?x9jI)6mIE#;rY0YwM~$ zoq>f1USIgnuMPfjN15E8oEchNz=m@c4x1%R&wCzQ-(EufzTV%#>?P`aL~3-`4y)YlDxXoaP@w?V!kO zXPw%_V&TM7-6*>m=D;VgHDAewkZ?-Du1(5#IVS8s?W%S#l3(w0_{+eb9gxVovTw`0 z#4+<1;@@omYyP|C&EzX?o(xAk3zS_fTp(PTFh=YJKrYNN7b!~MR{^RW5`E^dqzo*4 zH1MDz54yGD|0Yh`)_GHsEliH-dQhHb7!@t~hI7WR6Kt51e2Cw;$(YgvEaAKa8<3zn za9+X*B^q<_q(OlS_L{?6*Cc|;STGkju6z29Hd)@;z@J^S3nL4(J{Vbik!lCR0%e_ROE6Dz-qzH}A}9Hciw-?N__N`>>)xt8M(qHf{0k!s zIF>DZFOSn_XG~^+dFwE;Xjiwtn7}9zwF97fuUX_bjkkUEnKc{D{8^QMYx%;K#{xS8 z#F_ub=nhe_ZEJEK%Rk)rq2+ePL-~hWK9h7Fd2H)_iQGHxAJ38^|963eNtJ8Z!pLG? zBKYGZT9L6dvIs2u!5b_7xv1#ctwk6A;ZuvRSp23)HW@EeWKBr~P?7fXa8aSE9W*4U zf*XCG_?#L&_5Vj9fTcY+_h5e@m|jM>xo>^*M;i{#J9PN@HG5VA#1_D($=wI%Zd;-d zPPbjKZP1?m@dKl`-M8ltd-s9+qQ|ZR)+*q2Q*gQjlltPy$&;8*A|MfWlw(k4%wk1A}tImeAf#Ca3jk5d4O{*dD;^9Dj{SzVKD)8NN z_x$netD(@vKYbWUoVETJd)_+zXP^16m9IU&`&SoiyB$K3gl&r?ZajGAww1e*yWc|2 zzV^B0&)-bwsR}w4=KOK@uf9S;o&=(-M)2Kt*0ytZ12mY(KSPo~yx{qtW#Z;;Te$^L zvU5+J`^{hOO3qzf@$AZn_kH7aaG&<0M-I;0Huw3JPbSyERZBJ{cR!CLzj^<5@(DTi z#)A_9NK8Hfwk-+S_8T(iMsn;XMSe>;_Cyw+nOR&`k#)V*BsV#+V zNz)}`y{ZO2E*NvnI&LfDi(OtGlsTROWGf)Eg3JKe`hDJwo;pB#Fe)6Yu6ze>@|rAz zM?Y!cnnwY;$_zl>)_^?OpesdYVz^azMgg;fp0olWyG|*%JrTAV0HGUo`bY?w0E}2o zHmy3>U^%2W;pSqGMu5TUyi}SrVL!TPuy7#Ei8hE}Rb5z-NeIa%O%&cQHw;+WR7K>W z!Txo8f<@rF!&}{PLF);yZS)I-M=-UfTRsDeCZ$cKB3K+`5guJCsx9fdxSKI0o2=&1 zN_eoYxQ;`X0^fyQM6N|zbuIWhG-zo}G#Pgz7V#&j4B}%{9v#S?PuKAoOe{{xR@qRb z{Vi3J2DgKyggiVW$d$j92a64M2f^vOP>2Uj18WSG*IRY<29JPTC|ihZt;JSS9!dIH$z%vC27JI4YL8hYc|wk(G)-e!Lmtt`&j#LG0P;anmbOjJxe9K8EAm2tiQ3{TW5bhEZX9m<| zM4?b>;80)1psUB29GEvlk#R7rTBkG*PdH>drF0K-78$;>bsXekPAl{DdJuvk1@#K( zwI0R|R$RweGsup>D_krOL`=^ZVc*mMco9ecThgv0($N7TKd=C8@ZWJ{3`t1*Lx854 zDWWlu@F(79Lq^a6tI_xqjMJ%6Oh=+SX1M^F-g_||zLj1kLte<3Ig&V(NQ-2rLpXg# zCqn%>cS0QmKbzHg?>@+)+cWwOsKcm1q*^|UD!%uDhx-4YkRYMeqG>MlxS?7;YZ0}o zK^v8SB8tYqH8`3M-zUKubOHeOj@F5lhLDobZHFr9RhucyA4fA zQ)QTX3y^KHpQIZW;J6l|lQ7I)53)wtZH8>pWEq+`#l6f$*)oZYE(N+6s0yZrg64b( zq0UZR@?3?w8MlGDNpO#~QC0w8Uo?<051NGVDFLg4DR4-6B z1=4DWGoA-yNkPKs^awZC#8nph5*cw*s(m-G z-2!A=^Fao-Z6Q23vl0bB_w2MLCOgHT0s-{{59Y0Nth_p0JHtmNz>PX%QwcD6O{YyE z52J2qaC5Zr4E+=)wUFV=~PBD=1Ub85_gH7gqxg z8d)&UM(=;Rg6hQ+jIOxyV9;ZC4@GT&+v3-&&JMNWe;?g!IM`Lh1c;@=0Y4xLeA#kxP73G{aH)4&2!e$_e1XA?1gXYZ6woU~LNFj^ zdm;kG=wfXyj*7bpzk+o*!XqK3z@_CP(!ujfLJT6?V8svyB0vFIXfZhF>c6zWrvtbZ z$--!;&=wGZ*Nh+rH))0*M=b|2!+Ve^*>?)^ofzItywWy7z9seWpAt@`O_9K>fh(LQ z9E>mr!Ajte3MT2#^_4_7ZXlj&p~r5Bp@EbD8OJ)&0xwu9Ij|R6sk`*Oh{ADw0+6@ZjN@--dNush45r4F$ovY}qT=MdhLA??#dj5%&%v z&v~THz2!{>rwbg{>jsVb%C)UaZ{0QLp+`u_LkcE!MmY(2m;iFmAlX}1{ovuR+&Ais zYbLFl^6IX~Zry(j2-ca)A>@%|SIueN{7?}kdCfIn8l@0rcg=pN8}&7J=QV?{AcJrm9CcpY!1z$|&YAFJ816xVZ{;dHM1ecKNuut0{LbfH_6J-Yf2Z;QF@B zzjkU&SBP zXURguc}K%chOJnJEUPaJjjN_zXNPIMhhh^HEF^-vDtJXG>JGye@4VwFkTX1p-Cu;5 z2FET3xhU8Q?(t)XhkJdDQ)k^}PoT(geGm)LdRU z(9Vkwqi>MChM{q*F*xKWjlt2ER|6R{ZV<}u_q?I_bl90(YMsJ12Az@iOtfyU+vc6= zT8o2U`U!U07u5iDGj5|h0M(naa!B-wqLDLdTSL1b+qxFy9~3TzfQjRJPLuwkfsw+t3G)MG;!b6`<)Sl?)LR@u)NVSH%bX*GC-kUbC( zTP1*9KBpeJ(*oH_NHzBDoypVXWWh68-tFPgIFZewdjg_nOo6v#W{cN&C%B;;e4-Sj zEQWL8DqqM)PjZn6_wuyy?0_uetOo%be z3N#poC^yY4c8SAPA6DRneO8ylB)ZPF?Lb?Ege3tO%W`C0F?NwLC3Gvt_@h=1=K?~E zf?C{Lm^Gq>l~ywjeMppnu|;kW+oFbXK`RYXppqfM7}$_k`~WfnzRUp0qUZ#JR8Dt+ zBv`Fkub5l31DPFCyXGn(;4lR7>0k=_RUWayLd{oGzH7E*aNXAowQ| zrz}DT3UH>CCF;Y%kWSbRIi{eju&S7Wu~?}@WzKRS_;X|epyZdleOQwf`dg#aP&3UeHrBcW{{nQd5K0$ zp=P6}aiM6M5RWreAPg;}f4V?aDsBNQom0{PxihnrPwv*m$2NmY1vadJY{3k-4GJpb zk5j7Plc@H0w_{IC861e*LHjQ4sEcYz&J5Vq(xoHBrLc-*n z^agjKVVNU^BcgVsOc=%J3Za@uuTh%Sp>b1K`M4QeWVvy#!IoC~*%8`!*YIUeX2GJ+ zxUjH_t+NG$r1t$utLT%1C-*O=YD9Ksk%17kwc+;%Hd{ z4QO;(X<^9m#j1N_9v zgAt{gRoE<8R_GGJc((f|&SXUS+Xvr`(FPE|6)`Sz@Ff#4G|z^9cpm^VOanEL)wcoW zm{t{m@-|uvw<#b@=HNB4B}=4^O8ayHpU5q5t+081WU_+Qykz7Ahuv9 zO$xLS1$JyIs9yA`5RNVo7n1Cw$t2`oB`;D|UJ}DJVkD9ySq{lymdau$#s#x=8SH0S z(y%6nP?HpZ`F<9wLhit^n2M~j3JrFl6Pv|~%^YFcFei(Z8dhPUfmuxfc}_+_&a*;D zKs{1{*99gk$AMevU5>?zm|)Irkym)IR~7qXI+i_Yo*Y>q=McDZ5cBBVpb}*(DAZaM z5ylpQYzmyDg5vNmD#0xTUC?bkLsZZ;H|P}@i9gf}I7op5GhI|gC`Kwt;8LK06TzJ3 zoKgcCRBT83U!Z5@%dUBGe%s9R-tmzN7%JEN`)!Sr(ootGO8j zOvoe6V!Zo6fncz(FO&d<;lQSn)JT~jOD(Ml_e}%6j&Ve0hP7l*0ME1(Oj<};n~Z+7 zA55YZ;|~dAcHY8~a~#q^z_D~;a2!71AW1$cqC<1)gnp?CWNa245TrWdfJG?nCn6{o z9xS4)5*X;A`fqWq?FlLU^$9F$c(BG&d&Q(gs2eSEE7v6HSr$ii$|2b)r?DJFHB;Va zD5qI_+3|Y4>+GeNy*`PuOB=#3pOiwveR|@ORa35gmiBUAqjqOczo_Waih{sQ~*`#8m~VyR@;G@q5@+}fo8Sw z9Av!aL!4%@#0H~zU%avGCq7ah1f>wrv}2W!0t~-_W>>9bD-UMK9y6c_^W3?@!1FqP(4rC~Zxd)I-z|F9QJTwW8oDt`M(=A!%8N4l( zmz7w`s0DXzi3GfBTYa#ZaAKn-f$v7_C>B+`;&uo?lEVpZZ?I6tr;^M>v(x5aa^#si z@nSZnXe#jzc`cHRFZVp<6K&;KXagb6TGx|Mk~V}V1vQzXd~-5oYcirzdS`OKCvrWK zti*1-WDe`MY>^TID`9x0R*;Z~+bHK9r=u}=MHGO<${M`GE*=DI1*Em!2G1pa&?ZONUOe&B`V9<0(iwSad+(>WD)a zf5N{|Jx^RwSJ}k0H23Kcq=PAC>h#E8Y%u62feA(2-ej7IaE<_*O45YbXaP2D%IN4) zBqbTcp9*vzKEQHVIK%?Yq~w=4B24-~%CH<-TcE(z5n7UAxlRdlPbrj|rjB8<=n{kY z=yR@g2vnv|d!BIUA(410U>cvv)J4Xxq)`RUs!;H~sxK&|4=dy#p=^8$669+4&0{4? zE|(NxbSow?p8{Hy-GuQ2VmX$VX5kkVjnW;%gi6_FU~ZR9RapXNopjH$ zDOqHO-5;(Kn3sS|-p$lY&%S=XsvS;zz5RjIXz!^7d6$}~+OohSe0iFqj{^y})@@J? zVv%YP?aW#pjd`kxn;61^p^FLW61;xgP1f8aRXYg;-?2U>uObr!D>eJXt6^_KvkX;MzIOmPX`GDcf7&|Z&iSUqw z02X%>GFZ2`u_!vD${cAAE5V-s6nsD=Pn&6 zNg`A4Af?)*%-2(v|p$Ru2z$A^D0uoln`ij5+n`U?oiUK#Ntdh>aZAox53KB9b zQw&7LAUg`Ix?+;yVC@@1g2ZuRtRzXPBuy!|u(NB8|iPvSAI z)a&*1Bw}Qt3Q8uADw~=>&w0-v=u+Q;aR9Q^IRlf~9>~(frGe!M2W3({hQx}XxrGvo zN{OTJ=~@Pp?OMKCa*!-$I$7M8jR<`1ffOusf$1)ri6JI#MbHXzdhl_euR?VH7#F@z zv=F*XaSJR?BHgGWL8u=C$PaG@GAm}pA!L<5wGLq4n;zc$Pmu2(^=AJi znCFIquOid-U`8C8ytZW2jhLe+@~sL6_$HbxCm}bgg%5D=nXNTBL;?TIWsXg zPuyySEw~%Y7|&wro{G;c?OSw`Z*IQ*<|#Xn zWV%#oZrpLCY!Y(f$z=S$wP|y@t@O>=avu43vD>$Lsc)1v}`D4w&@W;}eIQJScqmR)c z{)!a-Y#bPJ#I4BCWMIgy9PUJSl|5$9G};Eb#O*`ksWJ9*v?S1Y72)QF7ByA_Lk{mt zYM@!qiWB554ZW8}ufKB$zRK8yaj<388Zz5FwWIzAqob$e<;7`k(|8Pc+jyC`%(<^^ zKHlOVi!nS#`!>jpwI$wy0R>)m^T=`2gCk=kdlzrR=NDOv9ff_zrkbOpF2HxE0rJ)@ z!G{_#K<*8x`EMt*Gw$NWNC*kL-jab>u+dWnP_T)t^he}sB7VA>NdURkYaGD=i3j7I z8ZkW=Z*I22CvX++An;v6vpd}(q`al$;>=nMB^8t($XZB7Ve=7u)eJ}m`GjTh5F|MT zrrZm7!@?C|8{@pBy6_jw9RI-=%7YUfQ$y z5Y-B#(?VyS(Ii5GITpjm(%AC>1oSsc8G@igcR*K^B^ z8a-7N^ojR2qm`_2_pi8g;-` zx)hbb<^=SsgDAu(0m(^=k;Bql%os-G7>9U)2t9KH28)JB6hKMINhpFk`jM8Jv{B>} zdr(6OV}G9SdLo)_G7Ff zWWC{7*@#(-o%<9Yu~9zxj#ocIiiCCm1}S!(WbBDRq?v4V91 zy^T+wP;Y-*wIJNP4K3e-U=dA!+nLol$Y>{<8qFGZ9XbiM?!9*0WsN(!Tc;tN|F?b%@_fYhjRFas8e|@O8FgOV9WGK3q zJ~=fWtEn|SYrETVoB0Wu?S#a1ybVxGeFuDTN%FhFV9~L8ki`wzxE*8%?M=a8d%77S zka{~_Cet2-axi`dc`&Y;W*CqD6p{otbj~o|C#MwD=42#!1h>*V?bx^DUO+-_hw;*+I-ed4hg|pGCai5WQs*gUC88p}l}OSfSFzDz`amXT@q44>y`GMJ&sJvU z&IcgGS$o;ZzC+K7TgaZ*4A-evuSbk(IE6qQHR-%Z+z}U257G}Fx0cR4;>Ud_?(p)Bb6?BPIST;56hFyy*=1UlRR*QuSC%xJ-4h&2|s3Q7lo(*djT(!^eF0=DR{35iNKWQJm&TPs6x&<)yfl?t75 zbWM8P7ov&i--of>Lw#XPXX2;5Qq$JbrkA&)Is=6J>H#4 zbD09CI%&gV7AM_5nvk+zrEbYgC2*nK%L5GK2UO2G@(7FI959;L0;--i7v}iZ0{h_8 zbrtRxunZQm9D}R7egETJs%w3aOf*%NioqCS)k6fvX~;*o-Ogmn;O|YRt5#C&@J4S^ z=_AvHkYW4mv%7NbU=^Kvw`?~$$0rvHJT9z@Djiq}2*BMq(~!G; zeYi0aSdoD7F}MZXfkT2wCq7_q2>u<4rlrMPfKoObNGw< zI#s4E+(&s?&H$+#M#aH~$Jk!;)MQ@Z$s87{*!IRyfM(9y`pCAm#^zYTy!=;nkl1!QhJG1t60!NWKZD;oDTvfm>n3rG&*qOig zxqI)A`N|_F`wlvLP)3lu`8zovs8J0dce~7rO;+Giz+gN1UGWFHRPCU09Yt)@J*!`A z&L2Kq)eb;!UA(4a;F=B+l`11ztO92=RgIw@RrXm0sktVBXrO1KMCAk6xhoIvJ)w3m zW#GRxIm?u6>~=hMz}f`Z9S|Nh_un}?o%bZ$;Uvooi!Gh({LcK>I;-+fJFuor?95Ko z4v@_%UWbB7B?MjOZ~?29=ENRC?v1g6Jgo`IhLKRPLM2JHS_*?0#%-ubZuoJMeA1_@ zWPO(y{k#8o{7-D(>DO)99>@8vQ%e4uh4;kzziOe}^-+aRA%Tw*2+F{8CCVd0&$9^1NZj!hg&n!tg3% zuYGE={|XjoFPw4%`)>Z$?;1uuScxu?0auw(;8JfsJx^*8JdfQ z$TS4*061I~Yrjo{ZMp%qImCU$C!Nf~H$eisev$+4_J z)fp;EnGfO-!gbsUJ5ts7Ejjv$N#O&-)WZtBzDdUP={n3t>>rT7pXKw51KTOVO%3~o zBQMY2Zj!$dO6|a9R^aNa!~FZVy>Y>5og%sQK!vRM&3aM62Iu5GIoTQhb+dyR6`gn7 zEQ?VMl%M%?@9PIiwvuRuT0+II!wjBEdL+LOr3t$qI{+2{l?d~=pkGQBlWt}*t1 zZ#mg_Nac{~04JiKI3)DQVnX(s7aX=}e6mvn4voKBky9fJwwFd0eO6QLklXU3pX zPYs8>3Vu&x?eC~iUQCqAHd04Oqz zEcPeAE!q48l0fay=g`III}F))T5>-d(b<*clyY**TS??)#n!?V$*nZ9_|#S-U~UQI z|6`9Gc%wM$hN1U8eV}41FqqkAW68c>eSM1E^~H)UZCF36 zCka7CnXLY2d*H3nK>DtD@~KZ%Je`~&KQ}L7{Fr_7KPbL;hv&Dc+TkS1?$PkB7q5B} z*tVZszY;L1tIoO)u(W#)-u$Hp(qOQM4t|F)Vt2`%=Ms)<%Qp^w>u4cq?mgfJ+sr@i zSw&F21T>rCK4aU;SDxJs^2&)n{hx#Let5z7m3#KxaFD=Y0jE26+b>n__(-@1&)V|V zYk&Ouk8j=f%Zn9S?IZtn&Y^>EtnR<<_E-K0A<01(|8m8_3gG?z@|EXrNM3N?1F!ty zFA(yx{QCcSe(ttkZ~4V52X8od-5$sWg0F_^{ZzxvZx{(kF|0LXpi z;5i4u_T;m>e|GD(mH+kAhgZ+LxBqQR6y7h4+ed)8E9b4;z5mv2bGP+*W#8-rqe0fV zx!YDY0#SDE!iqh~uGJH_J&@eC^Srs+Zb<^$_U5N?TMCv|bK|ydpHQqKuYAwsS*x-k zWb4lJ;K)GBu3Hqg?UOzd?z20Q)-U_E?!IO2i~VoA3qZ;T=DzrgJ;7ZiU-{~YK+A6t zJMpf44Dn(Tn=Tkit-##LW=wkP+IK6&rPzfS~TT_9#Xd`%Jo z6&)dk)&Wq|s>jLyN|fEwD4F+mRu1f8yaWVp9WS7pnyg&>ULQG52HQ*5F=NKMj zncP92dngGJEm4AVMLfwic+}+1Ck;N{6Q8i^iUEKD@&v%?4j`0W*OzUu@zs-?CKH^l z>lCi#(W?OLTjv_AAEE4Kg&DwiEtlJE(L1xHYZ#k?ePoqk3dqhzN>OnqQieIEbw|~A zl@QX~6AV8JRCQw&G#Oq_3eq?Qn$!VX*!Kw#W$VJxy67aU4l@bRTSD&%K-ncBO;LO@ zUhHxPy}g{;s1Gr`^ouA=#V#9%{Sd&0#S?NBCi_Vf+?JAUDcr#Q0_rNORhF(op01(66Y5G2kHc{?y!0Fzlj0d+v zc_*Xy!@n-GhvyMg@6pdrA%p^^UDdqcVR4Y9%LkfBu}*Q@AoOzSv|zEy1=)mHU^6LHID2f&BNU^lv7p)T8IVafE4SB$Bx`AJs&udzN8FX61#wKQ zR5}~+@&bM!d+4XPh5+cKaz12P0MJW>f{sOSdr;wFqnH67tiCx|UI_);0KWyyzDAdq zK#<-@O%O zH+he)9oWgx!vhizC-M}F)bznF0v=HWLmg8GD|MoNP0@YHnF(h6Wd!{e1PBQx(`3%j z5`z^lZ6gSPcr%v?oLV_}2MR45rwat3j;zYHjfmOor>qlb!S0461T8Z@8pMg|SODL} ziP|R@2#~M@cQlJIXhz~ujzkRET#BW7S2SngPSA_FW!R3Njwu`g8UkMD*1se|;2GiB z`kL-RN=#zCpR8qBI0v(U1_CkgRg?ul596!Q<~Epu^K{S`N`|Ik!R8SA$iU4C{!dN_xtzwLeeSAJcDt(se+CMXm}0b5?I7AfmEOs7W9>6ihD4FC&}nk zFvwUN9-$Z3(rl?%X7KbW3$hS-BY@OMA;?I-Ws$+v&EFf&e)+?UzC$&t$sRDNs2`~k z{kV|JdKjNKe;ABMrx-0?bbZ)!Eu65nqkMuE1T7t!{deK2MbzF@HhY#VPjQPVKKFMG z>N|KSH#Q+P{uU|Oxy@*uT1d8`WK*L>y@3MHm6}^pzrT1-9&)__Tj6K8wzEI0#ShWX&Tmbh#99S`}tz{`^(qNpg)ziQ4Dk~jZH zkfqFtM5jBF2TJZ(UkIO+XtDu+uM06A;Pa7$H6QS~;C^HnWdrmI@Z5Fq_X2l9CHf8? z`cDdWxm!UhKGd1C6Ht(qltTz|2SS1)38&aD4l8q}ezYjNB|VD0_O~u<%knd72NO0x zXV{=Sz^ao9fBf$thyGP_B)7+hDh&_bvsujd$$WZ7GksEdd-TR4`=AAW`jdfpqEY$e&M8ery9B#BL-7>Mi!M$G3r}9WwDg(RAD2Jri`~xN$6Gt zFHE9#IGWq{$&o@n0y4Q>O#ju%k;Sq4aDs@`jnv&m!=O_N-MvbEjSi(B(k!@Ey;i#cd!9~1@>nIM`kgfXGG5$`epJK#14 z=OBVC=?L8t#GS?I%8Js_$)lqVkfj1C^5F*qPCFu`6atw}q56?QxjU1X2UjxAK?<0X z@{W9v3N*^V9VT|wA(G+nR1E!6tj*yt1C;{3LQO#}dxM6MDT%H>nxNG>R@zlJtJ>kj zBb!-)l(7^5x6Fv}@8Me`&`~B!FODkm7!I`IO%g(Cj2dh9*0wUWQFdmJ`aZ z^2tPnD7)%9jo|w#HYj=Imr4i$&5nBFnp3dL$*<-s?y@=UPptYgk(DMI^7g@H?bt2_ zR)W0y=0Rk9TDC%~UF=)=@baf#o%5Stz5=M;RYeWh&g7bFp|^6?^~cSfHta;A5M{65 zdySI)cCPBi`^|LKab+L3u(fVj_2(sG5485m4@<7AB_RXVya5pyhd2R+7-`YCmpEsS=xEu(+y%}Zq-+!WN2l{l_YoHHQ zdlAUzM-4Y>?-=Ucew6{AJOxnI5W7NsXguC`6;N=sf?qCjq8GX|W&ptUdvE7KXFhF} zK8ZeJjz{q|G(c?0-+G(Y$|At&x;Bfu_0~{)ByFnwSZ9na3QdS(k6OrGG?TaWN0N)p zP}O*)$rUx&J_bS>yviZ8=L&`$^nvvFKhsvK<#Bvv?aW^W&N0|{^(p|(3XV--rZqwD ze)M5?#CZynzcf*h49gCfF=F_*$)%cr68{N7MS-{OrSvf|@o*~8nSI@2O@Pdmx zfC?&eW|#TJ=U38mEVYHqBt#LmZQQjC@=4)hC|ERZ5|Ul(<|fE^B7eYzjRi-IyQ102 znF$4FxNWDzTUmAr3Aa8JeI1$R@}Jka(|~>V4DE3>C}cVb(eGCLFo9-te?J~1c|g`sFLZ9G{Crxw0axFda@B|8lT;S#+v0GS2}LP+W!^QKy1nV>()L;}|c=mJA;TCBi3{tg#J3$rQ?Vk!V@OW?c~ z2LodkS;}hatq~MUNs3xJ%LopO(UmAgU%7yprV}nL&SI^MvqT^CyM<;oaWm#kGJ?cs zn(ESI;}eKAvErE#O=^8$0gBK^s91CeV`dh~7<#a%6GW`N7LicT8zM0PP?k62`&MLT zfwT(LDu!0RAd5yRu!RYIvs!7Q(-@1eQnl$MGx3jtP{$sJDsY+jmL(QrqA(X<^D<0z z5=R3KR-jk!4EIb|wS!=&${XM&RCK)^`}4z6Fvo;XJZ5=~j1XmQfsK9axO=p#2=dky z0^F@{=fNT5SRov0mX8*K>9J|2Tldk*J!UFEyZWb8X z*m~~5x~N?XAw2Fi;OSd{p+01f|E*FmW{?n@@)EmEVZ#~djqZvOcDdCc7~0584_>OQ z6c7?T1uZLa!<^QbDiE=Z0#Q$U+s81S=QY@tb-QT=kYrSlY$L+re(||eArJdF#bjlh z2H%~`1_VcHO|UCA6#NhhTaXlVu=)3FF7Qe@?E`H!qQxdM`NSm;mUwj*15QDqK}~+F z)P{1<+wdBy?zBK=cVctE8ZR#zDIs%s9YcR=U?I#QAx+pa4`fbD$H+A0*bL*fl|_XG zyc!wFqa^$6VaNt1JsBhJJh7ELc+3Lvk&~SzwW@YFiL!e%-fy#mR=|vmL$w~kGiX|+ zh19BQS6vb@QRQb*%VM34qjbJqi|_xt5oPi6OgDEV0zTtZ3sOq)vJGRSV**D_M!|v* z;+C}iF=La6JLiiux%VlMEmlw5rg5Rj6**ZrWi&%*usfBIF=&;V>ar}!SZUB8O_Y!e zNeFA8Lw+G-G&xR{q$JVl$gw<-dEuitem_PV*u*1{H!iCXmRN;hkQEYcNf)u%HiD#0(>`9Z9M_V0BkM;4TI#|oT?W%Te?VGZ2C)c-MqS&zbn20$D$_9&t%keNMP&0*FG zj1)+Q!8nez+X!PpbVnJFRWc@AgGq_UuNfWUVr&8f5=K3ExD48}krQ{lNk=@Rpcyy< zo(o63PeB(gtjgs2y(O!eqJvCOY@Gz->0Fwoh4r@XAn4TXzE=9!xX0iOy=>H4Bpkn} zZG3aMqDUfl2dPgb#R(`#^_tMM5Blh^I}N1RWM^@#D!L9%aVo*itApPH7_VfG`s&=?^^AgOYVx~5Yhl;t^6jJENl>Fwz#qh~n{(kFN zKsXK_ss?OT9(?VcU8Oe>f4bY>KY`CvvfotXXOZOfYD0K`%W)+ez^5l?ohiz*5Ucgn7dMq+dS z%p{fGFdn^3vO|WbWk6i$~3U^-C9EB@Emzr*i)3ZthG4KPs}evgMAaRP8`R zpVS8B7_at(IzyD+SpDNgrbz}*8fA-C2AzJ(FymeczjPy@rF=4eOp!fqc5B{@c$vaW zgR9gQ?_<;4_5RN9YDwVOLZV}_-)k+cSs0$@!rwq!L^hOzdn2tYKoid>>6q`tuo4lf zsl*oV;8tXCj}6AuKJi+%ZBL7PUTwtN5Cq5_RtW^qa3h@A@pG{1?qW?rlfg|%V%h*n z8XXG;V8?pMhTZ~J*2a+}{wU{{r3(bhiIjPAsN1;sPN!`F$d$p7O2OGm2sU+PUN)xf zvmxXv!YKv{pGuN>0cbK7a}P&*kT&4|0LaRNKpwUuvrAa{>si+PVI^%#f|sPqH>}Kw z8tx*`4SS9F;-QhuVX-enO7fz6TuU16&lkb53xcEEG3F2>&h02KyWevOW&j=}?)oXh z0GV6`D?whg0pyZn!F6h&Z07{7QUOwsBz?L#@*gC&D{*tsA6 zDOc7aFPnR$T^^&$gc>1XsD+W27z6KMq`oVt4p#YR%#pxAY3q{d-jHKy`Pzsi11Hch+c7^24iJ z31!#wP$10U0K9ELvx7G7@&?fo18G;b3S%jl;yr!TOOv~2X zfa*n(jRO_AMk#2dHGvSkA%S)h?`p)|(fA`Pwd`xz6hvoX!2%@Ff+)_s<4bFi(tmB^DmcQ!cG zv|K9Zp&BSqO*sSIhDDN{LEK0a8{9(^ z@At!PeH!LQkpibFL#L&%Wt=>thwQLHZN4L9RMc0{k*i?>d!rH`>v3YPg|UW(b3$@U^Hn=fvcmWqmn`5 z5x6=^I(`)7rye^wvQRmYKImM~mt4Kd;|R*d;|IK`q#{u}ymP=qhCqe#$Ux;mQh5+6 zMlovc0!65WW|c?@G)?w}n32>i9&SbubkHiPU+86&;wd&PNil*-8f00hQZSLu7zfb> zr7k5KH2oi}so*CWVOf&Y)ay3}VsXhK)K>-sKj<59q1R8al9`G*iZvB+qJK#}DCkJI zHepGeXF8trfg8F5RT9;L>%|z6hg=N`e5!^&L}YP1u(cM)1%jli1Xc@F5o8X@I!@rA z3yP~`B7z?~Y)Q)?LhldL{;p~VJxlwnKR=JT=*;Qwk16?;Mw)bfX4GWNE>B+m_x2~= z#wTlNLVNVBM=S}Xr@x;a_0=@ctXd4ZQQ_uhIQEB%{0gSPCu5#_GN5!ZsolO=ll|Pe znHDB8Ryb~)xMb9&zJdz%^`1$DgsZp#c?(WGhYr0V_sY$86QV4UwU8fbl--wTWhTXq zB+1IV36qz|MI_`(+>t5}8Ef14k_x@>$}!3!p8$38$l*>oGYGd*~H`vUS{np>N*V_*AAkI!m< zX~{uf_9}Q!<<7CVGyHv`eS&1udHIgATbeIXwZl>x_B5)Y;BgQ)KYnCDz+pH)Y^Zzy zL+^n=nVH@VklPq2}}jHZM=1f>(Pd}pxCh8EM! z7?v@XesQ+jQ9o^%S3ex@b!9t|tApWjGm&XKnO7g@;QTx+4cAN$UOsNt%b{^t#{rx! zKD>c!yb9aXocWD+4whap7QGr%m&4e-;`Ig-Ny=;h=q;fn-;43k{adV--qNDKr- zxdC=X){_;P+BPw~BR!I_0n~{`kOGYOxa7!ycY4r?4ufk9qN-H%CLHrPjn{>`?%@W~ zP--%c33Vpa@k2Nmf>io0-lDeHS}0j=3EyaB7HO8ii;h0!QPPL<8LJQg7s2n@T=WrP zix(pzHZGcFTlgGhJ1zwN5DeRJB)!>{;Fh*oxm(L4>8ebqU%VzS;jUj<<;=W)hDS8b z7S_?d^*H+A$-cwVW7niNh>qAzwRa) zgGGuA+0f!K^i2RA3qh(u3`Mn&rD8kv27vVK{2P4os7-jkgvmP_av>YY!?*)zwc1J+ z&yv*B7^wOV)J_i3vNd-~6Q4Uu%4I0{e#x?`07*Kd;gfr#!wlItt3;av<)#N6@^>_D zSWvK|F_;6yWFn8uQRFPIG16i+7F~`zoSLi6k?3ZDyR-ueO0Bn4R=VzU(bu`Rz=iJG zc>5^53m|{AxY`NJT893VTZkk#5cmB@U^kyYAbn-6;o_UA9sOl!!tPY)mTC*^LH~fV_n?|9hA_iJ~p=p9* z`H>6(7Uq&-&|v9bb)jE=;Pf?#A;)|DN&lXb6`N{hQ@7Yj!Azk_)&B+O4q*6(AEkqYkTykcP14Alk4SDMF zsNYgm&=r{@S)JDysJ`N);@Y0_n@XL%^ENtTHxWV!m8^=Tp_s~9J zr7E)o6ri+wb9ia_$=cy~`77Wpfgo%Wv=##xCO~vmlA8h-@IXw<1~3#91pL=DfL8&~$`4TRisa151BWg;yy&#^ zpZmm`&gE1&~sLiRLGO+V$j^E(73r`7(PA zumO{Qc&ozfO7?vg=!Hh<_88CaT)l=>>~uC6l^2P=6QjrZ-z?_`0_^Z%HrcrCH!EtdQTOLhhJBX>H_-D-zh`e>5*l9G=HJQp^ey3f5BLAo6O_049=2X_0D69*WY)mdf6P@It$?Py{2Q8a4Q3?V)lmXYz z4_x)6Q~_ddFwW~YD5=sEMb2t*q>nQf%3Nr z&wFS7qq(R^E0Ue7DgavCG6=Q9L*C1?n(_}9oFOX?hIg}26$ zb+dY*h98|ufp2yN4qu-CW=sBoSlOCHnN*L>k^0Pg_} z%|5l0pjEumvKT_HFu&Yo)__X?3HcW(rjy)~RZVYa>Lj(9`UwVaI)Hn0{pD?a4u6?y zhw)SB0fB<;tR2>D3MAN|xjP5VVpr}XvI^FgRaq~vLAP!^t+QXn!Hs7~R57Kfdp?}n zf%VxdnGxQdWS7$5(CiAJOP|+mkcGYjDvbvNxo?H@9#yV-Hc|4Q)>)Tsz4wK{eUyq+VA?&@^jpD)44V)e|6wtVZjwL_Y_=g1;OrjZ4nRXr%U7R?ZiEYSPM z$O7Y#E0Ujzy!31H*A-b)I?Y*Wa%yB@7Js(mQ=RsT1<_a2FR2YH||#4=lo)0a^XG&s(M}bf-Nhz1DW-QfV_R= zo$k3GPI~3cHZyKi6e-Ce+p-TsHS9>KX+{p;3m zE`06r9e3}%>HWtCZTbF(-8+9g2~fR{{64w=%is9jW5@|Y9|=%jA>?lhH$VQ$%6-X2 z-KW0-l-;);dHe2rHm-Z9d;d9r)7|;O^~iGF>+9d@zVVOHWapZNUtah-0Qp|my$Hqw zVt6hT+_n9&g&)5C?C$LZGz*&(+rG6Zx%-`UTX!woJNM>Cc6Ki!>-r`myl@G@IBq0Y^!=G7T|IsW2Y9Sx1?CUE$d~kY(MekR56p{n#b1p1v4aTz}Kq z=`6o{4*+sO-VH179QkA5=HCA;Soz(yzlVaSuUvQau5tyCtMxvyZ8e~JC;ek1;pU#c z@yxw29`MEIjNQ3w;j4515whWvv}|P#AcjG{ZvB)bG`WAsAs5MrCGIlBQ_x-xq)6P6eDWs&RRnIAqZ<{s|Xk%CkqWD!2k zf;m1Zn4|ReL?N<_@@JhA?Gw%Ic@pHQtS;p$WhH|qFvsOkAjO>xS>975i-ZQQA_b3r zWs_FOrP3+6y~s15$t}RPMWN`@(OH@z496PAxnXOS)!gPS;{<-}HHaZT$gDYqgv*>$ zo&=n(090G994aqEdUfl6BuvH4QGHmpPk(s z(wUs`DflGQ_(Nu?tNl+hv9RziEuQ^&gcW2c93o4>6MaF+c`XW?$?SknG?G&Z!6^0P z6Y^Lea;J+2S0H1dH=I2U(sAGPli` z9|0oOWME-6jiwh20KSU{o+ehBl}0nbqXdxez+KQm7;+~>mN0pF&P5O7bIdV$341n6 zl_j3;q4_XL6FHT|d>0QbrT~6xMSMi1SzXiWt`Z)l;!{t+>nX^l0=y+a#!n-%st^%r z0KKZ3(KA8~7B|_`I{hbP1Z){FtQT24uw9QGSUSjbOh@#y-#*0u_J^>#%2HOMN`0IV##3~xKaEG+%*2aBh*%6)6=Q)s z+$E#gJsu9Aw-)NvD#?V?plEu%L8mr5BlC79>IJjZJ6ZGuo|1}4MZ7z0Nm_{(Sv53= zuq3+6>>Mn1sFrH6%uV1yP;ceX8juXP(7U4rk+^TC1 z;ERz7aT7qU<7?bpt2pR}2q2dcH)@lK1;;=RIW%^llbEkjUnOtWotEITGU8JQSzTZP z6W*@19oETFmefx+pe&i0LtUJpep0rff%2WEF+lWm>`o&XJAfOFP{bbtSr|Kv0XXCo zr%{=ckI?|kal$cT(mqj|3|dONLMP!C#68kXS=k6~WD$-@z+~iwkR|l4&TnG%A5p8c z5S3-%@A5uXGyecg-b`-g*n(QS2w4IN7v~O=rBK%#OL}{-Ixxra+o88vK9tM>Ca=rN zh+CCTi)ri8fDsH5K>qr48VxKd#yemnL>B`F39uP!%(-?;V@Wy_Jda15GK=3|MhMT<1cT z;Dyx~0I#44N4A<2p9R{S;>4}rpPYFLAA^`;8<9RrkEO1y1s>dVhjx_AE|_p-bF@&$N_>c z$WC({R$*bvv`7mfMtGGinD-GkjtAvlw`CBFJD=N$>otKvb~P&_IpeZ8iMFJN*d6 zB5BHiyMw5{96M@QkVgL@7Qtv0a|~OSflR}AlbucHAv??}IKv>IyePCa0m2|WMx+GB z@lWG^y9L~mC=?7{OhadhUn;0C63Q{h5h)7ohpPi*hyRQaQu@-X+`R*FaZMs)bg&h3 z>gcfc;;^{-XL8^-`gp=Za;6-ZpVCQ@^?Zi7RFK%B^~I^o5JX9(2y$HNOiBQ14eijR z2(^>6C2m~(6lD?;BxTMaPM^tpt|jynz-*h<&A4s{|OMR`&Zuh=Ije^oH=LBEi>nkCYP=T-tW+}S59~kLKf_} z1Khh#2Xt)vvkwsDE&01}CiI@U{f2w*bro*z@}}hzuAF^g;fx(?+94Z=vRG*T@jHq8 z*&8p!!Y!lMSjx(4S5Je2ApiMIBCmOn^w!X^1T;J0*=a<+|KAk(>(_QXzy5y@)maiZ zvINTRLs(yA{hconxlRcobEsBzJhz9k9RI+T=VOfmj*R{b2; zlFm>-yp9xqH0fjAT>Sj3Is-z~suBuo?!X+`e70KYk*0wmqSWKW0vebGgUC$PFkTEoA{D{DM8$nkLnBOz zpQJ|PoJR1Bd1~8tBYMc{em|unBBW&MNkeKls=;?#gv=@d3YWAP2je^wvJ)5%BhEs! zY-HHVPCrH0OH$VCVTsMB5o%#GZYAU=m{De%q~C0;?5lDX zYHMMZK!bmmjx0$)gC-MXH0fzXU?at8CjiJF@(P%{g`(84$3)*6~FtkAAeNaOAo zm|W|c2D&%gcejANZiKS~pA;Y9lX*bN>&I0>kvDOJ94p~i$1xS;Ep=EL#1^P&@HgJk zN%yNFvJKAMc0bFjX>2M)=>XLoZ{XAs%5EvQ8ZE_*LYwI6EKL*R z9bd+@q1YFL!tPFaGnQQnr3lmw6ECRPJOfrY53Srpk z3IW-6+@0s3o-AQe>h@Vt*r`eb&AJ^gNvb|GboG%WWF9N(sa4^y<2knNopqoGp&+;q zaO@`~M?>LUTEs%hF2{JvV%bejprFb!W@Z)pjTY!*iOf8QVfdC6Wp?qs%h`(XQxK~~l*ni}d?L@N##UAfY(HUT>k9)MWSLP6c;17NK*@|2DH#+rm>rA4Yf&;s z0(_Sr0C4dm1m-BR_Fz!Npcnw$vDw+CGoQ)Gje>F0s3o#;taep~-UElsN++q-fs8sH zdV8Qg2XzN!h@a#Q=sK6tVaDP@bVwn_)$ZUM!|nw3hBvez#6xbEfK{H}F;%F}{OTSk|WI=`~YaoQA<{OSc zv{E2Pl}721csmzNN{@gTqc-k$zU3o(KR=y*5Q2IVeSW1m2NzL zVae~2@tL5i2cV}O1|BLLi$&WNj;rDhKx_$rHgK$7RX%{FRsPCFk-26YW{K|k$2*^`uX?pOk~A`mo((Dw^juAi=KnuUIRDw(;HoVX@^1}^^vg=DsOfmcP8`6%=@V5qRouVHgsA34XsTd%HR+YEouJnl<0ryx%#yr^e z48vdIUf$UhW^yS6&<%{*c3Z>^UvVSLrm-WYX%FfwAtYNr$|zF`w%c`@yQ#@O7fGuq zOK73FYqj3cWJ5rPCce+Pl^u3Evz?0oVmsc8XF);3x0K%bEu?okOHz;)b&X*`TIg-o z*zr;uk9aDTNd+POBc|R@ZPAjbJQ83Soms-BTIxtk|Tnq&#V`cd< z;GP4r%Voo|ayIPt*wjkk6_mNTIde)orBQ0#tSdwSyR7SpGCn9v38^xZr~fz@>3+TuunHm6ghP^TkZ|yzJc#5Ne)8aKM>WOa5GUP{ zQdU`nvb!}qtIaV;104+ID2E1c7u)_a&<>eg?6)yW1)PE z2Jmd(8$@FVK;FhN(%4Gez|A!aYV^273nAGwqyV>)ZQu-a`-(gc_%(!fLvr!XgAois zFoeUQ8V!IOoW9})&@9FcicCEpxNAvvep8dewpBs`D?3TSdy%E)9*>7MdhAdL*#~fw z5T#&RcEEk4WDAzoO&LM{rianekA`q3-^h>+XynT+KhD942RC@UGlhk*ia+TN1=~tc z43V3|VQ)$y4c|a+_hp=y5O)*I$;J=lX|EgFoE`;h;_ZPD_+(gqXSO*JLdr!PZ%7!$ z{*tKc%VTSNhLt^<^e9MrYb#Zu_0R3xIaRd|Ts2CPwx){ej*hFir0o}vTux^DZRk;8 zyo2}=`b6Lq)iXniG&qD%PlV|Jwo10{J^FX7X{y}v3Ml6uZhTcifznJZn@bXtCKpha zloN<}L_u_}_3jbU!%eBoz^5G&F&3gtkChyE(TI?ijW}llJ*Q*{rW8h+o1U03Uy-qq zJwg<>Bq6W^w^IdPAmE4*6H_ls^yXINK3S%?kCcoLRb2xE9VQz7&Q91-o3fN?bGY&# zG;aafpywOpiYVSh;H0eMLwEKRGw~vPE)q?Xv*sRiNGa`DPHyR=w`ma#K{9yEl(<`I z;F}k$Z+{w&nI&O5mf@iEv=DWKOR8c2e4pb*tN&Lpyz`ap@*QEWNIByEn|cXZY#38L6IS6OCp ze7#bY;1EU+4|)R3uml8oWEg^)4P~H7#KR8` ztHkSUPF8RRiO4AM!*>S_gHPHjTAPcdmPG$9^HnZ?C-Z`i(1ZBt+Q-+pkUH ze)P>p?i1VQ2uKQUe_sV|yvcvb92CJn8^i??zjVd z7nr&Fj(dg>UBvgzTyr6WT(f$Apvex>y+?1zed$@~z5T+mL|y>d8xIiDSM~xz*#%B9 zz7f&f1Y(=U`|Zo!gZB&LKT`HbaqkF(teCKG>1KTHvia1RYcBm7bWh3dB3JF&{4Fvk z<=Ee@oP-B9|4OnizGqyIg2T0AVb1wG-cOMq+6Z%=UHP*G+JkFn?f^`0e|q0kO60dT zkNrGdX>B97s@7o@%|V*zr_jDWF~*k0V!J}k%RaxdUHAi^F)SfOS-eO$8!s=$jRVX9 z88_h%AZz2B@mjrqGaNgNu|A+Fony6>Gs@c1|Z!yqg5+z$t>w$e|)(8k8 zvc0{ylkPhVAM{P!*BEclCGcHimEBX8%}wD|0diaO1|sV$8!+1!l_u%kOt%b9;8gM{ zykE)=WIfv_FjypM(6uqE;DFAi+OIY?ghzBXh4Z{q0Oe=EC$-$4f-@SFkUJEp;N^s} zi{}*}uMB@rHZ3dkPQg8mCo$ozHB@EG)|G9;F{&q>P0KE_FEeZE<`{S7h?9IX9%92# zmf0zD+!lLImftYne)t#5zKKsTE}HuN@JxIN(lT{UamT$tK5Pw-=xA^@O@!V#^RK>Z z>b$r=EM962QaHu7_y16qFkZ}I*3x(>ZZLcgr4tKq3{|12OKQjnwgT^rTvjyGGl0hY zWNF9J`S__?HK4CF=SQ24&nylyToa(Hdj*jO#Pp=RU)J(~8tav+Z$@L(ZY4+#Nszg; zryv;LFbfBQGsPyu$xD5fVpC1dy*QV2RUkK;78=kI9-#wh}n=Fx(}wP zN)EA2w%KOYt7AJW=aVZYbx9j2tDDgZ@qk(?^*L2P;b)RvuyO ztMC?mgKy$Hk`h+p+D5Gqc%ISV;*7FjYJezc>hTB(VocIT2cC-H)v7AQa}#(>Vz^)- zPAX^m4d5{Te;*$^^tAFl11I$Fs#ncM&$m>O3%$Ah4}}gwR)Ylhm-g#;=m8kCf=*h+G$NpFl!L6MSa@A?TJNgvKRb#ohK1{*r3o<|3(9 z$!=_N@t6d#^LPpYAbEO}vFb;-;|?;qP9;PSg;f9E(~;u=0%Svz`#oewW1gmP0mdZ( z#4rX4M8aqTLN+vomtZvDc=JmzNI=(#B%tgPcik2A;G-Sfc=|xY6|BP8RGmNV6bLf- ze`q1lMmZMTARp)xJzP=dXb)=c!zXw8;X?H9`FPH9m;JioG|eyemli@3WT&%i@#4i8 zJJk9zHp2JuxhcrsQ<`LTHpN+!UpRuLxT9pwP-wz^ADS!;6Sw0TP_VY#YEZ6%kg##( zDZR~^a`Ff~vna6P6Wk?T|6A0(&_xKA27rOZHgzo_d+kc@HKX9O@)Kg=^o6z!2A(kWII1E=ny6Z$q6 zmODoBSYkm^dB6%9I=`NfO&^BJ;8>{c3kR;gKjx(K#&=8T*?7R$|1Nb45?KfgYDgOk zKP3vE93cX7T8K$7zy_mknnS-J5ZAE{C_7VD!ilx5HeOTkk(=z3qihC&8;g-*NLB?K z3>R+a&Jqle3i9!#jbq|N2;te%7YxHBiTjsE9(2bC8=M6J;|^^N{K4~eG?j+EpnOFF zg<~>1k8OgRz}WMSdj{(Oi(d7m<1>px6p6Z0SWu;_s7|0U6u6VgSPFc1ZSt>cy8y}fN1$#d0cy#-Gxr*=8hIN5iDx~L-1O@J zW%sJ&-mmU8z)dK-1gNFOUz$Sj1-AnBEl@&Kmd2^G-h*tA396SA)MQ{`5hgE5&?a8B zf4P$V-l$#W@X6eSvFo+^3YH0{i_0cuB{TsTXYs_&(imH`zwN?=G3$Z)SsK_^D|IZl z7M~~YT$FeK2!BryIA3z_;61H_QP^e&C0u?7fdu3emM98pEMcZ;m7wKA3GeZi2jn?`~Cfw4S9OQaKQkZ zI2W+Aci@`rH}pd;OENpj084x6-jKT92UWZ@MO93YpC*7@9S8|G6&CNMY7Ep@e2A?; zEz1?wCAc-Y7x*sQIu5NvXz<>|;I2WZ@1F8)h2Yx{RIiqvAq369Qz=YdWLdm7bnC3H z+o*L&R3xA`;2!TGO$Y_@wl2W!K?0E(`^)}TZO+|$6Y$B!%$Rt3eHY7s(9j1r)g1&|g-uEt6_paFA_5fOkz2X9lv~~~vK;Aa+ zTZf|y5`GL&E^EI4P~HQ#x-58psK)Dy`CvyYD*4pu6s?%oGP*)RS2 zKSq`3E!bU4h_dOKg>Fu@4{^R8cBcesGHCb*EG=+ze@Zip+$Z*ipGau#VwzcKA*Q~T z)XA7ue3ejkF|z;?u149dt^kNFrYEMFS=b;eI9-fla)$icO_-&z_OEs2J(P^Cg@Qd$ zy=rEWn=!K}RziT_ySB?#GYg>XLWs^%%`DJ5U}kZu(p!@cm|5h0|4AtL)7@`Wynol+ z-L-QQ`HyYH1)|_|jf(wf9bkNVDw4Z$AGk|rb{3cCEqb%%+F5B2>cgY7 z)sC>CGK}xHI`2%ikh4Ze!1#ll5V17Mibg>%b=OWjVvo9Q@vkgIQwv0%!1_!(aKO zNF==EU+o_jV+L08&b#H~obqx9XC<1w8~z#!ZRQnd9jtYWx~3=c$0cFTpm%Pt_J)31 zv3E$hHN6L7SlL34EKhvAE$`*no!2^pfd-xx+R_p_Gr9J^u6tYm?xuyD)%jR2ZGQp{2yweH*n?A0wlzVzXpk1f1w(Bd0X5Ug9S ze*Nt&>qg!427&Ja)Hb<$&)mCr@7(;>V+*&uKMQ#++BfO>Gk5;$^+2or#m<-C{+B^p z?mOpiYhGEn_Ya+o!zw)a+8nl-28*&qPw4fk3N{R z1)1#IQyYNqehHdvA&6l>^{(5QOd@aWcK#!F=d9a#6Eyk#-vUhD15kF8N9u2qO;+}T z>b~`jh|k=sQm-bl~r{t=T>4;!_uo18(jFGr4Z(3)?F8ZydLAUHi2Q_b$8* zj=b)%)0HM4LddA%-=l~x`9C0Ef1{mT_uQs!VWbXk1N!o-mn=T>$ZHjZ9%$u3T=jM3 z?*n{t5bguWy86sJ|B@s^7lEqQ^ZH{AeA<9aQi!sL1o`}IH8~}0x>&D`g_*(KBlk&@ z)r^yh$fJyTW374?K=p<|4m;%L8gWfky^9DQ23YkH`0>uLdTzG?YgGcE4m-;?N~VXM zv6jKHuoQ&2E}I72+`Leo7akFg;9O4(QRHWF60wC@tb{}gaC4QV?=!%=7KS6YBTG)) z2CE8NEl3B-E-C2Q9?3$wV>OE`K*s`37e`Gsl&XZ#W z*E7$XejYQ38~69MCd7u-FC9yoN{Hb{VH_4&1G$W3xy5#QN^e_f0+p=#a-{c0!c}B` z1mvoE&D~5Y;9;-UWCeE0c&dXN0LfK^wmCoNm zmJ}^mHP$d{wcgK-<&O0vSsAtJi{cD=>nzdtKg$B76CT+1}2vIq)E!k zA$E`PntMQ8BuYU!{e9g!0GbDAUx4Nr7$BdYttMHQ70d?im?Y?y`>WpJ=TcBx(M zn1szHHkbs7SF5m@q(MSh1e!2>3+Xb7_^gougbA-i0(&hFLQC;ECWJ7hw}LwJHdI4a z2r6LRG|2&&hjn5gGzxCO0OvqK!V(sOj_pl&K#C`Aq_Cf-FucO$t&m;T#Qb6dU|lhw zaD2J8hRA{V`5Ry&yTO}mF6Ad#7NtKR2CRfG)EXF|!<~L}`FLVa@8!F&?y;XXu- zJ-85!ly}uE-8!Js9S>zT8T_Pim9+BhRRU(6is9_|Q5ycU- z4T7*ydt`86FoBqUyNDIxg>2w1rj%d?{!q~{x5v-m*if(})jbLi8)}!{(3uiPVWG^S zC<0eoh*DXp0}F348MaePN%gWo;EPYh=(d1WMq7y=u}gs+TBJY*-m%Vyf}ruy*if*! z+QE4OY?KKFNt?y`H3F3_hkLoUgOZMZ$Wj(V0g3!h!l&&BTy0YujNG6h6l_3~OQmgs zAecQ}mAvK>Ab_k>Kms=yv2-v5!etUCP?`=FNdj473)5?9qoNY$s6ve5P~rzMpM$VP zquuC66wQ5apxF$h(0(C7|C@3fr4n6*)ymMe_VNjJLm%Z6^s0csjfnG zEJho3^V#Ug(fF6E#-9^6Cj?*WSgIWY<3%@w6$a3k*-w#>EaKMvO$Z$a$VzrSb|&ip zHwjf_0A^C}1Tx81Sq9uD$SvU*WaoQ8-3^39{I7$%(!ohU@XhyKU*Y{)t@U=osV?ZC zL4qjv-A0@p%ak3n&6ZY$R!gozYJqZ<%Cb~vY54|Zt1d1|K)6s)&huNlKF}xbCBC)u+v^jUW0$8auoj z)F_w+!=WsVUCD49qoRG$$W{qmRRKnF^AILW3o2QWXmSTdF_uN?l$1>YnMVK2jJDB8 zH-s!Df!N*^2vJ!oO^gy&jYb39Q(8=cEMwFXQR{%|Nd%J_a~{oNus{kc@C}qa>UN&G zPE5>@Y=O?#(i4s)kPWMpIcOcoN~jQltFY7cgb}%v@v=%}xk^Ebb7dv6JdKqU5E;j~ zK)xr*ctM)b@!>d@*glfm3p9z^*_af-j;A2IF@$qXjU}vv^t28U(~z}g!oKo$@}M0j zf2XY!rGB<0fQb-q%b* zQa<@eb0|xwfGi>O)8kf!bnY|9XBPbo@q`4?2=|k62$C9LGfQzuY=w$3ie`i?>Y`Y_ z8je;ex}+`MNXC}N@IjDcbVOi>4l9t0h*YN{0(Ld>$6lyh@l}9OblT9fw4QgfbJbv$ z*g4UaQY1&>WQSh+ci3MAj(dW7^%;;_+72}m&U4hRhX*l3e^-%7BW)4VYR2?&uqATJ z%<^6-{T(PP`b+4c9bAaeOtMGFlz>7z&U}5O;u|Wxu_+YN3$g0< zp>Rd}gEGYu8=og~q4LRtYes)uy$c($wupA@EgER{J}f&z9$azin^z8-F!QQYZ-MNe z{=x-Wu0V3f-iyZ{tL+edgt=Dk>%{+sUm(L zz|CF$EV7&i(BK{at4wPG?jOUPz8JBKQXsZ(YrVDcgl+r4osXWaeR9dCQGMFRktY94 zR@T9s?lnLM9(T|>*a!vJFC#0r0Zx|`ToBaQ=O!qW-8WCAVwgiX#XtMS>TB}*BW#y@)PqtCDZ(xarwo5Lr4Uapw01^I-zM%pI<_-?s4pZ zQ_IWR__!Nck|q)24p3j8p_O49b6l(n8?lz=vI3X`A)fbjkmKNXX2_1=fD9oiaxcd!ArO=S zVtddU>-Z;t^M$6uY4cC=wu{n^}*zJ(vp^dT-Pk+skVsvS=E_%Jc zyg1IjQ#hlssk(4#b-3`uIWWEsLM|=FazGK^H=UenEW113t$|~$EEiwQo0dNqV8_G4 zPIHuil%syV>n_m>-Z8a$*@T+WK-rC#b{HS@b)q z<9ZNniC(E~7$^1{!~xXtG+sxbA*AiJs5sN7lWhD4Lsyj&FjG7v6@_7e5sXZy8nsm| zG&ZI2Zi#2%fdXTa<3QjqOPI19aoo$Gtqua`+4NO%DyYnDIa%b^;~h*z1z_Yi^-Ktd z(1n7XA%nzYa)J%Br!cdCl2U_VtcQf}n4J(NUWSv452TsNSP|(*L0IKj#kRmmv#SKX z7S3pv3qhRNFdXM5i&6Ag1(h+4?kT|89RE*d33Z4KnbSrGm9#PNVnYme6~G%|+v1#v z(3Z1Cya2(Rsrpfjk}0V)z+l4y*e)caKLp1D!GQNAr*vItY7cXvNRDzL9uH+CQGB3= z_w{!cf}u5QWN6BdqwJz%!OGEdvT7N3FA_pn@r_0(e0Mk^mRxFH)^ zCpk9jC*gcSu(Wlh9%TDN69i)WWv*p6gF92UrNMXeY?s%XLFp{PyHpM|se*!Wx5{*D zJN#5hq4q($dmcdq%lS%pD#4I z*^c8)gm#e2gx{3!IMv#CtSo~MmZ_f9VwICfRzvv9a256KBHwizb@dsFE5`9+0$peO zCIeaC%&H)J7^{Sk8B9aox_~cco`t37lqR1PPPhNk8Oa-z-rS4Fm1FA}%sH?u&%y)B zpDY`072R2mx3|h*PAwi&Shq7{HF38ThVgoo?G={_6hjA4cKxPhGvKO1uLkDK$vTS% zrPDNIMqv>_BMYxCC`)h?kTMj^t&K&dd2U(Maf_`+i~N1WwMrKQ`TNtkT%DPJRAYw$ z3G!)VS!h&0BNS5Ga$;5Z=--HE&?M>-RCvRhs-=2}Ge7OmweG ziKr4{kS2MGyBXIBXF;|Z zDVWF2f*)PmAlT*6gjEpLwd!PgKZ&ZnNyb~!IO{9I4p-u1N|0-+1d#>q9)p{s7$V$m z1+tda-f~tnY)KMgs;Y^_ayEfakntr^+@odeKhL18x}-GnF#L_S-inN6c*u%|6ULJV zN%pZb{wT<1FD8!9EKo%K1#&M99D4`e`>_9`7LZMKZP-j8Zs4B;sZl8Q2n_)V)kBq| z8UPz=D()+#Gc^j&+D}2Ks%{ z1N9aS34VHtK_?KA!VI6&jyAU|*+e(-rj~Yvz)`KFbeu}-QJKtZ!46H2V3nE&8q$6G z)J(@jqEU;Do>|}wSm+_0#@-ObQfGnXsD}uIn`R~Co`na3MjMlqg`iC;;6g?34w}S@ zETo&TStC$4V6kHt5u7e!V`H38#RCW??#;1m$)f79k%XBXXPZKadVb}&^VQPy z0QQ}8&98CB>RU-jCU-9(kX<xY9agS%7ZtqC0t(Z!Sz9*fjjN*hyK)0F?>QRGtEgkHl5|i zmQ5H7+pldqze$Z9Xd2rW?F%HDuYS?Uc&#d)ca(bo!K?TuDR*)Cxe(Phde>kLdVAXIZmZ8v1Ex*qKtF&_oE0rsjotwd}J!o*O zA?tK3T^92~;fuV{rEG&yYDuFQMc^!Hs;-$@8NTS5x^_Ef&O>-BwA6w*Rzo=V8TcEI zjdDc%G~X(A{V6C0JeESCK?_(3E#!QH7|!n)jv}6H=6j>#1>P9Cq}qO-eN2u2q>go| zo;Zt#AD>wqYB-0%DHfdNhhjNMnm)(G5R*HYP-H>!VmL-MMj_$m`_}7ll>;PP^fhOzu6aE5H`HSX9<--~ag(3x(wS{2GJxd8 zpbTzzOp4o^GPYm?xbbX3TC;Oxb~pt2y=7CDL8qm&%qV%Sx9)>en%n}YUaKj5NzgR| zX1J^~FJ9)~T&=Pta$VdVZ^r@btM%?|IC-SdLUh-hktI6vv^jB})XmS*b_&c%s z1VP>!?S99BS=vx;Nu0)N`H_x7+na(hRou=nm9Ck2Pqom9t4jelm$jO#ms9E2Q73WS8yMJ&LcCI_1E5~2{f0KFs=DKg^Bk|e@RFe_nT zbqY{8emz12W4C~$1|1n>uB^0rxWSic4$=`MZH^upxVfzC;Y&G$N_>?rY*|Se1m?IZ zE?Em@X$uo~g(d9crn98Vsb_Y$z7lV%<69EjDiN$Kkpr8h1s2@!g&`Xlf>C}i6Of)j z*_sAthMh;Al^mP2Ie0%Cw{put!#{#FOmP&g%q*Rw=t1_Q|8aa~p~@@0G1DVH;F_O6tpZ7Q5|r=aT+cJ9WY=HDpiU?5>f%&=^)ZEis*NFbb7T;(Ilx41dtvm zhKofr2~7t~W#a>5(98GkW^T+$ z%I?hV@l;v<_4(oIInILZ*S<4z`%R5O5x)dMbnkzbu0C%l^5$=8y;YX_nMG!W0C^3W zb6!fe=HA?+U?%s+Bx}gqbrW`PTsdL-@9^B>^}h9cAu95>W+_dsZG8UQ@Cm^H-#qrk z9e=!00TMq$3hruzg@JE?qsVx7{j!#lZ z$b#Q}OmmKco=mTr=9XII z*u~X=>NRZvyfh~cyfm5vYk#XJ1+&$i1dvDj*>RxGrL)ZS;-!iV?vAIzF5t)}&t z>M88auWJQw#uph^K*$*AJ>O*wklg^t+w96!l}Zzgjww4lx5y^hxE(>2{a|Gz$S4XIMvhVfC z<^Z|ltSNq4$6|9trys8Q-uLm$BFbAlgIf*26CM^G!4~IF@UB>P7n}F>mJ{a~a8+sB zZGadav@ACD3iBedz0`rL>dCPtArs<8(fOWtcrnraukh6mY>{%&Q5(-azKu2K2xPckb6Q66@!;~K$8Le5IZ&WWcrjmb*I5$ zoC}Hj;yzEEhw*5=il3myo8>Al)HZ>wL$iGfCLsFCF}F#CjMM~YWH4-%G*C^AWFf_b zu04kwLtZ=<&;&5HkTgTmRzLp;R!icFL}V2LONBa+w9OHakscBY#5f6(K$b%ULBJ^% z$41#Km>GrD6dtBcN^6Qw%s7;IHB_Xmq{O2gQYu&FW2TT@Tn@EMrs26k5UgPDVC zA{WI54jIz-je2TUz&Vh1W50cT?69hzb{n4&f~=uqKSnEma)Eb^?wY+nt3H8+3UiwL zuuWL2_;PWT9WRyHt}Ce{$20Q&5PY+#@yC}tQNsI;`{>B6?C^>7?f}+k#H$MJtL$jI z{B1krhetFvnfVG%*DZtI@yJhV@yZg#W?U%WC>TT7)xV^C0^if zDq@u-g!pQ#R-SWts9&F`%BFqtF)G{9_F%fuoa;uZ)?pwuSOY>A-TA5B;FIYSERN!E z)uBLOIZMeV5`G!M;OK}|;ChE`6ypwh4v)S^ZF@F6O@mlhpu59Jp$tTa?z1yK2>q16 zVLHfktXBjh43&}|W^l&vpXz%REK>k-1^K^X3g-j$;kgFb;;P_NliQ`)I zkiCPXLqW1r;Q*FY!pGlhFJUaBg8`4UfmWX=vF&ASstD-HJkT)~;<#sAL}V<}MP9~} z3nEtV$ZhRUnpC@pj0?tH$Oau$(mPc9I}j1dolx6n8ORH^H8HuHBj|9YDJz$F@`8AjgZ(;V()82Dt48G z4eC}P3HSUt7A^v_S`sQ%$E4;o1@^ik((sjndIhT_kj9x*L~e^9C9NRnpsCu9E$NyH zJ=Wr0eGqWnaZC!-5y$srh|op^^0g=^qUEGa>yZ?Qk&MKA3V|~NnG0q~377SHW&tfx z3Q(2fiBg0K5ycx(q>>PZ(^4M72eqt$nU#Xs^&W)WqMpK7B}D?~NYo6w(!`091pzD+ z1kPt%MbqAtlm;bIPS>deeOoe?T8DQBx|*M|enXOPKYarMJe|;GtnFg>Kf+M)BBAUi zFG{YC-Uqn7RzUTRDkrGkt3`%v4Zf=(Zyg41#zOl9GX_(ZfYW`dA`w36A6NyuRN>|V zHo_7kE`r5Qw_oa|DN_Oa%9DNj4b^*;fHald-k$uh2q>I;ZmcFz2!_{py1+t&af< z>uM2T@<0wZyDFuz&JvjQ#%AP_hla4MMVh9Ls)Z(h0lveXXLaWPw#Ss6|#h^&YSW+dLLI z@o8q?)&;oB1{o`_NSye#*q!|D#(&Fs)i`a7RhoSF%SpC45gyl7IXDSdeP=IlGT$1N zu%6pJZ!cjWpR4e}PS^!h!7euVU6_8yq8D}zW#dr93Pe;v5T;ZapnLP-hmVgP4lJ8* zj(hu#8wfh~J6+~&T@3$66mY$FGKST@3z8R^|FHKf`&$9kJ0w9+y;qA2*&2LTL*Alw zz@Gr@Br~Z(b!n8{@p(zK4o|01b|20g4%Al#r^^&n?~e$R7bgJ3c8<^>wkPZzmMvLf zZcQQUf$CM<3ODz!;6^4&2wH-B%7L;=S>mQ*MY6LZ!By+zkHzzItjS)NZ; zE+)YtM(DsKG>}cvqZ;yzMV%E-CWdUF)&WLUjWlLToh9W%twYwPzn!pazpUu;7j}GW zP?KG;Eei_1^%M50d*S_q(K;lT4o_4~cw*CuMFhT^*Bp03^@Z(?gS)<*G>3}ugC8h3 zKMCW%zc=(xleUja3|e&Jz2{KLk4UQO6SNM1B4r~MZADprQ?(AiVkqDqO^&M7M^o5y zKT)lNc{F8y{$&~C-bT-twPpPYz*%fTNgk<5s=^cG?TRN?O$1agT8H5x50}%TgmDAO z1}rUP&KTEKG8o|Ad$V3; z8e$kenM)0^p{q~w+vMND0QOCUHf)+G*>1F$wZ=$;ohYq&T9W~})ia>$-#k8cIIws^ zYG(2G)H;}>TQKZCvgsl~Y%#OQrJ2PX^ZSXx`|iWc;!6+bVJN;R5xRk9E$1XjHYNph zTcP5&PbAPfq-GX6%iJfrUZ_ZzgOVOP_vCv(tNmK?z2dIike!}c0IhcFDiX4`D-Sb^ z3lsV06Nv41_CJudz!*B~{!v|Ns9szX;J%7l2XMn2%q*ZF<^?v*EX=iC@B+A@iJn;~ zZt67FzJDiX7Iz9n8N0){_x=+!^6)oWHS+L#EcZ2%?L9}_qcr&L%jZ7!nra;g9av?V z`$Pg+nqBFc1>@PBZFH9oWDY}xlbq0yMa;lNlv167ym=WukxOn*v8IB z>u_CYVu+pia9*H^)@ML&TTfK2!*P_|OqAUufKXri1;AimS(qXNl2sv`&RRDM*sE!B zf54~7z1ZZ z*(%FffMf+MEu|9h>F%9>cxw-7@~_)pUijK1pw)I?*FAU3itPZ%UAX1uC1IQci z+xfxu-4l|@w>JOa!S}bUSk$(&JGt*?i`V_W`xo6m-*Vs1#I`dRZn-bi_SOdr|Kl6~ z_&&1u!zo)H1q?8lymRxmZtNzvwLP}*wbL5_B7FUxv+vfZ#&@Hh zP>~{UK=mr_f8R#_9(51&es$q(ARk35kIW|@%^jfZzIa~}q3bxxF0Us%RE=0DKOXr ziosAqd{JN-uFJgeuv;M{FI=R^UexsK@p#Q!#v;A}Xx<{Hq#kQ6h<~u^UBiHEzv^~~ z%+LfvriZOgoJKIfdDz*aAXZ=Gvj1mVtywrGy@9f;HBkz_h%8N(ub^YA5~M!J_`b_G zwqk|tu~t2=sq>a)BTF|nEDJ!hb{$r~{7StW7@x(bhnaRP)5 zSSnZ&NIC*}j7^xltMN7< zKJxM-l8>&GAjflRacsGgpQcl#oSdFpjK(}!7JNQLfQ3TwVUPM3QdOj&^uD?NVtl~#Zec~eSr4L%7woPA}e7N z1~TJ-v08#xTJtJfFg=0ZHjDYASsAP*bI^90Oy)ocgi@hl$7K5|@*o^1ycd|ysh-4O zCC5p@C52{@8@vo~XMyqXQQ(u0s#QHgpu$Ji!K1~kDx0|Y`1=m*2!e4qyjiK&0fgdK z+YKs;Dm3{7Cb5De9pVLFZ1LU_o`ll}!L*}oItX0b^r9}2uNc9Eo=$T%1{@Y^LVaW= zdN_PBOhR%<2)I??j7_76)DjJu3vSB?B|&7$8B0rIX~-&v31}ifI|Dgkhm+Kn6l8We zjws(KB}$84|E2ei9q{DNBR-opDmXj>!BwG75^qV$p-8=Rp;GlmU~ocu9~i`eq@zKB zvMo>@eu6nR-i6k|F`Km$5)vu!GaSO1>yTqXjz2 zEk!)V4UeV*+Lh0HkpJ15F?Og%;}oEfW>Mevk%+SY4Y>(_RvS;w2vBx)S8*6V3CE~U zcm`;y=!VFHfWV~Yyu1_Ssk2dcjIRTNmE|p=)WF{um&DVuJt8-z9BZj!VA8O!C@@)* zi>}*jQL?8vO-zTG0Tl-|mmCP2q};I@IP8}%w5CbAuNTCLXh zT#zT6RGK5E*8zOfaPwZE>NAF913)vVRmcQ366zr5X)`gsAI1=OV2Mm8EP4PWF^2 zDs9xrZdn2t;5$G@qauV;iT5z=+AoAl(?X+5?|R%%{P@mERd28J<81>IZ>5>^N2+$7)!HKgk&i)_T@wff=oqv?GJE8 zuT*uADdfOZvJ1+D@S|@?Ib{ui5o{Yk#}m~VI3Mps3s6+Zqedd_L_}$Vk)m)F?J*!Y z3=B!64%J@e*h^BcBo^u7zMF5=DOV!5;kC15kER3|J2x{>CcjTFAeF`_i8&A@>9Ib;X2j zrw)gL+j@koFILpF;&II>E#Qng%tFddX1VXLhve5+SoSYX%kIzp5MRPWCl!uhKkmf6$7_~dzK)T^n*>Mx@&CD|QhWhH(v z2fzBDvMKUmI2KBNys8<42VGa#Zn;0yh7d-Mr6PtV+=B=GdK6l#(<+yS3;({l@O#RG zaqicb8Tf)5+@@`ZhmG{M0~0V>>eqjjw=Fhbgplzz>FrX5h+7b1HMP8m6BoUm_Gi92nPOwo_)ET368~I zr6Gee1csUk-%hY9tTJhEP%V&Ho6eG=IzR#idSZd#sV!|JX=0bCV<9Xihf~yKoOWYr z!y#IY>gy{d4oOe}Zmr=y1PzFdhUQr68&9NIY^#T{Hh_IeF0&*y>2WjHcld3{(}5dm zuUJ*AMUT|=BM+ibl4;^zex)5)APmWwq%;ItX@xY@3S##u1iUH|xd{2>RB~)7|5S(= zH19I;pamgN7ZymEG0)&!8hHlnv2e`T1Vb2%3nmiaC{f&6lpvd@;Ee{7ttVOP1SaUT z1a0~TBb8U*gaLQ_v!AKfK`^vtjkqg@2AZM2@lb`(_I*LggtFV)_#QCW{6qSrfN*mo z0KzTsYNju-&vFTwH6krIc9>JG9qXZEiTC(>C@V_)8@Rddl5{bc1=Hslwm?T~pmc5) z5P}Pjga|>1F-jAu3T>n$A%J)F;$wsZZa_3j-?>h4SJ#5fqveKcH9E|fm5xwZ+O`)R zEhM;oQZO9hCYE`b=}!fBZ9aFcc4mS6CH`lu8dnYOIiF;Ni3z)A zeyw1J>(`T&4IrC^IjHtIqur?Ca;qK+E;eW2>+Zs-F)|)j=HMcM@eRuqw->W)dyX4p zW898LlQlMlhm!26`r5gM3N2lh=_2l_K2D&?0hLWFH~`4bqQ$CpP>`S+`_n+hVhTVM ztzk?c9*tu2#Ur0!KH$WzT z6MRK};O4~{t&Ss*fHkRp?iV^rBJ3~-Dv z=^dGhEa7iezb(h)ynIuwp%e_af-EcZtw;fGR62S1Gwa)`avELAG%0#|bbtTRdkA2M+H(Um~L6piBjg&+|s_r@LKG2{d-t|1xb7}BD_b1kM61~Zs-BKlCg9b_Smg^53`)^9P3 z5M`_txnfA5;sp}%5(Ct<-GYuN7}PLG`~cDL|Ji#Vz$&UMfBep5@|>g;hDXFF)iN&- z7j)|eXt#}wU#da zTpvO$x~{mZR=3px-P#2e#ig`T!1w!{b7$V4MZ8LWl}qeLkt@(jUg5n@{n*4aE6c*7?O|buhv>Z6$$5e51^CHFtj~N3o`T%4s^lp zj;3p55dsvK7?EKkDH=maGA$P@mo1_yA*9n~DRUf%Bove-}`VU+iAK3yf#SZeF&F6ol~;Uw-SwF>veyGq%p^`c;?>5Q5G9 zLH;oQ0_0)6k^T0|*M5nzVXG!1dtZQ%0C|{wGOaMv3Vnh*jUEHzKK1uOhbOD-OPfeT+3P7`u-)>Kt>q1CPryq~E0g~2FPhccm zF9pgX@D~Qo8kt5h)weI#)F+8@t z8z4JQd!jr3-O&-A92%Q)J-*>eeF859(fmA38#DoY7xVK8kU`Qy1ORfUn$afAJCN+o zrMSdNA~{Zy$-YX0jE*l%vg@J^;0!dOr3~R?_tr7c+mOU<_&#w5;Cvu&M5X}>xRmjv zNeQ34H*(#G*w}gTN-t6Vb1S~esu~d%pFxmoOt~O#bp%!d)p(Zl8$tCtt#dn1gOzaSsD#D+e}KT>hd`OE%xU}Vut&rXH(T!aXc4hIOM(|8e2I+A;n z=$l51_>~ans=_{I(N%q-#&;)L`ZXj?9?d_B`sgl45rIU+5mC~hGn|);vV!#qO0v>I zCs~0BlXLn`zCF+els4QO1gUfc^Cc$w*>nx9k?qzhhGrowI|b5!o>;wbqliF`H67)oZjEzaH`5UdO($nh<6Cbk$a>atW5!X}S;#?OOxxIN`VgP8S>5qp85V4l#+!`9SUDh@crV z&hb)P1MXr3-$b#HX(j=|;speBK#~U9vg@G@gj7T4j+oqtp|=FLkux3wa^(abv{zE|$+C0g zoF+$_TrcpN1~f@nKSz?0ye5j0wB5Kb@=4Blf~`EwgPVNpSf7sG<}ZOcOb$_YM@7=q z0inLbc=k8%5a_Lbp=9=xaluO7JUS`r$PDca57GDy;`MT>^^tz4Dh zwiT$wLYk??Q&Uy5Ha;X6SaAE){qXw<#iYBZG3p$#r<6!Kmi6+daol7bnTC3N%slVl@R9 zin&!CIF81RSR3+aRuVk~iDL(rZbTg-iuz@sxFXReETup)i7IWz5pr>!HwS`qvLQ>+ zHE<*3$cQT<^ds^=UOq6gpe*StsJ<>1Uz2odR4JOGwpAR9fT$fZg2~Nv?cf5fFX+G$ z=ot$FBD(a1gu-7dC~H>}u^fNFZ6;O@3CO8@sQ?SsFVIn@OK!;GO2oL;yw#vm4aE5@R+Rib#;@ zuOPU&tbjzKA1iNATJIseeLdF>dh(X1V}q%6o=N>+lb5Urr>GChC%d|SL4d6*ChiL$ zSb3hCr@znJ4cWyItR?)7)s25)^4B1QCd;vMlG6kN3UcRel07Y$TA#KC3m=5ZJL~@W zG_?-$rWc9(y!kZa4lvjmtG`O*A5B{^@lPas`-+<(0J0mI{LG6Z3S{$A1_{xKvLi?n z%?%RB5VCvPipuFs9`~IYb9IuC`vNW%a+45{Uj^7~335S_IV-{aUdezkUa@vJuMxdC zk#TbapJ>_Vg_>Ns{iYBgcmFdh-Z_oUx%2_@;6Flde15T;A%-^x#$U>geU}xynT5O_ z<_C zL0_&42GiEv=Afy6Lql)(d%#QE;A5N}A-puaNCzQUS7@68aym}K`Y=fhVF9{^0coU1 zHnf4P(#aZsallPNQb3#=>-tMVA(#)uy24b_JBc}mlxzL+_AhKmM`IgjM;&O=?xo5s zyv8F2JOANFL=1Spr#U1f6<>^;r&05UCDEp-;GUb1cVgY5Q!5yQl8k02NkKw|Ly`m? z3v&|FFwSOI8H$R}A^`jP(R0R}WHx#6kFhzl@NqZkorlxim`okJ!ghO;2T;K&sjvCu z!Soej`KHfZR!&KLtP}q3OgEm|w*2-6?+o)>F#LP=+?vjoR1JaeTFbu|8}m2j7rnCh zor!s4HY`unY*^$#h>9g@QBnK}dBAm}h!QFRBaUSf`BnO`f@!Qkz9@26( zovtB0Q?h(RR11RAYhkiJ(2Tt>6rU(-5JgN$>e#l6I0D~F5lIIM5`XA@oA^PJqE=*Z z3<9985p$&}ut63;{p$;%-q-gScm*H;{;L7@RZ!yvusep}s?643c!0 zwtNkr5;`9xZGaI=JtXQoq^a)^i88mO2C+P~(o49$R#0Q|&Sv~sX!2^{6Y3jy=lF=fs$Ibwdn2ua#yTz6L<v?2h3^*T?G2h z(i9ag8Yt00m#qwQ^m^czBy>CFc4yLLZl?U=YgnYGbf7?O#!(5rh*RM=8#ubOijS8S zn9O`ZOi0J&sHCHrETa@M6fX!Qp+r~0WimB|5}P<;Ut9DlJko(pH*gu3bO`kh&yU$} zxv+j7?)DiZT2AU&Dmb${3Qj`k`ueD(k($za?5(k!h;x2j#akfMTSz6APS$4{< zhtcF6zOaQO62}rD=R;NEV!tE(yT-!mcY5^)g=DCN&eHJgfWLaIfFfnbO}sON=R{El zl%5xT;9smE6bC6>E@O(A!@x0G0a;SC>+B?KKmQhRX{T zF2205Pz}^E_aK}yH;$R`36@0Df%Qc+XT3|J!+>>JFc==6yL`;>5f6|QmM4WVn(n3E zx(>lJ6`UQjA0;U%R>H8HGh};^as`=;Pq?KLY!^0?Q^LR=dSGp-p>HQF{)F98cbM31 zPJj*Whxe>@a-eD!CO+E4$5^87YOWSsL?Mzx_^ZPrW6Pk1l z`4be}FGudK`NGxNh0h~NBa0mboj)!;EBme(^bWYQT5nbmdK34)^B#R!Oy2qCrY+Dr zoBjNE_e*mrGyxWum`qr{Mz(SN)AFQsz=pcw;@uDYxq&=5Ib#qiSc5icC+CP!d;XC9qy!2eWy32n@@VB-7fjx~ zbIAUx4w+GdFWvu2-H>e;|8ccFdEMk+{Q>0cgl8vb*Mof4laexR9aRcLmS*QaJNbVb zjI4RrHjpd-YQNMe;D;d55MXkM4v1I?4ak6mblQKz+&KfaL&@Ahjus%eSwZfWWGx_~ zEkf;Z)xKHTcfWAU#$oGTS`J*rzvw6f-fq?zvTxS@mGTppq?f0}`X|mOBwjpbC}Aav zPAK!Q7-ub;K_M=q+CdCqWXj3Ff88lwQ)dEv4yBCA*?p6_28=7`B}Q-#DZys&cp1ZU9s;J)Uux4*Ny zL$GY}30sNVv^i419Go4CU}g8LSg`%1?C-DK+j(*Jj%>DFr|O7`Jv+1icHu{KE)R7E ztC^$f7j8oBF!YVf9ybPmCfgRfW827VX6Edx7rthIoP7{Lqw7IF>q*Kq^J+<=ZX@#l z)*D$nvJKmCR{zyLCex*`rbk&Sk;NxK?eOW3aP45SF$0IZ2auzaGx^hltQ~MeR#c?s zm+gAD=AXBrc9^}%y>9XrK_KxEVXQn~R5lE$>=1)86SR0PBLVCz3Q^dwgegM})`T9X zrv++)9BwUYhx5g$iW0JHai+Zv!UqBgDOhvI!O}c%^ZuA{8tRWe%gEj|7!kl9iKKZN5 z7rZ92+ppYvPg%Aro9zhGwST&Ex2dWpWQg!HcSvpfsp_t`ca~+%KkZ#-O#aY)^`nPu zJ9|@Y`{c|cwT}<^Y|Crw?N5Dp{eS;%bmUGKx0GcRj^gn*erumM-Yfg0bxP8;gmV+R zc3~i=APi|hUGfIS%4+F2C_~3xbm*^v#;llk88^^(D49d=VPp~c?)Ev^cSl_L5=Itx z+_2@!>=tnqjV#LcPyL&9qH?x~0!mEQa6SL?esSUjioZBgDOhG|;m5%fWBZ$l@ChqILlFj_ehe^T=Yz z?t8BaMi!#0ZphQJY~5K8ynV$Nu6f|k9T?+?GbGjxb0Z7bg8#xib|Z_^Uwj!Oi>r8K z@d`#3Llm^<@K=BQ!|of}NA2Q~#m{79b>+fWNB#$TcpI_{GpcNu@n+qGZBs%1n{i`C zZm>Sh8LeM;7CFWU-;+x@TkK8;o-ElsyttMmO!pF$m$v0xQd?9ULBG z;3|!_`g!f34S0Ai*A9%b8$fGL91LX83}LMTSCk+@2j2on(Ca_Q|nkVZdw;z!L@e+G*$gwR|-?;JD|2h@8xj@2Q4;bLQm3M{Z zd(oO#AL(5CC7|p+_r~}eUs+SOYWuIjy#m<5f4_I|Tl6$sd)?;8KHGKw-UXW{tzWZs z&(859?pm|sKevAaxpVP@UwLT$%Y(}S)%%CFSK!%s?v3;Q^{&Tq9(*I8X~81}Zsh!~ zye7GFa&S*tL8kSP9XzhJN91w+;j6muKmekyLD-+zK(hcq^n*gaZFG0zWdR;4d;*l+ zs1s>+y<&3Tt{PLojgNPRwqWHj8fk;0CD3YnBsoO@^);6b&G*>1yN-3MYkG5PefspG zFn97uxCP|sa44u`yJwekCMv6WwD(mP-!7X|DwnFan{RRI_))2{)b z7O?MXkPR*1&a7gzrKMv5*#zzy<=UUA-N3nX4x@B|Jk*~6a-Gu&GFIMzSA{}sr-9Vg zQOl4`ZKK-I!G$K3B;+S^r3>Ud0O)Di0hyDm3ls$0URWSVlaLJAe*8nldiPm;02X%$ z++mVOv( zdv{g$Bzp=un1_4a+q!l@Ng4u_g07R3&dLdeeuf#U2nxEmtk>O6lw zrZ`GcvB$C|OZ}5Sc2hH8%U=&-ZVyU#g6d8<+UL~iLY72?yiHW&MRZ9C=KQHs$ETGR zE+hw4>2rEDJw;K1(29jLNs)Cz;K9cnLM^>C;&cQ8kqCSOA#fvR89>elRp2~a#(xef z`0d9(r~lJH-{Al?aVNJR?Ld?TZhO$M==P?dI`2^v=Nj9f12QUTK8*tGdcw_(6$@c* zLfw=oY-pX3sz3;mj1-`5qBl^*t!hp|$;KmzVsc+TDb%~5hty|JqxS|er%7K)_K=O< z7uqlUx2I8!|B|>{Cg3JAehzX6Afm#(7i3GtJtB9K z>`}leW+AO%sp_@kSn5PeMV_;aoRY`f)RSCWA79!ca12 za=p3>9h4DWJ1Dde_NC|%SniM_GGR7rNy-ZjAB&LiOxIn+ed4OQ4Y5^pNjiF=s55(&q>v=ebu9MMon=@M1RMIM^u%65V5 zT(Qh4*i(p+(iI zXdO#+AkJ;sCfeb+IOR0zaxYgk&Zdeq-ZB$d!YTzl&8pGl?+f7*E2v^}=448jlQ7ec zgp~(bG!HS!=OP0m3lyFb0e#B%68QF92b_AYK`?|0qoT|RqY|s&gZTtI59uK3jciBq zNdqoZWG5mRYh>uip*D>0FT@Qt1l@-_bBWW=^+*)mXWdEW3n;?HL91fvA60x!2)CGk zeeh*5rSw^W4ta*qz&z#X#uUWs?z~fv`QUtFqzGxK6&j=vH8>vlq8bEDG0<6tqO`+{ zaP&pg*y(`DH2;(aNkgHc~w zesshAfTdl5ecTVn%8od;OZoBHuTJOvm$Uev!eamCiiwXC-tVx%PkTPYshw2&bvOA#dNaIoGgHEcm3AzE*B*aJ-apAXh!n(}U&44OY70y)MatU(|qnr${66C>O;al=l ze2O;?P=4<@cM6P8Q|{oeM1lDHM;bt$GbOPq=c*#^u^?kddFSNCaFy3si|?`HO&2BL zs%)F0khd=boeoennigpdeHiHVvC~*mnhZ7(KopVbm zbTggHXd4oeRuBsMsUa2f-%6-jU_a8$wUwY7MSI`7A!otwZP-E5mGudQ#fL!{VO!`6 z%QhNh#PF$z_;3MhL8t%d_jRe;En5sp+r*=jPp8K!&`6*f>p#kB3x;P%+0CV1OKzns z2DGlwgA11&4(GX!kYHqGai|vM_1N=`Cf)&kBNuIe@Ex zwOXwAs8vf^m1jM0#V3YFu(MlL%Eg8-ETo+LJ))R9%!Y|o!kKuw_mX8#N!jy6`N8Fm z6yB&+0QMcT^xN3YARYC^%ILSfXPv~!TdH1I4?4=!LR>OOb~6Wz+DhvO!WAb~HHwXD=(8x8&L!ZEgWH8q zPA=^eCx#}^-s7R2OH4RPcxB~i59=^wJvxT;m@2BNeWj~7$7JVUXV%#k{MohIesJ$?Ig^kF;0b{ zQ#z8;`;avZ*D72oh1Ho)R~Fs?vT3vr?ZS_oi3^Hcu11&FOUr0S6v3$w$%^tZQZ%D# zrd5bL#^A+E+er#>sx4{P7u+O7yMy&xWHH4NTslzE$%VWIIZ}U)luzK=;W8{a%+S!9 zdV_MtT4lJ=42t82*%xMKxyb5ANr5&}vj8OT0z#$#*xf>O1K zHPL!+B?Sw8Qoh6yrHaUAt*?g0njRmI&1jS09_y>P z;hzz8h%T(4LIFV@Zc)nCrv(DqI|m+8y}5T!g%txXTVBuM=HUsb4RA| zkxR;G&A?S@yc*?WVZsCkGJDWy_2YO^Jz5J(wUs2~8gf;Ul_(5Brlr^?N8fmDPO66{ zY~=yTA3A?vWYM#4SJ?Zu(Y161-aXWv$DYikD8bxz?ve>1lKwYz7egIaTV!&a`3S#n zRE~4(;AjcMB>{NzAAb@gmriuZ_g!gzUTHpj7$nNj&z0yJM-A89NWA4z-$6$=9}Q!e zZ!bj-FS}txbmU^i5z@pANc{Fzq0b~;fPx`Iz<1PtnmGka(U{7~FDMkG+lFs3UGlW8 zXy`z}<$ZUBNLn4X@Pswmh2Hhh-@&wvurR8qBR{h_FbGo)xiX_qaj~y}%e8%7?;qFN z{)KA?s$%>AtA*>o3sDEA0+>`>%7c@B;K7{|M_KS4ejbBM08;XNw_A%M)GCI2U) z1_>c@FaEXyf1|OTa-nmYmvjlS)>ml#x@AKTqNC_|Jd9j*KI;u3oR>sq4{CERV7)h_ zqs@>{+--T;C-Sr7d}R;U4ldVKijKN!*EOL_1YQ4sV9ADJpP-fCZKVwt9yy>-n49?G zbYKsfjT}8R$F}i3E*}*gIU5we+)L<<2;3%i&mB`|S{=`+dBoP@($P}7xkJ>=Yhv`w zV(5+QN(`emlcE8)!ks<2U7{XJ$1&F+x@y6}B=4dZftS`PDHRAQktCQ)Y0|&QV#;#^ zBMZuszC$}*Hl_a7f#Q7!>@Fx|z@p2WS;eQOXpyF%XIllA`GK**lcHZ9S@88DGM{k0 zfS4Ozq9hTj?VyKiQ>jL<=ok&P!HFvrsLbtRIhA-QC`)NFjYJwikcNw6QINSamtrhG zN8$*PdXR%Ov?IWPt@=ati|;;4XVuj4mk>TWXKjy^lxQbfW$5m9VU0H775sxiZP+Of zyVT+Yiqjy`6=ob)r3(VtB|*lT6zCKb9XjaHJ!l`e-O2s-{9oVT+F>^)heNngno17v zPzT7sTK&@NyRz^}_L)a9dwodgsc+`FKguAsH!Xr=AMRVol_7}jJ2(A&)1&yjW_t=1bFGi1vl? z)B6JL>(H>lN^rYCK&t>wtng-==Nzg}4B*p^Q*bO^yQ@%sR7{y|o@*yH1ZxCC`yT!t zhit&x_QOt1w?t=nzi*6rnOQ5xq*gVjlR@ra(PMUXLGIv=Fw@E^y;?$U8)Yq_F}8E+ z(&fXUH?Z&4jauo&Z`D~W*h)a+<%MY3v+o7pB! zUI4z>wI0WUP$YVXYQZ-%7 zDwvp8>Fz0d%O!#VlsaL?YSG6%2RCEhqdtMrbb{s~2ICDMMYQh0)r8t2IuZ>-iIiA& zc)jPZTXI6)?a6p7D9ZFHnZRv2MeOQ?h|)_yhVANwDGVlvOra%V3mfl1A=9wY3yy9( z9E;5#ToME>LsohUm*E_pg*@kg0fi!W75fr|Ft}l4P$rD^<6r+D2Ko+tSLd#{6Mcs? z^&QZ#98!PEMpGdejXsH%=~TbP8H$F5-gW3TNpMF}DMEeqTTIQJa92)^$E~7f2=D%P z7c!oj&$g*QuYv5dnA>k4A*W6Kebljmvg^?c6W!Y~G>D~|xRAW+@cIr#{gNQbj>hX@ zd>i#0pnEAzjuKgTiL+Ktt#Pd%^fbOHm1<3+oAolXST&wTxkHYfYLsoD-q)h&t$qu9 zJv&uN93U6PdNmHi5Q;aS(lL!g^DM*9cKEBlLpm_=tD%PSKJD*yr z6tx7+ktK*njfA(NVGZ0vZz)h)-#wT{LbxDW8Nt5_aTkBoZ9oo5ifTu(WA!P`^&+_H zg0S--L^E|6T)e#E(xvx7_^8IScpq4D^i1ga3?CpZdZ;Av82pP76AC ziWmwXK&Ivois~dh@1Q7`4tZWa1*v-3 z*bBLD<9kssPwqvNBA;|OCrGjva$dF;k~_~ulBG(O%3aPyu(2jybkLz7--#UZ90zik zG=AxO&Vtka6y2|9C0*7@(b43nu5Mk9D>|N#WNXY}>YaqeF8-)#K+rE2*+vp^7k@c2 zMQtj-CCIghL_P>1lqW$p)FMg~kO+znAvuY7KrZBFGA@C;7cyNd5<-L$vU?%-qDc?# z@kx+et`U?Nl+PU|_u%fuC(P#_H1`&8mf%+Xh73hYDR}(JqN2H|?_i*aSloLe-HL*) zFN#RLoHXRzy3IIX8p2@u?ia8hky)FU}D{ArIy#fsk!ELLlVa z93c=gEk_82uxzFVLSD+T1VXUWOArDfpA&}onHT~P>{TPT(4}Fu0fP{Mkc~M)AY@#Q z5D2+Q*k$h+J5O3C-nLx27~Pp;355JCM+k&`Ot?d~oRk@9m2J?i-)D0ifjQA0KDkGz z$!esS?+kJ3h}#(3UC7iPLVEaQWsW7#q$WoQ%$brSgqrm5Nn4I35E2&?%`L#!6@&eS ziY|INjzGxJ9zOYcjwKLsdX5li@|heV6w<>dbAEV-eax8(659bJhklcA65u?<#?vH=%e{k) z;Me&1dyp6Hc<#&F7i?aU{ppT9*M0wNBJbY&*PE9k$wwf2^O^_tF1TybZ+`SDxF_AU zX2-8~JT+(JnuU)61u}ci=KtBT_nUkF_LVi;v%lMW{^m8=fBrH=E_h|l*1hw$PTcj$ z9e>)t{kp5xjQrt~yC%Id>EC{Yl=r^7dCk_lP!g{CE*FTG7JO&Tmjj<{-m&+(&1>Fz z=#?8LzcOhP&_chq_b;K>pTPZ_hrzvT!RB{2t=aMVWqEUsTd8_^!T(*eBR~uN`L^?* zH;VnAQNDlk&?|f2{_~_wD|WoNZSR+giaq=d^nDwYKKEX~<^N_8cH)aZtJ!S^+Mm^eh!?f#+@tdk zAepUxZ^lmvJZR5>2dj;bL*zZas>iNPwr{vo57x15QEQ#+5%8okb?($RyCOOwTD7j$ z^W=wAv(fBMjasdKv+$xo$^?_bCxy+`L#vxi%da=ooTw8;SAME&f+q3!%;Yd@gMW+k z##dKbhU>MFvDGOT9|vsomqD*;EP8YF>* z8~|o2?^5fQYQsftv{?k0X%p(E*Y25YJl(`T0U16i5YiuvccIcDWCQy|?N902K@l_u zzQV_b1lR(}j~jIETR8u~lL^eI*e5tUfU6qFgBpZsR$E;(+VqpS5Kj`Gif{>%@j#9p zTain$u@>B`f@~NgZD3=?ReXf$bo%MhAe&J?UXk)t%nCDYsR4{gQvzp4GR%sqQ4P-W zs^y(_U2R!|6>n>J@(O1|s&%xTbo{DzWbx9*1{8pdU1_!&Wi|4`2gM+j!hBho5Gfe{ zTU{WeP=Vfp%gI!b%SJW99MK+^rh`E#T(waVH(~scl`u9ck8i0O!FMyRzFm%=MzK6@ zCp=TTN*fRI0m@0g3^tv{R)`i8GMFo}WN@c6F;Yg7I9i6pb#R-uvgl^RT3in@(aZ!caa@=u zLzaAS^l5D_mOaH78?Q+S)5fbBCJ5n_dIrRNpc9vF#{og=l|Lu1NuCrqmj-epLr66C>orbTMo)PUUgg)zw zr(axCKe@J`@6d><4D}x>zIeH=7IBVhae!Rx6Pz84Pb%TaL4t6b9kkfCoRUPFYkXo5 z+7}?wm3Az_J$9G9*lJ0E93?pC1R~S{sjRC-Q8Wn7*#O*LQkv*e7;Yu7F0JLw_T!G( zgqBUB+;51Bn#IXj+8RF3t8<1mWX+bC}m}-;%CO_VaeQg&*LF;+JyL*9c{aY5%k^&mfh zWcl8WPs4+i=#2`MBoDp>N2aFChCeOz_nmY}#}0+R$I|m2WJ8q|=WJ;uZZ!6&9omB2 zNvWC0o%XnAI;Ql`wT+4fN1@U%@x)Rkf+VGt&&!n!PQ*^9ygH{YHXP;evs9N@HEqaZ z^s3SN)n$l#tVBxXHBBhHRm%jPs%q*k>m*qdT_9q(I~9=2M?nQ3Od8lLsk)&ZuVZ>G z&FwY)wD_ksFDoXEirmCDhn9 z7$55dnS^rAgABHA&kofNCCIEvPwwMOW<9^Bzq9BU9hvv15-yu1L*wx3gbL%`e$8&@ z7N1KTPvMwLy;vPADRG8(b}8UK$7-M$1{jLIETXQiMMuL$j5mz4@JhBsTniOKAzqI^ zbctOEurd^P>EKA^;7)o`*vYTBu}r#L5Wp5}MyL5s!@1(YO!0R}VVb#HNxx$s93d^X zTMr=IK!ccmdDS3mii1nuk>o3beRnclc6h4}!@)%ai9c;#Jr6eMyg2j_8xBf}+4|#| zJjdse#o>TMo)}nweFIOvH=Mx($$qmNw&?vKdgX{i@Hd3qTYU<4-?%Tpb-W2kjR4GC zG4XYRdwhNMsw-FDaQ9Dvj5%%X_gX>z7L&of`i6ToU?y>Y@3k{8UpwQq)dcr=@7=A~ zhG6aYP6qdD*Itgz7Q(!o$0(4~Nbk!=%y@m(>X(U|z&P(M?W#vsE?e?b4JA2u`>l}u zPd}((*~^}}MO*pW>ia=HkgG@&ZBAfiAmo^kSCN8ela&QvoEqr!ZZ@9CcikMgs)Nm0 zmFJ#{ttwt$eNWT&8}7L~H2(1LR>N$_%RX*Cp={{5^S`)u@bHC~-%8(_^+bUjARmrT zE@FH{!4?}xsZ`TTsf22c zk8O>NcdQ7$L>&gG76}ED@ujz*U2M6%N|BI8Y|We+TdenZ2pL`rO`h6D=A=?f)5Dx^ zFCy94C?W?rTE?1ilDL#QRw$4KXrV<(;&a&o)Xfw;2v?aWH)GdNNtre`4(m?!ch1KTsbz^PAb%`Q>R_+S5Dvoc0K+b*Kr3f#w*$00GaaStp zNsrOJV51yYPZdjT;kx*+8{Zi6_(Dm@aB+w32UASAf~51>M;Yo1Q0S~jr~}7Lva76& zuC<6NNx%3~lz)V&e{x6W%AFn4h~m`B3RD_H0|X}1w`xVIYUT)k!=+70!b|K994R=G ze_R~ZXpRD`Gz>C~oXEfby^3oGDdMR71**kvD3Al>!}JNkRNBe-I+r}?KnMUcqtOuw z20|<+f43f-zwK0z(q2{hu^^e7eI5(gl*Z%D$j_)L^#w|R4GB!r}w*_M?r5Y%rdE+^Re zU^S>q@YCO_&I^eP0o1}dJCz!i}bESzX2%1Fz|we>3WHBnwum|R3N*E zJFoXKB?A)`S_MfWri-TMG$hd(n1b~RSV_~nq&HT9^W5Uw@Bqkk&&dsR90ylf&6LI3 z-=gYzGK$N|6wV#ahC(5IXE8ape{zf4s|H3El;=k&;PqQA**2x3a)yWKkOSUYkaUwv z2NGi2&Ltd|IJ)?wdI&*pd}eqp^k~+AU_0P;Oo{R*E2I*l4sSRS9d}6D?}6@-I3$VJ zrOi=Hx=yJ`3A7w=Oa1`brM;N>UVS}(Buz#r92jC2jUscA1`zZDZ-7$CnlpWM7V^x*0n9>An_*He#TSs>@wGyCv2wv^%?Z~vR2HeOYY*oGKyTKOQ^YjkZq47D{C*C84QiYuF zn7NSq4mQS=M+1k5rl{%fgq9r%@sj|XcnIb&_n@zDEw@z4_0yHkq9=yNWZeh|>FCWT zc6V0|%O^RDroT0=MZLu)e3!7kI9oGdJ{8Z8vu z7p2CLWBty!K~^qKe^mP$9*h|f0#`vc?_eERNsbNh!Pr$j$pxB_7ens;K!!Q6@)I8( z7+D-3^vF z$9I=DlEdc`$`DE*eG@0TON_{PtriGDNJbDrH#-xY>uz#Ga8~liMS23VJLsw*DNO|K zYEvX9icj(fnU5a#m<#nOxOCvL1w~+ui$lpk8A`}UQePd)PD7k+*R=P?@$X*Y+M&Ny zU8qP)A|9S-WCJ(|uJ3PUy#c0x)(L-M8{DUryezM|&*6qe3*m-k8k)Ie{2Uts&}gZM zX{bd;0Elgz=05>4&7+582ueqXS!t)CsFT3l1|><&elpaX zdINw31sU8VSIbVtpHB}TMq~+Ykm;{KHHay|XoUShdLe@pOyv+g!@ff)y%}tq{M{Pl zWnjFv5^EORNeF$yowA(qk?7F0c9rJtZ$5!3VP1Aq&>4Ft*A6b%b&8I9%0^d%E)jIq zIzSGZhC}no7&I{Qy?M~ot(wRvD+Wd>}po{k|m{VF1W3fJ0v;u3*k?$I*` z2^=+7k@gkO42&$u4}Ap{UUY-<5T5t;Yf8G}a(P3+Q?!XOhr+DXlx{~^a2~`_CY=H* zmj@JN{MSJWgLc9ChtoZu|3sBE4A0Kmmvtr__JS!eJcJ)`gmOP5Jh2@6=YWZ#e zQ@Q=c5i{lnz?0tx9wn3Sow5DJGq1e=Mm;MIE3sz5dAS`ZkhEq&3m=c()b;L)aP?%t zl^{>wj!Ag-3CPQ?pYi%-#I0xZAN^|Av_MGjz>``wVRX*xdg8k`7g@e+lYo;11i zcxD5wD->qK>o@$UG}a{+Y_#5R0HMl~tFS;3uKH-lmV8J~d%Ylc0wIM-cK1n1A(Ui3 z(+WIzyvQBrmUHc}l17MW`U@c$dEhueF7gRr6AuC7h`GNvCFOQP$cD&>Q~iiz&zW5U z#tOck-NWBJ@1V(T1-ZlI8>3ACV1hP=pT4HdA0CbQezX!uPN&l1#26Z1<6TV7%f^^D zD~2(4qRpo4jbrr$Q|n8RoSqx7y(E?zQVGiW&h+i9iEuTNlfTvNY>A$OOM!wZE)bDq zygd!vCnh(}@aEN!UpkSbpB{f2pd_2*Y;y!i#GPg`l`8l{o5Mni91Hn};Ml|Aw#j(< zW4#-4nj{Hi%n%o9xU)I4qa(azmsXHwSHh=(&9S|i@%BYn`H3)h4BWJ$1nyBANOp_n z9@EoGUbE;))*d_@bKtJPoFZ7zBTROt-8?X|IGR1bc!p=Ukp%Zx@mhbr=X%iTW+YhA z|1BB|m`Z&Z+Y_H2T&NTyFup)gijIan}P%SK5&_(>YHcd$gAk!<{vktQ5 zXcy;pnTaC;STm9~pF)2b|Cw9z6&yTK9!4R&+=aa09MF@208M~g6&N3I^n)L01jE9h zM-~|HAa}?&<-tgSuEPsfXdAo`1f7R6Jk`z^WD$25YQxBh{QFJ4ujKKl&W~_KcQh-} z!<3DN<<7uLOE*JJ1aUn@LtGzZVuKr9mA|DADh%BL*-F#)r$fj&-dDp$%P7{QIyQwH#2SAQ)?3oik6?kKd$SKA z>=QW~Uv#F7m$(SzNi1rb} zK8Bm;2}!#Dp>TozAdlKX$xU_#5g5Fie+`8nygl8{XLJCdCxuXyL_BEgRqo{k-I(ge zRX3OlY~2Db(A1+1d6tW~!q*m>WwgX^n1Ckrqaztae}xLdU?O?i6WZ{SDyvFYk++Fk z<~V}a&x!c{3RgWfP&*uSjviX}GxvDR=etOtT^@8QB^cct%?ygJ%ux`bFCeI}EBxsv zTgM%}@TN(wOZ9*R3xXrsc`XuAdLophA|I!_NRF)(jucE6^x`K8OoniYnh!8lhK~yd zBkcw66csV$PmXoW?Il6l^vQ7RRqnD`9OF~T+h^edc~ZKT$`=H7PiVTx-<*?jLPu(z zr`q8UC$tUyS^2rwX7`=7&k#d)pV?q#_dfVarY!sBx_yVJvMk$wE$$ieHlEkVGD1v7 zo!)@9ZrA=PU)&eDYd1cyeC)q&rz=EeZsR-I-4E=HOy1u3SoWpt9aFR7+AM?^4-nZ} zw(ysaWkzJPS7e1ZnaTS$Jn+Zmk7b{qoP93)=K7m}{q@L6I}OMNH>ZjxqB5J;d&o{5 zk9Kv{T^7pTvlQI7WFZ^e<{g@Y9;pWc?)?47CpP3A0GTb!Zn-!ES2a%%k+*JymC$8m zt=P9~=a(hvJ)}^#$YU9}9AuFV919PQ+$oTk3j@B-W_O&lPfULIjgcA6U3mYA95+{f zZlLdQG&6Ad53~D5QSI>dw;BdtwD;~SGv`it^R`2;9rn#4A$Z2@$r;oRJF{67*vzhd z#^w7fz5?>#$*;9cMjzO(1L$=N3+XZK8a^NyRu?>4Rd%+9h~zXERf$D~w+U7~h4r>iS=uUM~T z@3{@K&z}t0#2wTQhzb@$k>eWR!9A!QE-G{9LyuhTKsw!3JNV)uqrWT>wJ#$=7%C@c za>i@N!h?71L|%4=wL@m|J%6#U?7Ne;kIdw_!`n~n-v#$^?eKo<67`!*Szgz4eiKGTZ#EY^We2Be**+37gdS9`9WrRiFrmJFpLpip$XAH`$s5G^8EW8& zPTWJXJHbDByPUl1ciApnD9aN0q}QJXdBWrj7vUzfZQ*_katFA1}!Xe z7g9yqyi5Gz>Hj^6RU4KO7Y_j$T3SVY2eMon4|77tqKdh4ZwGVZhO&1bEPq)Ho3ssG ziX3;pF!%74(6ciC%p;3_l6Qx1OuxNz?${q?&e~^+%IRk|P;c~=%&>gzaPW09jVw$a zSyVojL3w=2pdrME*6;V;{(J?EEGmEe^nX5tD?}E|%_ECS8d>bxnC-&I;w&0jm_K^` zg$H)pi>dct$s-GU6OkX-vvkz?6CZo)^Z&AQJ&!CtN40}__g%a1#w>#1@l0-HL0xgN zQx_m}{m!}}ko_qVvhU-N%_9pim_hA8R)S3T9OqDm`fFINby0RpSUY@X)1;Gjl7f?K zEsQLPEX<(qpmPV72gXzFz^=;fp1jj~C0{$(llOo4<+91|qISq}A7@LCQ1Y3taNl77 zWw#_A>fu2Qe{=7GA#3*hV%zKgy7{qJfTnu$i)XLHPjcd5V5?@foePN7i+>8-)*eYd z#vrzud=o%z*X-E5Kw_`I>)|yQE^&-P*?+(HZ2Ua8D&vWHo&8=1KpyX2&-GMSazp9ly9|@1(0%AVRpg&*k*~ z&u!ods!W%tSbdfoxZq0MgdApvm?aQA|qdaJLnI94s-Q3(*+`sQkbkXe;wz`q*DwSyp8 z`}DW66qu@_e=*b*^|I1xj$?CKVfmH;vP*YM!I=g;RBU(cuLDM`6^$TMR(jB@I&NGP zCONcH-0EV>#M>dH!UfoF8}>o+Ocvq^)+Fu%nzs#;@m{n7w+W3ATMcPZB#HBA)Ug0G zi}TUVqgN5R(`p&x0Vh&`X{ARBA_5^kaaTK5v$AdPdscHT$bfhC>BlC$j~D*zZPjJ2 zcRRrVLzDP;(nP^0w^k2zp;udZfSY@3wN?;5BC>Cc_M$&vuw&)HD&!^1QI;YhI1kK$ ztDXu$Y+WLMUDcaHR41z8lUps36vA~no3Y?i=UK*h!}J6;5F|~Gt513@gD4=d@{9|0 z?ZBWw*ck)RM;_t%7zE_wTa3^%Kt42|B&GncbyT@4W;z=NEhGFSQvzg-A=<_f$X$qt z)ZMX5Ex!ib0r_!cL%B&HOiO_ROMq^^QJL@rp)Fla@NYt`CfTBOl`Sjkupe?c-R)O7 zaV4GchDr^R1XL9-t7(FeDwS%hb84qsS`#ZSs)^fTfG0-T_3$}nh=Qhbp6&*2E>e!O zIVccFqPEci+?MpVfV(`dAOujo7u7W|cOo$}=DTHX448eQ9f(sgZ4M&Gy;M0>DCW{` zfmP)-a;EgFM7(XiGyda5-k8VDEhzTD&4u2=wao_KTFmHHE>Ml-l$qpM?8e>R8D<(e z)`K&M8$W{xAIxbKR(#Mp?DtQO#qN8)l@@Ttcp#Vc>nBYkPNjiVHmcoBoHS{52biU>sq9pxCr=7O1v9lHoQ(ru$R$0&72(tFRm zX(YY!z!}5}=JLbfm&fT6xLILIN1Yp?EtH)IxtFc^OpBVR+lr8@U@jGinIz<4>J4b_sOSvK3HKdDkUJ*0k@N70 zaOZ$qG*j+y)7)#$*Fko9kUR8ql%IxCC2~ji9X1E=JD}hNhD$`kq63=-$jJ53CsaEe z*e8h!Q!Np%Jg4%)n>$H_{ETQanBU{x}{v3am{pp?6v36)u0UV~d zYRqE^e9`lL16pzFuwXjbt5i{nczGwH*FbdD#usVC7da0flef*VbWYYs#T z0(W2Y=aQnp#lbTEdW@KxYNNVQ_Us+h=6xOn;*2xD;pODMCSi4yxmH44jfD9E+F>4_Yw6 zkd&HMVHj@-RgZ=qS>h61@4ShKLwN)gl3L+p=mJ6+p8GK8BoVB7)h*MA8U)h~B9erW zWTgU%9%1HAj&sE7HT_A~aP4sX5KfN+sOm9D68oY6nRUsEwXaP*1sjPldg=oV*g7$f zR(tKifU2InWEtVl-aO;=e_1`_$s68{G-F$kwQHAQZ;?L-5Uei)BlbsK*a`n<489xY z<@5j`_X&oMJ(I~AI`+qD!ifE67V_JhFV_mL9`)n9S+)k>&BME%odcRJgpQr}V*>Wo zvPTg9?2P+X-!o(TJciQ^@P6;j3AyR+yJ;VH*5tUs>AnVk&t<&d`=9z=p_R~^$d`6g zP?PVU)%DccmCL5xHId9|LS8nlo)v-yw;~%te`_JI^7b|&-+Lx;--^OE0o)V1rcGRX zGPdk_?Qb*i_kCk?+{f1^x@d;xP^l^~r(?_}t{pu3+_W{0&sn3rfs^v*rj7jJ>i~D3 zt{M(kIr7q>G3+?&0eHUc=_GMSLXZ%@d@Ocp z8DE8OkYnEU_)Eo`2*I}%f9ZC|@*5!p@S1>*C2l5jfxt>9aSLxMk)a7Jgo46*j(1p{ z<6WOKyYgm z4D?@J>U;9Yfcx~+sYF+9O!4TzCGH-9x_mOE>XE@Y(t0-ZXTU0`WiuU$aJw0!}Fs z!XR!6#EoFI<4;kne*_vdDExUa$aHNsADUSv8LfN&vRG4)wU@w)dtJUtLMW!43wlw& zXR!JfrT6p{ZUv@}aEpY0nb(L=$}&v8=84@OHv<6|L{u>}4 zrccZf1i@;jYNP|l`sCQ5gt8m+o|T?AFYYvhT;8X@T@yQzG(pJRngr!emFFXO@KH8| zNPxj2cbt#M%Ui`dD;@QnC>rsGa(n7l&a}>DEPFa73A|tQU}~g5^R}!3NVulsn%K`} zx}VQtOEmv@#7A9$CQe#y*lYT)f-+Vs?n-mqC78=BJSsX;&!O` zu<_tFa;1vN$GucpX>@E(DT8CDI%OU_m~i3PWg@gP#LX=(_GT=_#>W#zJg!9AXYqEJ6_;k*wOWPT&=U1oNI!nk zoX`Fp_Z^8C7Vb0?1qDP7t#g4Km(eXsj2)y&#Ebg-D}4qfBdHz*e^*Awf={0z#5YYgq_x zbrPQjp8<6ggpelAB@#`iXE?4ZLlKAU;nXT>y>kk}oR5Rss%k)zf^K10TKrfngv>Fo zNiZ2N6r94v#}bVo_q6i36*l|RtROkha%!Wn@>wY8Xe%#(djj0tLIpo;38O;(J)n_= z-GCd}9D_@hqphS&GXXJ-Yam0Q1#W}}=?d%9i41Dqa^D3R{zf&?(4dq6urG7t$U^!l z%I@Dy8W>q1i;iqilKP$Kmf}C{R@a2GM^;HWT0!gO#&B`1wuVi@R!AS_sp4sKKd-AHmI zP?U?jzT(o4rJz(Tdd)6mMNVehOsAwP}6~Eb}t)y%DUS`GGE7$XJ3Q1A51h0Bu=R;x-}0wloH*lJ{9(`XEAh8@*#w%<=FQJFci&dd z>iW%!5idNkVl8rK(rMdgG51q?vw6-mjfct`!awmaHdlx2X#}UsV6cJgMuv{XX7&(r z4m2s;KK=#V!CR%Tp4T;FHKy%Z_L%{B1i0_V;z!pshK?Pf$(NHk_s^JneFy`*`)L+J z+<|P?B;a1l+_Y(XKfs@z_rQ$RFh_$O?`Gqnd#HDypmr6=mppz=t^=SN7bJP49UGwRKK6O8 z9cTpFzc9@(;Ozy!tKX9~GHYFQC7fz|Ta2YXMz@$U@G<@E)!}dMs)O|R#yT^$k%V9$ zSrxDGpMVgW=LVT&Z~d6Bj8XnlCO1tXa&nbzCs!%oZB`LsXl~%VR(FF`S6Rdj__w8)`}gW-N87mlWXfo@NQe^{k9m!h ztF26G<4Nxe3zCHVW0=Ku(%|wPfvaGpjck~jqGRRA$RyuqEMahu4CkxcVyT zf{rsC^TAO0c)*q?9OGEY^uz4Q>n*ifId1Jh5LIvmQ5absupjnwHMlvp6 z9m_`+Znl@??`OpQ*a8G23#tPL`VL{`Us#FuQnwzEEJ(;KLZpfN?$&r)PPTInT2=hT z)BXX4*cY0#Av`r&int>tgV=Hh1dWzNJLV^_J$=yf9i-llM#3ei-oln|xbBxk3dsf# ze9>3Ks~araU~{zI!xV8&tB%z}h&|8n{jF#WhxP2)ao?dQ`GCeB`JTpBG>F3kO{jCD zbH^uguN~E9?D;PVOBkrel$X6_T7i&h)P>U>5C!r{TK3cnqqUr5A_XC%taP*)$@bU2 z11v?U8n%OKhd;PnX(>ADYEajnE)j&H!vL8YRs@%iijIBxMDlXCa?w7SdZNyxrpj%@ zO)lfo&?5K&gb3Ru7914)mtil2HIZZNL>-Y$TahOGRVIF2{Dqmu&3BRB#7R^4!sOSR zJ(uFWYvQ<)^)5C(iCZAZqNzH%-Q`|~!k3;jXNORfBoU4~nG{e(nxI*CiO`JyjoUQox)JPx6*%mf#pvY&ZjhVj|L#i1Bv|OvoLy*xc#yiTLCj$^Y{TxcO*t zOctL8XWE^4bAn9h!CT-oiiWwM3Q$F`1RYjFJ#Ozugm1mdwS%6#<>}bM3GD&$VF8~` zpF1l*E4~Pm*`Z^1-T%yf%tilc;_h#)z)Scc8KhuBo9EE;nbV7v$CNw|5W_dzAFQ4Pt~wLT z7_buSEQQ8vS53!S&NPsp`VBm|oR%8^c0B7X&ISMy!@``uL&xTTl+V+P6JP!Dj6F9z ztQVTVeT!Zr%5mrNGFZyU`@59vW69ld_d{GetfV1Tng<()i3$e+14051;xh(e&u)x) zHSv{pD&EQDM#5qvB;1&17%OS|yKjGEHUXe(<74Q%uiS`v2hCl}W7XNX#Qrv{7P^Fy z?eeJY&8}>kk~EMF!cQl+`hF$j>NNqJZ>qZrWLJ=oCotol8}J4IdYtp8fozYN4UpWF zv2qTk3l^ou;tK&P5Ri=|1MpoEvV5Y$3PwyvrbewClQR4z_)9ydsz{?vc!2mKqkk0$ zLLP=Gr@~$KMQ4cEoRnDU%}V+f^o|hr9i}33j?Lu`amPTG30^wlOj}}BEKN7W2qq8< z6FscdYZfbU>3PgjbS?p~@ABvf$Zo=S7AvRBtF_W8S`5Mm83s*| zHZNtP86s%-)72v4#zz(0VxwiE_MHH7wd@LzC4@v$qcDfA+sPXVAeUM`gSjK%{(%V; zdTPs{Hv}w7rU*9|>n$)R8i4P{A%x5s32kEDjl{jG%}yGbplQY>=AO&4!O7ej9T-A% zpC=^uW_+mkk>?&xNs6YNCSB+ukvT+Wy*bHbPC#-FbBql_=9>K}ft5KS zcvIwNUb7$%rgP+p74YC`-UacMQ{%!)r^akZM{h-vv3}im`1AUKzQfU|wmq7wPSA@D z^ro>w5@Lp@LEov@47IfD_wRN|$WP+0zTM8-iP`h1|mT1*>ar=-i{wx)UW&KAu(4Ah?O_ zBujl>aF3+=F-wFS$rIBlO5|5GO30ZaHTKXT{DNv3+Xx+bhRj^oNnL4*-z+ z1jvMKdqwQ2lV6djuMh%wCclvKhcqDJR>oecbWwJp24H~Kb+PhRt(d@f{aCu|VqK`o zeb9mPLB*9{32q}6t0k1(iMcBotW4$xH1L@&W~c;|>fhv}eU!kCVf?la7rafzD=`;K zIKMY;u26(7V+2jW>5e>a`P!FOvsf+;e8^>!sHp$nVnD z^aM9X3t~N6#*epn4x;ulH=5yRt9$4|^b63IqPEC-u1f64)_}Z#e1UYZ>%DE#_hg_rcALYv{r0 zUeGh;fhjJ0@k3jFdmq`NHuw>fNw#+y`6R}6Uk&);OYPXZ`CX@|k2NCB~jy5Fa&p1`hvz8V}6eZvsviXte;b&6^UaAjsRXU3u5$n^%-C zoN_jiWA0VcZ!zUuId2<)7{wLu)K)zDz0DxR2w))pQ-phLaDOH61#NmZlaHDm2Ph_z9d@NQHWB+B8-51++ z$@TA@(sgpqoA*{mzmVV6F_yhhxq0QHz^vG}t{=*+gPb*e;p@X=2QR3D@awj+_;Lks zx-n`UPRie;Z&St0Lc4AMYm4^I+W#uZVrJ2An@sU}lm}w5Eion_Z`ry}W{UlJ?$fub_;QR)VAp}Eu41l-Xi3!Ic;O3q& zr&9{4bWwIu%$WelrI`hjGmH6gM?mkZFkzSIz``7PXnX~JbvAbOdJau$^U2Db*<@v$ zTVM%eT2cOyv{Il0#|0ms3~-7uvtT_RF1R9^+i}&!G5CbAgrWEO3;ejbLOs$OEj3de(lA3&@`-{M`wFO|S8=dXz55}&Cw0k~&S;%fU|9^n8yJ-3O0!}x7 z{l4e_vT-WlU4Qz<9~Zy+t6l5g_~f6vFaP8Eg9+Jvfqe;U^{vG*VD`ST|DU_>`067K zzuEKp2QHlMu5-43ao?c@lTU_%QK1RAq4%M;@#B$Chx+gQbe(6;O;GSdGN%E?6Zft| zfT=wyxVc`DfV_o*C=x$XLE85h`G_EYUy?t`!_mz!C-&iGZ7}}Dvk9k|^o9rboVNI( z^X9=f01>y6chrAN~dYUVQiZH()#=Cc8zp?Ilg_Ucc|7 zuYYpNA3@%8VZ*CWUe<9%cf-NkR{RHmeSbRUkLRJt3mRe{PVe~p?#0*kR&b3_kRpH3 zuXh@Ajy~B%*?sxW7*dx6Y;7a}(Ip!F3{C9M6GK6#hMrlK1Ph^V8$8-LP9ev(I9Wdj zK<+5L-3$S_6>d&%PO)ZdbP~`gz=Y`3G?pv%^Mb6&X)M7#_fgXt%R)8tLRzCmaJt#f zjfBZ-*0M$|WQVe~#)*tdZlSYPJ%MdomZnIBV1RQRyM#-0U>9WHV0_%1gt|FY?9U946;ouWY17p zBR)Qxp0JWNnss)#7O|{S@P{fGcX# z*$Gz-)hmt6(d@sgk*$MG@I?3!7>k5pMGwbPXy4HUiq0^3U_JrLE<6Yv*MtW-Id&^n zg0=7n5J!<|N$u|(k1X+%B&?E*jtL=Ep+7@5Bj_pT)YTCP`I2H=dHfGc0FlMV#19t>3@XhO)^ z_?1@PH#(l)q+n7kv46C93>5Yvhf?R36K~G zR>loA)Cy409{QJi;VQmKRhTXf#qoHCPM4NT3A9i!4yz=PkHA~+N=4a?DAIdX3_+sk zh<8%NxkGznmrn4F9nd~f??i3XF$DSit1FUE7XZ1X=x7|RP@x_K9SdinXIs%bjThYz z9Niy!>O>I+jrua`Z)EROp;z!z4uXlTs7(b&y?apnCYp+Dfw0t3DOIs}F?q zD$>X8D(DsI2}vebq$bCUOy*8SZuE)-ZZ523W?8_N-5Hl8n>nw%*j}C%drRr_OEru)OvG3$9lODv_ z0X~60hvt_ z17OSzsw+xFC1#GZoVOK#xlg$-ZFhGM<1@k;6^+x9&x6*`% zL^BY92UWw-m$t*PSi!<2dqvt-&Sn{6a8n~;>K8+{qqIOaLo>jOxFp{U+1c1X0WK-3 z0Q~uLde1DVYWUMo8zuU68=`q7EEMCk#nR|VoHn*iTZW36QR2P1 z9Dv8LpNGjvu8DxUU(3paavSyUP@c~B8)_vO;N}x0@e%TgrVm;(4dUi7IdhEI9{|L5 z*@g$3u;$9MbFbb2l-+x=w#dT|H{IU;+=iE%o)fsaSOetnD7(KROx{Uv-g0FU_p^ku zyL0lJbFZF!oh#(WSo!7I4SxbRz+e{>cR3b>LC~&zBm=z(e^zjNxVbLYYPkS|T?;r} z2>AtMr>Q58-*Oe!FtNSeet>b;P0!G{OvR6 z+Q$hu7modj@QL&{aX&7|KR_6kZ76Pn?6+>Y`og-m+KBw{!=<7^tOWVb<1S4nJCh1= zlzfr^Quc?q?Semxu|o)-n>tMveabqFvK#u82&bR1v+-r_)kbk>cc}!i{b8gT7;^SX ztp0Lwu@Pog-C_NGs`$M3OR51*0ob}yq39Tnij_#NR8I><0`uzX6|K=)2y&MAoVt1x ztxwCN3l}bF3PeCcETKhjY}=6{#9NcB1PriIs6l>cq=B!jO<5r#?B{@`ZNj(Yp)0fN zbdN0S3CKq1N-Xt4Xtg|1eI5q4Pqs5YQLB1uay%-VTwAyH+)2~t!&SSnj;vA-53a-? zK<-wI2`OiB{@VDptE>n}g^ffebE?XPPn3u-r+HbzN_+?JIKn3eaFD^h0-yxMZFZj3 zZaPa=6gDjj7PHzF1;Ev-yT(ko%9DNkkca!d=8Z32K&`|3C(2mogwRtpr)wo8U&%EcLJ1;O#t}9r9B}ZmSauxMs ztP>C}64+PZxE3TroDTAqpks@x!dL-DLdQBy+(Oqm>ZCJB6V~V!>D3cMD9H5CC1nMK zC5)CZb@kCxlhbe?RWf`srXUCpa;&>>6Fi9Mj8G6AfCfu*5S>63o0zy7z+FQ)#qc+W zm4&)g5M&RE+N9{<@iJsHbz~9XHuaf%Ev>+^?s398FnLeqaI7A-^DxTbe%YP}Wh_0% z4w(zk_|98mX5q&b9Y)#xRKTZLw_S5oJenvpux7OD*a*4{Q$dSFc3WS`(l^_6R*R+GgJq{SLSgoLnkT98|86>?n9A#=1Jy=(=n1Xxk z&;%VCxFtp`fx%*Ykwm@($B68r1ABUNZi1}fpjK#Od3wK)&zwqR0u5G*{=kt>pw%W7 zIg9ibMJACY_c5t#itXu5%91re!mXg^jNwj<7m_rgh=P|_30~#{38D90PlL8Cq!^hCGwoN z@DRZx$IUq-Wr$bDW#bHiDC^3eBe7OHi2R&iw$QtSz;~ZpiNE_M0|wX=(ib}RODQx- z&n;y46*8FQ`FFyD6rITjmaX|_-CHje$6fXGL^9`nEW$ri;^s=@r3Vi@yXKp;Vm%oy zd=hso%pof!2C`fYzxaR%!%mPFc(MG+w)^Dz_OB17ITQxJCW9f{McMtv4@K)h)7Z2i zU^tW>NeqXEG}_m3fM}C<#jCN1sfWcDQQ#)v&#K`NSBsP?^YH!_LS|HBt@wysD&484 zFo0Z`K-ooPG!x6f23g)}byit5LJ=8{GnA$pkOvwxSMO0p{}?eLs2w-rOSLCg*v^vR zH4>b!6lAZSv^vX-IU!Dq(Moexrm82qQL7@PiFl|ojTCh8=~8ifvX6eys)MHi$54jB zf~#s}k=4PlZk6gY_L`0~NBTtStz#vBr_-XvfBj_X6A>Lx@B1le(?N2|EvLobAAhMvc36YnM{f7`Wk8fAxl%z0Q6YO7 zKxPSO)+0lADsl-pFU9@;h&c&L`h_F`bGHvJtBvWi{+Qy57h9(i1O`A9w zc@uw%*@}%ej`DqkXk-p2vZ^bhTh&15D{spu0n{Jh+v(qu91V5T0OB(&?r898=_N+V=MM@%BlddcyvFR^Xdg;&!jJH;WtSog3XnV6?| z$55OS-$@~3$dT$b(K;ko!2%KD!1FCsw&dri6~oJeFS;dIKH!X?!E{P;cY+5qaDBlV4nx5oj>Dd$}r& z@kf&^qVpV(aGzOyA)Z-Gt`qm?JuG4QD1(9~{Y{!Y;^~dRNt|K!iAk*m2tv!h$<%{1Gug(j^TVX;}@E~dy!I9(V1&8a#QaC;A|b#T9g6x;+{J;=?D zlrMc)1Wo7vU$977Xwh?vwADxGJp^jiO6e+bgJJ75z}`km#WRcMNEHnC6wIA5ar}dP zzPWaZyc%#*C+wlw;X@OXHP~rg!*#tpoX=^I1&TM0r-MoUr zpii%|woZqDY;m*5E3ycj1E~RAn zY*|6H!>4R!)1+T#;{~rbNR{K?#4y#yjR-EKbTl(V9|hk>X7}xvQLyuv2CTTczM#bo7{V& z!qg}opk(6D#-E#9_lpSIGS2XW8w|!y7HlS+FB}1fAxW$}oN6;I(293gK{M&VkWaUa z8Xh|gcDwx+J;X&;)2wMKHjYom8YxHuO$(&6#VG(U`|?rLV}8??_pCaIONF2-Y*Z|l{{KaI7h$alTDq5VoHMhBX|1Sa*df)qPGcw4X0`N zhaYtG)OE?u2`?OA2i?2>b|sF|u8qF|Bu>2X0f1g3`$vvXM(BW-IB~SmUVv*dLpwu1 z#fL_44ZZ2yJAuM6P=i}-=~^(@b|Q-2SPWlbCY>rAZ^lV3fL5`tt=3Xr}$XOuO5cPzq_i@j|!MX|c(H_{cAr(7h zBzDnEh-Bg`5u0&$kA4(Q?&!AsO|_-7sUU~Wv<*vh-2>-gBBO!IT`6E1!s+#ghg*k1 z506B`4L~sEx$1L2MQ3k}GC;HeIcq=5erKxI z10h`rl-bE_;F4nc2ppjp=s38ha!5FKG^&CFDn^ z(XpHaCa<52BM9*g#O?YUVPG-i3+(lSy#DV;BMd;mCY4MxayV;jQ+*U zLcqIz@UKtCUMQZ=F|(L2XBKJNWKRh2t)m4P&+liKZvO_rU z!Tk>}cpg&`F|#;{W)=h;yACM3nawPy$aP&z!s!ZtTylzfbM`~O9{a&cF|#PZ6vEd! zRDSR;Am8@#EI}@RBtEl{^{SUoNt5HBq+@XfWAtB)nMF*_ECRw+QoZVJoz)u^{kfbn zEx_`7MpkGuW3P$UAwW3AXdMDSuJn^}nwVLD8~&EE8D0=oF*Z&9yyfh!cV3`)}QuuFWUaO*w1!%FW&X5 z?N1);e!u&i?)CeAzpwkcbYQT3i`=s3<*Bcl(-GUDVg;p3@g^Uw_$pfWhv%ZPz{jvtQuPz7eDS^8}9Te+w%mqHK&{Y3~u_ zUBCKIAo%ul--aS~?t2PMgp9jr+v4S}Pd@YzWwGx<^&Y_4>-TNh^T~C)*1z?$S3NQn z8RsU5;a3*}c=q@~$G)_8VP))|uXOBrdGSBrwr2hAjR!V-cIPAeUfO%t<=4k9Yg_l$ zb^m?anxDVZEzQ{sl-*tTT-NrV|I{EW#2p)g+t*1XZb zIWO(qzj*BWuXOB1bk1Ib3UJ5%Q@;0$ea~+_9kIN=?JU2m$lv5h5r#pmgCg?%%Klk@ zMY<@v*S#A<>H?0d)@U{Y3v?J|_frF(IyWhBq!HA$7ULzDBO+TD<4j?$XS=KUyy*OkUurmkwXMny6MWrgbNmZ0P+t6p_u$pjcI zsj!MdT&ry+wjjvgH5oF6jSzx;$02eNfM?B+4(>)%V683@+&cCx7iE{&T-mCTjXf43 zrbdG5rHnw?1-YJtShVkQlMoMl*C*s?k^!e%V6r7VtZCyECB*Q!GGJB%W!Gsm86n{k zK(0tqLEPT9sw}h|FmwD6iTg zRP(A3K6e$yw>kpNt|Dl_zj1R^iq77vUOm? z=~H`vK$8*)%e^9L^3@_*v)lCJwZK?zDU3^bT-*}f` z!9*S>rp$0#C>TEm1=+ax9#1|Pr}xe1t%7FvJt8RdW|5iZ*s&lNFikP(os4W|84OQX zHBQ9_X(L^vphFjX#3R75c#Av&DwdkA$GZzEtUxNj`)r1Jy2z*B7~&9$WK^C_LR4%G z;ZjZxIZ;x{B;VKpy#TeX)GQ87?9UTJK|cLrYW&+<;7Dy{BlRHUSibdQAYqQM^v(Sv z%K9;4mGQagZ&ZQI8Vm#FwQB2JbYe;=jZ3tZ)FFZl+3wguuLevdAaB1^R7)tk{lzlU zWRZdd&PhVR%_Yiisel1S&$k(xbXs~O1h$kFqLs*bn}MS#hb#DzMUI!%M?-f?vPF-b zPDmQrgGFX$3rc27NE20uf^Zf3MUYi78o-6B>UO)UcVN5)?!2X6&@ZlVgO>Y59)a(w z#?ri{<4H(Gw13BzYr?U3?TNTW9~|0@Q3`}Wwq_HVWS8|9os|9_ET3d>aZ9}ye}-Cz z_r(zF1i=1;e-K_c*)3R zMhr7$W&m526LUZeR^^&Qw69>qiU|r9ib5Jg8-G$lrs?00=arIpHt{WmB;f-BlX3x@ z(+}jcoC<6>{^E(=n`R~QX)JPAok`6Qd=p+Gk!gm{!LaZ)tu z?WYhTPRdLOMp?ojZ1EonA6VGc%och0(J(=d(w@=jv2a!-C`4oxol$r@Pef9xT@QLv zDiV^TL=)z@+c-~+8PA?bnRA;&>(CFb=#azyJQ47I7j|s(;i2x8__NOdeAfrqnweuy z#R4UJZW%p!&V0ggy~{Pe7^|oh3yj#e9)FxxmU;Mp6SBW~W$~QHe{wI#{k!U0O<3hb zMBYW&ZB8iIO}M!OLc*Qhms{xl)}y3g|C$h%upi!aFu6ZV3(oAhWy|F7TaSL5G?_r4 z!H<0lMPB$A!Rbolf8_$rejlsty!p+mvCNAL8oX9G_SEO@hJv+|=e!Q`Zx3S*$$sq3 zTd*zB1D3I&I zUvh=qgrNPX)Ok2~>RJt)wJ5e8kheDg{_RX~8_+~8;@5}|jr}1Ova8SaG;x~B0|XXl zLUyPY>%~MS*3mLA9p#pNkH11h`Us&SBY6B(W!bK)glr<;B&hT44&=~>czGOgeGxTMu_Kzw~b5Ot#hH9_TXOx>9i|9rb5gn|pyMj%x z9W_P=cc`jt?W&VX9Vhq#&Pc)%_SF+!O|q>Ve5en{j(3)=DAcr(M#vad9I7cL53cP$ z^8LupsA`r&z@S{k#z={yc_IyWhP3k)!J(*XBF9dp4a)=Cs+bU9OGykyWj5_r zWkm`XA9u0>x^G@#OV%vVJLlWZW zL^6q5jAyoE=tQG@ACpUjWR-C`mmZQ)m7LhpSg*a+QBTs zMFD#ahw#M7PK+p@LT}fciRi2q_AH(Ccvj?k;CNe69aB^fIgxAfsZSs8;1tr&jJY-l$DJWMw+ob08#)P7`EK(NPc`m>`YMiffV-w6k3@&hy1G=tuCKPR1*jpg&D# zrJsASD+K9nD#7q<6hB>dk53V!5rc~Kx$1cM#IJXM{$^)(iPixM52wNM z0In#8%eEdr?vjGQm%wP#K^4=39OR6X2@C{GQ*6}M?^-6EW^1e!kIyJeRhU`{N@goZ zv9`fbcR3N-adE4ZVR*iuCJ8Cxn5Eg!kS<^^aW@0a`%e&Js5}jiM^CFln)3#e43ET9 ztIAsu8}DtX#vG2TzQm_pHDe*n0W{lp7P&yPv0)}N4K2IXbum#=BzTb=NoUcvrUd*T zh(ZiHa^j>%<=EjW+)Ygh!8%N)mEQ-7`uba}Snmfu6+pB8Wx?t0bq4-^`{%cJ+_t8e zqBHY%FHN1Z_iNDP&W`Q=RnGBj0Slkg-*cwMUemv&Jk8xjt#%0=WZee{YCc64MBs%U5)!O zRS3f-%ULR1CBvWwm&)kCgXL!DJ+75p#mvFXW%IIZX^x?3Sgs#f!Xfr+J+!;D(8-$k zgcF?ApMnTOh{6CAKHIWd&&PtIE5l)YsWEFtWc*>OPd^^)ugF1ntR-sfnSUOhSq%0_ zPZ#qEQ;4}*z#Fmh%yQ*Ftm+cQatCJYG+aSCn((Th{&NGja0Z92|_ z>JI##Kxz6G(K?(UE!r{aZ$52HOuZ`5YA2!hAjjI8=!0E=T^|L)7-@{OvoWNQ zP`w!_D1_9Y*qn6cOcGYQ3J%(6V4%^d5dN+r$Bx&UgA)Z7(X>%Io+wSyBf?c6%MsG0 z7$`)5fxO#tSVTH@X&9pzbqbJ+@al;bb#}8(kGo$@gz;=a#LmVL&R)VWaKcw$>0Fhm zzxyq6u!R_3_@ZbXOwpj(w3WS%?5Rv5puI#ICd+=??Rzrt3H2wYNsBt0KJE~xpo^_d z+jNel1@1A%CDy+YU7N>^d+rn+ZktTwGCGxG=HwF_(3dkA;ZZ=q}i6FS7-nx%lkX4n8*KLR7tDGY{U7>SKhEYgwZYgBQk=e|V z!!rvC+Mp#-k#IG0%m$K$ipoKxUi4(H$-Q-#A1STTkb2);J* zbf26GN>i9h9ErpeS#ho@_DkX_Ck`ia(o!xJOpyEJcpu8KCA21r!_x>n@kykV&f$!W z<93P1rFaICGbjwQ&GZiYer;b~WKHTFv|5ANg^hKfpt%>`?aeo2_m};xXdMpFE$R~l z`_q5#e`xA`72tH`UGZu#8`jOGH$mO^Z|EIBpge$o57(=@1KX8v8;Jf(CB*fnbw|B>VC*93Y?{7Te@IO5{40qy5 z!N80k9PYd0#v=^r^$7@2(v8T2)8JPX}z>vIvxftCk48$$R3- zOf$ZON$7ogNcrJ|IrXOI>a8`e0G#fMI=s`>8hYcbwW}9c8WaAGgCRmt!m&a&$%T9o zw6#m%!FvoAy0PdQT`4WX?T%jDMUs2yk&W>8hi4W?XJALStm!p9rrI>kkW&PcG6P8C z816hI#`h4Vn%q6V0>AVp5@rfjCN>zoCfMC+vz!9Bj46KtQR0z&?#P>D4J7$X_0F>? zBkyK-q+|YzcS7AV4HNS(`rHNkW}cW-*vR5e0v<2O9Cj?+(7_iG8e57TO7y|H&1xvC z(DY!OYSS&Bev*{dMLdC!cn2;_ufs7c-N}Ut$e$SL z1fM@zkgtwup*}dN#|Bw;Ln-@rR9WM?MC(`2x@C)1cm46|lX2qI;jJ_VukkA&WI$kW@%)2lH0ikRAFVNQ|L%nShJ zjtO02-XG!$gnZ7q+v85Bw-`I<^$gr*=aS$B(d=LWg_vJr{XrBdWDog4u<^xj504#= zPCL%!3XFf=4005qfWooFBt{YPh`0T`VBHa8_-m=A@xWo;HlZeU!pN z*PN(=xPWXMJ9HI!!*`L*Y@<>uL&CV=N0p>cFOU?fc^1{Sc->~G@7PhzR=bK=P=QVH zo6#oVs^~I{LLl7k?_@ENflg5rJ0z1LzOiy$q_vWcFpT?kwG%rk7&)c^HQq~RaJC&r z0CN<4@q)&%)r3zoO(No&p+W#BM`53ynw&VPIz(fK_fH&!PXtW^J%Lyc8+*Wv9bmT` zs~TQVVqw4|jW`HUSDt@h049`QabR6f#r%fos#{u>SnUH4GLZ#NuH1EC-N!3gi zfU^?Ov34C`4KHns?K~(PD~iqEw&R>XcE#@M+!)&-2_HIu+^yWX;G9G6Uq5BXn1fpm zei&*vj6KDjQYDWZ*a9I!!8~rT<){x2 z*8pUXPU-(jC|&n1wGPFo^MX6M5Uy6Donm_bGLp|3Y zYL#KAe7AAeyYOIT>^FcWJ`Vw+RM`{F@9v6qvZ?y@(V0f-!n!m(p- zI{jwaq)nrigSU%4=yCUElrj!;HT6DeF!!}PzcnlNEq18<;-0d=M;&9o+Lm8-U#!Qj zd@r=|Jr?_IW$btF#7e#$Gex0gs);Ljb|pXO5SLnv=&689PVPD>*7d*?HsN+m4=Nhu zp|sn-`2iqz+2(tJtvfomB=*yD-o1m(HZJ;ImzMtoR+p*#Z#(U&L*;;+R*v2|Ja!oL zh>SQm{gDa`F3TS}z@``vH@rY&{8%{f;(%us#aA6z_h7i=413j0tt?iX9}BCGvcSa3 z?U-5Ih`~Ij5Fnoyi;kF@wQEE1wSO4h@KMJUh6#r(GHzO`nFS8U$=hgV5x~r1BB<+d z#h=j%@pLocfw+uRneyu~vtX>$9;!17?dqjTGmEd(3~hYv;uN=e$Kb)P-EzSRJEV5&fhwYss;TS8R;Ec-1E} zVqMkBRk>pdrbO44{KMyIw)Nkw{hU0M4vqS)XdQ-8b_WsR^v>@sdT9N=XZE}c^wikT z_B}OK%3j<(6?n71+0#7$P}T0gJOu9iAO0thS*Pv-Xze$;r#`ek7Tt3`$RcRFKG?o~ zQ*3ef|N{M`RV+p0KU6x#BcXcd~@n90`~pmH?|XwYi#ksL%V*q@8dhW zpZw$J4%+iB6hi)?d+{r0e|!DG?%TR2fLo&M?pog|P)+yjNBedG;jayC$QfBE$d*ZpzL?w9u7zWAYEe5HeM zif>!>FZ8b`e0BKYon`*FCY5BKpx+3i;o7iIUXo)}WsFv_mk2$0pm0fTi^yA3G2 zhSpAKwJWqnDcg1c*a{@v!bWo@mF1F`Ad?n+HyF(Rt{H0KDwrS*P`wTofW~7B?9X6) zWKE-mHJ$--=iF-$j_WB3R!$BTYmJuC&cZcKS{B>HmY%|dv#PvE;O6fEhhAnqgp{+HNXz*ewnjkv*pA6rvgatcgdpC*Io@4gC> zW=XMRA*j1Vu`yuIf!_F3&1h_7q1NhZri@cqU6A?Bp|cgKV2ie7Z2}+{R*qw4NM-#N zDIIG=NaHw@l?6Qn-%%xS4av?V@;b2{KW-VzTFf`8br9f1SfB)`MIj*eSc(8M(y%{_ zvO6%Jj0fo2&G2BrB+#q8Rt1n)(y=+&SlA6!vmVdCGjuGTi3`N?0xMlUm1(sWob6p&d~|_~ftegpcOJsI^8~!mL(tAREVfRR{t~N+MJB399VpAPZD3QGM2WMfT=SY5c&rBG}I0^h4((nPTg0bX7Bu*9{{3I9gD=O@(3OCVKmUzBN{SG#uijs)D%h$?l$31A9LIG}r!`*UuYj~c7hJYgHY{&p@CNNBB_7|?*b?N8fQBvC z&n4~(|65gYMqfq>t0DMd$d-X2A)+K7-;mGRzj)6#c0k9|KY*y$v4G8mjvdNKp~uS{ z&R<9Gql~9+e@v3Ae%eGHyr$5_wmrOpN+}7^RuYb;;O5h@AMf@fAO{VM4R|O@JztjO zE(_HfMjgN)d0urZVO@gFgVPm2vs<49IX-s4(cEw&xTja67gb7$TU$*h1;Y@MafoGq zBgPKy0K_%Ea{xjP?~`QNC?XRDfn!?T8jvWv#lnLv&l^htz8k`5K*!LhN{k((Y#i4; zlwCcFSO&x}D{pB4J~@xZ*}aRLCZcnaBx~e4J8zkSAERRJcwGrm&=d=+1!o-jBeD{D z57KohWBK^IzSaTCqmG~eR2?cu0Eotiw8P{KeNx5d0!}x}3c;V6Llp}d)F{3BesXLP zE&w@p736;Xt(DO9#Av+dpWkIu)EfvJDEAEq%NQf4(~IXs+2x-p6RpEA z%B}~hN)W6*fZQV^H{IUx%!UV>o?COvEpx9v!$qt8@x$#mbS&GjViguX&)DA^RxJbS z>)fj+&-q>ZkFey(k00*9$}*(jeGoDN3yA>r_0iiOb1`C9VO0+o+ZLF-geZGu$8*2* zL$H#Ne|xf2So!bZcEMl?llP1#iMzM)3kC*x>!H<>CK+4lS5WBfGiMNmw2|x|J-KcR zto$VuJmb%M;Mh0!_Jsi+EgcJ2d72RT?kzwbAb%fBkW*Rtm@wzhd%*qdPad7=3i;E9 z2Y-=@ySKmlmwimR+y2lXT89vQSK4IJr>uj5&-s5_NE59uG7^6`DRp&K%*hgt9R=qW zg|5V(m4sF+$OKE<7k`#tjL(N7*1WpN_@A#LA;zgoX+4)Ku?#!7>moT=CqCk+!NR<3 ztT-D0q;3SA{%*@rta2<0ljYF2ek8`bBf$y>9GA~Uq$3gwR z8>Un@he0kXQmER)%JkLV3GS$>T~QoTN<(^8nbv6Cw06mg*)nJ=5TKh;C!Whc^=X51{-hB{HkIwyvnV%W}YQZ|amimuX$TitcsC1vmvk~~@7 z1VN5m3tI>X7UdX=I1G1p;lZnZ$UCW-~@kCZ~En61ziw6TDB19XLbV*Mu7| zXhdDOQCh)bn0%x@DXt0wCNI)7+kj(#jVleSoGU6oaKb4eZ-M%n(%%sdA(j%f%u$Yr z&S;4dTcfv7bS7Gwv#ExHW)gJl0uCW%OGGS75X%*_DVEd2M2^Q2nz$j>1SW5dX%#lv znzppIzyLQi!J_Sgd+n)Iq#=Z)Q&8yLj(QStnoRVOss_AY5)v;G?b=YNRS{*WW7|$% za5Ni-WmxL?DWQ6+id^L=1W1faKCUPH+i`L<<81goYIz!C`gXPFqRbitXWwNShh3MTi1LFjR zS5=|A%E`h7E;MQB4SAbZLDBiJm325#CB2oLlk?CsY2pn@b8C+S#Su^y`((z^_K6p^rB!opVmFYM! zVGfq97UoF3ONFaOkxzr%RDg3_Wis2(-KWS2!h?pv71yx^aSw8>5BD*znul{$!g%)D zV$nLl_Te;W9;mTNl!2;;@hjCUnQU?KA@BWq2h#qc8q+bF4wzJ%ajIb>N6#W~#N;N{ zofPCXBy$PK7&iYcu@coyLJ>DVp%fX-7GvKfq=~}oO*Aez&0!4f1?18+MKGWQWc#L) zz9gcw%%v^b#37hCbW&ZPvThU1zK(Ki$2GO z0Fb+k$U7(d$+(a1VcYg4;~~NtpOA$D-t|wbZpZVGZ;GJ(?j>5WzBlUYm<)_xg<{uC z6+#54>i)Cv@T23|r}0b#%b7Q?nOyhQ&SEInTehqxSN0)GWY%AhIol*uZ(nn$$jpr& zZ0?;&3-DjQrue{ft5EF)*>BE)M9?~B??DI-Uik*$=Hj^paW6{e6LQtp09Ac zTR670xw$wzkBq;hog8o&e~Wwn)oM{$#6)zICe5Pg7)~|>T#UO3^W}~B63f(RHGDf* zR92&2b4iuOrY|hT+x!+dZ3^0qYUyuUDqZ^9khkp#%Fo12hEhjUO0|_ix<63F1viSk z0o+zQNOVWPZ{!KVH<`RvD9D^;P;MF(8OgxP-a?LQg%Aq5D7yuCyhDyfbc6@}=J>7# z8d8NrOv|MSg|3X(+Sm^@JB?4=Ye)K9pH8w%#}}?%F$;HZ$b%K3n+PdSIZWh_sZXF} zs%u`l>Ngh+&nyOed?!cc^;jI8DK-hGQ9lOjb8%bBq@m?&HtlDUOu=Mh+)pzJBq>`p zOxnethV@Zxko9IdirKS?4+BiimfZ9z5@V*=`Zn%_1r!?(HW(&9mT4Q1jZJd0vD=%L z%+Yrb))opX?|ba{QlvuU8j6C+8nLx;3rAUkOq$fDvue)an|ei8OgP+Rd3eFpi%0LX zY*_D0T0n2x5#PTio;yJ@QzwenVW_q8X`M+eBI?XJgDAD`tCA-!75G8YiRu4j{MSKQJrPcBZp33AIwP`Z>+Z4 zYHkh+A@inJiQ z?$^p*+!+_?ITlLxDKeE13s#Z`i;F_)XUQj%Xgp;{tin{>(n<^zSjh7r1ZaXxe(AT! zdO5NKG%{NrAC5DgdE}3kPo$Np%`rY2ORdBErfAG;+EUZ%^--qC+q4}fyZyFF-k{DS zwNKD1$yaA}oo+~)Cb1H?xH(0g5OrK82>l4bv1EVS9(Mp4dJo#*bW4(?MTz2Z7b9l2&CX|}>R3yLVFa1~E*BL{ORU~%Jdf=Se) zZe^lK6lPM1M^xlz9;DWxOPoKv88i>b`e62v$5AEw5K|MVRx+_cof1!(B%e(`CR5~| zDt3|Q_*3hNT&T#?;w90cl&KX|oPc+H@U+5k->(uy9{IxRX3CO=5K-|Qi{}SuDMb>b zG&*J}Ri^9gG#shP06dl{$Zg@~I2(`WhI-~%`L3kz25WjyRop@z3fP|~hJplni#y_P z`0iX^N8r2v@AjWOx8}l3{r!Lt^5U}i?e9nG@JL`8$$rSaD}FU@#GeP$*BcO>{q#uS z>$tr>+eJ+7jVQZ!=NZ@Uz5S6ndmAX0&}1q!nfi+4K4;FOxa0o)uXWJ7k%~O$Es)=Wau?w*6CBr+Lej|o^yC*OkJ+05$c0l6Y#Z%8vq+~2oH^GZ+2lHSvSTqwzd6-PMxv|894@MAe2(K*Cq8T%JusHO0 zctEkqyq`zRwN3=@Iv9$R4cRvvxSMYgS!=FGrAUDaXC;wmc(PG_?g{4Lj5+Zl>rq^! z9Zye=d(h@XIbqHiXBoqH7BD_CQI~jt5f`V}jgIRom;>Xr=HMS@{9W*x=~ZUy^!cUQ zlKJDKOZqowuJm`#O=es3!qU#>7333=T^yPZxIL09WxM1=bcPt&xZv*LnZ;2W-(@k~ zWccR|^aC~(MDx(hlYHnPCcaU627;GsWKvZrNDV~sR^quXjFpWcY`C7gJSlz@am*9K zlp1`cjhC&)6&PiPa<@3a8*MXhWSl}wI+BsgXtvU8USg+XKgM)blvkz+^D9gR2I@2k z+ho#PYv~deq{bmT>K?ZPx}j8Rw$Ob)6B*79F{($tDI(Oif*A;^ToS$$eR=O=E}E zYTs^uw*@WYTC|44l5^x76&KQTa6#V zc&8bTbrR<2K6A**dyHHTO{QBEv=Y(n{{U7Z3`th{6ueC>npY2QZaL~g7{8)l?m@TG zr^%<3ZT#$#;jzO}Xt=QqDW?vk#e{I`v+!r*$Gtt3C9;`sOcT5sb<48vJx}tn#Nx51PPQl@!@qU zB(o784J9rSA{|kZ4U*iF099n&@{UO2V4Xx}+CrGdEYhkK{*!GVsh3b$@FX^z{KWCC z1)56*^DrBNblyq~>CT}~>=9?@eQ{${-MB4^o%tDyD~<|K*g?QhSHzw=`4t8sV@cuUL{)rwm!HNN8_~l~fBFw& zE7+p_uU!%K9~=sKCR+3|*-vVWKKXv42tK^uH4T6xqDs= z&<^~fMSdVTc@DHf^|d81zt_ zGPC>PYoiyO_x5*t*bjTycv;s1&sIiN)HS5l85cPT5U?@!dMvNHP8ApADS&Qm*st6% zrEv<=y57rvA+Q{fyIn-C+-|T3iejr@kM-Qp6S!~c-ZcwOxwd<411n2v9b9g-4pSiH z8WQsQ#GJ3bn^P}@L??9+tzvZpwfE%>8y@Wf!n@W3ep<(K^IVj=h_o z)RuU>@n85Gz`|Q%J%NpP<{#?vWEmRz zTO*Kb_b?{wT24J6XDi>Zp~TIZE3z7$77HA@QLS_Fd4b^E@W#L6!oGw3ddCLz*!i)F zKo_h0Aes-^TUcy$;NV(xexn!f8NGnb+;28SlX@%w^n!bTv|;~5G%MP-j-ejQAqjea~^RCUOB8OfIL<3jE-mBp!7sj?$ zMn8XPtR%+%Yi!SbJs_*e=G+%=9oR?Z^XxHOTC-S1<+-eI8|zU@4RFGE9RT*pN8*B4!-O4SG%8xMMvHlL+en!h@C0xQuc#1 zvv@g-@*c>bOc|ft`7{8&_0UbqT6G=C$;Hef_VO%D3Z7!q-ucFe9(7&MfpyW`jUT+U zv6!DqU4=47AKeTN&-bf(Ffg}(iT zd0#zmT;=;eiMuMQJ}%EWL3-nZx)?hQqwEeQ%5L{$0L@1CT<9U;zOr~Z;mz(pdpm*H zc7uF)tkpaI2*BKZ-G6!NI&eQlCoKX-?rR@V_lTpKexn?hEaAK&Bory ztVfK8YHK7*Vy#N}VnqeEs^c`(c(AmGm+fecEEEg|PiY6_?er_P#&MRpHK^%pZIH*c z7T#^n)I+1RMk{9`WVbjiX6W4FVBsb+G!M%WB$>mE>_(GOk&2aLvS1C?b0{I%q$jTn z)-;+59c|GX%R<2k!9sAmdZR3fR$HqN81>Zxg6|6LZp$JB-_07zaxr^MnV-DOq(M$}STg zw8{8!1#!n7KcDm)289%~fA^+r9e@Tj45tg!q7aasEQOrmXaeI1>#Yo&yo7L{2nxwz z(y;hsIo>nJ6aac4tKlzxJ{#slvq?ea{<*NSRe|gvvx!?ZmReZ?3rp%P@zO%DgM0!E zuYvglLhW2U$FnWQJRy)ZFd>7%{bS8u0H4$v@DSItTOBliI*eCrQ{QZ0wfcdF*!4-Z zvDQ^Em=Gc&k4Kp(QdK$`V}H0|L`fYbzsBk z7Gy;*?Q>d5ySP$i`6~eLJ!Y?=UH}C?xU=Y+?cp$t_0i&~tfHkSQlm z!?(*gvDsVmQY#P~HE3DVJ;e{>h*W3*HV8#=qKUubnv9w7iK-}NW#l5;fR(BOjks+> zN7Hp12>m6`o&E8MV-OGFoHcU1h(fFD$ON(pa?sm90e;VY%r|yG=g=2GbdX>>|4+## z40!+8q1IT7u3|bzx1K3_D!8e~F3sDF&UU334OCD!6sd<0r8HLs8T~zt9jHSD_-+#c zS{>GrpQL?O-x$yUD8d3zqaqdhj#Gw16-&o4A!?o*utpjX;DHQHFQYh7^ zVe%3Ager&QUS$PqQFZWfQs$1W@*r>FeM4OD)3^p9$}|Ykvh2BrZP*J#)ff((ilE&) z13{~yd5B}QmiG!8wH6vq!%z701l6lQk3C0OI+p-jp=S{avGi~b)d@`q@wE;|WIUAx z;}_zmn)Ln@LWJHz!A~K?uE5Lf*>Yw9uwAs>6X(p$u6;0)6CQzi|oJBq%f5&BG?=Z5DJvS-DFMEG@W-<6fJf2!4 z#+w63RSDks=oBRReIU2#C0y3!qp(@BVM6=8vRNm@hGFjM0!D#&u{ap2dC z=jmhC!=?CZ^L|F|-+>cP68kfgsY7&c+4n^2Fo;m5Kq2*klVZV)|Esd^UxgJ~V0^KQ zvio@RqgekVW0=%+KLPiH#lMCJ-@4_h$=AK6v3%PDnoS59 zV2H6?N*t~Bh=qKrT6HU|ob%@6?Xw?+CO^5d<4+F)33t`xTh7MnFK<5D{;6cAsNYR* zOHAG|Z#@cBuZc z6CbChMtuzpldTL~g@s*#Z98gGsEUwqUB^}zYS|zY`0nBHXP*g<`VLlp4_&MjL4ZEf zwCwL#ij9Y2PBT9L6hg2vY!$veRul@_Qhb(NMM${yN+^nNrv0&$E2z7AW2F;ABb43n z$ZTj*8mfsz?0ZDyvC=*%I0^u{crHqMm$t2K4!Vf48R_j-A1>?3JXpGRRlQ;_b75)y zP`#qaV^G;vkiQv=jMu(nGW(PzTJy@0lS8!+78TrR<;;T?O%^S;3&+C3L8;%>1_dp& z)e2~OjQ8<8{QvM%sP6nk*Oprrx{Zmf|{b%k}h5W7M?9%G1KZO}?P zih|S~SBkL%XVi$|t{GY#rlJw`!K4n82kw(`7(3KZ*IA`Zj1&qF78jZsI~IL=fi@0d zSlFUNNR%Twzc!rCW`m+rJY7Vmw2=^eM{H^Ff`;4gdJXlHy<)ilI2sX)Gm}D$K9az9 z)uNnBSc~3eU1C~_^PL*j)I4#FMWc*agbp0x%0zH$HJrpMA_tn_QE_kzC*e7*nSsddHr3(F=aY5*l$%0qZvu=(zv3O%oc0f?cf-lKtWSivcNzKOk!h%qu5kkV&mA6`25UT#E0@?ym=OfYteOXn)BziL7&COS=8eL58Ky5Kt&D>o%{B^$ zJH#GSh&J@oX5eE0{>XCo*XNe z>(5ZZ*@;;8TOr7?gy6fH%=r%fz%7fsXD1aocFz1%(K#x{AAw_Cl-*rF60HMGOb3cP zhBMLwjNwqfNMasx+h4DcY5`&^9BT_Jjm;~8vpNla$;5bo!JdnS)zzY{cxFM!tXj#* zn5$Me*})=}V-?gY6Kh^)X?7LR+kyf*wv28Y)VJ#_Vl9z?ZosD#@UFBNEuKUm#Ac(@ zoC|J{aTkO~N}-Jsk35!Q$6D@K7FUrK!W>YD>w9zOg)E@=;;-2_en@!){AcBaDqy@- zsy2s#Q*6u;t`d$VGA%|s_zKRzinC662jGimVO3jP4MGz=WKoe=?Di-W2}|R#{B#!} zlmvG3-+gI#W^t58colZCVBJ(%HIe$hYn06B+cVv~oEzA_Be@!|VKG>>!E&~w>m@2i zt{6K9e0)p}WnBtRK%x;pWA$JQD3pUjwwSRb%?lXYN(a-VRI?RRv*k33Gm%u>o)bFC z-Q&3>!!dO-@iP;q(k&q-Y^RLY=1t*fuiqpcn36?0&v&TAMwxcoaerXrQ)z(NGruib z2Wnpjsnvm?q1`MVGX<&t^*MH=M$j`EjUDjf0l;9<*8pV~kIpAyG+;aRSe`yuU=tZa z=Iyp<>H9)w69Pxv7!A@rBW^qw!Qf+V1jUV{aS0WP2OmDY8xhYV z9tVzhoZUmALg?22&))k0Mp0e+<9B8!VUk9eED_g~*1M3{ps&6t_NPT8vk~bAsYFCg zE!He51%1y4YPDZ&VG@^Z>_d&nKdr9@8?@A?`1%ivwXBMkrwBZ?+FB{{TKhyqP@w)v zV1J);@9du>n`D#SkXCoaokV4JEH_7%z84Up0By$6qXn!G>s=JVrx86aPhl zxG7J_R!L|285D!;3$d{z2ZdSml2-pYN;c`mTwZ=MeoQKfVlnL^QKm!@_eC|5VfS&g zz9wbKgS|k)LNb&00K20Qrp^`CAwH+u9pYxsxT2Vn%|1|7nxY=e>O8h}Jt5(qGfU2j zuX~GTuH|w=3i6J@>Ba<$<%ZkhYFII0S8O8w#&vZ-*}dyOgc`n+Ko*nbiMRYiOeVvh zUa;l`;!RWQLR627++gw-`uorr{rDnk8v73D;0Vz*ZzLNw~uDqC{iOL(mzSg|F)#+_57VuT?wnYu#n;;~9o9CjbYicqOzbEryWwB+1CA(HZQ zizkrM#rsv@XyG8`KqY_+FJdr*JB^B9#4%q|1~1mt7TmnWv?k9GLKIRXl8VYp3Jx+7 zqzq^r!d_i{Z0g@r z>MA^T|1NXy8oNaF?*j6+ZZ4SA)O(vm&$lZ;pM=spy}@z@02P(0J(keU;1l^Gy@|1(Tp3D3iie#pI>A+<)SW}!i)`DTTv700(nkcWRWjsel zQl^ly#>J21*cUlwqzq{?dGAffI;1JWu9s7TTNG9Z#1=@nH|M`bSw{S0g@Bssnmsv1 zLf%T0UH>)$gFWTS{p=$YHYsPc%y4I*MR} z?*ayR5d-fT_c2qw=G1n2I>4J%0SgP{iy~C+VOt0{cjW#Ky!vwRoa;!PtB3)CD!;ie z*9}14t0ITl1B9|WqV3=bk=LrifW@r+0+4V8%I=Xefisq=`x=aJwoJ9|bBEV_>C+HL*Pn!DgzUz45t!wqqT7lTm-ptFR6PVhbeP4Yqao^m;Y`X zgYQlw@Ll3f*5T@_1Z?EpWF1gIkWT~<e>c&k~CW-0`Bq~DLJ>tC^PjJQptOF2ynbPXL@SDMZ+;e-av<~23 zaDe@7|3_EvcisEkf2SeXjFq$(M&I8htV3+1)Jt~fbgB|q6c!-1HWDtbDqLG%^`@D+ zb+93CBLZdjjH`j0TkzxgpWDu+wY6OFPQxu9G(=WZ*Wvk_3-sL)z|H-GSqV5@auHmS zI7n=?xIlfCVdNwN-vtaXur6=81gN}BnF-zqV8Tu%l-(^mln9ku+wU6rY1Y#?Z zaLIAcyY&8z@2uDu?p*@XR2PbI2*wIjz z_-=8XbnYM1XvFh;mo8IUm35z9li!>$`tDrzKg{Zd4 zk%epBk-x3Wuyqh4i>vj+kBt5FnsV^At%K_T8$lxr7e*Fo2sZQbNzO6vPT@N^D7zhC zY2$FCgGRpTz~gVM-}~YF<1gI#h^yrtAhQm?9bm9G0RngZ-sgefd(!%C>;JWF(SHy) zEC6yB0)zMCy-(rj%3tq|^bAXT6JTq9xA)b=THF#N&wd!K#xHq>(G%I7~_2n^)RLf*bUZ{hVjKfa)B_iYPjokmJq zfLlHSrA>$mHeLAQE5Wp96{jgd8)f&nZNfSTNKoEDz?+R4{R}+tX^$YEPDz^b8i0h$ zmO>k*)Q$i?{b7*E`G#gOe~^GsnGlsFlxDb#R&#&7-_Y*qQJ0~~_dxn5x`Vh@s9}s` zGMRpuI}bn1oPi@jPP0LHSJN%hWRh-4EWcXTFviDq16ab!nN!`|SoS~M-#k~<8pfQ* zGFw1yjBen%W&S*9jZu^r-P#>mUImt#3{41@z;=Z$2U#XU^W6znqU`RvQCbI!fO@cr z2mo;W1k|Gl;2sUf4ta27qKBXcR#pL6I%chf8U%P*7}!lguZv)of^3=6RL!K)Y+Su4 zoNg~l!_~{Y5}PTe;&HlJAi#Hdg=%bK*6My}9*BNuKD8a2kBR~hcB^7dfhM2_mS`vm zArX67J@$7CmNW$lfe)4zQtO92ZW>F@lY2v4^5w@1Z z%}P}7cDk}ZFK_krjz=xONw0k=tgJ=ckt4P!Wtt+k#YX8&gyM$REbz9NN!&avpJ5j- zTTw*GnPA;mli)j`n<7JpZfWrFPWV--rw)N$}kn#wJqQY$BI0 zA#w@zPPVAd6S^!?J0Rf_CNJeCCN}skj&02k^A;jEdpK#u3`fw!qwH+RfkZPI{)uI>wy4Cl7+ zy_%tVG)0UoLMREoGYPU)RI6%lh6|{u;H_AUB#2kI#Vt{JvP2zpImj}Rn(s!JqFppU zD1C>V@pgt*LGi{f?^t6c;Sf!a0vEA}YzmQFl4{Y9ot6~Dl1bwD!xZOajHD$zV?jHX zB3WZudsLBW^7EC+qK@+0n2c1Hct?X+%1sn?^hEamnvwCsIwU~~U5>3P9P*xifRknh zQTFl0*vkcAuy?JT@V~RMLCK!AyRkJ$BJXRjyKKU=4h1Y-y!G}8@68ptdqTo}d<}SS z*g(7!RQ&M6dDuc`!;_2YmIO=Nfp=P9uu)*&w3u|Ld_uVsWzr3K&$ZQ)X1#RB9YTVw zsQmAmj=xY;zI4`g8CUU-kKYR36_DWAwNKG4PbOWajIW$Fs&S`w(v3`dC%26MiLefS z`WQG7nKdJDqYjf^@KEX7=A?M254#OE%Du{ef^j)CQ-_a@0eKq;y#O%Se0dYkGIB^Hp>Lrze^Fi%=323J2c~X`Z|2`rD6*isrl8bv13g!zT)=yr;hUY6>ptC zFs|izVqzpMU^nGXN>*Y_1`TERj~*Y_SU_OgYN2YN?Ed;}eI9BFxZ8$6U~zumYT0w{ zDWi#?xu8-afC0fvBqICr4~t(j(ZGPo51M7jOQUn znq2FslnhL{No71se^X|EFU;U|ChBS_(KQ_~h6Gi?-?S1wOU=w34Wo_}qs=@YGH&5P z+-+17q#6q({hSD|epOfplcAef%oz1$*zG^7u|J1K0guOv3?6@-x&%ABnX1>TE!OJL zw;RRekky)g)5m;2gwoEJO2g!&RN4Y>bgH6mm23yE15agLGPsvP! z2x^1fs%8beCME~9#iow-4J2;6eV^~GXWl^T@!SGgAmL^PW!Db`UqB<5Rw^xusC=yo zc}r+ylq7eaG~>gUg>~pR5T}wsaMBX8sZL3NKZ~B{xYcElhK7Bpv6)+~;b-hTG;w^c z!4Z{dlw<}+B++V*)6^2Cok_k$uM*S?@^Bq51MhflH`A5li7gjuD5*8kze?Vzs`w%w zE?3ScCQ)EtlPEP5rOKplw@fv+8`X(p+v2s3vNOq%AW?C{C(dQCfL|01d3%{!$ z>WGjK#OyfZG+=;{=qXq@sa7ZC;Ai4MvxvD{?lBJ>D=s`>al?eHeNC#;gYWBQ8P1R0 zEiEg`(*PQXDMlADLG>cA=#}O+x27D`o5v;_Nd~k;KW+E;#Wucjf-VP7CTKz{ZG7eADz;H}|KJzafd)zrQrZvGZ@ExfdV=jz zOX8oQr`Rh*wdrwZWRYFoT(mS?VDehnk{({M34~ZW;ga5{3dB5KDRL$_{(X3zrzc0+ z!ej)dI-415iEf`O?$pmnWnd@=J^kd6k;}L!1BL{qWGAIZ=>!SNQ)$Nvs>@Y{gEOxz za#dn}$Wj$E&Xy0~4^xk-;n|1~e(>Ja{v zCQ70R2?Axej*xKcivf9?;R066TVe(%SQOx`!vKwZmtn-cFC|0$d#1}hML0JJroedS z0bw1uFkTkLFs}&G*mIG#D0aw$CzA>xexZgjq>E@tu-%ub)?j4Xtb1}ZZ9$(Vqx zCgWO8?HA3;lnW8^NEIj<@c-k%vXhvO=W%LxDb?(FCh-vS>l&UGjmdj;%-D~kMBe?l zJTsSwx|j?VlOdLf5;FL!(SisJ1)prufY1%rB@84PZ~{Tv1WC!>`0g(W>maAU-^eiB zsGPiYc-ttu_Hsjf4!vi2&s*@w){SCznIt%knC!WD&8&H}W^sVl6*>qO(6Q-Y#Fm0D z)+{ia58ijtddPa*uIB=#1{%CO6HL-B392-!Enbojp1!el>vBTEo$#$aapd7NB=|a> zohWYZMQZ?EeCV!~XUy93F+EaWp7q!b-ZXf}%YAg2wA}fTunwzetePd5W==_H9DJgN z>Gi2|M%LBL^FH7Yl(*gmxd_Nx!0lb_E{4Ji{60eAQ}ERUux$;GK7|In0Womwk1jpI zhcWWJ+FgooEC2?3e)yX2CbbIeXY)51JXL+#;exD#0F{h}+oti!3C7Q4?n2 z(W$WLEl-BVC%xUK*Yg@v!^22=8fTMDymZRgV*4U1KH}(=O$r&HF9f`NT5U8Lh0!sL z5fN zpHFTUt@^;tD%JHH$jx%0^5quQl8}c(Qi70&EfdJ4FJvp9a;qK_D7%p01N5eJ;{s)I zaK?#$Ys9-N&`!U3_pKzE%54;U-kFumN^>6V&P|lAkZJOL2Q4!r=Eig_CIs`LPV}*@a7_sE{u5>1*Hj&QqXx)pBb;wQ?nX*{5SP4U`k_}4%J~jgE0UgI5UKOsf zf+iWK$cwv)@suDCi!zbw5b5?fr8dv%K=03iNf(7F8tmZHnMsC#{tN?OJ`7-i=&dxM zZTtLq;Q?m-9&sqGP~u(9#DnZifBvUr9S&w^;f8Xl@&zXEo3-;IN@V=7ZIklfXP^J= zCnNW(8zZl=(y5UZY>h;6l0u@!x7GxJBXDz>>#*6g=+upWuZ2qz*%Hq;;t*r`0K(e% zCSaU^z@ zadBi(s^EG;*?s4y^CAV2E!zH%^0u=R&f9zv8(tOJ#7gHz=D|7$jM!M2)p2AU2shW& z6=gS;OC*L@{e3b6%I^56v!MX07v`cWtm2kH=8Htsy8W(j$E*5zL@2xcyfkx{g@F}1 z(G{Hj;fVc3=aO|Gl-*yjzir!a&0B;#oY6;>;EN+Gc5Qod_T|?URXsBza-{qzEeEXw zTm4I69i*?BIb}GU(v?7gz~uc?^NJBlWX1E_KLX?}0b+}ukCivWcUZw(d)n0mx9?;V zy%W0+zC%}(-A=`+`aANn$cQ+3#D1jFf~(%K0LZ&y%QN@20w6adVMK&@4{mTBe#`Ye zm$~gDs%KUB3j$?#1k2mGA@40Va$;s7Z_ivauPn0Sf3AFY>E+)nxc+|&zJ0j-H+oeV zw!7f~`~9{_1W-C2NWX(Ck{<6aM_$9HIKD%VVj6^#!c^xkB~0GGPo1}4iOid_k6ILY zqxQ(+a#)9XY}}&5*B*>dB0Oy3fsc+X;^YoBkmN#pWU(Jth@b+h7xQRhWHA*Zi+SU# z=hP{!GPj+l-#=nxF^~iO6iTK?Uat6_IyQolg|;MYd?5_)zjh5fZYM?-uBu38A#Y39 zthhWf?}DAb8Mo$<{0Cd}ANMUBs%Dz zssoNfI(Xo_0%dpqmUFi5{IyV;#6$i5r#DYuzvcIDADFcBTXYE81 z09zAzCy>`C+%nt9uk1YlT;dBJ+VWW(mUhSby{|lW?~$F4Oker813=jwky(`8H-Le> zbNx5V-uZOl7Z&bx?`@m^*`#gb1vIh^Uz{r_yI=Y@={uN&{h0|+RfoxdBFg@7GAT)b z@A_llyEC+=WtQc4kEtBhz;*5ibZlG=(+Dy785>TQ$feyBkYzLKYnn~d0`jmDmxz%>|rp^W=vuOD*P*z8=gYrR5&V?%H~39f0T63o@&p zz>E}uh@=S=9qgk4m>-9HbY%iH0amHi^K3_0rDtY%f_VMUGaE>GG3^w@v;*8cL3X>1 z)(%Q*jpy!0mxVDV)kg2RyJ0eTWajliV%U(nsYCl_-nEcPNieVqcz`{i>|z6+zBhXT zdNn*qFH6q&h819nU-d9W>4JsTAKpjl0hCC2|KIndbzn{yAPHnn-m61QZJolpJ#Ja$cYi4=HsWRmQHWVB8)TsT27CQ`2CDW_1um zU-Z4Q#0+Vq@j{fvnoLzSg+?q6jWK3Xlw+akx&+?=)^yPEPi%aJP}S8;E;X8aSe#RtS30#Q19BwHYUSFXIr#P>8J+91whh}hP`#G4Z|qv zlc?Z*^(a`|NeJhGx4RBrx#_2_xQp-5Ba@!gU_&Eks#T3mQXT0#-1&Zjbx_4v0d*Qo zJXGNqA44S}>yWwX_;eLWxEhDMY{kHLSL?p>fV%6|$}Q+l;G>FLdd#>kLoxE{c#}Ap ziW74uFzF_D4v}ZeL6{j+LorEkbaib|4}KYb7WLSrua|46B7=sy|czf`e zn@uJ?Qk=vdQ(A9KgjI_v?WpIbJDY!MaYhyc>+UI;WiQ#8U7TTq(|yb^#hfC%DJ_4+ z0baCD7NTEs(78^7m1NobOiO;(KC?;x0_>&JF%ZNAW0wgceX^O^Kn&@Rv6)!PHI+&( z4ze@Sx-HiHzX9y}+)0SvSR%36T4fp?wN)jgz&l))0ZYtmM zmwSYD@Z;N3!v4g!pJ{`tI!qu%k3ZuO!{dZtvDJ&mfB6Ih-yZu3e0O<;f{(gq;?rS6 zcbDQDVJ3AcbN5)1k@Q7zXU%WUc)16;RT&JmH2n+42J;eRa_J~m7%1?2m^b+R+(3q! zy$!xw>u$m(JQYmgo9-Ov4Fv&>OiFXR&-Q=E>)*T!Puf`0<(WNd2Um2}PUc%xPujst zW6sE;TgNI3Pta650?ogdi7yT{U{(Qo4dV!Wg-EBe#+j$WWJ|C&{1=~S$}(|y{4tl> zw1)v^M#@`BDl+kLtpMNx7ML8>*v!Y^oGykn8M)bjGRc2USchD~{u~-8yWP|h0J+$= zWO5L_6DROpYcaEeK>>|iDsf!P9bID2C-Me5z(sTP*d57cfcef;yum!n+7vW8=0=a& zDK|MFtQWFmGOd=O+gGrh>1|z3w_G~YNI=>3d%c_PbSYg5*bDDY)j2A;jfdt7>(DDQ z>tMsAIt7%Fw*nxSl}S+5?;6;v;Cvs4!vMfmRT0>>T6&`5i2%8&g4m{a%MO^lnZMvRYu`0hZtSYTn-0F?Ko;8J zmMtofnKuKs9MI>IRoQP+qp(iM1qoPGNVT?%iT&)!J60IcGy>#R>7)~qOMr%4cuHkZ z;ovilKMQ|KGa?FH#QlzJ@vBxXSorl?U|HB4WB0`kE!JF?9nizQR`e@!Z>UQ!o7y9Q z=pe$RV!F3yNYFi)x*tp&*P~fLfrR_Q(>K!ew@}*d%g#aN1^L3KZ-A&y`Gcd&ga#2q zO*o}P`zG>(6Ao>AL!j)=%vAHaf_Cx$RX~6ed~olz9rpbHm1rln(x}BAhd<;N;12hb z376L;zH`-2PHA6;bprqc#;Sx@s1+f>FgOp0pRcQ$V&Sg1r@<`zCTNEAlnddWGGQHZ zsr&5S%4e-5&WJe|OoZ=XP5}UKAgMPn4P-swCFs}?`m#{yi=uZj1FIbsTTwNj6aSjg zgvA4opOdI)Bm3@K6`+gz&|@R_h0L>s)UZJ54ySlYv?STxVvQZok##u8g(b5nj`u?7 zl87J;pF_qA1r)f5EsC>4Ce$CsN`lUcn^ZQ7BAZ-92~LC#WI^B}wkVDTRn>Js2;q0G$b^(fU!{mLPoQ$92hfvTDc$FHy&cxx%`0K0%7 zzZ1vnnRx0IrZ9pq6BYu7A|aF|q_a7Bus~RcLt>sAFNWLG-$xhCQ_Q$Kw=4vzVj)@d6WoZay9a_^xFcg!87Ga|r$|0q5!4n;vOmuV>LFJ$8x}l?XWly&l<}zgcGhjnh!__7@3jVuhbJQ zpQ22Kj^hReTEavG-7Dw9x$7L?0p>hs947$eqIa?Za?OCN1inM?anV)OQ4dm+*n_Ai zHiQPOV~O^`Y4uJ=g^5WrsgL;%!u$HRimzO~tLB>J3?2EVW$Aae^rv|*zQYAKIKD%UnRJ?2qcwC)tr=oIjmsG^ljY?%0CYs49I_T6kw{O=z*)}fQ){&_zU-UxTJ z4pos4L+zikZ6{A3QRbR>A0*i6vUCm&24LaHn^T6r{)5Pt`<~j-4DH0M!{=JAA6Cy! zYj`pTti!f*g>{JeL+J>`x%MbXz|{^6-BVUH=dJsMz0?Bh;6g)-SgtdG{LY5I`}vKI z@6b^T1r{9}YdL{$E2AdxFDDq}a;p_k2{z1c2JA`(Q zpbRH{^e!oFSUo8%tE~gAh%t8MSjTtBKzlfPEX2s-_?l~IWN}M9MivU3R9FWwviRcr zj&|fDve*T?FpyO^;>*mI~z|U3k4&K3|j|`EcRWqY~$|BKKXz~7Bub?*5U9U zNoj2@q_nKI4pLO-JtnL}0$}U>3B!TJ8Wu>Q4q4)%rX`ce!VEBJOO45GfOoxM&C7pY zxMR|*zXrVPq%ZDV|MDyE-ZpXP`su#}*zVCQ$vG%VRyChL#VQY({Oc>9{=XwTKc4>E z_idScLMlG%{aCX!@|Fig^LaVOJ9pIJ z`-$`&1j??${BF(Clw^>g4!J8#YHBiJ4Ons5k-&j05V=fv#j@J-5J>J0gjR7eS&U3l=acLa{%C3aR($(a^Jr21mn9*R!q&6w6 zQej+aiwPA@tt2p`qQc{Y3Uo_v1BU2FWs3<`$u75<0I22F>va`Kcu63eQmW+?BP*Ma z)m3Q>qOwkJss=FC60T2yOoHCeK_-C6isi0=1O*&aoE+)^V-=T)WsM_w%iIJ>#=L;; zCD5w^n_NrsUfo~8#(2kX*-c3@PCoNEX&vH2GAo`Gsa#l>?NsC;eQ41uH>zS5h}Wu> zM#ko|Ch=`C!>Od9OwK`xwRuzIpjm3#1wR^8(~2gUCdpj9+7l=9gcp@%?k-Fc3eX*s z_$^sxn22IiNlFr`a|XSK(7-*lYQDWQi+JrpJ4a(UVn87e1|W` zeTONA=n&_4qWz>142Uj{$e!vrITVQXs`?a3hNqF(+3Itm-6u*Wb9Z6l?Ul*w_$@my zIXhOsQ2&|4c@vW*C?{m%nF5(WRH#ne`|7_ZJqApa&KSrU;+>HK_KC@;V#hipd&;Vl zKYUqCra^(%Z!(hwIa7EwYQ>m7o1S2=^{f$W>VK2(Q;W*XQ(DsA$TqvNTV}pGX_gz6PoddA`Olq47G@`>hrBW; zWSa!K8SnWp>xhSdDcmZSMdtKlQlwFoqruE%xrL{1R1221amEQFqd2jzDTRhc#R`|# z<@mE>e)~(pIylIzQ9RT&*rQ{^{mZevM$X6t+nK~+Qcps;zjaOw-t{XtuDNRiVe*#7 zF?konbLUVkvF%Oz<-SrfY1z7=aQU_o6W*J98FqeIyEYx(8)Q3qf|#s(y!FOkZJF?9 zLx<*bgDCflIo7IBotBFw7M7nrVs=n=a|B|zP9WhbU6ghytMvOYx=^4??9dLdxP~P& z4F9F0@U`+-lQ4Nn#rZi>%Yj0aU1H%w_sdIJ&AfpBzvp^`Yao;K!KIZJEja4!M4dINqXs^U>YSKd~>`*_yuZhE(t)xe*sHuAi|25Rhc>81W$kD8c8Fdhb6l_C(%N@nd^;yiX zlbw-L{%`x%-DvK4Z9)oX042QO_j|QLRRgO9QAIw87@0dScgk!3v3CkxdxM! z*biN7ukDa?K_-}pk1Fj~TUSiHH06x0AnUF4pD0WY9q0{{*7dbJSBy@bg4y9PkBK0(IR zlCebO26;%TIEacrt9q~*t&j;#xsj;4mx-B*8tS#s$XcS6@9`K z(IF1K>Ht#LV@^@o=K8T7Kf$}st_V&6bS(J}`EH{wP~o{2{aCO*K;XO7-^?AVq5`yy z$Nz#sJJx&50tG0$cr^syj6f#oG@l9$>lH!rOMrpg6o48e*fIE~&6^yML11G1XrizV zT-Yd!Vw%Z{_B~vrEs7no-5+z326YKpBNKd#DYT=bgW~v)Kc-o%1*`5z*}Am2hx)G| z5mcF-l5_!rMNvZTAwWizHLh~q@LLd!*;D1?ACy8$xUM@g`)2LFZJ$uXiVX4YUh_vmmn~Z*+RZAOcL>p6 z`jBA`6G(BOfKN{pv*MlMbcNDR1X5n1-!~Szos#wnrHYb|JC@$;%KNC zSif}3M9jXdYE_N*>i(0}(y2=QD!+HNl!?G8POIE})9voB2184k|73UFR8-!i`HZ6> z?*VIU#_4A`YZkfT<)u{0I~1#cNxUqKq%jQUwUcq7RgDM$TEv6K8bdwg2>~d6NC=-y zA%q2?yC|h^yY*%o!eOf^6=26(Xpbn&2ldRiyZdDg{a?Q=tb^k_GM_T~|GR znN?5S81-RT(swf}AFmGQIZi`#frha%a-*<4jsiK+^kjO0yblguC79|Y2hAeK!Ag&3 zc3l0J3TI@I9hyk76tXD`cR1M<3K}L1gDKwjL5c~OZr!3Jf@!aBii3fQ_&_nv5MSLq z6LQg+qT-PjGRe%Ef@Vo>^n)OA(q%eF<0lpkQR`rJRu~tAqeM2v&A5myiW47qPK2(V zPXbxyHYuCJ5!tzcT!G|dI#xI}e8~hr97qBip(DBXTPhsa^;{B>!H&52wd|wV4;Lvb zc5ElO__ge#PCsdqc_()AQ0a~=MAhYzEEs7oT0LcXIKLWj}ME)rSi=s~Q9x+|^|J~%y2)WZOyD3R#$irlHj^-_s3pO*= z$??~ox={mr`K%7U1MMi(&08X}{X&?%hAUz@6xRckKA|#3G5?Q}d7EPdV5cCTGX8*i61IWWq);mo1ZvV>zNsy2zv=mc?dL z6C>CqnE?}zTe-sQ!xBy>OS;I!6T8M{a(;|pGl|zPT9Pg@(PP)xOnfnd%_Mf*LqbV* zuJhx6>D$6O2#CNPK>yp@_MJBRc>|z}JJ(-{PujWui$8fe zcb^>zB3k*}nbLO$U6ksn_yBWs21qsld ztx%x_6*IM%7r8V&sPG!7xMawvn3<)THKw@5SgPHD$|pe!?V{pJ2&aEf!x)3%+9vbF z9Da60t7@#>Car^jLcvGwz)$i63B#)po>EZ5F<_M(a+bKgpIS{qzl&nu9d38-i{ za5do`(nWCX47n;;))I`#WDtPO{o~p=3k5r$TBr8exx6FKQdi2eA|0aEhT=8|Ba>^H{RFfQIa+SmQvs48! zfK?b~lVC4wr`Am3JPYqyF*t@B(O^5#T%59Skqm-m^g#T|Yl?82UP3tBO9nPLOvLi1 zf>)?xA>-~pgsA2*3#L}=2p+ppP9{cuB&OqliJPg_QlOoG_N z;r<^k-WodP-D|PY@}sLC#U9CzuX_yS4I7qYn}8RurM;KeRuK7NpoPAWd$^7n)clhY zD)l|cBuyO6+`(JzbF+VF>xaTR`00Z(2S+o<5GLsh!^PaS30PQ*@5bH^wG2BRbHDE8 zo9<*B-)CY86Q6C4%J*PDYT9rCDprE6>axZ3J)+y~sRi8QkkGs-M1>6(g6>hld~Ei8 zsk_ea3(S2t;B8%wJsv{tnfUW$1iI4%GUikt7lLi@()XVw8RMOi0)h#BK-#BU~6yEu@9KJ))4Cb#WQOCGFKH+J2hmz(kD;R2=$yH!**BT@f(?H+8 zm}%6%!_D$Y?<;+oH2LQNM-#r<^4x7zkV)~Jy}~*i1E7COe}NEl8>7=OO-zI-i!~G2 zc+1cODo^@Ne5YCCL!YCRYpTLQ#!~-1p2;Bi&PV4dM5S~C#+fepeCjXLWimjzG%<p0HfWMTafcgAmdcqiH6D>x>iza;u66-XPahYl{2z{;&U?4 z-X(YtITcZITET#Pk;;tH$evNnDBV*N~Qi&kdT$Emthzt1VwxNHGu7!P=nm&J=468(sL={V_WWhU04SiggIDPg913zM~ou^y^2CM)sWK4Pu&!9 zGcDw<^{d=1ebvOP$Vqn5J}M?&iZU5{Vzi<$tp&$w1LKSpYRoju3T8Xj$jAu*2RRXBERsVw z3fpzBO;ZMP|D8A!MeIpU3d-T|=Szfj7)m`zuA1|XS37-ytqRlLR0fr`5UJzsIXHK)N&v9uU@n z3j=3Stcs+3yOxWzMX^H`OXSr1Qm`l*Oqt-`T)st3B{_rBY~%%^Ku98OA*KSN_Y%4^ zD{+AqBjasoN5zn!MtgRXsH!P)WYVF1v(LWgj4VXE$khlQEJ7asO#18sBMX==>_0+3 zteGqTr!)o1YEptzG_->$ZDXc|kZ5r;&3h2|U0@39ASYNc1>SK^*CEGXDly4Vc$6!% z2_Nx=l^cMj_<5{AJThzVZEG%k`i532;IqrIIMGFy?}+s$BHyqM>lSP7%DU>r3fN&? zVSw7;#W7v(>msTQ+;Vv~wUhn|w#B$oScg?K!J6B^J!u0a(ad$OFu|7s5~flMdB@`8 zffIvwE%oSE8<;F_0e~Z_QePef?$f3fBXwXi)lLnJ^Aui#S&9W;35I6mN-f3QKt`Ho z6SeOb@Mj4XGrvG01-5A5RJ9T0B|T~$AY)N^_x6yyfSllM)*lmCSd*tYBa1JU@zKC?0RlT`V9{Eh1DB$NfocL=8CPtArJzy$IZAHPCWcoPK;qC85*sa%v`@y`xB zzC$ke3>NtVwAQX;u2H3$C>&8VxVTI;GZUM;SeTGR=b2A5lcJ#)&Jb0$T`!uZ^>Lz% zq9}ubI3EK}+BxSr)**wfV$fKLMT$akdy%BY3k!z^jD`fyGwARbXtAOeX+}KZ`Y@S_ zehoMhm~>3i7vjf4PzwvmIvhL}>M{iD;2KAt8!l>H7ukPzTpMkt+NXg4&?9_Ip>d5=3{1u||kx0XM zmcQ@j{P)@CpZ?=X;S7pnWaNYAZeb%LPYv6jL9Tb4&{zQZ7hJu|m7T@@yC1;3-* zz5j@-DqOYmlSr*6FY?#Ok!#*^tz5W!<)S0g+8(|l{MGSW?wQQ~8fm{O5;<y!d{#Z7C(W^&3JM@<8jfH#Om_K4# z+qx^l=Z@d06bOLpsEsMfOt(@6$qmk`w+VM6=^?f zz6NxsMd4Cdhg;Yb8d?|f=ldv15TW4|G+gM+f$1yMGeA%oVzsx^#J*i-{#g>N3(K+4R3j35(2k(P+Ebet%Y%G_%Zr~qn_k#bAy<}tTQ>tGQA z5_ZM_0FEC(KEO{Rm>*4GVL9Y%lZg#|)I>^Bxdx>b5Ok~yuRIXI6W2{Ztzi3UAGVkz zKsO?nga{7G#(h$YH+5BUxTEH~0pA6a6dOcqHa8y<21F$!C~%)TRvsW?Db_OCL(&&a z-t2tRsGSt}zAg8LVot~<2}GCYRc6MDO(_+_+#oir2bL~l0`iI8eqyWze@K}4i3Q|{ zhW-HLMvD;Tkt0yOT$b0gV&(28k@&89H(8E(vhv=~5`2dlwq>RAVKkC`>tYS;gA87npHAUz53_eWKyT% z_0=1d!d)&_D_gyVK-opP4KK0<%@Aw}Miz?|2{c>YlZr#+Y{z&ftGp-MWYSZ0;^@Fs zc~Kp%KihF+k!31QtvUnuxKaZ!Z@phyhhyGLI@T(PcXcaKC(de%^dfv|Vd8*xjI`)2 zUdv+ab0Ek(Oza`Wf(z^5pzP)v z*6Lj=Cp6VxHeuG!cCW>jJ&!*G+}y1{`|)yY^dWS)`!}m+eR|{LkH=AV-%CK0J(+S3 zX_Q^N@1x@&RD>oq-56)!!01$|KrFxce0hYUI3-|X{h zi^qDkkekr*9GP@%5XOfGoRLMY`hylL!!m`7_LQu~r~<uE=O zG4P-~Nd^>j$KTPK+YS92ySzT`y27g&s3ie(}x9YnMo+S22-XPFcM6E#)lkAxdw0> zkX6Rq+f8^HHRlm|7;H+mBH@a14-m?37v9_F!gKKXEXX8tx9k%pZ#Juey?K{2vcQv) zbHR+2xS$+K(`uq|1ndQZg}=N!m8m9;C93o6AqJ>eivY!BC1f3(010qN!wQRpBabc; z_>U`vb+|-qYKMF_TEGFdI;ohB2hv@BpEnvYTPIcH?i#i7b20tOT&QyD9> z7(0c{=Jk1^^jyS+s)g?mfwF5d)

    B6fFF4{F(S0N&!OZ67a2B7g|3F8DrGX`wzrr z&z&T!L%*zL))+eUemT?ar_nogs-<$aKLF<-paa&X*dlLWipu-}PA@kEgS%vI_zpD^ zW!J{!1z9bIxYFt;JC!7d9S{;#plm2MpA?ifa@*o$M$D&x8Sj>YXa1mP+J7oLS zVouVKT~0R0q{za1)A*{&g+l2j70t_;$&4^1MFFiQN+#%XvPxA^92F_Rxl4#f*Md!RaQ^&Jil$3QRixq4bK~uZlGbf&tzMc-N^k z7oAL(8xKcp@}3)?&OXrKB(*$hYB=CD`>qY*q5B>X)?pRRT-#`0Vo*9qOx`-=ESAYQ zj~|l@`5cOC^x#_y!>kt{RIFmVcyKcG36$O7ijf4-R`>OJ<*RCZ<*h5~Y097ge@c#H z-=*C&4S)TA#hEP41oCiUCYW@F@*BkG(wN1Bb?DZ&a86{e1fCE>2$Kp34;h0CjYbd_ zQ%t24GY&NTpb-G=dc)-0e^2#|sof={On<`u&M-H9y zcF_kP&;KAia{QL(#mr|=RHfD7z<3{3N3| znn$k=1AM159&xP00E>7a*mGeW-oB;%ymr@!&n_CVe|S~9Ru%c=oZlD`2J%(yuDc^2 z{^;%D*MB_c`tVmHk^3U-{~|}eP2^8UhS?P(`1b~)T)D*4u@1dz>!sDgIyi1tuae5H z48l76&q?jKw<{we2S)5auBu(H`slaHw#o?GUNrIEc6EAW|2LmF?)s1CT_65RB(f&L z-iRDoN#svXFpFRvh8)UnM+F)z(r|$No_q(_NoQmhgS+FMa`H&NH~Fhd$9Kp<^_?QD zL%~THS+I3Sez|VHN+XN#n8-GaEMA&;?y2oA8d*G{bsSj?3xnML`6o1tEF9|q>+m(Q z4$4XA{?Qp(Bzp>Ooh7V;gR(nlD7)9R0r7Ni7vR%w$ltMZKece@ucxos`{#u(U%%ql z?*nD`w4LjBzwz#mChc55{TE;UGXdm|+S0b*vx(cl`~Aqmod>3`KiqcZ1N}kS{cO^< z_0M-HV6-GupyY_M*^IK=kLq+&%UmV@BDvCJbtKAer6adM|D@9YqjMA`k*ebPEu1bvhSt|%c1WizNM zRqt3UAPWWbYIa5mq3RO$omb%kWmkajy3fwTwhsP$50O!Mi`_LFKLP>G&fQ5SMFLCs z^dzXSxCk5Eb}vCIx#nAwll!BFbRciLFL!@Rk|PgEC&XmPp!36hXOG$u?S!2>Nm>Wy zgq)H9y}V%LSyX1R11%Fty!F6XGZB?~y#2(Ku!$EQ zD&9ijAt|D(XaLz?4Ln5QJD{Hw;JZdpu|17A%5EZvZCA}d;|J5O0?f|-=r2J3-V5*D5OGRXj*7!4%tR#9T1t+;t5ZJY7DXw z%qOhX`4S`6lc*&Q*VUs*cg^?1e=!xfT~-4HVf!J{cVga#(0~+ z%eaevR35Vq#2Y}fNmcwpa&kiM97Wl6+Bdb69yA|Sv1kBayvi9_Bz3Be7Ew6*-MwyN znXzJ2COX%N-a4l&F7df$ktQ;oATFv!CR1T!aUj3XED^Ud#m+DE-Dc+?nQ}q)bw1hU zSmx_Ob_mCi1Qg$XVI3Tl-CRT2eZj^;JA9Q zA&f)LQsiN5^8)SQyX;^C=bIXrvcbU2Ao~*;cW5^vE zT2&Eai82g3Fl^BA_l&{9VjzLRiV8R^qF;?ah5gH1u2@Xv%&P3Gh!O`ieZAJnpTiQ^ zu~qmE4ifI-t`Mx*j6B>_7x1bjUT^StQEpAE9_8`RTte_IV>}=~&nzv}Si%}rPfaar z`Ytee4ewR0L1v<3IiA!0_Nk)mj%I2fayuZ+DGN61MP=Okim(n2%5F}f>}oU7A=L|| z8Ni6unW8b>5`e8HYpx|%fk1;TB~LSvp4p&kRKm5}>yX3V&(JM8aIWk(onRQ432T5M1OifIA25udgbWG`(+Y;#C{D<= zjp#Y&*O5SS3H;;~VI3|JyMlg8CfLvsnqRt_jDMsh9l#_5I&`AQy zr>6?*;27w^FwpQ#`iBmDq22An!UqFz5`eNB8tE}F#A^`s!bz}#yJEaenfq*Vg^B{+ z#i3Ef@E<%ij_;5H(Cp9#B);=Y!a8taH7ttdRF>>yI1wVY-V?SlFl$|g;6P#m50x=29cu80X2W7XXN&f6Z z9=>?Z-?3(K;mTVtp>prJaa|p)Spa1hpI^Kq)}L%R-QDvA8KgM*;&r&lL5_s z%Yj{%f`tT!+(Xi`xmZ|-RWv9K(O<5B#mx~z?;%?5DYEkV04eI99OY#Br8pEJq@N z;1O}J5iWGXkd?qgD;?{QtI9W+M#~dTE!avH4}Jscfrr`6IT9TM*$jiWqZNY+e8ueq zM*=BGVDT-Ebx1)>*_S0!%h+{CUIv`*h{Fd*go~;o;i@p;-)bZ5x(I>qo^s`(v*xo% z+Y^hJdwk?_ke@ny?LmpM%ld?}3(KQcg|kltPKgFu0vnz89R^y&1Hm6=0BoN-vW4Ju zKfdU0pzPY#LEpyy8X=V3A71(4%K5{mwY4r{XK#skB5c>r_SpyfiL&chhk?*y*7N-6 ze&IVf!^^DKRHp)xbvW$rY9*rD#YP&k_fiusVuSLi@sA6RCXxrg={}M&n{kT3A>mWM~XJnCs zEhHmF{@JC%Iyfl1gNCx(J6dg59M_NcZn$~pufOroW4A4Qb<#^;+1GY;+dsXue(&qM zZ@Yfy`U}SIZCkh#*tc8SzCpj%~7X%Z=~$hHm{8KyW)j*$;~ zny3_kjLCv7y_%`Uk{CE7Us7!}vSt6JSJ$e-53HF1j83H-?%-mTyn)KnnSD@kv(q33 zO~t8VAP-Ohh3|0Q=YB*Zi-XcS$QA|vGc_Pi(f*v=sbH37T2v?NQ!s?^c$-WQt49Ht z9s(>X^ICYGtssUJwd!{@SbE*Wo%6g_b#YL6K!MS?)C2NBf(ARhQv$Kgbb}Bhi(a9T z`=EK^WiC7{=N(e>G@M-7CjpElFk&!G#6=9T!9GVML1qp0w2c(Nu~hmHgF-EhKaz&Z z1S%FWo#04d>4(BPI4HZhhO&#@NIsYg+}u6m*4FJl9dNo=t$B9ChUHsV-ul1SUN&Lc zOOLL8>(h%L4*`7l#SNt(pF-ffGxt2YcGjK)M;s*FV+ILV0x1tnbsl233G3j%>1HXM zuK3Eji*{<=XZr)?x_^PU_0DEsWZ;pRxPsKLwWKi)2p#cO9|pyA~?a3K<^Tm^{KE94$5whq3jZNP1wu> zoUVmu-^g>1^mxo#4Jf-xwQ@S4>@uysw>g`1$~ij)?4}7$di3C3AHm|pYZtDZaK)ZC z?w_>_Tk6ll;)KM_oq5;F3A0{`?pU8>zx5jqrw74$^lB-wgi#&mmg(Z=_DzB|%I?pq zgmn-QtT_c1H$}|2W0zF|i=&nkfV`Yq$aMnBw1SdEWNI?Rh zt`^q8F`_x>fQ;7b6)R{Klmrb|FyHX_^T|mF6zTPRlNBJ}0shp|v;9-t0Kq{&3Eu&= zlw^2bj_=_34n6zb#@CJr>%fH}u_%@=+{&G!I1!jdkweZZ{8`SJi9eR7TY%Rk?cl#q znhvtxR$8^GAdk*8e2hW4nPE{}O;J`=hswh#avEC}J!x18cSaVXRpwY^iSk;SNgq@g z%qtFQJVAeewa^5Cg<(X*|Ac4HlBb;5kw9+}crh%j!;k|IO@=6onf%-#V_|}n_KiKS zp8+J?f1b7bw(<*~z7b0q8*bV{ll*T?nAxd10N^EZPSp}MbeiU%DXrz26NPnHg@Gx- zs9~bgfl18`MA-%22R8p}xu(wJY}6UbrWNk5`sXaI_3sKSX;J`*S6_~AEKUTO`M+({ zVJTx(P2lukXkIzy9UK+shKdIp)!2Ae&O7wxVmRlsP68S$6lKC>!&pnCxR`w~O~&nU z1*nGFfY_9;Pf@P;DLQh3BZ2NEpk6-S@f~v0aq@W|(CfWcKK|}@f5Cc4tuyDG(PY+p zpDgsc;0IO&L&k~tsDh!N)J=3l)n3Bn<&JwupR>*pugm17=8u|7QGB-7nU(C`cxLe# zF3V;CR~I|}u*DWL$6y&k4-=hJWUn&`INbtM5NJgJ|DE7SASDSrvdgg!DG4g;GSLu0 z*O_V1e|xQh7AP=|py7i;=b0fEFRD#47zz<|L8g=w90~Ly0ri#tBB<|_s>mkS_wT#qg9jr=z8%@HDDvsbg}d3ubFOH6B(ekK=AKwP=Nt({ zB@nz_SO?5@J0YheP&4AA&9}c?71mi*StN4WU)yhww6lpXe)BhpE= z7H$9C)uaA%WA(`EhyV4sQzM73z{uh^pA0+h*#~cZjnNR|`HRKKVu=`8oJAvxPeA@R zEB)I$9EO4fuKP?_2M1+0rx0addH*TE=}!OocRySB)@lE~es5d-o2NavesB2Qa~AGc zf5ne~|LMZF7T$f`7mu7ct- zgNo0->&FieF5H@>HPmCdLXd%~N4ZthvPq-d4$!Q>N1$1VGG`h@TDlyWq}dCUUF)m` za%AD4?B)`pESp_1+X%Aedi_~L;-TvKwZOvSIZWQ#RayuOD<7D=4v?|~7cG&so4R5Ni&o`(^hIahf#qPJmlsUzMo-hpc-;Z7L5Ytu zk=BAHo6OW&R$^GkS+Z(0$7E6xm&q3%WNuv7Yp{CF;V|hcqMVXR*WycxvS4F^?|>f0 zLD?0+lY|b6?iD{-DHD9LjRI=R@=!pvk`NDT1o$bZa%-VcM-8SgRlW zV8VN|FPkvy(Y0%5?!MvivlidD<}V;mU0M3swU=#}b=_}PV^f%cL}qn(lNzvV%`xyu zwT!+-Scfh+t`62}He;>cVCeqSmAX3LSnc@LtAnBDnJe&FvN^*T?GLzW>K1sl25-O$ z8F`Bv@uf0!sRwu+cAW*8vyl7vU{Lk=-Qpu=NAo#_uY6RWOG6p!j4XQct+MmnXbcxP z#uTTbcnU%4+Dj3|Rv1GEb{+uq@PpM1IlQ1{4KKE!WR|93T%pn`2d<{b362E1m%t~Z zg>`UHb{8{-eNl1&-gT76U#k;tZau54Xt`5~m;0hIj4h}z6|c8dR7bt2UUiq6wb)xY zkXLJI-qzzWSrJ-#naO5&Y6O|UcfG;fsXY80r`$(NX~uc^!a8Ip$fpC?+70+_8TNc( z?CkHFN(=LOkyOYhfQx_?4Cby4W9rf8!(k01s7eIi5>hcYo--M1@F^O{B@VW&)9e|w z6V?sVGdt-VXJkRoRc?h+DlTmb#T3JPCgatUi!A|AaUx?Cirn}`)JPf)k)#VKm=q}# zIl+-Y&l0%(3&J{Ff=N~f%5?Y9g);3M@M&W1r!QXfm*o=)@d5 z<`hz1wdV2Hdx4zEgGH?T`PY{kn?mkcepB_-V4zXpD_>KD*@O^Ys|HkRMKvu>aOU@x z6DDtPMKjZAGunKyW`R>f6Q`Ie?o__JW>3w>ZG7v`&d8!??3-sx2})E(*A7L+9+u7>@_c9&El?= z6Y6rWmeJ}s=)hQ-A{C4EC$Z4<#z4#Dp}YPjtivjrxpq)?a|M6)=8?v``ssH41(a6~ZK}8DTzw_mKxzUsG-%Kh-I%_^wo<0q&U7qSDTsr6ud<3 zD`L%rp=q&dW;IfgP52L-(Z`rUI>hv&M!%-;8j!#dc7kzhc;7)WY4O4djs$v;fKtEJ zu?{`RCu>iM;ewB;n_vTUuBaN>02(t`iA7cu)+Xe4+rnH#twmy1C+$d}vjmi{pYB+P z&O-VB+dCKVxT!PmAC2WO4k1=1hMH`4qan#Y0eUf{d<}tO*(uq9QZOmq1{PYI&=lIQ zZ77$1g*K6siR>)TT= zd~L_0Z#>ug;EvNjd*EZwiuQwlob%M5NpJVLp@!6}kB&ZZR_c|**1Xf6`pyZdk<`I$ z?Lz@5C$d;o0vmRrcc^LwOfK<7sgy{)@zO}!iXHDXk0{NlgYBu`oprKu^80%qJo&#r zJNlVt8*V&h@0_Q|{P+b!CoX*TTcfGpwZHtCHK`4$dpD&HE;)FoF}aj+R)`2ZaEa_4 z$R))RAOa+;E5!7f2hO=M^?38!Ynw+_wWnSeZkA!{2Rv>fzNtJ>``*h9Cam{%@x? z@7=uT;nlm}`t+>?JwfQ+D6*yZx8`=H%TA zhoM$mmlDH2CF$hhQss0%<?XHeY4=4p7;xEm75Zr1v{dD&c-hFBF3n+O%)! zQE}>2m2`8vUx>}?Z(OIJ9+PsqLQCAL_C`9y(e$uWWjS5d65o|o92c#zDW=*4m0gu8 z94foIL$jAEyW*dgTfGBRc1cW*)PE8zW>ow7v)%NfL$YOkPk*O~(05~_qDg4U9z|b3 z*>CCJLXu1@k;jsFRGdb=wX;nX=6o_oT19uOF*K!B1fY~stV^YGSYoh&shz6kyTy}+ zES2(%pMS^d9kK%`GrK9b@YYNokP7b_CB#2dq1T#d;^-KI{)(rCXcR&>XlhxZFTQLr ztr+y2h)jFhnFKV&2A$5a-+RajlHN4a8;*Z-UZQXcgGdU=_{5|z;mKQed@sj$$Wscf zX`xxxhz)H9bv=5zmNdl1NuT1(&m(Z5&;s?$7BMT$X5y7hvc|a<>tIPPue72=n ziWJQ9@aawJ%yG4m&eLY_eoh2Uw446f=FmB`6Hzps{^TufdHaRC=|~EXWGsjzgCet0 zJ4}pBo=VzK{_lF(JJf+BXsF~}znRf-ed*qwtZwejn;zf%&>oi4z2x4%KY#BV_kV9I zeJx}$slHyn_~olUx%hn2*W3Q^9xO?h|G20Ik(PYOStV(6=eB zXlpl|v%W7j>$FZ1(d#)bx}3h`vp&9pe$?I4cOCt7cv&o(=r}I1{9=~GQ<7>-rO>Sg zRCa4wNZD68-4^*1^MWK}C%($P!@iOn4Fswc0*g2!I*lz@_DV62Pz+&;1)__7(#;Pq zXvI3aw8%#jP4bUUyBgbUkzHZ%4i*q_CUC?p(szK?>U#PpLNHWz$r~_4KYi+t9%m}a zYnOjyCu!FR_5`{}JFlnbvG|GcWTR#z`)0JT+4zMxEODt~MB?$}wIY%1yFqFRLuHo< z5|cpHJXNu*d0+Ca%{g554v-sll^bc-<%+<8-s=SKxs@J6=;jcOENIw3 z%e4HA)A)g=C9GkD!3V8yyk+nT3kWz8P`=VCdk3q-piZM$KLT@M-|8QekMR9{#SBX~ zcT6uo`(h=Yyrw7K(ic;#&OgGkHm%E7@eQ%}?=y8to(4zKO`%_m_hroR9nkFEUFLtW z-lW!L|6%f)Z#C&TD_w4zyoTAH$J3dHoZ9n~iCLH={hUguF<~~+93wr_q{W(O)Wtl? z8J%EPT4RFdAO*b+A-YX5#LffiGJ}fAn7jg$LMGzD%Q3Rx#u23t$ zAUCoD2zsoM?l-L7L(u2#?TaCO3jTsXF#@wJEvz^Fk?owcqAavl&(9Ocy^eKrH_$tslskMZ3*e-UNpaDfbxA;Y&I$7%{pU+POniOw^P=o)|Bjv2$IC3 z(E6>UtG9D$4~gh$M_K}Ua^3+ok7n=G?6u2(hLJ@vpQ^TPy3C%U=)Et{sKMm7>T-q> z`p_ZrJv%v~Hu;EYJ1t$I#_ns5YX}lMR&uSJJulJMBx5a z=pCHc)TCWLm27`n>S%uSkw&~kbL+wMFAbCxZXg}`QQSm_P?Ky4Yi*I$G zB_cagy$`3R{OQUmzfc}Xz4@V4BDH_pnwl&gGF3|i&i)X3hgzzS((=;&b}B_LxxTc0 zbVVxl58qeJwrM1%D|?4%O1w1m#EQ{|>r?N{91<5CI`FljMLSa8x;)kR^g~mALFU&s zubO_w{yWh-l*T&Dq5kGg**n113{)dH<_E8)K9~|C4Q(US#OeRuxn!GYPyLvSuux5j zhPEdfMn!Y#?e`edE@;-*3<>fbE>G;ZvSl{oBExruOZB|LubZezxaCl2|?K--h?EeCL#>28XY{{NelO3=Y5Xg~8Rk z?{2>1+N)RZ{;M5V4nKVPyNh?LESvO{q1@U|tC6q9O82~tRk*S^rK zRB}?KYEcmLy@eE~Vlh^?%HzFM%P&q}K`BMNpDJnE`fiRyOKwRR^upxEI6c8+b*p|t z(;A_#NRk$oX^`mEiq;ERDUX%2B0482#dkAROnPbgNhS-D%!Ia*EX+3|sV63uQr;^Y zxp$yvVpwXAfHhZa|FTkMug%J&sTd}0HBL2j%b>_VI{yf__2c~ZLp;(c#1z$f6UsE{ zl_=7~GK6CAS123#N1IdRDOiDkGl8voj_?f0@S>AoJ8e02E zP;+cGY|*DtC7Gt+8#yT+CX7UaDvbm)Bc!q`Lz6e4latU%dm?^~G#@KN4{;S6t*Bxm zSO6By-ew|whb344hI@y7*2sd|5WAg(4HB&W=+BZabA~r{!cy9Fteh^_MuE8{-cNO9 z{7^~ISb>jrMAuTK>AFIpwVRcGA@oI}hvM!%CmAc#~N~&2A$WS@Y-5*8c)zNPp)~$aVpJ2W|o|Q85=f0 zpeTV;&yc+XDYMdtMDD$pz7_(_(xB+izWTY%TxnaV(!P_{&808DynG?o<$ba)*RH>8 z>zTLaDzkFv`|o7&U8w9XhPbrrHGjvfPjc@tlGsIGe+i_}Y-sa+sW1}9%~vhW5a!iutUx2}&jJ#EI+LG z6yls{tLSb!N@+VmtW`Sb2b%P$S~^OLeU`O@H3;MpxV}sF4v-sNA4rwm1$XuemF|3+ zh>$1H6^UMas`4UB#~z~cN9&VWl%~WAsars-dCkwy(@(;6xoz?qX8Y{`ooNV@S6(oQmJ>-^CMSwc zn^EyWdK97S25k?~e|pSGGnXQ&nzXIce=FZ+IZ37?@ucvGq^7Sl(YZtV4zlH76%+#Y zvj&Cos~Mc>Mqb$+fZViJipC+WZ`K>sanKGu!my569h|+5KOj(qz@692-a*cD?|+8p zFM}!x8m4?d_~otFk#6ppn`g23?gjLq>72dvgNjEk+xk>mPWPF`%lE!<|M`2b9(o@b{?OHjc%80I!Nt&TT z>ZC$iJyhCks-4-3*LzV9b`j+PIal9X>VCZa28z9il_`?Mu` z^16=scd8`5d(#cA9;>LgmQN1dblU&uk0JV81VPsLnrK))ozv^U)=&1wmm09I6 z1~0wzUmK!TWJr**?v$rRgJzD>z9FWH1qwa2plx2PsRwHtYY-?(Aacb$vUjL%9~J5j zdXj~YvS-&Zcjq_g{ys(fOZm)#orIn({fQohZ~JE7tiM$IBGK*(rTJOo(%$5CC3^!G zbI6iIlP81FmVEJePFa9Z%~&`J-=S!~S<^Q6y57MC>zRc?M^ttv zXhM?Ty|x$Ap43e5<1YvlCvcwizC-bYu+}(%{&fE2$U=4$c~36bX4y3vMyT-!^)2+# zG%O%64uQxwA7kHP-#Ec=xMm1gm-;ML*-b6kF&dpMMDv?Mkjn0_whw7cEoeo0?PNff2QRNYFnlAmB=%<$V}gxB{xpgKbh}_l5R9 zcAu<><`E%CWtTL#+hp(XQtI-#tg`#s^>6Gvxt+v!7jft(UP_G`uYIn0WaRphX!}qE zy+dtQZ7t>M^?#SWLuTMwOL;?H90_QtPn_J?{@U^Vum6C22kIS2WtVz~55DonJo+S5 zY7eXIzIAEyI~UKt)%dU>-b{(F+!Xn{(a3q{ivP8tsau?6DknQejsqYdUDU`sr1cK9 zo4~b(#i>tpHnyL(`rgyOV~s2#a%4d+h0!MdCAIfhlG8o-`v?BKVb*7E`j??&9!Q<{ z>Lr)leV}9OUHXaLcWs#Ruqmip!2$xV1nyWadk3iOR!wO3Gy7LRH#od`cs~i`etK~D zx2u0m8^imr;Qg!;E(OY%-x~heo|CN5DKh_baQL0eAD+JZyKMg2Qwu-3ZTPKIWF+ri zvH#WIQY3r+@k^HY?&{}G*>ThG{)-+u<+;1}=84$;-cIso!|zbsA07N-ZjyyLNx5XO zFtl575ZbCsci#RIlq$RXSKYTt_6|_l)vKqqx^BWMySk=k1acKRTbOFYJo+Qef;3}$ zk5cs0VybBDrM-wWM-$sfd{@zT#Z*&ObVD0gBUY&*6RwSMGuVp^R!SXMs_dFuFS2?E zleO6BvmhjZL;6Zk*(C-l!SIC%3sX%*#P-fO=~-nnsB@)7>3a^Wl-EU`Vt-sjwAdn9 zmp`Mp8}%q@VTlbrbW%j0!l5IDNgi$2d`1qc-?i{l(M~2*X%nmBs)x1|)-L=9t9Ni6 ze&C>w1a#|}Wqyh}8;lM7Cua&-Wh*V#IlA07`Nx=wF#7qgFoc*P{~7!aRFfedm1Y^z zVP!{Zy&vHa2IdeDP`+?Uj_TZgvtZPs0@CJJ2A6RX6EuCn05(J*FhL1n4V=_iC_whwbZf zdI$D5v#PJuMhfIzGj1m}9fZyZz3_R>M-u%vU&l98%{$ z>Dr;|IT4LeU!m(wWHvc25m`iaWklDS$#_KPbED=ZbW*3%7blgRPEB=F*|m~H6^Hn0 z;KpTq*V3~HftwWAB3c89sO9hT@PL=}kb;H|^b3kMIf5Xw3~L>mM}Klp)k&?J7OnWe zg%4#H>%8nfw!%r^52s4s0dk|Ra-%*e4_{SsH9WNG$<4>)g#PwjmTvCt^i_|SKlh%+ z=YQT(*=6%}-<~ByA47r!uiW?d%lBS(=UwxmvP(>$vg@v_J)kU=y#q_BC84q#6s^_H zHu|Zya=NUvCY^r0-7tbE&WoY?*k%F4<;_us&_%3}7fBn%MNvMTpLtS{S`8(FULpVLBpq6@iHscM# zq#b&oNrMJ4Ra1t9!P^ZAo2^gU>ry96vp+;@Xy!-gko}m0x3GXfaRT2Tmc0XnPuEvT zWq0Oe^k-KYEKg)tn)Dqkm0ckcFOmxPzs8e|rl8yY5#lKF1eS|_qbELO#>pF?4?mc( zMm9t8Hban6O{N;^T{Kub>AkXdfYvIh)l&cIzv`=+lcZ5hcGEdkVp4@_K$2GT8Plr7YX`Y^ zc$-=Rmf#Sep+jcTWd~rIa;#too&H{wK6nE?+sJwTAU8Mg$b!#tSm=ZHhQlG4Lq?$G zIqn@sKS2|?P}!}n#PIW*OICe-WC?wPeoSb35=QtewUd z=Vq_H|7_Vigv?_Kd~xZOCM8n{-m-@Z*24_b$Q?P*m+NVOy?B|O(sRBj7F_U8U!!Wd5GvV9nF!LIZ4t% zGSg!6Dw7l1*4Y4axS5C}W86E8$hLr0Pzb2`8MS_ep$nZmfJk@#VL>N!Sw_3xC%8y!eXrXu`;vKcE>1qnUniA^7**v>3L%n||{xgnYMB z+OYxwCjy-j?j7DnFCQq!=|YFwe&obxhrDCA^u5U9yYlX2tkhcRMt)DCn@l~Xx-sVD zJ6H~gL) zDRKy=5E4*6{yp>#!K?Se*OV-a{Q)TvHO7|7j2OE8LR3;y6zVImfWWu}l;p|i9mb_p zdjaOW`9S(HG+*keu0uu}QlnzdNJH~Bkzm<8MMQ2%b*X~1b&Xkfa_BX`+Masmzyafy zlsM#oL0Wnaixl322(JU3P?aFOxZ;3-ksdq54=a1R?fQ)$i&M`*pgpokU=Vb3-4^P8L zAdqElfBme~>)D)h?{Fja4sB~cHWCp-O{c7(cPqJfpl22bmm3YoHB;#Jq4pih*WXe_ zih2jLVbsi?KW6I#GU9s*M=xVSVW+MMShc_TliWMxG_<-dbKMkBO45HFbA|>4Mz!s^U$`h=N z*s+!Gw*P!kjw~vvvTMa{$#$wz6V?)gHAoCr50^QsgliXmC`N+0%DgBGiBMnro?=Dj-N~)x0pR-a%?H z(MLo`04Kp}OA}Fj9VawvvyrTl6xWgRt|SJ_N=`6GB2s%S(w1a_TvEal8}d+@Y&LVu z-T4H&7;M7Q&80|;E&@B>mG^vGWjDVXnq(yN^UV&SVV0Fv{f3;Fn7eZRfkzZX>QXw%+Qb!3wT52RauKeVr9jN zlLJR!uhh}pZ{5i0?o|O@Q8)=j9H(pYoP_ip`{=Q^eTCetBm2~i@qW_LWbdoMcaU*s zeTNIjb;@?KIAnxsI-R6LPGAm%x7#6oheocgjO?-pSFz>lUu3ZFFlt}H+&dVYj++E4 zwLc0r0SQ(NAuQHX2{-qeoJt_cS7*tr_`?dPOo2)=^#2#pn3AU7UeD!T!b zE^N}|7A^M~8&nT>Ai2vBb6zeOJmOXcEB|>8kJ=f~8otrC{U^rC2 z1e71XDtm_Xz@Yi3Uvg@~^?1{-_>>U0yc;Y72+PUoYn$U-!oO zBjSFjzVa`X(ID#jYACIWV_&+6dk6W8oXiY*{klpuRgLyC$Q*D zIkKpv%C3ytlI`3oKdin$QDKfd++OGru=U>8r0AUDf^HqHBFzP%Rq zwVLL@ibJ_~c$>%B8!MzM%5f)?G{DP>!SYv7VNS;N943nrJ@Y5eM1C+fS0J}M-diT0 z6Dm?`!n>THow#2+GbC@%<@RLrnbGk+={vAf;`APk_YMnU97DhwE|_C%USO_TJ-7b3vzL}3vb@#k8qWGb3N_W*UU>8Xyk4ZD#t@X)Q z!+O4BkBJ++D|1&3Q$t=S-<2HEsXL4alRHIga?*ORuCoE=iZSURx)J%xa#X(Xpfm2$ zc$?{WqSx{%5&CT>y>!hh+l4TB6LkqDWi$wJWIQvn;O2y-ngj~pbt*YGe5z4$Xaod- zQV5uTmc7H9P}%iUPM5`CuVOva3w%@Xh5oFU`m+wQv~^}4xabtwJKW6k4uKMjTS?>W z;On^F*+;^@--xs(+=_GbEvOwi+OK(8+B(aiHs^QQkwqDfZ7FUF!W0tFrO7Bfz!rXU z(P7c(2NmYnV?4*uARjzUYiJvLsEoa-Wt@vsgHGV2U&43r+i^0oK99=213zwX^BsEp z&R`ulWdwD6uu_m1F0&&lZG-$a)JV`fxj^OLdXivpo_l4+O}Tbi>G{VB4EX`D4+7;A zc<(FOkp*7jFQ4aRVo)Xr-W;2j)#r@0VGjh#A+Y)d?j2q$CkmVk0w7SA1g895)_17O z0@iAwdiA`V+4~Ff%sIO=gnV&|lyK}tHHyCDV43aE>9Fa)4(9aXN&a=n$s}X*^fdC! ziuq=>WA?PP-bQx#ZL)W$q+4pmZ9NdtW$sO0*S)#dq%szkGHve6yxSo1_;vISc}U9Hox&&c zH{eQNzLJ{rcGMVhK0( zD&)iaJ(v6r8Br7$1bigWxSy}uXNLLDr|I%t?a5XA?DTaM*Q(2>TE2tSBxkK})pN;j zA5kGG5C|E8h{{)OG{%4U^6k|Q>1LCDmC@WG>5FZ zP+So3lYrNkt98v=%CEO9w0Z|W%Y(E)00e?SVEMKj-(ea14xUSX2LS`}2m-+*ph(}L zQM&pq($)9mDzriQuusajYorMuj~Y?0CBK7-9k~X9AQ15Ua+O_->>WD4y2kPy zg1`ZJ1OX86lYl+4c)5b&<9-$gX@Nkv2u#0gD~U%vbn7Pi_M_KV@xayX(sxMkx5+A` zNgl4+LTN$3PXem^c+fKW9YwFNVr?Lak%ga?iL^iUrNm?FRtRf zGLnIp)=1xhCmAZGvKy}2LTN$3PXda3X0cR0vta!vkFMf4i!^ILnuC#rpOuNUKp;2- z?2(0sy$*F>eX$uiJz8*JAg3S@Gy)a!9pqK4O&yfJgD#<%ye{EGmpX?NCa+2e&B3sM z00>lqKnWM1F4Hx6J+aVY_Wc-HNO)L*00`s}utyf87{9nu3g&q!ViyEJz%v5P@|ndW z@|lI#SMk74PLRGsC3Rdq1A&M@00i;~G|3r0H<9MaYYA(&{sbe7Jl@y^0T7S`?2!e0 z2ebze2qFRa4neFn0w6FM z0u@!+{rXJnnT6~fpt3ue`oTFMP(=b2RM|C}K7}WmRjgVh4FWYxz#dt6t=IvO5kZ90q}!Bv2vWAy;L0pDs&o@_J%IoF#;oQDX%HAW#7UC0u~IOxEP} z1Veu|ir-mCcvyh|2;>p4M;2b|b3Txx{h5~{c0m9HJR<;=UC&S=A`qxE0#M4UvkFCV zKp+?d?2!djc7st}$R!AjB>>-HED!8~00{U(!1f({NehX900;z$0D6ZY)fVy!0)7%e z@8BnGqy+*&B4GCp);m-|$~f{00w7Q^0#Mnl7+ORO0(C*a_8p+ITNky7LWGXMBvf|) z_{{MB)w>5jJxG6^qmAMHSMdIDj``W?>&U$7ge$DySr{?3SMSvmF?B6%Bw|tCPw;-( zW`P9+K%hbdpt4&bu!t4}f=?h{Ww$M+CF4;e->l`BJ4hB&OR5yCGuN!-m?MHCS$SRl zZ#2z3U`pOTc0m9H!a?A?$F1Ihbu_gCf!r3^y_9JV2S}6>1gb%xJeA$YoUVDxcSvo{ z=^dc5TaEffnjlaH0Ujj8g&Y*{XrNQ`<|>vWi^#9@M;1n2PVp*49uVw;K%Ed6Z`R@Uz?TCB009v2ngDtSuZbc)5U3Xd=pE{% zCQ%L$@S1?#J6Nw)c^x_80|5{SBmt=G2C~|aX%O&@fbBa#W!E?2NC*TxBrpk;-CQ|c zca`1Cr&_GX zWEcc|A&`Ee36))6a3c{A@RmS%D!Y!#+LrHdm@@Rz_CmEFn8 z={jigY6D4(Ec~rbqy_>(Az+Uzpt2j33PV0YAeR7Cc5`W98w5ZgO8_dnSr*s=0TA$w zfIYH+%C2w3kq`)YNB}Ck9>PRaAW&BXDx{PLmEF2(B`6FCcuv3`SwLmibFzpK1biS+ zA>RQiyFSz%k^q6q67WP$*C7(9>{hn!5IYD2n1DU9fXZ%w>kwHFF#)LThPd)kbP#YQ z0Hr)vJU9pfAW#_s_Q(P%yOqIxl0T3uk!1f)A9)l4CKp@NntlnW? zm=U1tAOHfz2t?){fv>+50|OfffPg)+fXXhK0tkRWh5%G{GbpeD0w7R?fbBa#Ww!_$ zm_VTB2|#7H=Bo%91c53MfXZ%_z#~}@sC@!Z*{%ILLJmQo{s^SshJwm&{naf>6aoT1 zsO*kFWj6#32}P_&0u@x*H6p*p+fen`W>6*&D4&2mvVh8N`6v+s2>4F`D!cwOM~Wa2 z3<6Nu4Msg7mmpAQ1niLoRCepEUQwKo5P-^VNGck|1c3Lao0DYAL+5P$p>^tn!Wp+$n zJ1A~W-YlcW3Isr)0t6<1UFtG7Ca;|$`D~PXhqq-wtUv$+Kp;!t1Amac15|diNU#M0 z!68slmEA`!Xf=lXfv)S)Z?k z_B*vQ&0)rivV%Zn2~<>N_dh!2tRz%+D_e(%9Rw;wfbZgQA#dhF-pnI>k2lISb6il_ ztx%mIS`Y|60sCzzsO$#6Dp7(k67WZ5mp)Owp8og6TIAPXmdUTbB>1B+<(k7-XDBlW z_(PzgD!WHN!SfFL{3!`i0Ra#Q4uQzrBjl_kRCa??XUHiCWC=iJH_HNBAOHfn1bk81 zRdQ3rHVA+K2#5o>%aH|CcF`6BR{Wer9zu1tZ|9!C;@_mP;@_h$S%y}td7X(1SGXfRz9b{LaO&yfJgD!Jx z^4dvpQ--iUD5cA7lh-ALmVvPX0T8GFff6o2T_$VtdSao)?E5jYknpeq0T9R|V2>=I zvYW>RyC4t<0u@!+{mBW^caS1?Yvo`AD!YMb704tAFoB9H<-NKYBMUS$5CDN<1niN8 zgFvn)=3>dh1_B`91%ZnC4!8a!>pQ4kW(3j{zQumo~dc1HqR3S=AvK%i0tY~KMY zyOjcrctN0&1fa58NxFy|1cFQeD!W0hJ>(q(DoP;1T3CwI!cwIcR!nMPm1&MhEv#D> z;(dpTRvsb;fxr?-KhZQjkkfV0N0xG*`3Lp?f0{*D%(wAS#mD6?5Lh~j}j6$w7OyR|l+61P}lL&j{GhEIg6Zb%?~X zydokH0D(LL@E!7iU>5{Hzz+hp@8CyLNCN~wAW#IX-eF&$@{G)a00{U%ATsv|yh-f? zXCwgv0VH6LETFO*z`8@0L7-9upt4&jvWOQ1>VtsoJ3wW(KB^O?2pa*Y?1rtzQC<)z zl>k(BOC^PHAP_nNWy_!A;CPUYPB9RaB9hOWv{U=S#g091BM1chKA00LeTu-}!0%C47C5f=#fMF1+hevw8xAP_VH zP}vPyjUm4vP!|O3kp)zC>!LPMh|m%6MP)YumEF)aEEKp72vkTZ&r;d_(H#42s5$_J zB7gu0cuBw>SvUyfdSdo6yNC+}Kp>YuC47fVlSxZu_ws*Z-(jCF6Et~k3vo{2ZJk%b zfi&?+3Fk))2u82fT6{?~qVnU<+gvgrB$(y}ofw({b1ab*X{<<9h z;(o2)>K&v~o+YgrWl1cdv&IffWOZ&RZq`aR=avQAAOHeh63D+?$G1ACZE)b|60_i83F1~|9_fopKerTa2AW&-rChj}r4h-C{;te2mrZ%OtQGi#kYd1SHAk%{4WL@3UOa0CQEAn*ht*Z;Ge zcYw-n;Hwe^2ql4Ps_dR^5b}-=T1{TfGnAEvLW6)W1SWr7YF!59(Cy+DXI(q#XBMh2 zrAHzl00LDa@Oi6ukSe=-Ke_n)y_ap}|4(kB|C<+kVy;rjkSqv7Kk!$7 zD}9HkRN1wpHRb$er<~UG#2kVuLJ>irS_HhlTywAa1>c|a$f8;}kS+*-fG-5>x1l_d z({+f%mvSHx5C|oKN~r9{(<-}D2c_?zOR^@fCn&@zPqe->rOR!T*SV2MD^?%?0u>;T zd!^|zev{Xpn8ob-F|v?Mu>t`Q$Rl8nEGDh8`zJYN`iOkO;Sfn)ir57K5b%tEcPhJ2 z6_|B`x>7#M$O&D7P?u*Q5D^G~Kpue-F2J12wcskg zD|>ODmUD66B+c5qV==PG|#J zL+xe|IRgO@s1gCYcktxZDu+m_R5BzB0%0Ja%R-vGcDMj1Z=N=Sk%h#I6$pSp9)XfZ z7KWL3R(e;lx~23k{(=Aq1ebtn{az|tfD?|&@g0;|A}W@e5n9`Bia{GQ#FTBa7cgl@ zqyO>|C+{334(sL}`Tbd)HuyZbW7s?BJqQFA5U3o15-vc4Qs)b|_*Jaa5gCalJ}-DD z`m`|U4=o4xaqm!UdGC`;tRN!y=*QMANRinPT7+ZN4OLUdZ16b^Y@sBrLvlO4vJcU2 zGHuHH8_}|doWkUs9s}AUKEQA znS&Rd^U>pc$nzsTqScU*x+J>A^HiN9%;d)! zCL5WJVwv^NmUe+edIP>tariY-U&S*AD+mOi0DK|$I)pDod|Z5?9rCxf++pG<2!H?xfB*=9 z00`6>fxmu_?F%KNm=|)ZTg(d;rIUU%hG%3&@nnsDwx4XO*aCsj5Wu{UdsSmzi1@h7 z3k@Ec_J!oU5Z;}3YEFLM%kYfMsTOQIo{^0M2!}x+bOhiFxmP)SA>!lW3u#NzzR<;( z7jkP#@P&%f!MspWEQLlt+fQNYuz*0_6M!$|Ud8Z*h>wde^!%^VzR)iCLT*jT&wCl> zh1_a_j$vMC96&e>0-+-SU&y`6;R_KT7hmY2f3JpuSa?o|w5i1@hp zLSK!f=Y`-4xwa%f?`4=5a;*x?3yp&Zhe05O1mFv~S2}zl;^X2A9rpjyzEA@5LT*h7 zzEDv*m=`LFrO@bS`zcHv77(a=0`P_0s~Elz@p18mo>y&OD2aI?*Ouhxy$th0u2q3~ zp>gowFbIT@0DK|$N{25*d|Z5?Z_i853*CcxA-ARkU#KV@%nKF8QfTzE{S>AS3kcLb z0r*1hRSaK<__+B(e@^>CQTRe`P07!D8RmuDYJ!g8cVy!L!eI~y9Rc`4?o|$7i1@hp zLfW@%U#NEhd?B}{1Yf8q9n1?A#ZqYWv;7pN4hsm>JpuSa?o|w5i1@hpLOVWV`$B8s z3%Rx=KksFj7jmr%%nOZ!2Zuo*gaqIVxmP-TA>!lW3tjt-v@diq=7rpv5`3YebTBVe z6icDe&-PQ8IxHYi_XOYzxmPiKA>!lW3oVJ+zECm&U&yT~`FStHypUT>&@sF(G!7sf z27%BKfG^};eX!NuF6s8Uf2-H0Ryf5Tl#du$c z__(|;blCs4eW70XLar^z&wCl(op!AXygNM(9vlXN5E6hdeIfj&-nAw9c`w7f zkZV<7UT7RVI1B_iT6~$6$^t1gGrVa}T)I9%nP|SCHO)` z>0n-{D3(H_pY5kGbyz^4?g_vba<5|eLd3_#7t(gyzL0qjzB}#SlyDRTKmY_l00cmw z4hei}SNa)Q6!SuE_3P)j9?!_!YJ!g8-RW@v;V=k zgxAp!V8?v)N-i1@hpLZAAu?F;qd8JTNKf-h7Q59Wo6VktEG*?tOB ihXn-co&bCy_bP@jM0{L)p^M&U`9fEjLUgw9_WuWOyGR27 diff --git a/tdc_releases/tdc_v0.2/prj_file/trb3_periph.edf b/tdc_releases/tdc_v0.2/prj_file/trb3_periph.edf index f221160..d421a14 100644 --- a/tdc_releases/tdc_v0.2/prj_file/trb3_periph.edf +++ b/tdc_releases/tdc_v0.2/prj_file/trb3_periph.edf @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2012 5 8 14 45 32) + (timeStamp 2012 5 9 15 55 51) (author "Synopsys, Inc.") (program "Synplify Pro" (version "E-2011.03, mapper maprc, Build 388R")) ) @@ -2635,7 +2635,6 @@ (portRef CD (instanceRef FF_7)) (portRef CD (instanceRef FF_8)) (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_15)) (portRef CD (instanceRef FF_16)) (portRef CD (instanceRef FF_17)) (portRef CD (instanceRef FF_18)) @@ -2768,6 +2767,7 @@ (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) )) (net wcnt_sub_0 (joined (portRef S1 (instanceRef wcnt_0)) @@ -3777,7 +3777,6 @@ (portRef CD (instanceRef FF_7)) (portRef CD (instanceRef FF_8)) (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_21)) (portRef CD (instanceRef FF_22)) (portRef CD (instanceRef FF_23)) (portRef CD (instanceRef FF_24)) @@ -3855,15 +3854,6 @@ (portRef NC0 (instanceRef r_ctr_0)) (portRef D (instanceRef FF_20)) )) - (net rcount_0 (joined - (portRef Q (instanceRef FF_20)) - (portRef PC0 (instanceRef r_ctr_0)) - (portRef D (instanceRef FF_10)) - )) - (net ircount_1 (joined - (portRef NC1 (instanceRef r_ctr_0)) - (portRef D (instanceRef FF_19)) - )) (net reset_no_link_1 (joined (portRef reset_no_link_1) (portRef CD (instanceRef FF_0)) @@ -3879,6 +3869,16 @@ (portRef CD (instanceRef FF_18)) (portRef CD (instanceRef FF_19)) (portRef PD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + )) + (net rcount_0 (joined + (portRef Q (instanceRef FF_20)) + (portRef PC0 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_10)) + )) + (net ircount_1 (joined + (portRef NC1 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_19)) )) (net rcount_1 (joined (portRef Q (instanceRef FF_19)) @@ -5316,7 +5316,9 @@ (port (array (rename fifo_data_o "fifo_data_o(18:0)") 19) (direction OUTPUT)) (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(23:21)") 3) (direction INPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(127:116)") 12) (direction INPUT)) - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction INPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction INPUT)) + (port current_output_data_buffer_3 (direction INPUT)) + (port current_output_data_buffer_0 (direction INPUT)) (port (array (rename current_state "CURRENT_STATE(8:8)") 1) (direction INPUT)) (port reset_no_link_3 (direction INPUT)) (port clk_100_i_c (direction INPUT)) @@ -5750,19 +5752,19 @@ (portRef B0 (instanceRef wcnt_0)) )) (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3)) + (portRef current_output_data_buffer_0) (portRef DI0 (instanceRef pdp_ram_0_0_0)) )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1)) (portRef DI1 (instanceRef pdp_ram_0_0_0)) )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0)) (portRef DI2 (instanceRef pdp_ram_0_0_0)) )) (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0)) + (portRef current_output_data_buffer_3) (portRef DI3 (instanceRef pdp_ram_0_0_0)) )) (net MED_IO_DATA_OUT_116 (joined @@ -7672,13 +7674,13 @@ (instance un1_next_buffer_state_2_sqmuxa_1_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -7693,21 +7695,12 @@ (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -7726,13 +7719,22 @@ (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B A))+D A)")) ) (instance COMB_next_READ_OUT_f1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance current_buffer_state_RNIGS2U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_SYN_DATAREADY_OUT_1_RNIGS2U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(B+!A))")) ) (instance current_buffer_state_ns_1_0__m7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -7835,24 +7837,24 @@ (portRef D (instanceRef current_buffer_state_ns_1_0__m7)) (portRef C (instanceRef COMB_next_READ_OUT_f1)) (portRef D (instanceRef move_b2_buffer_i)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) + (portRef C (instanceRef current_b2_buffer_RNO_1)) + (portRef C (instanceRef current_b2_buffer_RNO_2)) (portRef C (instanceRef current_b2_buffer_RNO_4)) (portRef C (instanceRef current_b2_buffer_RNO_5)) (portRef C (instanceRef current_b2_buffer_RNO_6)) (portRef C (instanceRef current_b2_buffer_RNO_7)) (portRef C (instanceRef current_b2_buffer_RNO_8)) (portRef C (instanceRef current_b2_buffer_RNO_9)) - (portRef C (instanceRef current_b2_buffer_RNO_10)) (portRef C (instanceRef current_b2_buffer_RNO_11)) (portRef C (instanceRef current_b2_buffer_RNO_12)) - (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef C (instanceRef current_b2_buffer_RNO_14)) (portRef C (instanceRef current_b2_buffer_RNO_16)) (portRef C (instanceRef current_b2_buffer_RNO_17)) (portRef C (instanceRef current_b2_buffer_RNO_18)) (portRef C (instanceRef current_b2_buffer_RNO_15)) - (portRef C (instanceRef current_b2_buffer_RNO_2)) - (portRef C (instanceRef current_b2_buffer_RNO_1)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) + (portRef C (instanceRef current_b2_buffer_RNO_14)) + (portRef C (instanceRef current_b2_buffer_RNO_13)) + (portRef C (instanceRef current_b2_buffer_RNO_10)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) (net N_140 (joined @@ -8148,20 +8150,20 @@ (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) - (net fifo_data_out_0 (joined - (portRef fifo_data_out_0) - (portRef A (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) + (net fifo_data_out_10 (joined + (portRef fifo_data_out_10) + (portRef A (instanceRef current_b2_buffer_RNO_10)) + (portRef D (instanceRef current_b1_buffer_10)) )) - (net fifo_data_out_1 (joined - (portRef fifo_data_out_1) - (portRef A (instanceRef current_b2_buffer_RNO_1)) - (portRef D (instanceRef current_b1_buffer_1)) + (net fifo_data_out_13 (joined + (portRef fifo_data_out_13) + (portRef A (instanceRef current_b2_buffer_RNO_13)) + (portRef D (instanceRef current_b1_buffer_13)) )) - (net fifo_data_out_2 (joined - (portRef fifo_data_out_2) - (portRef A (instanceRef current_b2_buffer_RNO_2)) - (portRef D (instanceRef current_b1_buffer_2)) + (net fifo_data_out_14 (joined + (portRef fifo_data_out_14) + (portRef A (instanceRef current_b2_buffer_RNO_14)) + (portRef D (instanceRef current_b1_buffer_14)) )) (net fifo_data_out_15 (joined (portRef fifo_data_out_15) @@ -8183,16 +8185,6 @@ (portRef A (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b1_buffer_16)) )) - (net fifo_data_out_14 (joined - (portRef fifo_data_out_14) - (portRef A (instanceRef current_b2_buffer_RNO_14)) - (portRef D (instanceRef current_b1_buffer_14)) - )) - (net fifo_data_out_13 (joined - (portRef fifo_data_out_13) - (portRef A (instanceRef current_b2_buffer_RNO_13)) - (portRef D (instanceRef current_b1_buffer_13)) - )) (net fifo_data_out_12 (joined (portRef fifo_data_out_12) (portRef A (instanceRef current_b2_buffer_RNO_12)) @@ -8203,11 +8195,6 @@ (portRef A (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b1_buffer_11)) )) - (net fifo_data_out_10 (joined - (portRef fifo_data_out_10) - (portRef A (instanceRef current_b2_buffer_RNO_10)) - (portRef D (instanceRef current_b1_buffer_10)) - )) (net fifo_data_out_9 (joined (portRef fifo_data_out_9) (portRef A (instanceRef current_b2_buffer_RNO_9)) @@ -8238,6 +8225,21 @@ (portRef A (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b1_buffer_4)) )) + (net fifo_data_out_2 (joined + (portRef fifo_data_out_2) + (portRef A (instanceRef current_b2_buffer_RNO_2)) + (portRef D (instanceRef current_b1_buffer_2)) + )) + (net fifo_data_out_1 (joined + (portRef fifo_data_out_1) + (portRef A (instanceRef current_b2_buffer_RNO_1)) + (portRef D (instanceRef current_b1_buffer_1)) + )) + (net fifo_data_out_0 (joined + (portRef fifo_data_out_0) + (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) + )) (net current_buffer_state_0 (joined (portRef Q (instanceRef current_buffer_state_0)) (portRef C (instanceRef current_buffer_state_ns_1_0__N_6_i)) @@ -8246,7 +8248,7 @@ (portRef D (instanceRef current_SYN_DATAREADY_OUT_RNO)) (portRef C (instanceRef current_next_READ_OUT_RNO)) (portRef C (instanceRef current_buffer_state_ns_1_0__m7)) - (portRef C (instanceRef current_buffer_state_RNIGS2U_0)) + (portRef C (instanceRef current_SYN_DATAREADY_OUT_1_RNIGS2U)) (portRef C (instanceRef move_b2_buffer_i)) (portRef current_buffer_state_0) )) @@ -8257,7 +8259,7 @@ (portRef C (instanceRef current_SYN_DATAREADY_OUT_RNO)) (portRef A (instanceRef current_next_READ_OUT_RNO)) (portRef A (instanceRef current_buffer_state_ns_1_0__m7)) - (portRef B (instanceRef current_buffer_state_RNIGS2U_0)) + (portRef B (instanceRef current_SYN_DATAREADY_OUT_1_RNIGS2U)) (portRef B (instanceRef COMB_next_READ_OUT_f1)) (portRef A (instanceRef move_b2_buffer_i)) (portRef buf_SYN_READ_INZ0) @@ -8271,13 +8273,13 @@ (net current_SYN_DATAREADY_OUT_1 (joined (portRef A (instanceRef COMB_next_READ_OUT_f0)) (portRef A (instanceRef current_SYN_DATAREADY_OUT_RNO)) - (portRef A (instanceRef current_buffer_state_RNIGS2U_0)) + (portRef A (instanceRef current_SYN_DATAREADY_OUT_1_RNIGS2U)) (portRef A (instanceRef COMB_next_READ_OUT_f1)) (portRef (member buf_to_apl_init_dataready 0)) (portRef Q (instanceRef current_SYN_DATAREADY_OUT)) )) (net un3_current_syn_dataready_out_i (joined - (portRef Z (instanceRef current_buffer_state_RNIGS2U_0)) + (portRef Z (instanceRef current_SYN_DATAREADY_OUT_1_RNIGS2U)) (portRef un3_current_syn_dataready_out_i) )) (net COMB_next_READ_OUT_f1_0 (joined @@ -8551,7 +8553,7 @@ (portRef (member buf_stat_init_buffer 0)) (portRef D (instanceRef AND2_t4_RNO)) )) - (net N_5132_i (joined + (net N_5085_i (joined (portRef Z (instanceRef AND2_t4_RNO)) (portRef A (instanceRef AND2_t4)) )) @@ -9532,9 +9534,10 @@ (port (array (rename buf_to_apl_init_packet_num "buf_to_apl_INIT_PACKET_NUM(5:3)") 3) (direction OUTPUT)) (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(31:16)") 16) (direction OUTPUT)) (port current_buffer_state_0 (direction OUTPUT)) - (port un4_fifo_data_valid (direction INPUT)) (port sbuf_init_free (direction INPUT)) + (port un17_current_fifo_packet_type (direction INPUT)) (port init_word_waiting (direction INPUT)) + (port fifo_data_valid (direction INPUT)) (port comb_next_init_read (direction OUTPUT)) (port un3_current_syn_dataready_out_i (direction OUTPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) @@ -9632,28 +9635,28 @@ (instance un1_next_buffer_state_2_sqmuxa_1_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -9665,16 +9668,13 @@ (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -9686,13 +9686,16 @@ (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B A))+D A)")) ) (instance COMB_next_READ_OUT_f1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance current_SYN_DATAREADY_OUT_1_RNIAS2U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_buffer_state_RNIAS2U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(B+!A))")) ) (instance current_buffer_state_ns_1_0__m7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -9713,12 +9716,15 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance combined_COMB_DATAREADY_IN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C !A))")) - ) (instance current_b2_buffer_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) + (instance combined_COMB_DATAREADY_IN_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B+!A))")) + ) + (instance combined_COMB_DATAREADY_IN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)+C (B+A)))")) + ) (net N_93_0_i (joined (portRef Z (instanceRef current_next_READ_OUT_RNO)) (portRef D (instanceRef current_next_READ_OUT)) @@ -9795,27 +9801,27 @@ (portRef D (instanceRef current_buffer_state_ns_1_0__m7)) (portRef C (instanceRef COMB_next_READ_OUT_f1)) (portRef D (instanceRef move_b2_buffer_i)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) (portRef C (instanceRef current_b2_buffer_RNO_1)) (portRef C (instanceRef current_b2_buffer_RNO_2)) (portRef C (instanceRef current_b2_buffer_RNO_4)) - (portRef C (instanceRef current_b2_buffer_RNO_5)) - (portRef C (instanceRef current_b2_buffer_RNO_6)) - (portRef C (instanceRef current_b2_buffer_RNO_7)) - (portRef C (instanceRef current_b2_buffer_RNO_9)) + (portRef C (instanceRef current_b2_buffer_RNO_8)) + (portRef C (instanceRef current_b2_buffer_RNO_11)) + (portRef C (instanceRef current_b2_buffer_RNO_14)) (portRef C (instanceRef current_b2_buffer_RNO_16)) (portRef C (instanceRef current_b2_buffer_RNO_17)) (portRef C (instanceRef current_b2_buffer_RNO_18)) + (portRef C (instanceRef current_b2_buffer_RNO_5)) + (portRef C (instanceRef current_b2_buffer_RNO_6)) (portRef C (instanceRef current_b2_buffer_RNO_15)) (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - (portRef C (instanceRef current_b2_buffer_RNO_14)) (portRef C (instanceRef current_b2_buffer_RNO_12)) - (portRef C (instanceRef current_b2_buffer_RNO_11)) (portRef C (instanceRef current_b2_buffer_RNO_10)) - (portRef C (instanceRef current_b2_buffer_RNO_8)) + (portRef C (instanceRef current_b2_buffer_RNO_9)) + (portRef C (instanceRef current_b2_buffer_RNO_7)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) - (net N_149 (joined + (net current_b2_buffer_RNO_0 (joined (portRef Z (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b2_buffer_0)) )) @@ -9861,7 +9867,7 @@ (portRef Q (instanceRef current_b2_buffer_2)) (portRef (member buf_to_apl_init_data 13)) )) - (net current_b2_buffer_RNO_3 (joined + (net N_182 (joined (portRef Z (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_3)) )) @@ -9869,7 +9875,7 @@ (portRef Q (instanceRef current_b2_buffer_3)) (portRef (member buf_to_apl_init_data 12)) )) - (net current_b2_buffer_RNO_4 (joined + (net current_b2_buffer_RNO_0_4 (joined (portRef Z (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b2_buffer_4)) )) @@ -9877,7 +9883,7 @@ (portRef Q (instanceRef current_b2_buffer_4)) (portRef (member buf_to_apl_init_data 11)) )) - (net current_b2_buffer_RNO_5 (joined + (net N_204 (joined (portRef Z (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b2_buffer_5)) )) @@ -9885,7 +9891,7 @@ (portRef Q (instanceRef current_b2_buffer_5)) (portRef (member buf_to_apl_init_data 10)) )) - (net current_b2_buffer_RNO_6 (joined + (net N_215 (joined (portRef Z (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b2_buffer_6)) )) @@ -9893,7 +9899,7 @@ (portRef Q (instanceRef current_b2_buffer_6)) (portRef (member buf_to_apl_init_data 9)) )) - (net current_b2_buffer_RNO_7 (joined + (net N_226 (joined (portRef Z (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_b2_buffer_7)) )) @@ -9901,7 +9907,7 @@ (portRef Q (instanceRef current_b2_buffer_7)) (portRef (member buf_to_apl_init_data 8)) )) - (net N_237 (joined + (net current_b2_buffer_RNO_0_8 (joined (portRef Z (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_8)) )) @@ -9909,7 +9915,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member buf_to_apl_init_data 7)) )) - (net current_b2_buffer_RNO_9 (joined + (net N_248 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -9925,7 +9931,7 @@ (portRef Q (instanceRef current_b2_buffer_10)) (portRef (member buf_to_apl_init_data 5)) )) - (net N_270 (joined + (net current_b2_buffer_RNO_0_11 (joined (portRef Z (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_11)) )) @@ -9949,7 +9955,7 @@ (portRef Q (instanceRef current_b2_buffer_13)) (portRef (member buf_to_apl_init_data 2)) )) - (net N_303 (joined + (net current_b2_buffer_RNO_0_14 (joined (portRef Z (instanceRef current_b2_buffer_RNO_14)) (portRef D (instanceRef current_b2_buffer_14)) )) @@ -10108,36 +10114,26 @@ (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) - (net fifo_data_out_8 (joined - (portRef fifo_data_out_8) - (portRef A (instanceRef current_b2_buffer_RNO_8)) - (portRef D (instanceRef current_b1_buffer_8)) + (net fifo_data_out_7 (joined + (portRef fifo_data_out_7) + (portRef A (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b1_buffer_7)) + )) + (net fifo_data_out_9 (joined + (portRef fifo_data_out_9) + (portRef A (instanceRef current_b2_buffer_RNO_9)) + (portRef D (instanceRef current_b1_buffer_9)) )) (net fifo_data_out_10 (joined (portRef fifo_data_out_10) (portRef A (instanceRef current_b2_buffer_RNO_10)) (portRef D (instanceRef current_b1_buffer_10)) )) - (net fifo_data_out_11 (joined - (portRef fifo_data_out_11) - (portRef A (instanceRef current_b2_buffer_RNO_11)) - (portRef D (instanceRef current_b1_buffer_11)) - )) (net fifo_data_out_12 (joined (portRef fifo_data_out_12) (portRef A (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b1_buffer_12)) )) - (net fifo_data_out_14 (joined - (portRef fifo_data_out_14) - (portRef A (instanceRef current_b2_buffer_RNO_14)) - (portRef D (instanceRef current_b1_buffer_14)) - )) - (net fifo_data_out_0 (joined - (portRef fifo_data_out_0) - (portRef A (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) - )) (net fifo_data_out_13 (joined (portRef fifo_data_out_13) (portRef A (instanceRef current_b2_buffer_RNO_13)) @@ -10148,6 +10144,16 @@ (portRef A (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b1_buffer_15)) )) + (net fifo_data_out_6 (joined + (portRef fifo_data_out_6) + (portRef A (instanceRef current_b2_buffer_RNO_6)) + (portRef D (instanceRef current_b1_buffer_6)) + )) + (net fifo_data_out_5 (joined + (portRef fifo_data_out_5) + (portRef A (instanceRef current_b2_buffer_RNO_5)) + (portRef D (instanceRef current_b1_buffer_5)) + )) (net fifo_long_packet_num_out_2 (joined (portRef (member fifo_long_packet_num_out 0)) (portRef A (instanceRef current_b2_buffer_RNO_18)) @@ -10163,25 +10169,20 @@ (portRef A (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b1_buffer_16)) )) - (net fifo_data_out_9 (joined - (portRef fifo_data_out_9) - (portRef A (instanceRef current_b2_buffer_RNO_9)) - (portRef D (instanceRef current_b1_buffer_9)) - )) - (net fifo_data_out_7 (joined - (portRef fifo_data_out_7) - (portRef A (instanceRef current_b2_buffer_RNO_7)) - (portRef D (instanceRef current_b1_buffer_7)) + (net fifo_data_out_14 (joined + (portRef fifo_data_out_14) + (portRef A (instanceRef current_b2_buffer_RNO_14)) + (portRef D (instanceRef current_b1_buffer_14)) )) - (net fifo_data_out_6 (joined - (portRef fifo_data_out_6) - (portRef A (instanceRef current_b2_buffer_RNO_6)) - (portRef D (instanceRef current_b1_buffer_6)) + (net fifo_data_out_11 (joined + (portRef fifo_data_out_11) + (portRef A (instanceRef current_b2_buffer_RNO_11)) + (portRef D (instanceRef current_b1_buffer_11)) )) - (net fifo_data_out_5 (joined - (portRef fifo_data_out_5) - (portRef A (instanceRef current_b2_buffer_RNO_5)) - (portRef D (instanceRef current_b1_buffer_5)) + (net fifo_data_out_8 (joined + (portRef fifo_data_out_8) + (portRef A (instanceRef current_b2_buffer_RNO_8)) + (portRef D (instanceRef current_b1_buffer_8)) )) (net fifo_data_out_4 (joined (portRef fifo_data_out_4) @@ -10198,6 +10199,11 @@ (portRef A (instanceRef current_b2_buffer_RNO_1)) (portRef D (instanceRef current_b1_buffer_1)) )) + (net fifo_data_out_0 (joined + (portRef fifo_data_out_0) + (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) + )) (net current_buffer_state_0 (joined (portRef Q (instanceRef current_buffer_state_0)) (portRef C (instanceRef current_buffer_state_ns_1_0__N_6_i)) @@ -10206,7 +10212,7 @@ (portRef D (instanceRef current_SYN_DATAREADY_OUT_RNO)) (portRef C (instanceRef current_next_READ_OUT_RNO)) (portRef C (instanceRef current_buffer_state_ns_1_0__m7)) - (portRef C (instanceRef current_SYN_DATAREADY_OUT_1_RNIAS2U)) + (portRef C (instanceRef current_buffer_state_RNIAS2U_0)) (portRef C (instanceRef move_b2_buffer_i)) (portRef current_buffer_state_0) )) @@ -10217,7 +10223,7 @@ (portRef C (instanceRef current_SYN_DATAREADY_OUT_RNO)) (portRef A (instanceRef current_next_READ_OUT_RNO)) (portRef A (instanceRef current_buffer_state_ns_1_0__m7)) - (portRef B (instanceRef current_SYN_DATAREADY_OUT_1_RNIAS2U)) + (portRef B (instanceRef current_buffer_state_RNIAS2U_0)) (portRef B (instanceRef COMB_next_READ_OUT_f1)) (portRef A (instanceRef move_b2_buffer_i)) (portRef buf_SYN_READ_INZ0) @@ -10231,13 +10237,13 @@ (net current_SYN_DATAREADY_OUT_1 (joined (portRef A (instanceRef COMB_next_READ_OUT_f0)) (portRef A (instanceRef current_SYN_DATAREADY_OUT_RNO)) - (portRef A (instanceRef current_SYN_DATAREADY_OUT_1_RNIAS2U)) + (portRef A (instanceRef current_buffer_state_RNIAS2U_0)) (portRef A (instanceRef COMB_next_READ_OUT_f1)) (portRef (member buf_api_stat_fifo_to_apl 0)) (portRef Q (instanceRef current_SYN_DATAREADY_OUT)) )) (net un3_current_syn_dataready_out_i (joined - (portRef Z (instanceRef current_SYN_DATAREADY_OUT_1_RNIAS2U)) + (portRef Z (instanceRef current_buffer_state_RNIAS2U_0)) (portRef un3_current_syn_dataready_out_i) )) (net COMB_next_READ_OUT_f1_0 (joined @@ -10249,27 +10255,36 @@ (portRef Z (instanceRef COMB_next_READ_OUT_f0)) (portRef comb_next_init_read) )) + (net tmp_INT_DATA_OUT_3 (joined + (portRef (member tmp_int_data_out 0)) + (portRef C (instanceRef current_b2_buffer_RNO_3)) + (portRef D (instanceRef current_b1_buffer_3)) + )) (net current_fifo_packet_type_3 (joined (portRef (member current_fifo_packet_type 0)) + (portRef A (instanceRef combined_COMB_DATAREADY_IN_1_1)) + )) + (net fifo_data_valid (joined + (portRef fifo_data_valid) + (portRef B (instanceRef combined_COMB_DATAREADY_IN_1_1)) + )) + (net combined_COMB_DATAREADY_IN_1_1 (joined + (portRef Z (instanceRef combined_COMB_DATAREADY_IN_1_1)) + (portRef B (instanceRef combined_COMB_DATAREADY_IN_1)) + )) + (net un17_current_fifo_packet_type (joined + (portRef un17_current_fifo_packet_type) (portRef A (instanceRef combined_COMB_DATAREADY_IN_1)) )) (net init_word_waiting (joined (portRef init_word_waiting) - (portRef B (instanceRef combined_COMB_DATAREADY_IN_1)) + (portRef C (instanceRef combined_COMB_DATAREADY_IN_1)) + (portRef C (instanceRef combined_COMB_DATAREADY_IN_1_1)) )) (net sbuf_init_free (joined (portRef sbuf_init_free) - (portRef C (instanceRef combined_COMB_DATAREADY_IN_1)) - )) - (net un4_fifo_data_valid (joined - (portRef un4_fifo_data_valid) (portRef D (instanceRef combined_COMB_DATAREADY_IN_1)) )) - (net tmp_INT_DATA_OUT_3 (joined - (portRef (member tmp_int_data_out 0)) - (portRef C (instanceRef current_b2_buffer_RNO_3)) - (portRef D (instanceRef current_b1_buffer_3)) - )) ) ) ) @@ -10511,7 +10526,7 @@ (portRef buf_STAT_INIT_BUFFER_0) (portRef D (instanceRef AND2_t4_RNO)) )) - (net N_5142_i (joined + (net N_5095_i (joined (portRef Z (instanceRef AND2_t4_RNO)) (portRef A (instanceRef AND2_t4)) )) @@ -11480,8 +11495,8 @@ (port sbuf_init_free (direction INPUT)) (port init_word_waiting (direction INPUT)) (port comb_next_init_read (direction OUTPUT)) - (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) (port un3_current_syn_dataready_out_i (direction OUTPUT)) + (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) (port buf_SYN_READ_INZ0 (direction INOUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -11576,72 +11591,72 @@ (instance un1_next_buffer_state_2_sqmuxa_1_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B A))+D A)")) ) - (instance current_SYN_DATAREADY_OUT_1_RNI7S2U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(B+!A))")) - ) (instance COMB_next_READ_OUT_f1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) + (instance current_SYN_DATAREADY_OUT_1_RNI7S2U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+!A))")) + ) (instance current_buffer_state_ns_1_0__m7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A))+D (!C (B+!A)))")) ) @@ -11735,25 +11750,25 @@ (portRef D (instanceRef current_buffer_state_ns_1_0__m7)) (portRef C (instanceRef COMB_next_READ_OUT_f1)) (portRef D (instanceRef move_b2_buffer_i)) + (portRef C (instanceRef current_b2_buffer_RNO_4)) + (portRef C (instanceRef current_b2_buffer_RNO_5)) + (portRef C (instanceRef current_b2_buffer_RNO_6)) + (portRef C (instanceRef current_b2_buffer_RNO_7)) + (portRef C (instanceRef current_b2_buffer_RNO_8)) + (portRef C (instanceRef current_b2_buffer_RNO_9)) + (portRef C (instanceRef current_b2_buffer_RNO_10)) + (portRef C (instanceRef current_b2_buffer_RNO_11)) + (portRef C (instanceRef current_b2_buffer_RNO_12)) + (portRef C (instanceRef current_b2_buffer_RNO_13)) + (portRef C (instanceRef current_b2_buffer_RNO_14)) + (portRef C (instanceRef current_b2_buffer_RNO_15)) (portRef C (instanceRef current_b2_buffer_RNO_3)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - (portRef C (instanceRef current_b2_buffer_RNO_1)) (portRef C (instanceRef current_b2_buffer_RNO_2)) - (portRef C (instanceRef current_b2_buffer_RNO_16)) - (portRef C (instanceRef current_b2_buffer_RNO_17)) + (portRef C (instanceRef current_b2_buffer_RNO_1)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) (portRef C (instanceRef current_b2_buffer_RNO_18)) - (portRef C (instanceRef current_b2_buffer_RNO_15)) - (portRef C (instanceRef current_b2_buffer_RNO_14)) - (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef C (instanceRef current_b2_buffer_RNO_12)) - (portRef C (instanceRef current_b2_buffer_RNO_11)) - (portRef C (instanceRef current_b2_buffer_RNO_10)) - (portRef C (instanceRef current_b2_buffer_RNO_9)) - (portRef C (instanceRef current_b2_buffer_RNO_8)) - (portRef C (instanceRef current_b2_buffer_RNO_7)) - (portRef C (instanceRef current_b2_buffer_RNO_6)) - (portRef C (instanceRef current_b2_buffer_RNO_5)) - (portRef C (instanceRef current_b2_buffer_RNO_4)) + (portRef C (instanceRef current_b2_buffer_RNO_17)) + (portRef C (instanceRef current_b2_buffer_RNO_16)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) (net N_193 (joined @@ -11810,7 +11825,7 @@ (portRef Q (instanceRef current_b2_buffer_3)) (portRef (member buf_to_apl_init_data 12)) )) - (net N_237 (joined + (net current_b2_buffer_RNO_4 (joined (portRef Z (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b2_buffer_4)) )) @@ -11818,7 +11833,7 @@ (portRef Q (instanceRef current_b2_buffer_4)) (portRef (member buf_to_apl_init_data 11)) )) - (net N_248 (joined + (net current_b2_buffer_RNO_5 (joined (portRef Z (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b2_buffer_5)) )) @@ -11826,7 +11841,7 @@ (portRef Q (instanceRef current_b2_buffer_5)) (portRef (member buf_to_apl_init_data 10)) )) - (net N_259 (joined + (net current_b2_buffer_RNO_6 (joined (portRef Z (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b2_buffer_6)) )) @@ -11834,7 +11849,7 @@ (portRef Q (instanceRef current_b2_buffer_6)) (portRef (member buf_to_apl_init_data 9)) )) - (net N_270 (joined + (net current_b2_buffer_RNO_7 (joined (portRef Z (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_b2_buffer_7)) )) @@ -11842,7 +11857,7 @@ (portRef Q (instanceRef current_b2_buffer_7)) (portRef (member buf_to_apl_init_data 8)) )) - (net N_281 (joined + (net current_b2_buffer_RNO_8 (joined (portRef Z (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_8)) )) @@ -11850,7 +11865,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member buf_to_apl_init_data 7)) )) - (net N_292 (joined + (net current_b2_buffer_RNO_9 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -11858,7 +11873,7 @@ (portRef Q (instanceRef current_b2_buffer_9)) (portRef (member buf_to_apl_init_data 6)) )) - (net N_303 (joined + (net current_b2_buffer_RNO_10 (joined (portRef Z (instanceRef current_b2_buffer_RNO_10)) (portRef D (instanceRef current_b2_buffer_10)) )) @@ -11866,7 +11881,7 @@ (portRef Q (instanceRef current_b2_buffer_10)) (portRef (member buf_to_apl_init_data 5)) )) - (net N_314 (joined + (net current_b2_buffer_RNO_11 (joined (portRef Z (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_11)) )) @@ -11874,7 +11889,7 @@ (portRef Q (instanceRef current_b2_buffer_11)) (portRef (member buf_to_apl_init_data 4)) )) - (net N_325 (joined + (net current_b2_buffer_RNO_12 (joined (portRef Z (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b2_buffer_12)) )) @@ -11882,7 +11897,7 @@ (portRef Q (instanceRef current_b2_buffer_12)) (portRef (member buf_to_apl_init_data 3)) )) - (net N_336 (joined + (net current_b2_buffer_RNO_13 (joined (portRef Z (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b2_buffer_13)) )) @@ -11890,7 +11905,7 @@ (portRef Q (instanceRef current_b2_buffer_13)) (portRef (member buf_to_apl_init_data 2)) )) - (net N_347 (joined + (net current_b2_buffer_RNO_14 (joined (portRef Z (instanceRef current_b2_buffer_RNO_14)) (portRef D (instanceRef current_b2_buffer_14)) )) @@ -11898,7 +11913,7 @@ (portRef Q (instanceRef current_b2_buffer_14)) (portRef (member buf_to_apl_init_data 1)) )) - (net N_358 (joined + (net current_b2_buffer_RNO_15 (joined (portRef Z (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b2_buffer_15)) )) @@ -12049,100 +12064,100 @@ (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) - (net fifo_data_out_4 (joined - (portRef (member fifo_data_out 11)) - (portRef A (instanceRef current_b2_buffer_RNO_4)) - (portRef D (instanceRef current_b1_buffer_4)) + (net fifo_long_packet_num_out_0 (joined + (portRef (member fifo_long_packet_num_out 2)) + (portRef A (instanceRef current_b2_buffer_RNO_16)) + (portRef D (instanceRef current_b1_buffer_16)) )) - (net fifo_data_out_5 (joined - (portRef (member fifo_data_out 10)) - (portRef A (instanceRef current_b2_buffer_RNO_5)) - (portRef D (instanceRef current_b1_buffer_5)) + (net fifo_long_packet_num_out_1 (joined + (portRef (member fifo_long_packet_num_out 1)) + (portRef A (instanceRef current_b2_buffer_RNO_17)) + (portRef D (instanceRef current_b1_buffer_17)) )) - (net fifo_data_out_6 (joined - (portRef (member fifo_data_out 9)) - (portRef A (instanceRef current_b2_buffer_RNO_6)) - (portRef D (instanceRef current_b1_buffer_6)) + (net fifo_long_packet_num_out_2 (joined + (portRef (member fifo_long_packet_num_out 0)) + (portRef A (instanceRef current_b2_buffer_RNO_18)) + (portRef D (instanceRef current_b1_buffer_18)) )) - (net fifo_data_out_7 (joined - (portRef (member fifo_data_out 8)) - (portRef A (instanceRef current_b2_buffer_RNO_7)) - (portRef D (instanceRef current_b1_buffer_7)) + (net fifo_data_out_0 (joined + (portRef (member fifo_data_out 15)) + (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) )) - (net fifo_data_out_8 (joined - (portRef (member fifo_data_out 7)) - (portRef A (instanceRef current_b2_buffer_RNO_8)) - (portRef D (instanceRef current_b1_buffer_8)) + (net fifo_data_out_1 (joined + (portRef (member fifo_data_out 14)) + (portRef A (instanceRef current_b2_buffer_RNO_1)) + (portRef D (instanceRef current_b1_buffer_1)) )) - (net fifo_data_out_9 (joined - (portRef (member fifo_data_out 6)) - (portRef A (instanceRef current_b2_buffer_RNO_9)) - (portRef D (instanceRef current_b1_buffer_9)) + (net fifo_data_out_2 (joined + (portRef (member fifo_data_out 13)) + (portRef A (instanceRef current_b2_buffer_RNO_2)) + (portRef D (instanceRef current_b1_buffer_2)) )) - (net fifo_data_out_10 (joined - (portRef (member fifo_data_out 5)) - (portRef A (instanceRef current_b2_buffer_RNO_10)) - (portRef D (instanceRef current_b1_buffer_10)) + (net fifo_data_out_3 (joined + (portRef (member fifo_data_out 12)) + (portRef A (instanceRef current_b2_buffer_RNO_3)) + (portRef D (instanceRef current_b1_buffer_3)) )) - (net fifo_data_out_11 (joined - (portRef (member fifo_data_out 4)) - (portRef A (instanceRef current_b2_buffer_RNO_11)) - (portRef D (instanceRef current_b1_buffer_11)) + (net fifo_data_out_15 (joined + (portRef (member fifo_data_out 0)) + (portRef A (instanceRef current_b2_buffer_RNO_15)) + (portRef D (instanceRef current_b1_buffer_15)) )) - (net fifo_data_out_12 (joined - (portRef (member fifo_data_out 3)) - (portRef A (instanceRef current_b2_buffer_RNO_12)) - (portRef D (instanceRef current_b1_buffer_12)) + (net fifo_data_out_14 (joined + (portRef (member fifo_data_out 1)) + (portRef A (instanceRef current_b2_buffer_RNO_14)) + (portRef D (instanceRef current_b1_buffer_14)) )) (net fifo_data_out_13 (joined (portRef (member fifo_data_out 2)) (portRef A (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b1_buffer_13)) )) - (net fifo_data_out_14 (joined - (portRef (member fifo_data_out 1)) - (portRef A (instanceRef current_b2_buffer_RNO_14)) - (portRef D (instanceRef current_b1_buffer_14)) + (net fifo_data_out_12 (joined + (portRef (member fifo_data_out 3)) + (portRef A (instanceRef current_b2_buffer_RNO_12)) + (portRef D (instanceRef current_b1_buffer_12)) )) - (net fifo_data_out_15 (joined - (portRef (member fifo_data_out 0)) - (portRef A (instanceRef current_b2_buffer_RNO_15)) - (portRef D (instanceRef current_b1_buffer_15)) + (net fifo_data_out_11 (joined + (portRef (member fifo_data_out 4)) + (portRef A (instanceRef current_b2_buffer_RNO_11)) + (portRef D (instanceRef current_b1_buffer_11)) )) - (net fifo_long_packet_num_out_2 (joined - (portRef (member fifo_long_packet_num_out 0)) - (portRef A (instanceRef current_b2_buffer_RNO_18)) - (portRef D (instanceRef current_b1_buffer_18)) + (net fifo_data_out_10 (joined + (portRef (member fifo_data_out 5)) + (portRef A (instanceRef current_b2_buffer_RNO_10)) + (portRef D (instanceRef current_b1_buffer_10)) )) - (net fifo_long_packet_num_out_1 (joined - (portRef (member fifo_long_packet_num_out 1)) - (portRef A (instanceRef current_b2_buffer_RNO_17)) - (portRef D (instanceRef current_b1_buffer_17)) + (net fifo_data_out_9 (joined + (portRef (member fifo_data_out 6)) + (portRef A (instanceRef current_b2_buffer_RNO_9)) + (portRef D (instanceRef current_b1_buffer_9)) )) - (net fifo_long_packet_num_out_0 (joined - (portRef (member fifo_long_packet_num_out 2)) - (portRef A (instanceRef current_b2_buffer_RNO_16)) - (portRef D (instanceRef current_b1_buffer_16)) + (net fifo_data_out_8 (joined + (portRef (member fifo_data_out 7)) + (portRef A (instanceRef current_b2_buffer_RNO_8)) + (portRef D (instanceRef current_b1_buffer_8)) )) - (net fifo_data_out_2 (joined - (portRef (member fifo_data_out 13)) - (portRef A (instanceRef current_b2_buffer_RNO_2)) - (portRef D (instanceRef current_b1_buffer_2)) + (net fifo_data_out_7 (joined + (portRef (member fifo_data_out 8)) + (portRef A (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b1_buffer_7)) )) - (net fifo_data_out_1 (joined - (portRef (member fifo_data_out 14)) - (portRef A (instanceRef current_b2_buffer_RNO_1)) - (portRef D (instanceRef current_b1_buffer_1)) + (net fifo_data_out_6 (joined + (portRef (member fifo_data_out 9)) + (portRef A (instanceRef current_b2_buffer_RNO_6)) + (portRef D (instanceRef current_b1_buffer_6)) )) - (net fifo_data_out_0 (joined - (portRef (member fifo_data_out 15)) - (portRef A (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) + (net fifo_data_out_5 (joined + (portRef (member fifo_data_out 10)) + (portRef A (instanceRef current_b2_buffer_RNO_5)) + (portRef D (instanceRef current_b1_buffer_5)) )) - (net fifo_data_out_3 (joined - (portRef (member fifo_data_out 12)) - (portRef A (instanceRef current_b2_buffer_RNO_3)) - (portRef D (instanceRef current_b1_buffer_3)) + (net fifo_data_out_4 (joined + (portRef (member fifo_data_out 11)) + (portRef A (instanceRef current_b2_buffer_RNO_4)) + (portRef D (instanceRef current_b1_buffer_4)) )) (net current_buffer_state_0 (joined (portRef Q (instanceRef current_buffer_state_0)) @@ -12164,28 +12179,28 @@ (portRef C (instanceRef current_SYN_DATAREADY_OUT_RNO)) (portRef A (instanceRef current_next_READ_OUT_RNO)) (portRef A (instanceRef current_buffer_state_ns_1_0__m7)) - (portRef B (instanceRef COMB_next_READ_OUT_f1)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_1_RNI7S2U)) + (portRef B (instanceRef COMB_next_READ_OUT_f1)) (portRef A (instanceRef move_b2_buffer_i)) (portRef VCC) )) - (net un3_current_syn_dataready_out_i (joined - (portRef Z (instanceRef current_SYN_DATAREADY_OUT_1_RNI7S2U)) - (portRef un3_current_syn_dataready_out_i) - )) + (net current_next_READ_OUT_1 (joined + (portRef D (instanceRef COMB_next_READ_OUT_f1)) + (portRef Q (instanceRef current_next_READ_OUT)) + ) + ) (net current_SYN_DATAREADY_OUT_1 (joined (portRef A (instanceRef COMB_next_READ_OUT_f0)) (portRef A (instanceRef current_SYN_DATAREADY_OUT_RNO)) - (portRef A (instanceRef COMB_next_READ_OUT_f1)) (portRef A (instanceRef current_SYN_DATAREADY_OUT_1_RNI7S2U)) + (portRef A (instanceRef COMB_next_READ_OUT_f1)) (portRef (member buf_to_apl_init_dataready 0)) (portRef Q (instanceRef current_SYN_DATAREADY_OUT)) )) - (net current_next_READ_OUT_1 (joined - (portRef D (instanceRef COMB_next_READ_OUT_f1)) - (portRef Q (instanceRef current_next_READ_OUT)) - ) - ) + (net un3_current_syn_dataready_out_i (joined + (portRef Z (instanceRef current_SYN_DATAREADY_OUT_1_RNI7S2U)) + (portRef un3_current_syn_dataready_out_i) + )) (net COMB_next_READ_OUT_f1_0 (joined (portRef Z (instanceRef COMB_next_READ_OUT_f1)) (portRef B (instanceRef COMB_next_READ_OUT_f0)) @@ -12879,7 +12894,6 @@ )) (net reset_no_link_4 (joined (portRef reset_no_link_4) - (portRef CD (instanceRef FF_9)) (portRef RSTB (instanceRef pdp_ram_0_0_0)) (portRef RSTA (instanceRef pdp_ram_0_0_0)) )) @@ -13032,6 +13046,7 @@ (portRef CD (instanceRef FF_6)) (portRef CD (instanceRef FF_7)) (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) (portRef CD (instanceRef FF_10)) (portRef CD (instanceRef FF_11)) (portRef CD (instanceRef FF_13)) @@ -13419,7 +13434,7 @@ (port (array (rename length_buffer_data_in "length_buffer_data_in(17:0)") 18) (direction INPUT)) (port (array (rename length_buffer_empty "length_buffer_empty(0:0)") 1) (direction OUTPUT)) (port (array (rename length_buffer_full "length_buffer_full(0:0)") 1) (direction OUTPUT)) - (port reset_ipu_i_0_i_2 (direction INPUT)) + (port reset_ipu_i_0_i_1_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -14335,8 +14350,8 @@ (portRef NC0 (instanceRef bdcnt_bctr_0)) (portRef D (instanceRef FF_62)) )) - (net reset_ipu_i_0_i_2 (joined - (portRef reset_ipu_i_0_i_2) + (net reset_ipu_i_0_i_1_2 (joined + (portRef reset_ipu_i_0_i_1_2) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -15036,7 +15051,7 @@ (port (array (rename trg_code_i "trg_code_i(7:0)") 8) (direction INPUT)) (port (array (rename trg_int_number_i "trg_int_number_i(15:0)") 16) (direction INPUT)) (port header_buffer_almost_full (direction OUTPUT)) - (port reset_ipu_i_0_i (direction INPUT)) + (port reset_ipu_i_0_i_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port tmg_trg_error_i (direction INPUT)) @@ -16006,8 +16021,8 @@ (portRef NC0 (instanceRef bdcnt_bctr_0)) (portRef D (instanceRef FF_62)) )) - (net reset_ipu_i_0_i (joined - (portRef reset_ipu_i_0_i) + (net reset_ipu_i_0_i_1 (joined + (portRef reset_ipu_i_0_i_1) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -16699,20 +16714,16 @@ (port m177_2_03_2_i_4 (direction OUTPUT)) (port m176_2_03_2_i_4 (direction OUTPUT)) (port fee_almost_full_i (direction OUTPUT)) - (port reset_ipu_i_0_i (direction INPUT)) - (port reset_ipu_i_0_i_2 (direction INPUT)) (port reset_ipu_i_0_i_1 (direction INPUT)) + (port reset_ipu_i_0_i_1_2 (direction INPUT)) + (port reset_ipu_i_0_i_1_1 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port m129_2_03_4_i_4 (direction INPUT)) (port GND (direction INPUT)) - (port data_counter_0 (direction INPUT)) - (port fee_data_write_i (direction INPUT)) + (port m129_2_03_4_i_4 (direction INPUT)) + (port N_277 (direction INPUT)) ) (contents - (instance AND2_t5_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) (instance AND2_t5 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) ) (instance INV_5 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) @@ -17402,13 +17413,220 @@ (instance e_cmp_2 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_1 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_0 (viewRef syn_black_box (cellRef ALEB2)) ) - (net fee_data_write_i (joined - (portRef fee_data_write_i) - (portRef A (instanceRef AND2_t5_RNO)) + (net N_277 (joined + (portRef N_277) + (portRef A (instanceRef AND2_t5)) )) - (net data_counter_0 (joined - (portRef data_counter_0) - (portRef B (instanceRef AND2_t5_RNO)) + (net invout_2 (joined + (portRef Z (instanceRef INV_5)) + (portRef B (instanceRef AND2_t5)) + )) + (net wren_i (joined + (portRef Z (instanceRef AND2_t5)) + (portRef B1 (instanceRef g_cmp_0)) + (portRef B0 (instanceRef g_cmp_0)) + (portRef B1 (instanceRef g_cmp_1)) + (portRef B0 (instanceRef g_cmp_1)) + (portRef B1 (instanceRef g_cmp_2)) + (portRef B0 (instanceRef g_cmp_2)) + (portRef B1 (instanceRef g_cmp_3)) + (portRef B0 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef g_cmp_4)) + (portRef B0 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef g_cmp_5)) + (portRef B0 (instanceRef g_cmp_5)) + (portRef B0 (instanceRef g_cmp_6)) + (portRef B1 (instanceRef af_set_cmp_ci_a)) + (portRef A1 (instanceRef af_set_cmp_ci_a)) + (portRef SP (instanceRef FF_34)) + (portRef SP (instanceRef FF_35)) + (portRef SP (instanceRef FF_36)) + (portRef SP (instanceRef FF_37)) + (portRef SP (instanceRef FF_38)) + (portRef SP (instanceRef FF_39)) + (portRef SP (instanceRef FF_40)) + (portRef SP (instanceRef FF_41)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_61)) + (portRef SP (instanceRef FF_62)) + (portRef SP (instanceRef FF_63)) + (portRef SP (instanceRef FF_64)) + (portRef SP (instanceRef FF_65)) + (portRef SP (instanceRef FF_66)) + (portRef SP (instanceRef FF_67)) + (portRef SP (instanceRef FF_68)) + (portRef SP (instanceRef FF_69)) + (portRef SP (instanceRef FF_70)) + (portRef SP (instanceRef FF_71)) + (portRef SP (instanceRef FF_72)) + (portRef SP (instanceRef FF_73)) + (portRef SP (instanceRef FF_74)) + (portRef OCEA (instanceRef pdp_ram_3_3_0)) + (portRef CEA (instanceRef pdp_ram_3_3_0)) + (portRef OCEA (instanceRef pdp_ram_3_2_1)) + (portRef CEA (instanceRef pdp_ram_3_2_1)) + (portRef OCEA (instanceRef pdp_ram_3_1_2)) + (portRef CEA (instanceRef pdp_ram_3_1_2)) + (portRef OCEA (instanceRef pdp_ram_3_0_3)) + (portRef CEA (instanceRef pdp_ram_3_0_3)) + (portRef OCEA (instanceRef pdp_ram_2_3_4)) + (portRef CEA (instanceRef pdp_ram_2_3_4)) + (portRef OCEA (instanceRef pdp_ram_2_2_5)) + (portRef CEA (instanceRef pdp_ram_2_2_5)) + (portRef OCEA (instanceRef pdp_ram_2_1_6)) + (portRef CEA (instanceRef pdp_ram_2_1_6)) + (portRef OCEA (instanceRef pdp_ram_2_0_7)) + (portRef CEA (instanceRef pdp_ram_2_0_7)) + (portRef OCEA (instanceRef pdp_ram_1_3_8)) + (portRef CEA (instanceRef pdp_ram_1_3_8)) + (portRef OCEA (instanceRef pdp_ram_1_2_9)) + (portRef CEA (instanceRef pdp_ram_1_2_9)) + (portRef OCEA (instanceRef pdp_ram_1_1_10)) + (portRef CEA (instanceRef pdp_ram_1_1_10)) + (portRef OCEA (instanceRef pdp_ram_1_0_11)) + (portRef CEA (instanceRef pdp_ram_1_0_11)) + (portRef OCEA (instanceRef pdp_ram_0_3_12)) + (portRef CEA (instanceRef pdp_ram_0_3_12)) + (portRef OCEA (instanceRef pdp_ram_0_2_13)) + (portRef CEA (instanceRef pdp_ram_0_2_13)) + (portRef OCEA (instanceRef pdp_ram_0_1_14)) + (portRef CEA (instanceRef pdp_ram_0_1_14)) + (portRef OCEA (instanceRef pdp_ram_0_0_15)) + (portRef CEA (instanceRef pdp_ram_0_0_15)) + (portRef AD1 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_2)) + (portRef A (instanceRef XOR2_t2)) + (portRef A (instanceRef AND2_t3)) + )) + (net m129_2_03_4_i_4 (joined + (portRef m129_2_03_4_i_4) + (portRef A (instanceRef AND2_t4)) + )) + (net invout_1 (joined + (portRef Z (instanceRef INV_4)) + (portRef B (instanceRef AND2_t4)) + )) + (net rden_i (joined + (portRef Z (instanceRef AND2_t4)) + (portRef B0 (instanceRef e_cmp_0)) + (portRef SP (instanceRef FF_15)) + (portRef SP (instanceRef FF_16)) + (portRef SP (instanceRef FF_17)) + (portRef SP (instanceRef FF_18)) + (portRef SP (instanceRef FF_19)) + (portRef SP (instanceRef FF_20)) + (portRef SP (instanceRef FF_21)) + (portRef SP (instanceRef FF_22)) + (portRef SP (instanceRef FF_23)) + (portRef SP (instanceRef FF_24)) + (portRef SP (instanceRef FF_25)) + (portRef SP (instanceRef FF_26)) + (portRef SP (instanceRef FF_27)) + (portRef SP (instanceRef FF_28)) + (portRef SP (instanceRef FF_29)) + (portRef SP (instanceRef FF_30)) + (portRef SP (instanceRef FF_31)) + (portRef SP (instanceRef FF_32)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_51)) + (portRef SP (instanceRef FF_52)) + (portRef SP (instanceRef FF_53)) + (portRef SP (instanceRef FF_54)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_57)) + (portRef SP (instanceRef FF_58)) + (portRef SP (instanceRef FF_59)) + (portRef SP (instanceRef FF_60)) + (portRef CEB (instanceRef pdp_ram_3_3_0)) + (portRef CEB (instanceRef pdp_ram_3_2_1)) + (portRef CEB (instanceRef pdp_ram_3_1_2)) + (portRef CEB (instanceRef pdp_ram_3_0_3)) + (portRef CEB (instanceRef pdp_ram_2_3_4)) + (portRef CEB (instanceRef pdp_ram_2_2_5)) + (portRef CEB (instanceRef pdp_ram_2_1_6)) + (portRef CEB (instanceRef pdp_ram_2_0_7)) + (portRef CEB (instanceRef pdp_ram_1_3_8)) + (portRef CEB (instanceRef pdp_ram_1_2_9)) + (portRef CEB (instanceRef pdp_ram_1_1_10)) + (portRef CEB (instanceRef pdp_ram_1_0_11)) + (portRef CEB (instanceRef pdp_ram_0_3_12)) + (portRef CEB (instanceRef pdp_ram_0_2_13)) + (portRef CEB (instanceRef pdp_ram_0_1_14)) + (portRef CEB (instanceRef pdp_ram_0_0_15)) + (portRef AD1 (instanceRef LUT4_0)) + (portRef A (instanceRef INV_3)) + (portRef B (instanceRef XOR2_t2)) + )) + (net rden_i_inv (joined + (portRef Z (instanceRef INV_3)) + (portRef B (instanceRef AND2_t3)) + )) + (net cnt_con (joined + (portRef Z (instanceRef AND2_t3)) + (portRef A0 (instanceRef wcnt_0)) + (portRef CON (instanceRef bdcnt_bctr_6)) + (portRef CON (instanceRef bdcnt_bctr_5)) + (portRef CON (instanceRef bdcnt_bctr_4)) + (portRef CON (instanceRef bdcnt_bctr_3)) + (portRef CON (instanceRef bdcnt_bctr_2)) + (portRef CON (instanceRef bdcnt_bctr_1)) + (portRef CON (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_cia)) + (portRef A (instanceRef INV_0)) + )) + (net fcnt_en (joined + (portRef Z (instanceRef XOR2_t2)) + (portRef SP (instanceRef FF_77)) + (portRef SP (instanceRef FF_78)) + (portRef SP (instanceRef FF_79)) + (portRef SP (instanceRef FF_80)) + (portRef SP (instanceRef FF_81)) + (portRef SP (instanceRef FF_82)) + (portRef SP (instanceRef FF_83)) + (portRef SP (instanceRef FF_84)) + (portRef SP (instanceRef FF_85)) + (portRef SP (instanceRef FF_86)) + (portRef SP (instanceRef FF_87)) + (portRef SP (instanceRef FF_88)) + (portRef SP (instanceRef FF_89)) + (portRef SP (instanceRef FF_90)) + )) + (net wren_i_inv (joined + (portRef Z (instanceRef INV_2)) + (portRef B1 (instanceRef g_cmp_6)) + )) + (net ipu_data_empty_0 (joined + (portRef Q (instanceRef FF_76)) + (portRef AD0 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_4)) + (portRef (member ipu_data_empty 0)) + )) + (net cmp_le_1 (joined + (portRef S0 (instanceRef a0)) + (portRef AD2 (instanceRef LUT4_1)) + )) + (net empty_d (joined + (portRef DO0 (instanceRef LUT4_1)) + (portRef D (instanceRef FF_76)) + )) + (net data_buffer_full_0 (joined + (portRef Q (instanceRef FF_75)) + (portRef AD0 (instanceRef LUT4_0)) + (portRef A (instanceRef INV_5)) + (portRef (member data_buffer_full 0)) + )) + (net cmp_ge_d1 (joined + (portRef S0 (instanceRef a1)) + (portRef AD2 (instanceRef LUT4_0)) )) (net GND (joined (portRef GND) @@ -18046,221 +18264,6 @@ (portRef AD3 (instanceRef LUT4_0)) (portRef AD3 (instanceRef LUT4_1)) )) - (net m128_0_03_1_1_i (joined - (portRef Z (instanceRef AND2_t5_RNO)) - (portRef A (instanceRef AND2_t5)) - )) - (net invout_2 (joined - (portRef Z (instanceRef INV_5)) - (portRef B (instanceRef AND2_t5)) - )) - (net wren_i (joined - (portRef Z (instanceRef AND2_t5)) - (portRef B1 (instanceRef g_cmp_0)) - (portRef B0 (instanceRef g_cmp_0)) - (portRef B1 (instanceRef g_cmp_1)) - (portRef B0 (instanceRef g_cmp_1)) - (portRef B1 (instanceRef g_cmp_2)) - (portRef B0 (instanceRef g_cmp_2)) - (portRef B1 (instanceRef g_cmp_3)) - (portRef B0 (instanceRef g_cmp_3)) - (portRef B1 (instanceRef g_cmp_4)) - (portRef B0 (instanceRef g_cmp_4)) - (portRef B1 (instanceRef g_cmp_5)) - (portRef B0 (instanceRef g_cmp_5)) - (portRef B0 (instanceRef g_cmp_6)) - (portRef B1 (instanceRef af_set_cmp_ci_a)) - (portRef A1 (instanceRef af_set_cmp_ci_a)) - (portRef SP (instanceRef FF_34)) - (portRef SP (instanceRef FF_35)) - (portRef SP (instanceRef FF_36)) - (portRef SP (instanceRef FF_37)) - (portRef SP (instanceRef FF_38)) - (portRef SP (instanceRef FF_39)) - (portRef SP (instanceRef FF_40)) - (portRef SP (instanceRef FF_41)) - (portRef SP (instanceRef FF_42)) - (portRef SP (instanceRef FF_43)) - (portRef SP (instanceRef FF_44)) - (portRef SP (instanceRef FF_45)) - (portRef SP (instanceRef FF_46)) - (portRef SP (instanceRef FF_61)) - (portRef SP (instanceRef FF_62)) - (portRef SP (instanceRef FF_63)) - (portRef SP (instanceRef FF_64)) - (portRef SP (instanceRef FF_65)) - (portRef SP (instanceRef FF_66)) - (portRef SP (instanceRef FF_67)) - (portRef SP (instanceRef FF_68)) - (portRef SP (instanceRef FF_69)) - (portRef SP (instanceRef FF_70)) - (portRef SP (instanceRef FF_71)) - (portRef SP (instanceRef FF_72)) - (portRef SP (instanceRef FF_73)) - (portRef SP (instanceRef FF_74)) - (portRef OCEA (instanceRef pdp_ram_3_3_0)) - (portRef CEA (instanceRef pdp_ram_3_3_0)) - (portRef OCEA (instanceRef pdp_ram_3_2_1)) - (portRef CEA (instanceRef pdp_ram_3_2_1)) - (portRef OCEA (instanceRef pdp_ram_3_1_2)) - (portRef CEA (instanceRef pdp_ram_3_1_2)) - (portRef OCEA (instanceRef pdp_ram_3_0_3)) - (portRef CEA (instanceRef pdp_ram_3_0_3)) - (portRef OCEA (instanceRef pdp_ram_2_3_4)) - (portRef CEA (instanceRef pdp_ram_2_3_4)) - (portRef OCEA (instanceRef pdp_ram_2_2_5)) - (portRef CEA (instanceRef pdp_ram_2_2_5)) - (portRef OCEA (instanceRef pdp_ram_2_1_6)) - (portRef CEA (instanceRef pdp_ram_2_1_6)) - (portRef OCEA (instanceRef pdp_ram_2_0_7)) - (portRef CEA (instanceRef pdp_ram_2_0_7)) - (portRef OCEA (instanceRef pdp_ram_1_3_8)) - (portRef CEA (instanceRef pdp_ram_1_3_8)) - (portRef OCEA (instanceRef pdp_ram_1_2_9)) - (portRef CEA (instanceRef pdp_ram_1_2_9)) - (portRef OCEA (instanceRef pdp_ram_1_1_10)) - (portRef CEA (instanceRef pdp_ram_1_1_10)) - (portRef OCEA (instanceRef pdp_ram_1_0_11)) - (portRef CEA (instanceRef pdp_ram_1_0_11)) - (portRef OCEA (instanceRef pdp_ram_0_3_12)) - (portRef CEA (instanceRef pdp_ram_0_3_12)) - (portRef OCEA (instanceRef pdp_ram_0_2_13)) - (portRef CEA (instanceRef pdp_ram_0_2_13)) - (portRef OCEA (instanceRef pdp_ram_0_1_14)) - (portRef CEA (instanceRef pdp_ram_0_1_14)) - (portRef OCEA (instanceRef pdp_ram_0_0_15)) - (portRef CEA (instanceRef pdp_ram_0_0_15)) - (portRef AD1 (instanceRef LUT4_1)) - (portRef A (instanceRef INV_2)) - (portRef A (instanceRef XOR2_t2)) - (portRef A (instanceRef AND2_t3)) - )) - (net m129_2_03_4_i_4 (joined - (portRef m129_2_03_4_i_4) - (portRef A (instanceRef AND2_t4)) - )) - (net invout_1 (joined - (portRef Z (instanceRef INV_4)) - (portRef B (instanceRef AND2_t4)) - )) - (net rden_i (joined - (portRef Z (instanceRef AND2_t4)) - (portRef B0 (instanceRef e_cmp_0)) - (portRef SP (instanceRef FF_15)) - (portRef SP (instanceRef FF_16)) - (portRef SP (instanceRef FF_17)) - (portRef SP (instanceRef FF_18)) - (portRef SP (instanceRef FF_19)) - (portRef SP (instanceRef FF_20)) - (portRef SP (instanceRef FF_21)) - (portRef SP (instanceRef FF_22)) - (portRef SP (instanceRef FF_23)) - (portRef SP (instanceRef FF_24)) - (portRef SP (instanceRef FF_25)) - (portRef SP (instanceRef FF_26)) - (portRef SP (instanceRef FF_27)) - (portRef SP (instanceRef FF_28)) - (portRef SP (instanceRef FF_29)) - (portRef SP (instanceRef FF_30)) - (portRef SP (instanceRef FF_31)) - (portRef SP (instanceRef FF_32)) - (portRef SP (instanceRef FF_47)) - (portRef SP (instanceRef FF_48)) - (portRef SP (instanceRef FF_49)) - (portRef SP (instanceRef FF_50)) - (portRef SP (instanceRef FF_51)) - (portRef SP (instanceRef FF_52)) - (portRef SP (instanceRef FF_53)) - (portRef SP (instanceRef FF_54)) - (portRef SP (instanceRef FF_55)) - (portRef SP (instanceRef FF_56)) - (portRef SP (instanceRef FF_57)) - (portRef SP (instanceRef FF_58)) - (portRef SP (instanceRef FF_59)) - (portRef SP (instanceRef FF_60)) - (portRef CEB (instanceRef pdp_ram_3_3_0)) - (portRef CEB (instanceRef pdp_ram_3_2_1)) - (portRef CEB (instanceRef pdp_ram_3_1_2)) - (portRef CEB (instanceRef pdp_ram_3_0_3)) - (portRef CEB (instanceRef pdp_ram_2_3_4)) - (portRef CEB (instanceRef pdp_ram_2_2_5)) - (portRef CEB (instanceRef pdp_ram_2_1_6)) - (portRef CEB (instanceRef pdp_ram_2_0_7)) - (portRef CEB (instanceRef pdp_ram_1_3_8)) - (portRef CEB (instanceRef pdp_ram_1_2_9)) - (portRef CEB (instanceRef pdp_ram_1_1_10)) - (portRef CEB (instanceRef pdp_ram_1_0_11)) - (portRef CEB (instanceRef pdp_ram_0_3_12)) - (portRef CEB (instanceRef pdp_ram_0_2_13)) - (portRef CEB (instanceRef pdp_ram_0_1_14)) - (portRef CEB (instanceRef pdp_ram_0_0_15)) - (portRef AD1 (instanceRef LUT4_0)) - (portRef A (instanceRef INV_3)) - (portRef B (instanceRef XOR2_t2)) - )) - (net rden_i_inv (joined - (portRef Z (instanceRef INV_3)) - (portRef B (instanceRef AND2_t3)) - )) - (net cnt_con (joined - (portRef Z (instanceRef AND2_t3)) - (portRef A0 (instanceRef wcnt_0)) - (portRef CON (instanceRef bdcnt_bctr_6)) - (portRef CON (instanceRef bdcnt_bctr_5)) - (portRef CON (instanceRef bdcnt_bctr_4)) - (portRef CON (instanceRef bdcnt_bctr_3)) - (portRef CON (instanceRef bdcnt_bctr_2)) - (portRef CON (instanceRef bdcnt_bctr_1)) - (portRef CON (instanceRef bdcnt_bctr_0)) - (portRef B1 (instanceRef bdcnt_bctr_cia)) - (portRef A1 (instanceRef bdcnt_bctr_cia)) - (portRef A (instanceRef INV_0)) - )) - (net fcnt_en (joined - (portRef Z (instanceRef XOR2_t2)) - (portRef SP (instanceRef FF_77)) - (portRef SP (instanceRef FF_78)) - (portRef SP (instanceRef FF_79)) - (portRef SP (instanceRef FF_80)) - (portRef SP (instanceRef FF_81)) - (portRef SP (instanceRef FF_82)) - (portRef SP (instanceRef FF_83)) - (portRef SP (instanceRef FF_84)) - (portRef SP (instanceRef FF_85)) - (portRef SP (instanceRef FF_86)) - (portRef SP (instanceRef FF_87)) - (portRef SP (instanceRef FF_88)) - (portRef SP (instanceRef FF_89)) - (portRef SP (instanceRef FF_90)) - )) - (net wren_i_inv (joined - (portRef Z (instanceRef INV_2)) - (portRef B1 (instanceRef g_cmp_6)) - )) - (net ipu_data_empty_0 (joined - (portRef Q (instanceRef FF_76)) - (portRef AD0 (instanceRef LUT4_1)) - (portRef A (instanceRef INV_4)) - (portRef (member ipu_data_empty 0)) - )) - (net cmp_le_1 (joined - (portRef S0 (instanceRef a0)) - (portRef AD2 (instanceRef LUT4_1)) - )) - (net empty_d (joined - (portRef DO0 (instanceRef LUT4_1)) - (portRef D (instanceRef FF_76)) - )) - (net data_buffer_full_0 (joined - (portRef Q (instanceRef FF_75)) - (portRef AD0 (instanceRef LUT4_0)) - (portRef A (instanceRef INV_5)) - (portRef (member data_buffer_full 0)) - )) - (net cmp_ge_d1 (joined - (portRef S0 (instanceRef a1)) - (portRef AD2 (instanceRef LUT4_0)) - )) (net full_d (joined (portRef DO0 (instanceRef LUT4_0)) (portRef D (instanceRef FF_75)) @@ -18969,8 +18972,8 @@ (portRef CSB1 (instanceRef pdp_ram_0_1_14)) (portRef CSB1 (instanceRef pdp_ram_0_0_15)) )) - (net reset_ipu_i_0_i_1 (joined - (portRef reset_ipu_i_0_i_1) + (net reset_ipu_i_0_i_1_1 (joined + (portRef reset_ipu_i_0_i_1_1) (portRef CD (instanceRef FF_3)) (portRef CD (instanceRef FF_4)) (portRef CD (instanceRef FF_5)) @@ -18978,6 +18981,12 @@ (portRef CD (instanceRef FF_7)) (portRef CD (instanceRef FF_8)) (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) (portRef CD (instanceRef FF_30)) (portRef CD (instanceRef FF_31)) (portRef CD (instanceRef FF_32)) @@ -19038,9 +19047,6 @@ (portRef CD (instanceRef FF_88)) (portRef CD (instanceRef FF_89)) (portRef CD (instanceRef FF_90)) - (portRef RSTB (instanceRef pdp_ram_1_2_9)) - (portRef RSTA (instanceRef pdp_ram_1_2_9)) - (portRef RSTB (instanceRef pdp_ram_1_1_10)) (portRef RSTA (instanceRef pdp_ram_1_1_10)) (portRef RSTB (instanceRef pdp_ram_1_0_11)) (portRef RSTA (instanceRef pdp_ram_1_0_11)) @@ -19944,6 +19950,30 @@ (portRef DIA8 (instanceRef pdp_ram_1_2_9)) (portRef DIA8 (instanceRef pdp_ram_0_2_13)) )) + (net reset_ipu_i_0_i_1_2 (joined + (portRef reset_ipu_i_0_i_1_2) + (portRef RSTB (instanceRef pdp_ram_3_3_0)) + (portRef RSTA (instanceRef pdp_ram_3_3_0)) + (portRef RSTB (instanceRef pdp_ram_3_2_1)) + (portRef RSTA (instanceRef pdp_ram_3_2_1)) + (portRef RSTB (instanceRef pdp_ram_3_1_2)) + (portRef RSTA (instanceRef pdp_ram_3_1_2)) + (portRef RSTB (instanceRef pdp_ram_3_0_3)) + (portRef RSTA (instanceRef pdp_ram_3_0_3)) + (portRef RSTB (instanceRef pdp_ram_2_3_4)) + (portRef RSTA (instanceRef pdp_ram_2_3_4)) + (portRef RSTB (instanceRef pdp_ram_2_2_5)) + (portRef RSTA (instanceRef pdp_ram_2_2_5)) + (portRef RSTB (instanceRef pdp_ram_2_1_6)) + (portRef RSTA (instanceRef pdp_ram_2_1_6)) + (portRef RSTB (instanceRef pdp_ram_2_0_7)) + (portRef RSTA (instanceRef pdp_ram_2_0_7)) + (portRef RSTB (instanceRef pdp_ram_1_3_8)) + (portRef RSTA (instanceRef pdp_ram_1_3_8)) + (portRef RSTB (instanceRef pdp_ram_1_2_9)) + (portRef RSTA (instanceRef pdp_ram_1_2_9)) + (portRef RSTB (instanceRef pdp_ram_1_1_10)) + )) (net pdp_ram_1_2_9_DOA0 (joined (portRef DOA0 (instanceRef pdp_ram_1_2_9)) )) @@ -20124,27 +20154,6 @@ (portRef DIA8 (instanceRef pdp_ram_1_3_8)) (portRef DIA8 (instanceRef pdp_ram_0_3_12)) )) - (net reset_ipu_i_0_i_2 (joined - (portRef reset_ipu_i_0_i_2) - (portRef RSTB (instanceRef pdp_ram_3_3_0)) - (portRef RSTA (instanceRef pdp_ram_3_3_0)) - (portRef RSTB (instanceRef pdp_ram_3_2_1)) - (portRef RSTA (instanceRef pdp_ram_3_2_1)) - (portRef RSTB (instanceRef pdp_ram_3_1_2)) - (portRef RSTA (instanceRef pdp_ram_3_1_2)) - (portRef RSTB (instanceRef pdp_ram_3_0_3)) - (portRef RSTA (instanceRef pdp_ram_3_0_3)) - (portRef RSTB (instanceRef pdp_ram_2_3_4)) - (portRef RSTA (instanceRef pdp_ram_2_3_4)) - (portRef RSTB (instanceRef pdp_ram_2_2_5)) - (portRef RSTA (instanceRef pdp_ram_2_2_5)) - (portRef RSTB (instanceRef pdp_ram_2_1_6)) - (portRef RSTA (instanceRef pdp_ram_2_1_6)) - (portRef RSTB (instanceRef pdp_ram_2_0_7)) - (portRef RSTA (instanceRef pdp_ram_2_0_7)) - (portRef RSTB (instanceRef pdp_ram_1_3_8)) - (portRef RSTA (instanceRef pdp_ram_1_3_8)) - )) (net pdp_ram_1_3_8_DOA0 (joined (portRef DOA0 (instanceRef pdp_ram_1_3_8)) )) @@ -21514,8 +21523,8 @@ (portRef PC1 (instanceRef r_ctr_6)) (portRef D (instanceRef FF_19)) )) - (net reset_ipu_i_0_i (joined - (portRef reset_ipu_i_0_i) + (net reset_ipu_i_0_i_1 (joined + (portRef reset_ipu_i_0_i_1) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -21529,12 +21538,6 @@ (portRef CD (instanceRef FF_21)) (portRef CD (instanceRef FF_22)) (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) )) (net rptr_11_ff (joined (portRef Q (instanceRef FF_18)) @@ -22272,10 +22275,10 @@ (interface (port (array (rename k_1 "k_1(1:0)") 2) (direction INPUT)) (port current_mux_buffer_0_0_18 (direction INPUT)) - (port current_mux_buffer_0_0_1 (direction INPUT)) + (port current_mux_buffer_0_0_16 (direction INPUT)) (port current_mux_buffer_0_0_0 (direction INPUT)) + (port current_mux_buffer_0_0_1 (direction INPUT)) (port current_mux_buffer_0_0_13 (direction INPUT)) - (port current_mux_buffer_0_0_16 (direction INPUT)) (port current_mux_buffer_0_0_15 (direction INPUT)) (port current_mux_buffer_0_0_6 (direction INPUT)) (port current_mux_buffer_0_0_8 (direction INPUT)) @@ -22289,30 +22292,30 @@ (port current_mux_buffer_0_0_3 (direction INPUT)) (port current_mux_buffer_0_4 (direction INPUT)) (port current_mux_buffer_0_5 (direction INPUT)) - (port current_mux_buffer_0_18 (direction INPUT)) + (port current_mux_buffer_0_0_d0 (direction INPUT)) + (port current_mux_buffer_0_9 (direction INPUT)) (port current_mux_buffer_0_16 (direction INPUT)) + (port current_mux_buffer_0_18 (direction INPUT)) (port current_mux_buffer_0_15 (direction INPUT)) (port current_mux_buffer_0_14 (direction INPUT)) (port current_mux_buffer_0_13 (direction INPUT)) (port current_mux_buffer_0_12 (direction INPUT)) (port current_mux_buffer_0_11 (direction INPUT)) (port current_mux_buffer_0_10 (direction INPUT)) - (port current_mux_buffer_0_9 (direction INPUT)) (port current_mux_buffer_0_8 (direction INPUT)) (port current_mux_buffer_0_7 (direction INPUT)) (port current_mux_buffer_0_6 (direction INPUT)) (port current_mux_buffer_0_2 (direction INPUT)) (port current_mux_buffer_0_1 (direction INPUT)) - (port current_mux_buffer_0_0_d0 (direction INPUT)) (port med_packet_num_out_2 (direction OUTPUT)) (port med_packet_num_out_0 (direction OUTPUT)) (port (array (rename med_data_out "med_data_out(15:0)") 16) (direction OUTPUT)) (port current_mux_buffer_1_4 (direction INPUT)) (port current_mux_buffer_1_18 (direction INPUT)) - (port current_mux_buffer_1_1 (direction INPUT)) + (port current_mux_buffer_1_16 (direction INPUT)) (port current_mux_buffer_1_0 (direction INPUT)) + (port current_mux_buffer_1_1 (direction INPUT)) (port current_mux_buffer_1_13 (direction INPUT)) - (port current_mux_buffer_1_16 (direction INPUT)) (port current_mux_buffer_1_15 (direction INPUT)) (port current_mux_buffer_1_6 (direction INPUT)) (port current_mux_buffer_1_8 (direction INPUT)) @@ -22327,10 +22330,10 @@ (port current_mux_buffer_1_5 (direction INPUT)) (port current_mux_buffer_2_4 (direction INPUT)) (port current_mux_buffer_2_18 (direction INPUT)) - (port current_mux_buffer_2_1 (direction INPUT)) + (port current_mux_buffer_2_16 (direction INPUT)) (port current_mux_buffer_2_0 (direction INPUT)) + (port current_mux_buffer_2_1 (direction INPUT)) (port current_mux_buffer_2_13 (direction INPUT)) - (port current_mux_buffer_2_16 (direction INPUT)) (port current_mux_buffer_2_15 (direction INPUT)) (port current_mux_buffer_2_6 (direction INPUT)) (port current_mux_buffer_2_8 (direction INPUT)) @@ -22345,11 +22348,11 @@ (port current_mux_buffer_2_5 (direction INPUT)) (port current_mux_buffer_3_4 (direction INPUT)) (port current_mux_buffer_3_18 (direction INPUT)) - (port current_mux_buffer_3_1 (direction INPUT)) - (port current_mux_buffer_3_0 (direction INPUT)) (port current_mux_buffer_3_16 (direction INPUT)) + (port current_mux_buffer_3_0 (direction INPUT)) + (port current_mux_buffer_3_1 (direction INPUT)) (port current_mux_buffer_3_5 (direction INPUT)) - (port N_538_i (direction INPUT)) + (port N_465_i (direction INPUT)) (port mux_read (direction INPUT)) (port un145_var_mux_buffer (direction INPUT)) (port un155_var_mux_buffer (direction INPUT)) @@ -22474,9 +22477,6 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (B A))")) - ) (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) @@ -22492,9 +22492,6 @@ (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) - (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (B A))")) - ) (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) @@ -22513,10 +22510,16 @@ (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) + (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (B A))")) + ) (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) - (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (B A))")) + ) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -22567,16 +22570,16 @@ (instance current_b1_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) - (instance current_b1_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(!B+!A)))")) - ) (instance current_b1_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) + (instance current_b1_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) + ) (instance current_b1_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) - (instance current_b1_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b1_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) (instance current_b1_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -22686,21 +22689,21 @@ (portRef B (instanceRef current_b2_buffer_RNO_3)) (portRef B (instanceRef current_b2_buffer_RNO_4)) (portRef B (instanceRef current_b2_buffer_RNO_5)) - (portRef B (instanceRef current_b2_buffer_RNO_18)) + (portRef B (instanceRef current_b2_buffer_RNO_0)) + (portRef B (instanceRef current_b2_buffer_RNO_9)) (portRef B (instanceRef current_b2_buffer_RNO_16)) + (portRef B (instanceRef current_b2_buffer_RNO_18)) (portRef B (instanceRef current_b2_buffer_RNO_15)) (portRef B (instanceRef current_b2_buffer_RNO_14)) (portRef B (instanceRef current_b2_buffer_RNO_13)) (portRef B (instanceRef current_b2_buffer_RNO_12)) (portRef B (instanceRef current_b2_buffer_RNO_11)) (portRef B (instanceRef current_b2_buffer_RNO_10)) - (portRef B (instanceRef current_b2_buffer_RNO_9)) (portRef B (instanceRef current_b2_buffer_RNO_8)) (portRef B (instanceRef current_b2_buffer_RNO_7)) (portRef B (instanceRef current_b2_buffer_RNO_6)) (portRef B (instanceRef current_b2_buffer_RNO_2)) (portRef B (instanceRef current_b2_buffer_RNO_1)) - (portRef B (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_buffer_state_ns_1_0__N_6_i)) (portRef D (instanceRef combined_COMB_DATAREADY_IN_RNIBUS91)) (portRef D (instanceRef current_next_READ_OUT_RNO)) @@ -22708,7 +22711,7 @@ (portRef B (instanceRef COMB_next_READ_OUT_f1)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) - (net current_b2_buffer_RNO_0 (joined + (net N_191 (joined (portRef Z (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b2_buffer_0)) )) @@ -22745,7 +22748,7 @@ (portRef Q (instanceRef current_b2_buffer_1)) (portRef (member med_data_out 14)) )) - (net current_b2_buffer_RNO_2_2 (joined + (net current_b2_buffer_RNO_1_2 (joined (portRef Z (instanceRef current_b2_buffer_RNO_2)) (portRef D (instanceRef current_b2_buffer_2)) )) @@ -22753,7 +22756,7 @@ (portRef Q (instanceRef current_b2_buffer_2)) (portRef (member med_data_out 13)) )) - (net current_b2_buffer_RNO_3_3 (joined + (net N_224 (joined (portRef Z (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_3)) )) @@ -22761,7 +22764,7 @@ (portRef Q (instanceRef current_b2_buffer_3)) (portRef (member med_data_out 12)) )) - (net current_b2_buffer_RNO_2_4 (joined + (net current_b2_buffer_RNO_3_4 (joined (portRef Z (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b2_buffer_4)) )) @@ -22769,7 +22772,7 @@ (portRef Q (instanceRef current_b2_buffer_4)) (portRef (member med_data_out 11)) )) - (net current_b2_buffer_RNO_2_5 (joined + (net current_b2_buffer_RNO_1_5 (joined (portRef Z (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b2_buffer_5)) )) @@ -22777,7 +22780,7 @@ (portRef Q (instanceRef current_b2_buffer_5)) (portRef (member med_data_out 10)) )) - (net current_b2_buffer_RNO_3_6 (joined + (net current_b2_buffer_RNO_2_6 (joined (portRef Z (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b2_buffer_6)) )) @@ -22793,7 +22796,7 @@ (portRef Q (instanceRef current_b2_buffer_7)) (portRef (member med_data_out 8)) )) - (net current_b2_buffer_RNO_8 (joined + (net current_b2_buffer_RNO_3_8 (joined (portRef Z (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_8)) )) @@ -22801,7 +22804,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member med_data_out 7)) )) - (net current_b2_buffer_RNO_2_9 (joined + (net N_290 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -22817,7 +22820,7 @@ (portRef Q (instanceRef current_b2_buffer_10)) (portRef (member med_data_out 5)) )) - (net current_b2_buffer_RNO_0_11 (joined + (net current_b2_buffer_RNO_3_11 (joined (portRef Z (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_11)) )) @@ -22825,7 +22828,7 @@ (portRef Q (instanceRef current_b2_buffer_11)) (portRef (member med_data_out 4)) )) - (net current_b2_buffer_RNO_0_12 (joined + (net current_b2_buffer_RNO_2_12 (joined (portRef Z (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b2_buffer_12)) )) @@ -22833,7 +22836,7 @@ (portRef Q (instanceRef current_b2_buffer_12)) (portRef (member med_data_out 3)) )) - (net current_b2_buffer_RNO_0_13 (joined + (net current_b2_buffer_RNO_2_13 (joined (portRef Z (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b2_buffer_13)) )) @@ -22841,7 +22844,7 @@ (portRef Q (instanceRef current_b2_buffer_13)) (portRef (member med_data_out 2)) )) - (net current_b2_buffer_RNO_0_14 (joined + (net current_b2_buffer_RNO_2_14 (joined (portRef Z (instanceRef current_b2_buffer_RNO_14)) (portRef D (instanceRef current_b2_buffer_14)) )) @@ -22849,7 +22852,7 @@ (portRef Q (instanceRef current_b2_buffer_14)) (portRef (member med_data_out 1)) )) - (net current_b2_buffer_RNO_15 (joined + (net current_b2_buffer_RNO_0_15 (joined (portRef Z (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b2_buffer_15)) )) @@ -22857,7 +22860,7 @@ (portRef Q (instanceRef current_b2_buffer_15)) (portRef (member med_data_out 0)) )) - (net current_b2_buffer_RNO_1_16 (joined + (net N_367 (joined (portRef Z (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b2_buffer_16)) )) @@ -22865,7 +22868,7 @@ (portRef Q (instanceRef current_b2_buffer_16)) (portRef med_packet_num_out_0) )) - (net N_389 (joined + (net current_b2_buffer_RNO_3_18 (joined (portRef Z (instanceRef current_b2_buffer_RNO_18)) (portRef D (instanceRef current_b2_buffer_18)) )) @@ -23064,7 +23067,7 @@ (portRef A (instanceRef current_next_READ_OUT_RNO)) (portRef A (instanceRef current_buffer_state_ns_1_0__m7)) (portRef A (instanceRef COMB_next_READ_OUT_f1)) - (portRef N_538_i) + (portRef N_465_i) ) ) (net current_next_READ_OUT_1 (joined @@ -23087,10 +23090,6 @@ (portRef Z (instanceRef COMB_next_READ_OUT_f0)) (portRef mux_next_READ) )) - (net current_mux_buffer_0_0_d0 (joined - (portRef current_mux_buffer_0_0_d0) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - )) (net current_mux_buffer_0_1 (joined (portRef current_mux_buffer_0_1) (portRef C (instanceRef current_b2_buffer_RNO_1)) @@ -23111,10 +23110,6 @@ (portRef current_mux_buffer_0_8) (portRef C (instanceRef current_b2_buffer_RNO_8)) )) - (net current_mux_buffer_0_9 (joined - (portRef current_mux_buffer_0_9) - (portRef C (instanceRef current_b2_buffer_RNO_9)) - )) (net current_mux_buffer_0_10 (joined (portRef current_mux_buffer_0_10) (portRef C (instanceRef current_b2_buffer_RNO_10)) @@ -23139,13 +23134,21 @@ (portRef current_mux_buffer_0_15) (portRef C (instanceRef current_b2_buffer_RNO_15)) )) + (net current_mux_buffer_0_18 (joined + (portRef current_mux_buffer_0_18) + (portRef C (instanceRef current_b2_buffer_RNO_18)) + )) (net current_mux_buffer_0_16 (joined (portRef current_mux_buffer_0_16) (portRef C (instanceRef current_b2_buffer_RNO_16)) )) - (net current_mux_buffer_0_18 (joined - (portRef current_mux_buffer_0_18) - (portRef C (instanceRef current_b2_buffer_RNO_18)) + (net current_mux_buffer_0_9 (joined + (portRef current_mux_buffer_0_9) + (portRef C (instanceRef current_b2_buffer_RNO_9)) + )) + (net current_mux_buffer_0_0_d0 (joined + (portRef current_mux_buffer_0_0_d0) + (portRef C (instanceRef current_b2_buffer_RNO_0)) )) (net current_mux_buffer_0_5 (joined (portRef current_mux_buffer_0_5) @@ -23327,22 +23330,6 @@ (portRef current_mux_buffer_0_0_15) (portRef C (instanceRef current_b1_buffer_RNO_15)) )) - (net current_mux_buffer_3_16 (joined - (portRef current_mux_buffer_3_16) - (portRef A (instanceRef current_b1_buffer_RNO_16)) - )) - (net current_mux_buffer_2_16 (joined - (portRef current_mux_buffer_2_16) - (portRef B (instanceRef current_b1_buffer_RNO_16)) - )) - (net current_mux_buffer_1_16 (joined - (portRef current_mux_buffer_1_16) - (portRef C (instanceRef current_b1_buffer_RNO_16)) - )) - (net current_mux_buffer_0_0_16 (joined - (portRef current_mux_buffer_0_0_16) - (portRef D (instanceRef current_b1_buffer_RNO_16)) - )) (net current_mux_buffer_2_13 (joined (portRef current_mux_buffer_2_13) (portRef A (instanceRef current_b1_buffer_RNO_13)) @@ -23355,6 +23342,22 @@ (portRef current_mux_buffer_0_0_13) (portRef C (instanceRef current_b1_buffer_RNO_13)) )) + (net current_mux_buffer_3_1 (joined + (portRef current_mux_buffer_3_1) + (portRef A (instanceRef current_b1_buffer_RNO_1)) + )) + (net current_mux_buffer_2_1 (joined + (portRef current_mux_buffer_2_1) + (portRef B (instanceRef current_b1_buffer_RNO_1)) + )) + (net current_mux_buffer_1_1 (joined + (portRef current_mux_buffer_1_1) + (portRef C (instanceRef current_b1_buffer_RNO_1)) + )) + (net current_mux_buffer_0_0_1 (joined + (portRef current_mux_buffer_0_0_1) + (portRef D (instanceRef current_b1_buffer_RNO_1)) + )) (net current_mux_buffer_3_0 (joined (portRef current_mux_buffer_3_0) (portRef A (instanceRef current_b1_buffer_RNO_0)) @@ -23371,21 +23374,21 @@ (portRef current_mux_buffer_0_0_0) (portRef D (instanceRef current_b1_buffer_RNO_0)) )) - (net current_mux_buffer_3_1 (joined - (portRef current_mux_buffer_3_1) - (portRef A (instanceRef current_b1_buffer_RNO_1)) + (net current_mux_buffer_3_16 (joined + (portRef current_mux_buffer_3_16) + (portRef A (instanceRef current_b1_buffer_RNO_16)) )) - (net current_mux_buffer_2_1 (joined - (portRef current_mux_buffer_2_1) - (portRef B (instanceRef current_b1_buffer_RNO_1)) + (net current_mux_buffer_2_16 (joined + (portRef current_mux_buffer_2_16) + (portRef B (instanceRef current_b1_buffer_RNO_16)) )) - (net current_mux_buffer_1_1 (joined - (portRef current_mux_buffer_1_1) - (portRef C (instanceRef current_b1_buffer_RNO_1)) + (net current_mux_buffer_1_16 (joined + (portRef current_mux_buffer_1_16) + (portRef C (instanceRef current_b1_buffer_RNO_16)) )) - (net current_mux_buffer_0_0_1 (joined - (portRef current_mux_buffer_0_0_1) - (portRef D (instanceRef current_b1_buffer_RNO_1)) + (net current_mux_buffer_0_0_16 (joined + (portRef current_mux_buffer_0_0_16) + (portRef D (instanceRef current_b1_buffer_RNO_16)) )) (net current_mux_buffer_3_18 (joined (portRef current_mux_buffer_3_18) @@ -24299,12 +24302,11 @@ (port (array (rename med_io_dataready_out "MED_IO_DATAREADY_OUT(6:6)") 1) (direction INPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(98:96)") 3) (direction INPUT)) (port (array (rename buf_int_data_in "buf_INT_DATA_IN(111:96)") 16) (direction OUTPUT)) - (port (array (rename current_mux_buffer_2 "current_mux_buffer_2(18:18)") 1) (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_1 (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_0 (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_2 (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_5 (direction INPUT)) - (port (array (rename buf_int_read_out "buf_INT_READ_OUT(7:6)") 2) (direction INPUT)) + (port (array (rename current_mux_buffer_1 "current_mux_buffer_1(18:18)") 1) (direction OUTPUT)) + (port (array (rename buf_int_packet_num_in "buf_INT_PACKET_NUM_IN(20:18)") 3) (direction OUTPUT)) + (port (array (rename buf_int_read_out "buf_INT_READ_OUT(6:6)") 1) (direction INPUT)) + (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(17:17)") 1) (direction INPUT)) + (port (array (rename med_io_read_in "MED_IO_READ_IN(5:5)") 1) (direction INPUT)) (port N_159_i (direction INPUT)) (port N_160_i (direction INPUT)) (port N_161_i (direction INPUT)) @@ -24319,7 +24321,7 @@ (port clk_100_i_c (direction INPUT)) ) (contents - (instance syn_data_RNIS9C9_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance syn_data_RNINKJG_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) (instance THE_SYNC_PROC_syn_data_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -24508,17 +24510,17 @@ ) (instance THE_FIFO (viewRef netlist (cellRef fifo_19x16_obuf_THE_FIFO_4)) ) - (net buf_INT_READ_OUT_7 (joined - (portRef (member buf_int_read_out 0)) - (portRef A (instanceRef syn_data_RNIS9C9_18)) + (net MED_IO_READ_IN_5 (joined + (portRef (member med_io_read_in 0)) + (portRef A (instanceRef syn_data_RNINKJG_18)) )) - (net buf_INT_PACKET_NUM_IN_5 (joined - (portRef buf_INT_PACKET_NUM_IN_5) - (portRef B (instanceRef syn_data_RNIS9C9_18)) + (net MED_IO_PACKET_NUM_OUT_17 (joined + (portRef (member med_io_packet_num_out 0)) + (portRef B (instanceRef syn_data_RNINKJG_18)) )) - (net current_mux_buffer_2_18 (joined - (portRef Z (instanceRef syn_data_RNIS9C9_18)) - (portRef (member current_mux_buffer_2 0)) + (net current_mux_buffer_1_18 (joined + (portRef Z (instanceRef syn_data_RNINKJG_18)) + (portRef (member current_mux_buffer_1 0)) )) (net syn_data_2_0 (joined (portRef Z (instanceRef THE_SYNC_PROC_syn_data_2_0)) @@ -24679,7 +24681,7 @@ (portRef D (instanceRef CURRENT_STATE_2)) )) (net buf_INT_READ_OUT_6 (joined - (portRef (member buf_int_read_out 1)) + (portRef (member buf_int_read_out 0)) (portRef D (instanceRef CURRENT_STATE_RNO_5)) (portRef D (instanceRef CURRENT_STATE_RNO_0_5)) (portRef D (instanceRef CURRENT_STATE_srsts_i_1_6)) @@ -24696,7 +24698,7 @@ (portRef SP (instanceRef CURRENT_STATE_4)) (portRef SP (instanceRef CURRENT_STATE_3)) (portRef SP (instanceRef CURRENT_STATE_2)) - (portRef C (instanceRef syn_data_RNIS9C9_18)) + (portRef C (instanceRef syn_data_RNINKJG_18)) )) (net CURRENT_STATE_2 (joined (portRef Q (instanceRef CURRENT_STATE_2)) @@ -24953,8 +24955,8 @@ )) (net syn_data_18 (joined (portRef A (instanceRef THE_SYNC_PROC_syn_data_2_18)) - (portRef D (instanceRef syn_data_RNIS9C9_18)) - (portRef buf_INT_PACKET_NUM_IN_2) + (portRef D (instanceRef syn_data_RNINKJG_18)) + (portRef (member buf_int_packet_num_in 0)) (portRef Q (instanceRef THE_SYNC_PROC_syn_data_18)) )) (net fifo_data_o_18 (joined @@ -24971,12 +24973,12 @@ )) (net syn_data_17 (joined (portRef A (instanceRef THE_SYNC_PROC_syn_data_2_17)) - (portRef buf_INT_PACKET_NUM_IN_1) + (portRef (member buf_int_packet_num_in 1)) (portRef Q (instanceRef THE_SYNC_PROC_syn_data_17)) )) (net syn_data_16 (joined (portRef A (instanceRef THE_SYNC_PROC_syn_data_2_16)) - (portRef buf_INT_PACKET_NUM_IN_0) + (portRef (member buf_int_packet_num_in 2)) (portRef Q (instanceRef THE_SYNC_PROC_syn_data_16)) )) (net syn_data_15 (joined @@ -25612,7 +25614,7 @@ (portRef Z (instanceRef CURRENT_STATE_srsts_i_a3_5)) (portRef B (instanceRef CURRENT_STATE_RNO_5)) )) - (net CURRENT_STATE_srsts_i_291_0_tz (joined + (net CURRENT_STATE_srsts_i_279_0_tz (joined (portRef Z (instanceRef CURRENT_STATE_RNO_0_5)) (portRef A (instanceRef CURRENT_STATE_RNO_5)) )) @@ -26472,7 +26474,7 @@ (portRef Z (instanceRef CURRENT_STATE_srsts_i_a3_5)) (portRef B (instanceRef CURRENT_STATE_RNO_5)) )) - (net CURRENT_STATE_srsts_i_287_0_tz (joined + (net CURRENT_STATE_srsts_i_275_0_tz (joined (portRef Z (instanceRef CURRENT_STATE_RNO_0_5)) (portRef A (instanceRef CURRENT_STATE_RNO_5)) )) @@ -26828,7 +26830,9 @@ (cell trb_net_sbuf5_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction INPUT)) + (port current_output_data_buffer_0 (direction INPUT)) + (port current_output_data_buffer_3 (direction INPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction INPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(127:116)") 12) (direction INPUT)) (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(23:21)") 3) (direction INPUT)) (port (array (rename buf_int_packet_num_in "buf_INT_PACKET_NUM_IN(23:21)") 3) (direction OUTPUT)) @@ -27332,7 +27336,7 @@ (portRef Z (instanceRef CURRENT_STATE_srsts_i_a3_5)) (portRef B (instanceRef CURRENT_STATE_RNO_5)) )) - (net CURRENT_STATE_srsts_i_289_0_tz (joined + (net CURRENT_STATE_srsts_i_277_0_tz (joined (portRef Z (instanceRef CURRENT_STATE_RNO_0_5)) (portRef A (instanceRef CURRENT_STATE_RNO_5)) )) @@ -27650,21 +27654,21 @@ (portRef (member med_io_data_out 0)) (portRef (member med_io_data_out 0) (instanceRef THE_FIFO)) )) - (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3)) - (portRef (member current_output_data_buffer 3) (instanceRef THE_FIFO)) - )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2)) - (portRef (member current_output_data_buffer 2) (instanceRef THE_FIFO)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1)) + (portRef (member current_output_data_buffer_mb 1) (instanceRef THE_FIFO)) )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1)) - (portRef (member current_output_data_buffer 1) (instanceRef THE_FIFO)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0)) + (portRef (member current_output_data_buffer_mb 0) (instanceRef THE_FIFO)) )) (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0)) - (portRef (member current_output_data_buffer 0) (instanceRef THE_FIFO)) + (portRef current_output_data_buffer_3) + (portRef current_output_data_buffer_3 (instanceRef THE_FIFO)) + )) + (net current_output_data_buffer_0 (joined + (portRef current_output_data_buffer_0) + (portRef current_output_data_buffer_0 (instanceRef THE_FIFO)) )) (net reset_no_link_3 (joined (portRef reset_no_link_3) @@ -28477,13 +28481,11 @@ (interface (port (array (rename buf_idram_data_in "buf_IDRAM_DATA_IN(15:0)") 16) (direction INPUT)) (port (array (rename buf_idram_addr_in "buf_IDRAM_ADDR_IN(1:0)") 2) (direction INPUT)) - (port (array (rename ram_read_addr_0_i "ram_read_addr_0_i(3:3)") 1) (direction INPUT)) - (port (array (rename ram_read_addr_i "ram_read_addr_i(1:0)") 2) (direction INPUT)) + (port (array (rename ram_read_addr_0_i "ram_read_addr_0_i(3:0)") 4) (direction INPUT)) (port (array (rename adr_data_out "ADR_DATA_OUT(15:0)") 16) (direction OUTPUT)) (port buf_IDRAM_WR_IN_0_i (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2 (direction INPUT)) - (port N_18 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) ) @@ -28702,22 +28704,22 @@ (portRef (member buf_idram_data_in 0)) (portRef DI3 (instanceRef ram_1_ram_2)) )) - (net ram_read_addr_i_0 (joined - (portRef (member ram_read_addr_i 1)) + (net ram_read_addr_0_i_0 (joined + (portRef (member ram_read_addr_0_i 3)) (portRef RAD0 (instanceRef ram_1_ram)) (portRef RAD0 (instanceRef ram_1_ram_0)) (portRef RAD0 (instanceRef ram_1_ram_1)) (portRef RAD0 (instanceRef ram_1_ram_2)) )) - (net ram_read_addr_i_1 (joined - (portRef (member ram_read_addr_i 0)) + (net ram_read_addr_0_i_1 (joined + (portRef (member ram_read_addr_0_i 2)) (portRef RAD1 (instanceRef ram_1_ram)) (portRef RAD1 (instanceRef ram_1_ram_0)) (portRef RAD1 (instanceRef ram_1_ram_1)) (portRef RAD1 (instanceRef ram_1_ram_2)) )) - (net N_18 (joined - (portRef N_18) + (net ram_read_addr_0_i_2 (joined + (portRef (member ram_read_addr_0_i 1)) (portRef RAD2 (instanceRef ram_1_ram)) (portRef RAD2 (instanceRef ram_1_ram_0)) (portRef RAD2 (instanceRef ram_1_ram_1)) @@ -28822,7 +28824,22 @@ (port (array (rename fifo_to_int_data_out "fifo_to_int_data_out(15:3)") 13) (direction OUTPUT)) (port buf_APL_PACKET_NUM_IN_2 (direction INPUT)) (port buf_APL_PACKET_NUM_IN_0 (direction INPUT)) - (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(63:48)") 16) (direction INPUT)) + (port (array (rename buf_api_data_out_rnigu9q "buf_API_DATA_OUT_RNIGU9Q(9:9)") 1) (direction INPUT)) + (port buf_APL_DATA_IN_15 (direction INPUT)) + (port buf_APL_DATA_IN_14 (direction INPUT)) + (port buf_APL_DATA_IN_13 (direction INPUT)) + (port buf_APL_DATA_IN_12 (direction INPUT)) + (port buf_APL_DATA_IN_11 (direction INPUT)) + (port buf_APL_DATA_IN_10 (direction INPUT)) + (port buf_APL_DATA_IN_8 (direction INPUT)) + (port buf_APL_DATA_IN_7 (direction INPUT)) + (port buf_APL_DATA_IN_6 (direction INPUT)) + (port buf_APL_DATA_IN_5 (direction INPUT)) + (port buf_APL_DATA_IN_4 (direction INPUT)) + (port buf_APL_DATA_IN_3 (direction INPUT)) + (port buf_APL_DATA_IN_2 (direction INPUT)) + (port buf_APL_DATA_IN_1 (direction INPUT)) + (port buf_APL_DATA_IN_0 (direction INPUT)) (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_7 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_6 (direction OUTPUT)) @@ -28830,7 +28847,7 @@ (port buf_api_stat_fifo_to_int_9 (direction INPUT)) (port buf_api_stat_fifo_to_int_11 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_0 (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -29224,68 +29241,68 @@ (portRef DO0 (instanceRef LUT4_0)) (portRef D (instanceRef FF_23)) )) - (net buf_APL_DATA_IN_48 (joined - (portRef (member buf_apl_data_in 15)) + (net buf_APL_DATA_IN_0 (joined + (portRef buf_APL_DATA_IN_0) (portRef DIA0 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_49 (joined - (portRef (member buf_apl_data_in 14)) + (net buf_APL_DATA_IN_1 (joined + (portRef buf_APL_DATA_IN_1) (portRef DIA1 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_50 (joined - (portRef (member buf_apl_data_in 13)) + (net buf_APL_DATA_IN_2 (joined + (portRef buf_APL_DATA_IN_2) (portRef DIA2 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_51 (joined - (portRef (member buf_apl_data_in 12)) + (net buf_APL_DATA_IN_3 (joined + (portRef buf_APL_DATA_IN_3) (portRef DIA3 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_52 (joined - (portRef (member buf_apl_data_in 11)) + (net buf_APL_DATA_IN_4 (joined + (portRef buf_APL_DATA_IN_4) (portRef DIA4 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_53 (joined - (portRef (member buf_apl_data_in 10)) + (net buf_APL_DATA_IN_5 (joined + (portRef buf_APL_DATA_IN_5) (portRef DIA5 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_54 (joined - (portRef (member buf_apl_data_in 9)) + (net buf_APL_DATA_IN_6 (joined + (portRef buf_APL_DATA_IN_6) (portRef DIA6 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_55 (joined - (portRef (member buf_apl_data_in 8)) + (net buf_APL_DATA_IN_7 (joined + (portRef buf_APL_DATA_IN_7) (portRef DIA7 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_56 (joined - (portRef (member buf_apl_data_in 7)) + (net buf_APL_DATA_IN_8 (joined + (portRef buf_APL_DATA_IN_8) (portRef DIA8 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_57 (joined - (portRef (member buf_apl_data_in 6)) + (net buf_API_DATA_OUT_RNIGU9Q_9 (joined + (portRef (member buf_api_data_out_rnigu9q 0)) (portRef DIA9 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_58 (joined - (portRef (member buf_apl_data_in 5)) + (net buf_APL_DATA_IN_10 (joined + (portRef buf_APL_DATA_IN_10) (portRef DIA10 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_59 (joined - (portRef (member buf_apl_data_in 4)) + (net buf_APL_DATA_IN_11 (joined + (portRef buf_APL_DATA_IN_11) (portRef DIA11 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_60 (joined - (portRef (member buf_apl_data_in 3)) + (net buf_APL_DATA_IN_12 (joined + (portRef buf_APL_DATA_IN_12) (portRef DIA12 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_61 (joined - (portRef (member buf_apl_data_in 2)) + (net buf_APL_DATA_IN_13 (joined + (portRef buf_APL_DATA_IN_13) (portRef DIA13 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_62 (joined - (portRef (member buf_apl_data_in 1)) + (net buf_APL_DATA_IN_14 (joined + (portRef buf_APL_DATA_IN_14) (portRef DIA14 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_63 (joined - (portRef (member buf_apl_data_in 0)) + (net buf_APL_DATA_IN_15 (joined + (portRef buf_APL_DATA_IN_15) (portRef DIA15 (instanceRef pdp_ram_0_0_0)) )) (net buf_APL_PACKET_NUM_IN_0 (joined @@ -29452,8 +29469,8 @@ (portRef CLKB (instanceRef pdp_ram_0_0_0)) (portRef CLKA (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef CD (instanceRef FF_2)) (portRef CD (instanceRef FF_3)) (portRef CD (instanceRef FF_4)) @@ -29989,19 +30006,25 @@ (port buf_to_apl_INIT_PACKET_NUM_2 (direction INPUT)) (port buf_to_apl_INIT_PACKET_NUM_0 (direction INPUT)) (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(63:48)") 16) (direction INPUT)) + (port (array (rename state_to_apl "state_to_apl(1:0)") 2) (direction INPUT)) + (port (array (rename buf_to_apl_init_dataready "buf_to_apl_INIT_DATAREADY(3:3)") 1) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_apl_i "buf_api_stat_fifo_to_apl_i(110:110)") 1) (direction OUTPUT)) - (port buf_api_stat_fifo_to_apl_8 (direction INPUT)) - (port buf_api_stat_fifo_to_apl_0 (direction INPUT)) - (port buf_api_stat_fifo_to_apl_11 (direction OUTPUT)) + (port reset_i_20 (direction INPUT)) (port reset_i_19 (direction INPUT)) - (port reset_i_18 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) - (port GND (direction INPUT)) (port next_fifo_to_apl_empty (direction OUTPUT)) + (port N_4969 (direction INPUT)) + (port GND (direction INPUT)) ) (contents (instance FF_23_RNI2ON6 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance AND2_t4_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance AND2_t3_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B+!A))")) + ) (instance AND2_t4 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) ) (instance INV_8 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) @@ -30195,14 +30218,111 @@ (instance e_cmp_2 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_1 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_0 (viewRef syn_black_box (cellRef ALEB2)) ) + (net buf_api_stat_fifo_to_apl_110 (joined + (portRef Q (instanceRef FF_23)) + (portRef AD0 (instanceRef LUT4_0)) + (portRef A (instanceRef INV_8)) + (portRef A (instanceRef AND2_t4_RNO)) + (portRef A (instanceRef FF_23_RNI2ON6)) + )) (net buf_api_stat_fifo_to_apl_i_110 (joined (portRef Z (instanceRef FF_23_RNI2ON6)) (portRef (member buf_api_stat_fifo_to_apl_i 0)) )) - (net buf_api_stat_fifo_to_apl_0 (joined - (portRef buf_api_stat_fifo_to_apl_0) + (net buf_to_apl_INIT_DATAREADY_3 (joined + (portRef (member buf_to_apl_init_dataready 0)) + (portRef B (instanceRef AND2_t4_RNO)) + )) + (net GND (joined + (portRef GND) + (portRef B1 (instanceRef e_cmp_0)) + (portRef B1 (instanceRef e_cmp_1)) + (portRef B0 (instanceRef e_cmp_1)) + (portRef B1 (instanceRef e_cmp_2)) + (portRef B0 (instanceRef e_cmp_2)) + (portRef B1 (instanceRef e_cmp_3)) + (portRef B0 (instanceRef e_cmp_3)) + (portRef B1 (instanceRef e_cmp_4)) + (portRef B0 (instanceRef e_cmp_4)) + (portRef B1 (instanceRef e_cmp_5)) + (portRef B0 (instanceRef e_cmp_5)) + (portRef A1 (instanceRef e_cmp_5)) + (portRef B1 (instanceRef g_cmp_5)) + (portRef A1 (instanceRef g_cmp_5)) + (portRef CI (instanceRef r_ctr_cia)) + (portRef B0 (instanceRef r_ctr_cia)) + (portRef A0 (instanceRef r_ctr_cia)) + (portRef CI (instanceRef w_ctr_cia)) + (portRef B0 (instanceRef w_ctr_cia)) + (portRef A0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef a1)) + (portRef B0 (instanceRef a1)) + (portRef A1 (instanceRef a1)) + (portRef A0 (instanceRef a1)) + (portRef CI (instanceRef g_cmp_ci_a)) + (portRef B1 (instanceRef a0)) + (portRef B0 (instanceRef a0)) + (portRef A1 (instanceRef a0)) + (portRef A0 (instanceRef a0)) + (portRef CI (instanceRef e_cmp_ci_a)) + (portRef PC1 (instanceRef bdcnt_bctr_5)) + (portRef CI (instanceRef bdcnt_bctr_cia)) + (portRef B0 (instanceRef bdcnt_bctr_cia)) + (portRef A0 (instanceRef bdcnt_bctr_cia)) + (portRef CSB2 (instanceRef pdp_ram_0_0_0)) + (portRef CSB1 (instanceRef pdp_ram_0_0_0)) + (portRef CSB0 (instanceRef pdp_ram_0_0_0)) + (portRef WEB (instanceRef pdp_ram_0_0_0)) + (portRef ADB3 (instanceRef pdp_ram_0_0_0)) + (portRef ADB2 (instanceRef pdp_ram_0_0_0)) + (portRef ADB1 (instanceRef pdp_ram_0_0_0)) + (portRef ADB0 (instanceRef pdp_ram_0_0_0)) + (portRef DIB17 (instanceRef pdp_ram_0_0_0)) + (portRef DIB16 (instanceRef pdp_ram_0_0_0)) + (portRef DIB15 (instanceRef pdp_ram_0_0_0)) + (portRef DIB14 (instanceRef pdp_ram_0_0_0)) + (portRef DIB13 (instanceRef pdp_ram_0_0_0)) + (portRef DIB12 (instanceRef pdp_ram_0_0_0)) + (portRef DIB11 (instanceRef pdp_ram_0_0_0)) + (portRef DIB10 (instanceRef pdp_ram_0_0_0)) + (portRef DIB9 (instanceRef pdp_ram_0_0_0)) + (portRef DIB8 (instanceRef pdp_ram_0_0_0)) + (portRef DIB7 (instanceRef pdp_ram_0_0_0)) + (portRef DIB6 (instanceRef pdp_ram_0_0_0)) + (portRef DIB5 (instanceRef pdp_ram_0_0_0)) + (portRef DIB4 (instanceRef pdp_ram_0_0_0)) + (portRef DIB3 (instanceRef pdp_ram_0_0_0)) + (portRef DIB2 (instanceRef pdp_ram_0_0_0)) + (portRef DIB1 (instanceRef pdp_ram_0_0_0)) + (portRef DIB0 (instanceRef pdp_ram_0_0_0)) + (portRef CSA2 (instanceRef pdp_ram_0_0_0)) + (portRef CSA1 (instanceRef pdp_ram_0_0_0)) + (portRef CSA0 (instanceRef pdp_ram_0_0_0)) + (portRef ADA3 (instanceRef pdp_ram_0_0_0)) + (portRef ADA2 (instanceRef pdp_ram_0_0_0)) + (portRef AD3 (instanceRef LUT4_0)) + (portRef AD3 (instanceRef LUT4_1)) + )) + (net N_4954_i (joined + (portRef Z (instanceRef AND2_t4_RNO)) (portRef A (instanceRef AND2_t4)) )) + (net N_4969 (joined + (portRef N_4969) + (portRef A (instanceRef AND2_t3_RNO)) + )) + (net state_to_apl_0 (joined + (portRef (member state_to_apl 1)) + (portRef B (instanceRef AND2_t3_RNO)) + )) + (net state_to_apl_1 (joined + (portRef (member state_to_apl 0)) + (portRef C (instanceRef AND2_t3_RNO)) + )) + (net N_4985_i (joined + (portRef Z (instanceRef AND2_t3_RNO)) + (portRef A (instanceRef AND2_t3)) + )) (net invout_2 (joined (portRef Z (instanceRef INV_8)) (portRef B (instanceRef AND2_t4)) @@ -30236,17 +30356,6 @@ (portRef A (instanceRef XOR2_t1)) (portRef A (instanceRef AND2_t2)) )) - (net buf_api_stat_fifo_to_apl_11 (joined - (portRef Q (instanceRef FF_23)) - (portRef AD0 (instanceRef LUT4_0)) - (portRef A (instanceRef INV_8)) - (portRef A (instanceRef FF_23_RNI2ON6)) - (portRef buf_api_stat_fifo_to_apl_11) - )) - (net buf_api_stat_fifo_to_apl_8 (joined - (portRef buf_api_stat_fifo_to_apl_8) - (portRef A (instanceRef AND2_t3)) - )) (net invout_1 (joined (portRef Z (instanceRef INV_7)) (portRef B (instanceRef AND2_t3)) @@ -30321,76 +30430,6 @@ (portRef S0 (instanceRef a1)) (portRef AD2 (instanceRef LUT4_0)) )) - (net GND (joined - (portRef GND) - (portRef B1 (instanceRef e_cmp_0)) - (portRef B1 (instanceRef e_cmp_1)) - (portRef B0 (instanceRef e_cmp_1)) - (portRef B1 (instanceRef e_cmp_2)) - (portRef B0 (instanceRef e_cmp_2)) - (portRef B1 (instanceRef e_cmp_3)) - (portRef B0 (instanceRef e_cmp_3)) - (portRef B1 (instanceRef e_cmp_4)) - (portRef B0 (instanceRef e_cmp_4)) - (portRef B1 (instanceRef e_cmp_5)) - (portRef B0 (instanceRef e_cmp_5)) - (portRef A1 (instanceRef e_cmp_5)) - (portRef B1 (instanceRef g_cmp_5)) - (portRef A1 (instanceRef g_cmp_5)) - (portRef CI (instanceRef r_ctr_cia)) - (portRef B0 (instanceRef r_ctr_cia)) - (portRef A0 (instanceRef r_ctr_cia)) - (portRef CI (instanceRef w_ctr_cia)) - (portRef B0 (instanceRef w_ctr_cia)) - (portRef A0 (instanceRef w_ctr_cia)) - (portRef B1 (instanceRef a1)) - (portRef B0 (instanceRef a1)) - (portRef A1 (instanceRef a1)) - (portRef A0 (instanceRef a1)) - (portRef CI (instanceRef g_cmp_ci_a)) - (portRef B1 (instanceRef a0)) - (portRef B0 (instanceRef a0)) - (portRef A1 (instanceRef a0)) - (portRef A0 (instanceRef a0)) - (portRef CI (instanceRef e_cmp_ci_a)) - (portRef PC1 (instanceRef bdcnt_bctr_5)) - (portRef CI (instanceRef bdcnt_bctr_cia)) - (portRef B0 (instanceRef bdcnt_bctr_cia)) - (portRef A0 (instanceRef bdcnt_bctr_cia)) - (portRef CSB2 (instanceRef pdp_ram_0_0_0)) - (portRef CSB1 (instanceRef pdp_ram_0_0_0)) - (portRef CSB0 (instanceRef pdp_ram_0_0_0)) - (portRef WEB (instanceRef pdp_ram_0_0_0)) - (portRef ADB3 (instanceRef pdp_ram_0_0_0)) - (portRef ADB2 (instanceRef pdp_ram_0_0_0)) - (portRef ADB1 (instanceRef pdp_ram_0_0_0)) - (portRef ADB0 (instanceRef pdp_ram_0_0_0)) - (portRef DIB17 (instanceRef pdp_ram_0_0_0)) - (portRef DIB16 (instanceRef pdp_ram_0_0_0)) - (portRef DIB15 (instanceRef pdp_ram_0_0_0)) - (portRef DIB14 (instanceRef pdp_ram_0_0_0)) - (portRef DIB13 (instanceRef pdp_ram_0_0_0)) - (portRef DIB12 (instanceRef pdp_ram_0_0_0)) - (portRef DIB11 (instanceRef pdp_ram_0_0_0)) - (portRef DIB10 (instanceRef pdp_ram_0_0_0)) - (portRef DIB9 (instanceRef pdp_ram_0_0_0)) - (portRef DIB8 (instanceRef pdp_ram_0_0_0)) - (portRef DIB7 (instanceRef pdp_ram_0_0_0)) - (portRef DIB6 (instanceRef pdp_ram_0_0_0)) - (portRef DIB5 (instanceRef pdp_ram_0_0_0)) - (portRef DIB4 (instanceRef pdp_ram_0_0_0)) - (portRef DIB3 (instanceRef pdp_ram_0_0_0)) - (portRef DIB2 (instanceRef pdp_ram_0_0_0)) - (portRef DIB1 (instanceRef pdp_ram_0_0_0)) - (portRef DIB0 (instanceRef pdp_ram_0_0_0)) - (portRef CSA2 (instanceRef pdp_ram_0_0_0)) - (portRef CSA1 (instanceRef pdp_ram_0_0_0)) - (portRef CSA0 (instanceRef pdp_ram_0_0_0)) - (portRef ADA3 (instanceRef pdp_ram_0_0_0)) - (portRef ADA2 (instanceRef pdp_ram_0_0_0)) - (portRef AD3 (instanceRef LUT4_0)) - (portRef AD3 (instanceRef LUT4_1)) - )) (net full_d (joined (portRef DO0 (instanceRef LUT4_0)) (portRef D (instanceRef FF_23)) @@ -30623,8 +30662,8 @@ (portRef CLKB (instanceRef pdp_ram_0_0_0)) (portRef CLKA (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef CD (instanceRef FF_29)) (portRef CD (instanceRef FF_30)) (portRef CD (instanceRef FF_31)) @@ -30845,8 +30884,8 @@ (portRef NC0 (instanceRef bdcnt_bctr_4)) (portRef D (instanceRef FF_27)) )) - (net reset_i_19 (joined - (portRef reset_i_19) + (net reset_i_20 (joined + (portRef reset_i_20) (portRef CD (instanceRef FF_2)) (portRef CD (instanceRef FF_3)) (portRef CD (instanceRef FF_4)) @@ -31262,46 +31301,46 @@ (instance un1_next_buffer_state_2_sqmuxa_1_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -31433,20 +31472,20 @@ (portRef B (instanceRef current_b2_buffer_RNO_2)) (portRef B (instanceRef current_b2_buffer_RNO_3)) (portRef B (instanceRef current_b2_buffer_RNO_4)) - (portRef B (instanceRef current_b2_buffer_RNO_5)) - (portRef B (instanceRef current_b2_buffer_RNO_6)) - (portRef B (instanceRef current_b2_buffer_RNO_7)) - (portRef B (instanceRef current_b2_buffer_RNO_9)) - (portRef B (instanceRef current_b2_buffer_RNO_10)) (portRef B (instanceRef current_b2_buffer_RNO_16)) - (portRef B (instanceRef current_b2_buffer_RNO_13)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) (portRef B (instanceRef current_b2_buffer_RNO_18)) - (portRef B (instanceRef current_b2_buffer_RNO_11)) + (portRef B (instanceRef current_b2_buffer_RNO_9)) + (portRef B (instanceRef current_b2_buffer_RNO_6)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) + (portRef B (instanceRef current_b2_buffer_RNO_8)) (portRef B (instanceRef current_b2_buffer_RNO_15)) (portRef B (instanceRef current_b2_buffer_RNO_14)) + (portRef B (instanceRef current_b2_buffer_RNO_13)) (portRef B (instanceRef current_b2_buffer_RNO_12)) - (portRef B (instanceRef current_b2_buffer_RNO_8)) + (portRef B (instanceRef current_b2_buffer_RNO_11)) + (portRef B (instanceRef current_b2_buffer_RNO_10)) + (portRef B (instanceRef current_b2_buffer_RNO_7)) + (portRef B (instanceRef current_b2_buffer_RNO_5)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) (net N_149 (joined @@ -31487,7 +31526,7 @@ (portRef Q (instanceRef current_b2_buffer_1)) (portRef (member buf_apl_data_out 14)) )) - (net current_b2_buffer_RNO_1_2 (joined + (net current_b2_buffer_RNO_0_2 (joined (portRef Z (instanceRef current_b2_buffer_RNO_2)) (portRef D (instanceRef current_b2_buffer_2)) )) @@ -31495,7 +31534,7 @@ (portRef Q (instanceRef current_b2_buffer_2)) (portRef (member buf_apl_data_out 13)) )) - (net current_b2_buffer_RNO_2_3 (joined + (net current_b2_buffer_RNO_1_3 (joined (portRef Z (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_3)) )) @@ -31503,7 +31542,7 @@ (portRef Q (instanceRef current_b2_buffer_3)) (portRef (member buf_apl_data_out 12)) )) - (net current_b2_buffer_RNO_1_4 (joined + (net current_b2_buffer_RNO_2_4 (joined (portRef Z (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b2_buffer_4)) )) @@ -31511,7 +31550,7 @@ (portRef Q (instanceRef current_b2_buffer_4)) (portRef (member buf_apl_data_out 11)) )) - (net current_b2_buffer_RNO_1_5 (joined + (net N_204 (joined (portRef Z (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b2_buffer_5)) )) @@ -31519,7 +31558,7 @@ (portRef Q (instanceRef current_b2_buffer_5)) (portRef (member buf_apl_data_out 10)) )) - (net current_b2_buffer_RNO_2_6 (joined + (net N_215 (joined (portRef Z (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b2_buffer_6)) )) @@ -31527,7 +31566,7 @@ (portRef Q (instanceRef current_b2_buffer_6)) (portRef (member buf_apl_data_out 9)) )) - (net current_b2_buffer_RNO_1_7 (joined + (net N_226 (joined (portRef Z (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_b2_buffer_7)) )) @@ -31543,7 +31582,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member buf_apl_data_out 7)) )) - (net current_b2_buffer_RNO_1_9 (joined + (net N_248 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -31551,7 +31590,7 @@ (portRef Q (instanceRef current_b2_buffer_9)) (portRef (member buf_apl_data_out 6)) )) - (net current_b2_buffer_RNO_0_10 (joined + (net N_259 (joined (portRef Z (instanceRef current_b2_buffer_RNO_10)) (portRef D (instanceRef current_b2_buffer_10)) )) @@ -31599,7 +31638,7 @@ (portRef Q (instanceRef current_b2_buffer_15)) (portRef (member buf_apl_data_out 0)) )) - (net current_b2_buffer_RNO_0_16 (joined + (net current_b2_buffer_RNO_1_16 (joined (portRef Z (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b2_buffer_16)) )) @@ -31607,7 +31646,7 @@ (portRef Q (instanceRef current_b2_buffer_16)) (portRef (member buf_apl_packet_num_out 2)) )) - (net N_336 (joined + (net current_b2_buffer_RNO_1_17 (joined (portRef Z (instanceRef current_b2_buffer_RNO_17)) (portRef D (instanceRef current_b2_buffer_17)) )) @@ -31615,7 +31654,7 @@ (portRef Q (instanceRef current_b2_buffer_17)) (portRef (member buf_apl_packet_num_out 1)) )) - (net N_347 (joined + (net current_b2_buffer_RNO_2_18 (joined (portRef Z (instanceRef current_b2_buffer_RNO_18)) (portRef D (instanceRef current_b2_buffer_18)) )) @@ -31742,16 +31781,36 @@ (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) - (net fifo_to_apl_data_out_8 (joined - (portRef (member fifo_to_apl_data_out 7)) - (portRef C (instanceRef current_b2_buffer_RNO_8)) - (portRef D (instanceRef current_b1_buffer_8)) + (net fifo_to_apl_data_out_5 (joined + (portRef (member fifo_to_apl_data_out 10)) + (portRef C (instanceRef current_b2_buffer_RNO_5)) + (portRef D (instanceRef current_b1_buffer_5)) + )) + (net fifo_to_apl_data_out_7 (joined + (portRef (member fifo_to_apl_data_out 8)) + (portRef C (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b1_buffer_7)) + )) + (net fifo_to_apl_data_out_10 (joined + (portRef (member fifo_to_apl_data_out 5)) + (portRef C (instanceRef current_b2_buffer_RNO_10)) + (portRef D (instanceRef current_b1_buffer_10)) + )) + (net fifo_to_apl_data_out_11 (joined + (portRef (member fifo_to_apl_data_out 4)) + (portRef C (instanceRef current_b2_buffer_RNO_11)) + (portRef D (instanceRef current_b1_buffer_11)) )) (net fifo_to_apl_data_out_12 (joined (portRef (member fifo_to_apl_data_out 3)) (portRef C (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b1_buffer_12)) )) + (net fifo_to_apl_data_out_13 (joined + (portRef (member fifo_to_apl_data_out 2)) + (portRef C (instanceRef current_b2_buffer_RNO_13)) + (portRef D (instanceRef current_b1_buffer_13)) + )) (net fifo_to_apl_data_out_14 (joined (portRef (member fifo_to_apl_data_out 1)) (portRef C (instanceRef current_b2_buffer_RNO_14)) @@ -31762,55 +31821,35 @@ (portRef C (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b1_buffer_15)) )) - (net fifo_to_apl_data_out_11 (joined - (portRef (member fifo_to_apl_data_out 4)) - (portRef C (instanceRef current_b2_buffer_RNO_11)) - (portRef D (instanceRef current_b1_buffer_11)) - )) - (net fifo_to_apl_packet_num_out_1 (joined - (portRef (member fifo_to_apl_packet_num_out 0)) - (portRef C (instanceRef current_b2_buffer_RNO_18)) - (portRef D (instanceRef current_b1_buffer_18)) + (net fifo_to_apl_data_out_8 (joined + (portRef (member fifo_to_apl_data_out 7)) + (portRef C (instanceRef current_b2_buffer_RNO_8)) + (portRef D (instanceRef current_b1_buffer_8)) )) (net buf_api_stat_fifo_to_apl_104 (joined (portRef (member buf_api_stat_fifo_to_apl 2)) (portRef A (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b1_buffer_0)) )) - (net fifo_to_apl_data_out_13 (joined - (portRef (member fifo_to_apl_data_out 2)) - (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef D (instanceRef current_b1_buffer_13)) - )) - (net fifo_to_apl_packet_num_out_0 (joined - (portRef (member fifo_to_apl_packet_num_out 1)) - (portRef C (instanceRef current_b2_buffer_RNO_16)) - (portRef D (instanceRef current_b1_buffer_16)) - )) - (net fifo_to_apl_data_out_10 (joined - (portRef (member fifo_to_apl_data_out 5)) - (portRef C (instanceRef current_b2_buffer_RNO_10)) - (portRef D (instanceRef current_b1_buffer_10)) + (net fifo_to_apl_data_out_6 (joined + (portRef (member fifo_to_apl_data_out 9)) + (portRef C (instanceRef current_b2_buffer_RNO_6)) + (portRef D (instanceRef current_b1_buffer_6)) )) (net fifo_to_apl_data_out_9 (joined (portRef (member fifo_to_apl_data_out 6)) (portRef C (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b1_buffer_9)) )) - (net fifo_to_apl_data_out_7 (joined - (portRef (member fifo_to_apl_data_out 8)) - (portRef C (instanceRef current_b2_buffer_RNO_7)) - (portRef D (instanceRef current_b1_buffer_7)) - )) - (net fifo_to_apl_data_out_6 (joined - (portRef (member fifo_to_apl_data_out 9)) - (portRef C (instanceRef current_b2_buffer_RNO_6)) - (portRef D (instanceRef current_b1_buffer_6)) + (net fifo_to_apl_packet_num_out_1 (joined + (portRef (member fifo_to_apl_packet_num_out 0)) + (portRef C (instanceRef current_b2_buffer_RNO_18)) + (portRef D (instanceRef current_b1_buffer_18)) )) - (net fifo_to_apl_data_out_5 (joined - (portRef (member fifo_to_apl_data_out 10)) - (portRef C (instanceRef current_b2_buffer_RNO_5)) - (portRef D (instanceRef current_b1_buffer_5)) + (net fifo_to_apl_packet_num_out_0 (joined + (portRef (member fifo_to_apl_packet_num_out 1)) + (portRef C (instanceRef current_b2_buffer_RNO_16)) + (portRef D (instanceRef current_b1_buffer_16)) )) (net fifo_to_apl_data_out_4 (joined (portRef (member fifo_to_apl_data_out 11)) @@ -31876,7 +31915,7 @@ (view netlist (viewType NETLIST) (interface (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(3:3)") 1) (direction INPUT)) - (port (array (rename state_to_int "state_to_int(0:0)") 1) (direction INPUT)) + (port (array (rename state_to_int "state_to_int(2:2)") 1) (direction INPUT)) (port (array (rename next_int_master_data_out "next_INT_MASTER_DATA_OUT(15:0)") 16) (direction INPUT)) (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_18 (direction INPUT)) @@ -31886,20 +31925,20 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port next_INT_MASTER_DATAREADY_OUT_iv_0 (direction INPUT)) (port sbuf_free (direction INPUT)) - (port un21_next_int_master_dataready_out_0 (direction INPUT)) + (port un11_next_int_master_dataready_out_0 (direction INPUT)) (port N_645 (direction INPUT)) (port N_647 (direction INPUT)) (port N_648 (direction INPUT)) (port N_649 (direction INPUT)) + (port N_650 (direction INPUT)) (port N_651 (direction INPUT)) (port N_652 (direction INPUT)) + (port N_653 (direction INPUT)) (port N_654 (direction INPUT)) - (port N_656 (direction INPUT)) - (port N_657 (direction INPUT)) - (port N_650 (direction INPUT)) (port N_655 (direction INPUT)) + (port N_656 (direction INPUT)) (port next_INT_MASTER_DATA_OUT_sn_N_17_i (direction INPUT)) - (port N_653 (direction INPUT)) + (port N_657 (direction INPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) (port un3_current_syn_dataready_out (direction OUTPUT)) (port GND (direction INPUT)) @@ -32010,22 +32049,19 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C A+C B))")) - ) - (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -32034,6 +32070,9 @@ (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C B))")) + ) (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) @@ -32148,14 +32187,14 @@ (portRef C (instanceRef current_b2_buffer_RNO_5)) (portRef C (instanceRef current_b2_buffer_RNO_6)) (portRef C (instanceRef current_b2_buffer_RNO_7)) + (portRef C (instanceRef current_b2_buffer_RNO_8)) (portRef C (instanceRef current_b2_buffer_RNO_9)) (portRef C (instanceRef current_b2_buffer_RNO_10)) + (portRef C (instanceRef current_b2_buffer_RNO_11)) (portRef C (instanceRef current_b2_buffer_RNO_12)) + (portRef C (instanceRef current_b2_buffer_RNO_13)) (portRef C (instanceRef current_b2_buffer_RNO_14)) (portRef C (instanceRef current_b2_buffer_RNO_15)) - (portRef C (instanceRef current_b2_buffer_RNO_8)) - (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef C (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_buffer_state_ns_1_0__N_6_i)) (portRef D (instanceRef combined_COMB_DATAREADY_IN_RNI76771)) (portRef D (instanceRef current_next_READ_OUT_RNO)) @@ -32208,7 +32247,7 @@ (portRef Q (instanceRef current_b2_buffer_2)) (portRef (member current_b2_buffer 1)) )) - (net current_b2_buffer_RNO_1_3 (joined + (net current_b2_buffer_RNO_0_3 (joined (portRef Z (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_3)) )) @@ -32240,7 +32279,7 @@ (portRef Q (instanceRef current_b2_buffer_6)) (portRef (member apl_to_buf_reply_data 9)) )) - (net current_b2_buffer_RNO_0_7 (joined + (net current_b2_buffer_RNO_1_7 (joined (portRef Z (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_b2_buffer_7)) )) @@ -32248,7 +32287,7 @@ (portRef Q (instanceRef current_b2_buffer_7)) (portRef (member apl_to_buf_reply_data 8)) )) - (net N_237 (joined + (net current_b2_buffer_RNO_2_8 (joined (portRef Z (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_8)) )) @@ -32256,7 +32295,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member apl_to_buf_reply_data 7)) )) - (net current_b2_buffer_RNO_0_9 (joined + (net current_b2_buffer_RNO_1_9 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -32264,7 +32303,7 @@ (portRef Q (instanceRef current_b2_buffer_9)) (portRef (member apl_to_buf_reply_data 6)) )) - (net current_b2_buffer_RNO_10 (joined + (net current_b2_buffer_RNO_0_10 (joined (portRef Z (instanceRef current_b2_buffer_RNO_10)) (portRef D (instanceRef current_b2_buffer_10)) )) @@ -32272,7 +32311,7 @@ (portRef Q (instanceRef current_b2_buffer_10)) (portRef (member apl_to_buf_reply_data 5)) )) - (net N_270 (joined + (net current_b2_buffer_RNO_2_11 (joined (portRef Z (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_11)) )) @@ -32280,7 +32319,7 @@ (portRef Q (instanceRef current_b2_buffer_11)) (portRef (member apl_to_buf_reply_data 4)) )) - (net current_b2_buffer_RNO_12 (joined + (net current_b2_buffer_RNO_1_12 (joined (portRef Z (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b2_buffer_12)) )) @@ -32288,7 +32327,7 @@ (portRef Q (instanceRef current_b2_buffer_12)) (portRef (member apl_to_buf_reply_data 3)) )) - (net N_292 (joined + (net current_b2_buffer_RNO_1_13 (joined (portRef Z (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b2_buffer_13)) )) @@ -32296,7 +32335,7 @@ (portRef Q (instanceRef current_b2_buffer_13)) (portRef (member apl_to_buf_reply_data 2)) )) - (net current_b2_buffer_RNO_14 (joined + (net current_b2_buffer_RNO_1_14 (joined (portRef Z (instanceRef current_b2_buffer_RNO_14)) (portRef D (instanceRef current_b2_buffer_14)) )) @@ -32312,7 +32351,7 @@ (portRef Q (instanceRef current_b2_buffer_15)) (portRef (member apl_to_buf_reply_data 0)) )) - (net N_347 (joined + (net current_b2_buffer_RNO_1_18 (joined (portRef Z (instanceRef current_b2_buffer_RNO_18)) (portRef D (instanceRef current_b2_buffer_18)) )) @@ -32514,13 +32553,13 @@ (portRef Z (instanceRef COMB_next_READ_OUT_f1)) (portRef COMB_next_READ_OUT_f1_0) )) - (net N_653 (joined - (portRef N_653) - (portRef A (instanceRef current_b2_buffer_RNO_11)) + (net N_657 (joined + (portRef N_657) + (portRef A (instanceRef current_b2_buffer_RNO_15)) )) - (net N_655 (joined - (portRef N_655) - (portRef A (instanceRef current_b2_buffer_RNO_13)) + (net N_656 (joined + (portRef N_656) + (portRef A (instanceRef current_b2_buffer_RNO_14)) )) (net next_INT_MASTER_DATA_OUT_sn_N_17_i (joined (portRef next_INT_MASTER_DATA_OUT_sn_N_17_i) @@ -32528,31 +32567,27 @@ (portRef D (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_RNO_10)) + (portRef D (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_RNO_12)) + (portRef D (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b2_buffer_RNO_14)) (portRef D (instanceRef current_b2_buffer_RNO_15)) - (portRef D (instanceRef current_b2_buffer_RNO_8)) - (portRef D (instanceRef current_b2_buffer_RNO_13)) - (portRef D (instanceRef current_b2_buffer_RNO_11)) )) - (net N_650 (joined - (portRef N_650) - (portRef A (instanceRef current_b2_buffer_RNO_8)) - )) - (net N_657 (joined - (portRef N_657) - (portRef A (instanceRef current_b2_buffer_RNO_15)) - )) - (net N_656 (joined - (portRef N_656) - (portRef A (instanceRef current_b2_buffer_RNO_14)) + (net N_655 (joined + (portRef N_655) + (portRef A (instanceRef current_b2_buffer_RNO_13)) )) (net N_654 (joined (portRef N_654) (portRef A (instanceRef current_b2_buffer_RNO_12)) )) + (net N_653 (joined + (portRef N_653) + (portRef A (instanceRef current_b2_buffer_RNO_11)) + )) (net N_652 (joined (portRef N_652) (portRef A (instanceRef current_b2_buffer_RNO_10)) @@ -32561,6 +32596,10 @@ (portRef N_651) (portRef A (instanceRef current_b2_buffer_RNO_9)) )) + (net N_650 (joined + (portRef N_650) + (portRef A (instanceRef current_b2_buffer_RNO_8)) + )) (net N_649 (joined (portRef N_649) (portRef A (instanceRef current_b2_buffer_RNO_7)) @@ -32597,11 +32636,11 @@ (portRef C (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b1_buffer_4)) )) - (net un21_next_int_master_dataready_out_0 (joined - (portRef un21_next_int_master_dataready_out_0) + (net un11_next_int_master_dataready_out_0 (joined + (portRef un11_next_int_master_dataready_out_0) (portRef A (instanceRef combined_COMB_DATAREADY_IN_RNO)) )) - (net state_to_int_0 (joined + (net state_to_int_2 (joined (portRef (member state_to_int 0)) (portRef B (instanceRef combined_COMB_DATAREADY_IN_RNO)) )) @@ -32622,25 +32661,19 @@ (port (array (rename fifo_to_int_data_out "fifo_to_int_data_out(15:3)") 13) (direction OUTPUT)) (port (array (rename buf_apl_packet_num_in "buf_APL_PACKET_NUM_IN(3:3)") 1) (direction INPUT)) (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(31:16)") 16) (direction INPUT)) - (port (array (rename state "state(1:0)") 2) (direction INPUT)) - (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_7 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_2 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_0 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_6 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_12 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_9 (direction INPUT)) - (port buf_api_stat_fifo_to_int_0 (direction INPUT)) - (port buf_api_stat_fifo_to_int_11 (direction OUTPUT)) - (port reset_i_19 (direction INPUT)) + (port buf_api_stat_fifo_to_int_3 (direction INPUT)) + (port buf_api_stat_fifo_to_int_5 (direction OUTPUT)) + (port reset_i_20 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) - (port FF_23_RNICS201 (direction OUTPUT)) - (port waiting_word (direction INPUT)) + (port N_5723_i (direction INPUT)) ) (contents - (instance FF_23_RNICS201 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) (instance AND2_t4 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) ) (instance INV_8 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) @@ -32834,24 +32867,8 @@ (instance e_cmp_2 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_1 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_0 (viewRef syn_black_box (cellRef ALEB2)) ) - (net waiting_word (joined - (portRef waiting_word) - (portRef A (instanceRef FF_23_RNICS201)) - )) - (net state_1 (joined - (portRef (member state 0)) - (portRef C (instanceRef FF_23_RNICS201)) - )) - (net state_0 (joined - (portRef (member state 1)) - (portRef D (instanceRef FF_23_RNICS201)) - )) - (net FF_23_RNICS201 (joined - (portRef Z (instanceRef FF_23_RNICS201)) - (portRef FF_23_RNICS201) - )) - (net buf_api_stat_fifo_to_int_0 (joined - (portRef buf_api_stat_fifo_to_int_0) + (net N_5723_i (joined + (portRef N_5723_i) (portRef A (instanceRef AND2_t4)) )) (net invout_2 (joined @@ -32887,15 +32904,8 @@ (portRef A (instanceRef XOR2_t1)) (portRef A (instanceRef AND2_t2)) )) - (net buf_api_stat_fifo_to_int_11 (joined - (portRef Q (instanceRef FF_23)) - (portRef AD0 (instanceRef LUT4_0)) - (portRef A (instanceRef INV_8)) - (portRef B (instanceRef FF_23_RNICS201)) - (portRef buf_api_stat_fifo_to_int_11) - )) - (net buf_api_stat_fifo_to_int_9 (joined - (portRef buf_api_stat_fifo_to_int_9) + (net buf_api_stat_fifo_to_int_3 (joined + (portRef buf_api_stat_fifo_to_int_3) (portRef A (instanceRef AND2_t3)) )) (net invout_1 (joined @@ -32954,11 +32964,11 @@ (portRef Z (instanceRef INV_5)) (portRef B0 (instanceRef g_cmp_5)) )) - (net buf_api_stat_fifo_to_int_12 (joined + (net buf_api_stat_fifo_to_int_6 (joined (portRef Q (instanceRef FF_24)) (portRef AD0 (instanceRef LUT4_1)) (portRef A (instanceRef INV_7)) - (portRef buf_api_stat_fifo_to_int_12) + (portRef buf_api_stat_fifo_to_int_6) )) (net cmp_le_1 (joined (portRef S0 (instanceRef a0)) @@ -32968,6 +32978,12 @@ (portRef DO0 (instanceRef LUT4_1)) (portRef D (instanceRef FF_24)) )) + (net buf_api_stat_fifo_to_int_5 (joined + (portRef Q (instanceRef FF_23)) + (portRef AD0 (instanceRef LUT4_0)) + (portRef A (instanceRef INV_8)) + (portRef buf_api_stat_fifo_to_int_5) + )) (net cmp_ge_d1 (joined (portRef S0 (instanceRef a1)) (portRef AD2 (instanceRef LUT4_0)) @@ -33271,8 +33287,8 @@ (portRef CLKB (instanceRef pdp_ram_0_0_0)) (portRef CLKA (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_19 (joined - (portRef reset_i_19) + (net reset_i_20 (joined + (portRef reset_i_20) (portRef CD (instanceRef FF_2)) (portRef CD (instanceRef FF_3)) (portRef CD (instanceRef FF_4)) @@ -33363,17 +33379,17 @@ (net un1_pdp_ram_0_0_0_17 (joined (portRef DOA17 (instanceRef pdp_ram_0_0_0)) )) - (net buf_api_stat_fifo_to_int_6 (joined + (net buf_api_stat_fifo_to_int_0 (joined (portRef DOB0 (instanceRef pdp_ram_0_0_0)) - (portRef buf_api_stat_fifo_to_int_6) + (portRef buf_api_stat_fifo_to_int_0) )) - (net buf_api_stat_fifo_to_int_7 (joined + (net buf_api_stat_fifo_to_int_1 (joined (portRef DOB1 (instanceRef pdp_ram_0_0_0)) - (portRef buf_api_stat_fifo_to_int_7) + (portRef buf_api_stat_fifo_to_int_1) )) - (net buf_api_stat_fifo_to_int_8 (joined + (net buf_api_stat_fifo_to_int_2 (joined (portRef DOB2 (instanceRef pdp_ram_0_0_0)) - (portRef buf_api_stat_fifo_to_int_8) + (portRef buf_api_stat_fifo_to_int_2) )) (net fifo_to_int_data_out_3 (joined (portRef DOB3 (instanceRef pdp_ram_0_0_0)) @@ -33812,7 +33828,7 @@ (port buf_api_stat_fifo_to_apl_8 (direction INPUT)) (port buf_api_stat_fifo_to_apl_0 (direction INPUT)) (port buf_api_stat_fifo_to_apl_11 (direction OUTPUT)) - (port reset_i_19 (direction INPUT)) + (port reset_i_20 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -34441,8 +34457,8 @@ (portRef CLKB (instanceRef pdp_ram_0_0_0)) (portRef CLKA (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_19 (joined - (portRef reset_i_19) + (net reset_i_20 (joined + (portRef reset_i_20) (portRef CD (instanceRef FF_2)) (portRef CD (instanceRef FF_3)) (portRef CD (instanceRef FF_4)) @@ -35078,6 +35094,9 @@ (instance un1_next_buffer_state_2_sqmuxa_1_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) + (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) (instance current_b2_buffer_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) @@ -35087,6 +35106,12 @@ (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) + (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) @@ -35099,39 +35124,30 @@ (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) (instance COMB_next_READ_OUT_f1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) @@ -35245,31 +35261,31 @@ (portRef D (instanceRef current_next_READ_OUT_RNO)) (portRef D (instanceRef current_buffer_state_ns_1_0__m7)) (portRef C (instanceRef COMB_next_READ_OUT_f1)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - (portRef C (instanceRef current_b2_buffer_RNO_1)) - (portRef B (instanceRef current_b2_buffer_RNO_2)) - (portRef B (instanceRef current_b2_buffer_RNO_6)) - (portRef B (instanceRef current_b2_buffer_RNO_7)) (portRef B (instanceRef current_b2_buffer_RNO_16)) (portRef B (instanceRef current_b2_buffer_RNO_18)) + (portRef B (instanceRef current_b2_buffer_RNO_13)) (portRef B (instanceRef current_b2_buffer_RNO_8)) + (portRef C (instanceRef current_b2_buffer_RNO_1)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) (portRef B (instanceRef current_b2_buffer_RNO_15)) (portRef B (instanceRef current_b2_buffer_RNO_14)) - (portRef B (instanceRef current_b2_buffer_RNO_13)) (portRef B (instanceRef current_b2_buffer_RNO_12)) (portRef B (instanceRef current_b2_buffer_RNO_11)) (portRef B (instanceRef current_b2_buffer_RNO_10)) (portRef B (instanceRef current_b2_buffer_RNO_9)) + (portRef B (instanceRef current_b2_buffer_RNO_7)) + (portRef B (instanceRef current_b2_buffer_RNO_6)) (portRef B (instanceRef current_b2_buffer_RNO_5)) (portRef B (instanceRef current_b2_buffer_RNO_4)) (portRef B (instanceRef current_b2_buffer_RNO_3)) + (portRef B (instanceRef current_b2_buffer_RNO_2)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) (net N_149 (joined (portRef Z (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b2_buffer_0)) )) - (net N_77_0 (joined + (net N_39 (joined (portRef Z (instanceRef move_b2_buffer_i)) (portRef SP (instanceRef current_b2_buffer_18)) (portRef SP (instanceRef current_b2_buffer_17)) @@ -35415,7 +35431,7 @@ (portRef Q (instanceRef current_b2_buffer_15)) (portRef (member buf_apl_data_out 0)) )) - (net N_325 (joined + (net current_b2_buffer_RNO_0_16 (joined (portRef Z (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b2_buffer_16)) )) @@ -35423,7 +35439,7 @@ (portRef Q (instanceRef current_b2_buffer_16)) (portRef (member buf_apl_packet_num_out 2)) )) - (net N_336 (joined + (net current_b2_buffer_RNO_0_17 (joined (portRef Z (instanceRef current_b2_buffer_RNO_17)) (portRef D (instanceRef current_b2_buffer_17)) )) @@ -35431,7 +35447,7 @@ (portRef Q (instanceRef current_b2_buffer_17)) (portRef (member buf_apl_packet_num_out 1)) )) - (net N_347 (joined + (net current_b2_buffer_RNO_0_18 (joined (portRef Z (instanceRef current_b2_buffer_RNO_18)) (portRef D (instanceRef current_b2_buffer_18)) )) @@ -35439,7 +35455,7 @@ (portRef Q (instanceRef current_b2_buffer_18)) (portRef (member buf_apl_packet_num_out 0)) )) - (net move_b1_buffer_0_i (joined + (net N_31_i (joined (portRef Z (instanceRef combined_COMB_DATAREADY_IN_RNI5CSK)) (portRef SP (instanceRef current_b1_buffer_18)) (portRef SP (instanceRef current_b1_buffer_17)) @@ -35558,6 +35574,11 @@ (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) + (net fifo_to_apl_data_out_2 (joined + (portRef (member fifo_to_apl_data_out 13)) + (portRef C (instanceRef current_b2_buffer_RNO_2)) + (portRef D (instanceRef current_b1_buffer_2)) + )) (net fifo_to_apl_data_out_3 (joined (portRef (member fifo_to_apl_data_out 12)) (portRef C (instanceRef current_b2_buffer_RNO_3)) @@ -35573,6 +35594,16 @@ (portRef C (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b1_buffer_5)) )) + (net fifo_to_apl_data_out_6 (joined + (portRef (member fifo_to_apl_data_out 9)) + (portRef C (instanceRef current_b2_buffer_RNO_6)) + (portRef D (instanceRef current_b1_buffer_6)) + )) + (net fifo_to_apl_data_out_7 (joined + (portRef (member fifo_to_apl_data_out 8)) + (portRef C (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b1_buffer_7)) + )) (net fifo_to_apl_data_out_9 (joined (portRef (member fifo_to_apl_data_out 6)) (portRef C (instanceRef current_b2_buffer_RNO_9)) @@ -35593,11 +35624,6 @@ (portRef C (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b1_buffer_12)) )) - (net fifo_to_apl_data_out_13 (joined - (portRef (member fifo_to_apl_data_out 2)) - (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef D (instanceRef current_b1_buffer_13)) - )) (net fifo_to_apl_data_out_14 (joined (portRef (member fifo_to_apl_data_out 1)) (portRef C (instanceRef current_b2_buffer_RNO_14)) @@ -35608,11 +35634,26 @@ (portRef C (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b1_buffer_15)) )) + (net buf_api_stat_fifo_to_apl_40 (joined + (portRef (member buf_api_stat_fifo_to_apl 1)) + (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) + )) + (net buf_api_stat_fifo_to_apl_41 (joined + (portRef (member buf_api_stat_fifo_to_apl 0)) + (portRef A (instanceRef current_b2_buffer_RNO_1)) + (portRef D (instanceRef current_b1_buffer_1)) + )) (net fifo_to_apl_data_out_8 (joined (portRef (member fifo_to_apl_data_out 7)) (portRef C (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b1_buffer_8)) )) + (net fifo_to_apl_data_out_13 (joined + (portRef (member fifo_to_apl_data_out 2)) + (portRef C (instanceRef current_b2_buffer_RNO_13)) + (portRef D (instanceRef current_b1_buffer_13)) + )) (net fifo_to_apl_packet_num_out_1 (joined (portRef (member fifo_to_apl_packet_num_out 0)) (portRef C (instanceRef current_b2_buffer_RNO_18)) @@ -35623,31 +35664,6 @@ (portRef C (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b1_buffer_16)) )) - (net fifo_to_apl_data_out_7 (joined - (portRef (member fifo_to_apl_data_out 8)) - (portRef C (instanceRef current_b2_buffer_RNO_7)) - (portRef D (instanceRef current_b1_buffer_7)) - )) - (net fifo_to_apl_data_out_6 (joined - (portRef (member fifo_to_apl_data_out 9)) - (portRef C (instanceRef current_b2_buffer_RNO_6)) - (portRef D (instanceRef current_b1_buffer_6)) - )) - (net fifo_to_apl_data_out_2 (joined - (portRef (member fifo_to_apl_data_out 13)) - (portRef C (instanceRef current_b2_buffer_RNO_2)) - (portRef D (instanceRef current_b1_buffer_2)) - )) - (net buf_api_stat_fifo_to_apl_41 (joined - (portRef (member buf_api_stat_fifo_to_apl 0)) - (portRef A (instanceRef current_b2_buffer_RNO_1)) - (portRef D (instanceRef current_b1_buffer_1)) - )) - (net buf_api_stat_fifo_to_apl_40 (joined - (portRef (member buf_api_stat_fifo_to_apl 1)) - (portRef A (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) - )) (net buf_SYN_READ_IN (joined (portRef C (instanceRef move_b2_buffer_i)) (portRef A (instanceRef current_buffer_state_ns_1_0__N_6_i)) @@ -35692,7 +35708,7 @@ (view netlist (viewType NETLIST) (interface (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(1:1)") 1) (direction INPUT)) - (port (array (rename state_to_int "state_to_int(0:0)") 1) (direction INPUT)) + (port (array (rename state_to_int "state_to_int(2:2)") 1) (direction INPUT)) (port (array (rename next_int_master_data_out "next_INT_MASTER_DATA_OUT(15:0)") 16) (direction INPUT)) (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_18 (direction INPUT)) @@ -35701,18 +35717,18 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port next_INT_MASTER_DATAREADY_OUT_iv_0 (direction INPUT)) (port sbuf_free (direction INPUT)) - (port un21_next_int_master_dataready_out_0 (direction INPUT)) + (port un11_next_int_master_dataready_out_0 (direction INPUT)) (port N_560 (direction INPUT)) (port N_561 (direction INPUT)) - (port N_570 (direction INPUT)) - (port N_572 (direction INPUT)) - (port N_571 (direction INPUT)) + (port N_564 (direction INPUT)) + (port N_565 (direction INPUT)) + (port N_566 (direction INPUT)) (port N_569 (direction INPUT)) + (port N_570 (direction INPUT)) (port N_567 (direction INPUT)) - (port N_566 (direction INPUT)) - (port N_565 (direction INPUT)) + (port N_572 (direction INPUT)) (port next_INT_MASTER_DATA_OUT_sn_N_17_0 (direction INPUT)) - (port N_564 (direction INPUT)) + (port N_571 (direction INPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) (port un3_current_syn_dataready_out (direction OUTPUT)) (port GND (direction INPUT)) @@ -35823,28 +35839,28 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -35853,10 +35869,10 @@ (instance current_b2_buffer_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -35868,7 +35884,7 @@ (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -35953,22 +35969,22 @@ (net current_buffer_state_1 (joined (portRef Q (instanceRef current_buffer_state_1)) (portRef D (instanceRef move_b2_buffer_i)) - (portRef B (instanceRef current_b2_buffer_RNO_2)) + (portRef B (instanceRef current_b2_buffer_RNO_0)) (portRef B (instanceRef current_b2_buffer_RNO_6)) (portRef B (instanceRef current_b2_buffer_RNO_11)) (portRef B (instanceRef current_b2_buffer_RNO_5)) + (portRef B (instanceRef current_b2_buffer_RNO_2)) (portRef B (instanceRef current_b2_buffer_RNO_1)) - (portRef B (instanceRef current_b2_buffer_RNO_0)) (portRef C (instanceRef current_b2_buffer_RNO_3)) (portRef C (instanceRef current_b2_buffer_RNO_4)) + (portRef C (instanceRef current_b2_buffer_RNO_7)) + (portRef C (instanceRef current_b2_buffer_RNO_8)) + (portRef C (instanceRef current_b2_buffer_RNO_9)) + (portRef C (instanceRef current_b2_buffer_RNO_12)) (portRef C (instanceRef current_b2_buffer_RNO_13)) + (portRef C (instanceRef current_b2_buffer_RNO_10)) (portRef C (instanceRef current_b2_buffer_RNO_15)) (portRef C (instanceRef current_b2_buffer_RNO_14)) - (portRef C (instanceRef current_b2_buffer_RNO_12)) - (portRef C (instanceRef current_b2_buffer_RNO_10)) - (portRef C (instanceRef current_b2_buffer_RNO_9)) - (portRef C (instanceRef current_b2_buffer_RNO_8)) - (portRef C (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_buffer_state_ns_1_0__N_6_i)) (portRef D (instanceRef combined_COMB_DATAREADY_IN_RNIV5771)) (portRef D (instanceRef current_next_READ_OUT_RNO)) @@ -35977,7 +35993,7 @@ (portRef C (instanceRef current_b2_buffer_RNO_18)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) - (net N_149 (joined + (net current_b2_buffer_RNO_0_0 (joined (portRef Z (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b2_buffer_0)) )) @@ -36013,7 +36029,7 @@ (portRef Q (instanceRef current_b2_buffer_1)) (portRef (member apl_to_buf_reply_data 14)) )) - (net current_b2_buffer_RNO_0_2 (joined + (net N_171 (joined (portRef Z (instanceRef current_b2_buffer_RNO_2)) (portRef D (instanceRef current_b2_buffer_2)) )) @@ -36021,7 +36037,7 @@ (portRef Q (instanceRef current_b2_buffer_2)) (portRef (member apl_to_buf_reply_data 13)) )) - (net current_b2_buffer_RNO_0_3 (joined + (net current_b2_buffer_RNO_3 (joined (portRef Z (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_3)) )) @@ -36029,7 +36045,7 @@ (portRef Q (instanceRef current_b2_buffer_3)) (portRef (member apl_to_buf_reply_data 12)) )) - (net current_b2_buffer_RNO_0_4 (joined + (net current_b2_buffer_RNO_1_4 (joined (portRef Z (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b2_buffer_4)) )) @@ -36053,7 +36069,7 @@ (portRef Q (instanceRef current_b2_buffer_6)) (portRef (member apl_to_buf_reply_data 9)) )) - (net N_226 (joined + (net current_b2_buffer_RNO_0_7 (joined (portRef Z (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_b2_buffer_7)) )) @@ -36061,7 +36077,7 @@ (portRef Q (instanceRef current_b2_buffer_7)) (portRef (member apl_to_buf_reply_data 8)) )) - (net N_237 (joined + (net current_b2_buffer_RNO_1_8 (joined (portRef Z (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_8)) )) @@ -36069,7 +36085,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member apl_to_buf_reply_data 7)) )) - (net N_248 (joined + (net current_b2_buffer_RNO_0_9 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -36085,7 +36101,7 @@ (portRef Q (instanceRef current_b2_buffer_10)) (portRef (member apl_to_buf_reply_data 5)) )) - (net current_b2_buffer_RNO_11 (joined + (net current_b2_buffer_RNO_1_11 (joined (portRef Z (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_11)) )) @@ -36093,7 +36109,7 @@ (portRef Q (instanceRef current_b2_buffer_11)) (portRef (member apl_to_buf_reply_data 4)) )) - (net N_281 (joined + (net current_b2_buffer_RNO_0_12 (joined (portRef Z (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b2_buffer_12)) )) @@ -36101,7 +36117,7 @@ (portRef Q (instanceRef current_b2_buffer_12)) (portRef (member apl_to_buf_reply_data 3)) )) - (net current_b2_buffer_RNO_13 (joined + (net current_b2_buffer_RNO_0_13 (joined (portRef Z (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b2_buffer_13)) )) @@ -36319,50 +36335,50 @@ (portRef Z (instanceRef COMB_next_READ_OUT_f1)) (portRef COMB_next_READ_OUT_f1_0) )) - (net N_564 (joined - (portRef N_564) - (portRef A (instanceRef current_b2_buffer_RNO_7)) + (net N_571 (joined + (portRef N_571) + (portRef A (instanceRef current_b2_buffer_RNO_14)) )) - (net N_565 (joined - (portRef N_565) - (portRef A (instanceRef current_b2_buffer_RNO_8)) + (net N_572 (joined + (portRef N_572) + (portRef A (instanceRef current_b2_buffer_RNO_15)) )) (net next_INT_MASTER_DATA_OUT_sn_N_17_0 (joined (portRef next_INT_MASTER_DATA_OUT_sn_N_17_0) (portRef D (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_RNO_4)) + (portRef D (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b2_buffer_RNO_8)) + (portRef D (instanceRef current_b2_buffer_RNO_9)) + (portRef D (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b2_buffer_RNO_13)) + (portRef D (instanceRef current_b2_buffer_RNO_10)) (portRef D (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b2_buffer_RNO_14)) - (portRef D (instanceRef current_b2_buffer_RNO_12)) - (portRef D (instanceRef current_b2_buffer_RNO_10)) - (portRef D (instanceRef current_b2_buffer_RNO_9)) - (portRef D (instanceRef current_b2_buffer_RNO_8)) - (portRef D (instanceRef current_b2_buffer_RNO_7)) - )) - (net N_566 (joined - (portRef N_566) - (portRef A (instanceRef current_b2_buffer_RNO_9)) )) (net N_567 (joined (portRef N_567) (portRef A (instanceRef current_b2_buffer_RNO_10)) )) + (net N_570 (joined + (portRef N_570) + (portRef A (instanceRef current_b2_buffer_RNO_13)) + )) (net N_569 (joined (portRef N_569) (portRef A (instanceRef current_b2_buffer_RNO_12)) )) - (net N_571 (joined - (portRef N_571) - (portRef A (instanceRef current_b2_buffer_RNO_14)) + (net N_566 (joined + (portRef N_566) + (portRef A (instanceRef current_b2_buffer_RNO_9)) )) - (net N_572 (joined - (portRef N_572) - (portRef A (instanceRef current_b2_buffer_RNO_15)) + (net N_565 (joined + (portRef N_565) + (portRef A (instanceRef current_b2_buffer_RNO_8)) )) - (net N_570 (joined - (portRef N_570) - (portRef A (instanceRef current_b2_buffer_RNO_13)) + (net N_564 (joined + (portRef N_564) + (portRef A (instanceRef current_b2_buffer_RNO_7)) )) (net N_561 (joined (portRef N_561) @@ -36372,16 +36388,16 @@ (portRef N_560) (portRef A (instanceRef current_b2_buffer_RNO_3)) )) - (net next_INT_MASTER_DATA_OUT_0 (joined - (portRef (member next_int_master_data_out 15)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) - )) (net next_INT_MASTER_DATA_OUT_1 (joined (portRef (member next_int_master_data_out 14)) (portRef C (instanceRef current_b2_buffer_RNO_1)) (portRef D (instanceRef current_b1_buffer_1)) )) + (net next_INT_MASTER_DATA_OUT_2 (joined + (portRef (member next_int_master_data_out 13)) + (portRef C (instanceRef current_b2_buffer_RNO_2)) + (portRef D (instanceRef current_b1_buffer_2)) + )) (net next_INT_MASTER_DATA_OUT_5 (joined (portRef (member next_int_master_data_out 10)) (portRef C (instanceRef current_b2_buffer_RNO_5)) @@ -36397,16 +36413,16 @@ (portRef C (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b1_buffer_6)) )) - (net next_INT_MASTER_DATA_OUT_2 (joined - (portRef (member next_int_master_data_out 13)) - (portRef C (instanceRef current_b2_buffer_RNO_2)) - (portRef D (instanceRef current_b1_buffer_2)) + (net next_INT_MASTER_DATA_OUT_0 (joined + (portRef (member next_int_master_data_out 15)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) )) - (net un21_next_int_master_dataready_out_0 (joined - (portRef un21_next_int_master_dataready_out_0) + (net un11_next_int_master_dataready_out_0 (joined + (portRef un11_next_int_master_dataready_out_0) (portRef A (instanceRef combined_COMB_DATAREADY_IN_RNO)) )) - (net state_to_int_0 (joined + (net state_to_int_2 (joined (portRef (member state_to_int 0)) (portRef B (instanceRef combined_COMB_DATAREADY_IN_RNO)) )) @@ -36435,7 +36451,7 @@ (port clk_100_i_c (direction INPUT)) ) (contents - (instance CRC_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance CRC_1_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -36476,18 +36492,15 @@ (instance next_CRC_OUT_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance CRC_1_i_x2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) - ) - (instance CRC_1_i_x2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B A+B !A))+D (!C (!B A+B !A)+C (!B !A+B A)))")) - ) (instance next_CRC_OUT_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) + (instance CRC_1_i_x2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B A+B !A))+D (!C (!B A+B !A)+C (!B !A+B A)))")) + ) (instance next_CRC_OUT_0_a2_i_x2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B A+B !A))+D (!C (!B A+B !A)+C (!B !A+B A)))")) ) @@ -36506,6 +36519,9 @@ (instance CRC_1_i_x2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B A+B !A))+D (!C (!B A+B !A)+C (!B !A+B A)))")) ) + (instance CRC_1_i_x2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) + ) (instance next_CRC_OUT_0_a2_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) @@ -36515,9 +36531,6 @@ (instance next_CRC_OUT_0_a2_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_0_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) - ) (instance next_CRC_OUT_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) ) @@ -36533,6 +36546,9 @@ (instance CRC_1_i_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) + (instance next_CRC_OUT_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) + ) (instance next_CRC_OUT_0_a2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) @@ -36587,32 +36603,22 @@ (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) - (net N_343 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0)) - (portRef C (instanceRef next_CRC_OUT_0_a2_1)) - (portRef C (instanceRef next_CRC_OUT_0_a2_15)) - (portRef A (instanceRef CRC_1_RNO_0)) - )) (net next_CRC_OUT_0_a2_0_0 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_0_5_0)) - (portRef B (instanceRef CRC_1_RNO_0)) - )) - (net next_CRC_OUT_0 (joined - (portRef Z (instanceRef CRC_1_RNO_0)) - (portRef B (instanceRef CRC_1_0)) + (portRef Z (instanceRef next_CRC_OUT_0_a2_RNO_0)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0)) )) (net int_data_in_i_13 (joined (portRef (member int_data_in_i 2)) (portRef B (instanceRef next_CRC_OUT_1_0)) (portRef C (instanceRef CRC_1_RNO_14)) - (portRef C (instanceRef CRC_1_RNO_0)) + (portRef C (instanceRef next_CRC_OUT_0_a2_RNO_0)) )) (net CRC_13 (joined (portRef Q (instanceRef CRC_13)) (portRef A (instanceRef CRC_1_13)) (portRef A (instanceRef next_CRC_OUT_1_0)) (portRef D (instanceRef CRC_1_RNO_14)) - (portRef D (instanceRef CRC_1_RNO_0)) + (portRef D (instanceRef next_CRC_OUT_0_a2_RNO_0)) (portRef (member crc 2)) )) (net next_CRC_OUT_14 (joined @@ -36623,6 +36629,13 @@ (portRef Z (instanceRef CRC_1_0)) (portRef D (instanceRef CRC_0)) )) + (net CRC_0 (joined + (portRef Q (instanceRef CRC_0)) + (portRef A (instanceRef CRC_1_0)) + (portRef A (instanceRef next_CRC_OUT_0_a2_0_15)) + (portRef B (instanceRef next_CRC_OUT_0_a2_RNO_0)) + (portRef (member crc 15)) + )) (net N_19 (joined (portRef Z (instanceRef CRC_1_1)) (portRef D (instanceRef CRC_1)) @@ -36719,42 +36732,6 @@ (portRef Z (instanceRef next_CRC_OUT_1_0)) (portRef B (instanceRef next_CRC_OUT_0_a2_1)) )) - (net CRC_3 (joined - (portRef Q (instanceRef CRC_3)) - (portRef A (instanceRef CRC_1_3)) - (portRef B (instanceRef next_CRC_OUT_0_a2_4)) - (portRef A (instanceRef CRC_1_i_x2_5)) - (portRef (member crc 12)) - )) - (net CRC_4 (joined - (portRef Q (instanceRef CRC_4)) - (portRef A (instanceRef CRC_1_4)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0_0_0)) - (portRef A (instanceRef CRC_1_i_x2_6)) - (portRef B (instanceRef CRC_1_i_x2_5)) - (portRef (member crc 11)) - )) - (net N_67_i (joined - (portRef Z (instanceRef CRC_1_i_x2_5)) - (portRef C (instanceRef CRC_RNO_5)) - )) - (net CRC_5 (joined - (portRef Q (instanceRef CRC_5)) - (portRef A (instanceRef CRC_RNO_5)) - (portRef A (instanceRef next_CRC_OUT_0_a2_0_x2_7)) - (portRef B (instanceRef CRC_1_i_x2_6)) - (portRef (member crc 10)) - )) - (net int_data_in_i_4 (joined - (portRef (member int_data_in_i 11)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0_0_0)) - (portRef C (instanceRef CRC_1_i_x2_6)) - (portRef D (instanceRef CRC_1_i_x2_5)) - )) - (net N_74_i (joined - (portRef Z (instanceRef CRC_1_i_x2_6)) - (portRef C (instanceRef CRC_RNO_6)) - )) (net CRC_11 (joined (portRef Q (instanceRef CRC_11)) (portRef A (instanceRef CRC_1_11)) @@ -36779,16 +36756,37 @@ (portRef A (instanceRef next_CRC_OUT_0_a2_4)) (portRef (member crc 13)) )) - (net int_data_in_i_3 (joined - (portRef (member int_data_in_i 12)) - (portRef D (instanceRef next_CRC_OUT_0_a2_4)) - (portRef C (instanceRef CRC_1_i_x2_5)) + (net CRC_3 (joined + (portRef Q (instanceRef CRC_3)) + (portRef A (instanceRef CRC_1_3)) + (portRef A (instanceRef CRC_1_i_x2_5)) + (portRef B (instanceRef next_CRC_OUT_0_a2_4)) + (portRef (member crc 12)) )) (net next_CRC_OUT_4 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_4)) (portRef B (instanceRef CRC_1_4)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_0)) )) + (net CRC_4 (joined + (portRef Q (instanceRef CRC_4)) + (portRef A (instanceRef CRC_1_4)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0_0_0)) + (portRef B (instanceRef CRC_1_i_x2_5)) + (portRef A (instanceRef CRC_1_i_x2_6)) + (portRef (member crc 11)) + )) + (net CRC_5 (joined + (portRef Q (instanceRef CRC_5)) + (portRef A (instanceRef CRC_RNO_5)) + (portRef A (instanceRef next_CRC_OUT_0_a2_0_x2_7)) + (portRef B (instanceRef CRC_1_i_x2_6)) + (portRef (member crc 10)) + )) + (net N_74_i (joined + (portRef Z (instanceRef CRC_1_i_x2_6)) + (portRef C (instanceRef CRC_RNO_6)) + )) (net CRC_9 (joined (portRef Q (instanceRef CRC_9)) (portRef A (instanceRef CRC_1_9)) @@ -36886,12 +36884,20 @@ (portRef Z (instanceRef CRC_1_i_x2_8)) (portRef C (instanceRef CRC_RNO_8)) )) - (net CRC_0 (joined - (portRef Q (instanceRef CRC_0)) - (portRef A (instanceRef CRC_1_0)) - (portRef A (instanceRef next_CRC_OUT_0_a2_0_5_0)) - (portRef A (instanceRef next_CRC_OUT_0_a2_0_15)) - (portRef (member crc 15)) + (net int_data_in_i_3 (joined + (portRef (member int_data_in_i 12)) + (portRef C (instanceRef CRC_1_i_x2_5)) + (portRef D (instanceRef next_CRC_OUT_0_a2_4)) + )) + (net int_data_in_i_4 (joined + (portRef (member int_data_in_i 11)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0_0_0)) + (portRef D (instanceRef CRC_1_i_x2_5)) + (portRef C (instanceRef CRC_1_i_x2_6)) + )) + (net N_67_i (joined + (portRef Z (instanceRef CRC_1_i_x2_5)) + (portRef C (instanceRef CRC_RNO_5)) )) (net CRC_14 (joined (portRef Q (instanceRef CRC_14)) @@ -36900,6 +36906,11 @@ (portRef B (instanceRef next_CRC_OUT_0_a2_0_15)) (portRef (member crc 1)) )) + (net int_data_in_i_0 (joined + (portRef (member int_data_in_i 15)) + (portRef C (instanceRef next_CRC_OUT_0_a2_0_15)) + (portRef A (instanceRef next_CRC_OUT_0_a2_RNO_0)) + )) (net next_CRC_OUT_0_a2_0_2 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_0_15)) (portRef B (instanceRef next_CRC_OUT_0_a2_15)) @@ -36932,29 +36943,18 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0_0)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_4_0)) )) + (net next_CRC_OUT_2 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_2)) + (portRef B (instanceRef CRC_1_2)) + )) (net CRC_15 (joined (portRef Q (instanceRef CRC_15)) (portRef A (instanceRef CRC_1_15)) (portRef A (instanceRef next_CRC_OUT_0_a2_15)) + (portRef A (instanceRef next_CRC_OUT_0_a2_0)) (portRef A (instanceRef next_CRC_OUT_0_a2_3)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0_5_0)) (portRef (member crc 0)) )) - (net int_data_in_i_0 (joined - (portRef (member int_data_in_i 15)) - (portRef C (instanceRef next_CRC_OUT_0_a2_0_5_0)) - (portRef C (instanceRef next_CRC_OUT_0_a2_0_15)) - )) - (net next_CRC_OUT_2 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_2)) - (portRef B (instanceRef CRC_1_2)) - )) - (net int_data_in_i_15 (joined - (portRef (member int_data_in_i 0)) - (portRef D (instanceRef next_CRC_OUT_0_a2_15)) - (portRef C (instanceRef next_CRC_OUT_0_a2_3)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0_5_0)) - )) (net next_CRC_OUT_3 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_3)) (portRef B (instanceRef CRC_1_3)) @@ -36963,6 +36963,12 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_0_4_0)) (portRef C (instanceRef next_CRC_OUT_0_a2_0_0)) )) + (net N_343 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0)) + (portRef C (instanceRef next_CRC_OUT_0_a2_1)) + (portRef C (instanceRef next_CRC_OUT_0_a2_15)) + (portRef C (instanceRef next_CRC_OUT_0_a2_0)) + )) (net CRC_RESET_1_sqmuxa (joined (portRef CRC_RESET_1_sqmuxa) (portRef A (instanceRef CRC_1_i_o2_5)) @@ -36971,6 +36977,16 @@ (portRef reset_no_link_1) (portRef B (instanceRef CRC_1_i_o2_5)) )) + (net int_data_in_i_15 (joined + (portRef (member int_data_in_i 0)) + (portRef D (instanceRef next_CRC_OUT_0_a2_15)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0)) + (portRef C (instanceRef next_CRC_OUT_0_a2_3)) + )) + (net next_CRC_OUT_0 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_0)) + (portRef B (instanceRef CRC_1_0)) + )) (net next_CRC_OUT_15 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_15)) (portRef B (instanceRef CRC_1_15)) @@ -37341,16 +37357,13 @@ (instance next_CRC_OUT_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) - ) (instance next_CRC_OUT_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -37359,6 +37372,9 @@ (instance next_CRC_OUT_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) + (instance next_CRC_OUT_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) + ) (instance next_CRC_OUT_0_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) @@ -37368,19 +37384,19 @@ (instance next_CRC_OUT_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) ) - (instance next_CRC_OUT_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) ) (instance next_CRC_OUT_0_a2_0_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -37401,10 +37417,10 @@ (instance CRC_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -37431,16 +37447,16 @@ (instance CRC_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)+C (B+A)))")) - ) (instance CRC_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)+C (B+A)))")) + ) + (instance CRC_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (net next_CRC_OUT_1_15 (joined @@ -37448,7 +37464,7 @@ (portRef D (instanceRef next_CRC_OUT_0_a2_15)) (portRef A (instanceRef CRC_1_RNO_0)) )) - (net N_4327 (joined + (net N_4334 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0)) (portRef A (instanceRef next_CRC_OUT_0_a2_15)) (portRef A (instanceRef next_CRC_OUT_0_a2_1)) @@ -37607,30 +37623,13 @@ (portRef C (instanceRef CRC_1_13)) (portRef B (instanceRef next_CRC_OUT_0_a2_0_0)) )) - (net crc_out_9 (joined - (portRef Q (instanceRef CRC_9)) - (portRef B (instanceRef CRC_1_9)) - (portRef B (instanceRef next_CRC_OUT_0_a2_10)) - (portRef A (instanceRef next_CRC_OUT_0_a2_11)) - (portRef (member crc_out 6)) - )) (net crc_out_10 (joined (portRef Q (instanceRef CRC_10)) (portRef B (instanceRef CRC_1_10)) - (portRef A (instanceRef next_CRC_OUT_0_a2_12)) (portRef B (instanceRef next_CRC_OUT_0_a2_11)) + (portRef A (instanceRef next_CRC_OUT_0_a2_12)) (portRef (member crc_out 5)) )) - (net next_CRC_OUT_11 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_11)) - (portRef C (instanceRef CRC_1_11)) - (portRef C (instanceRef next_CRC_OUT_0_a2_0_4_0)) - )) - (net fifo_data_out_10 (joined - (portRef (member fifo_data_out 5)) - (portRef C (instanceRef next_CRC_OUT_0_a2_12)) - (portRef D (instanceRef next_CRC_OUT_0_a2_11)) - )) (net fifo_data_out_11 (joined (portRef (member fifo_data_out 4)) (portRef D (instanceRef next_CRC_OUT_0_a2_12)) @@ -37640,22 +37639,6 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_12)) (portRef C (instanceRef CRC_1_12)) )) - (net crc_out_8 (joined - (portRef Q (instanceRef CRC_8)) - (portRef B (instanceRef CRC_1_8)) - (portRef B (instanceRef next_CRC_OUT_0_a2_9)) - (portRef A (instanceRef next_CRC_OUT_0_a2_10)) - (portRef (member crc_out 7)) - )) - (net fifo_data_out_9 (joined - (portRef (member fifo_data_out 6)) - (portRef D (instanceRef next_CRC_OUT_0_a2_10)) - (portRef C (instanceRef next_CRC_OUT_0_a2_11)) - )) - (net next_CRC_OUT_10 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_10)) - (portRef C (instanceRef CRC_1_10)) - )) (net crc_out_2 (joined (portRef Q (instanceRef CRC_2)) (portRef B (instanceRef CRC_1_2)) @@ -37675,6 +37658,23 @@ (portRef C (instanceRef CRC_1_4)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_0)) )) + (net crc_out_9 (joined + (portRef Q (instanceRef CRC_9)) + (portRef B (instanceRef CRC_1_9)) + (portRef B (instanceRef next_CRC_OUT_0_a2_10)) + (portRef A (instanceRef next_CRC_OUT_0_a2_11)) + (portRef (member crc_out 6)) + )) + (net fifo_data_out_10 (joined + (portRef (member fifo_data_out 5)) + (portRef D (instanceRef next_CRC_OUT_0_a2_11)) + (portRef C (instanceRef next_CRC_OUT_0_a2_12)) + )) + (net next_CRC_OUT_11 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_11)) + (portRef C (instanceRef CRC_1_11)) + (portRef C (instanceRef next_CRC_OUT_0_a2_0_4_0)) + )) (net crc_out_7 (joined (portRef Q (instanceRef CRC_7)) (portRef B (instanceRef CRC_1_7)) @@ -37682,10 +37682,12 @@ (portRef A (instanceRef next_CRC_OUT_0_a2_9)) (portRef (member crc_out 8)) )) - (net fifo_data_out_8 (joined - (portRef (member fifo_data_out 7)) - (portRef D (instanceRef next_CRC_OUT_0_a2_9)) - (portRef C (instanceRef next_CRC_OUT_0_a2_10)) + (net crc_out_8 (joined + (portRef Q (instanceRef CRC_8)) + (portRef B (instanceRef CRC_1_8)) + (portRef A (instanceRef next_CRC_OUT_0_a2_10)) + (portRef B (instanceRef next_CRC_OUT_0_a2_9)) + (portRef (member crc_out 7)) )) (net next_CRC_OUT_9 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_9)) @@ -37711,6 +37713,20 @@ (portRef C (instanceRef CRC_1_7)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_4_0)) )) + (net fifo_data_out_8 (joined + (portRef (member fifo_data_out 7)) + (portRef C (instanceRef next_CRC_OUT_0_a2_10)) + (portRef D (instanceRef next_CRC_OUT_0_a2_9)) + )) + (net fifo_data_out_9 (joined + (portRef (member fifo_data_out 6)) + (portRef D (instanceRef next_CRC_OUT_0_a2_10)) + (portRef C (instanceRef next_CRC_OUT_0_a2_11)) + )) + (net next_CRC_OUT_10 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_10)) + (portRef C (instanceRef CRC_1_10)) + )) (net fifo_data_out_6 (joined (portRef (member fifo_data_out 9)) (portRef C (instanceRef next_CRC_OUT_0_a2_8)) @@ -37757,6 +37773,20 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_5)) (portRef C (instanceRef CRC_1_5)) )) + (net fifo_data_out_2 (joined + (portRef (member fifo_data_out 13)) + (portRef C (instanceRef next_CRC_OUT_0_a2_0_0_3)) + (portRef C (instanceRef next_CRC_OUT_0_a2_4)) + )) + (net fifo_data_out_15 (joined + (portRef (member fifo_data_out 0)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0_0_3)) + (portRef D (instanceRef next_CRC_OUT_0_a2_1_15)) + )) + (net next_CRC_OUT_0_a2_0_3 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0_3)) + (portRef C (instanceRef next_CRC_OUT_0_a2_3)) + )) (net crc_out_14 (joined (portRef Q (instanceRef CRC_14)) (portRef B (instanceRef CRC_1_14)) @@ -37774,25 +37804,11 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0_2)) (portRef C (instanceRef next_CRC_OUT_0_a2_2)) )) - (net fifo_data_out_2 (joined - (portRef (member fifo_data_out 13)) - (portRef C (instanceRef next_CRC_OUT_0_a2_0_0_3)) - (portRef C (instanceRef next_CRC_OUT_0_a2_4)) - )) - (net fifo_data_out_15 (joined - (portRef (member fifo_data_out 0)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0_0_3)) - (portRef D (instanceRef next_CRC_OUT_0_a2_1_15)) - )) - (net next_CRC_OUT_0_a2_0_3 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0_3)) - (portRef C (instanceRef next_CRC_OUT_0_a2_3)) - )) (net crc_out_1 (joined (portRef Q (instanceRef CRC_1)) (portRef B (instanceRef CRC_1_1)) - (portRef A (instanceRef next_CRC_OUT_0_a2_2)) (portRef A (instanceRef next_CRC_OUT_0_a2_3)) + (portRef A (instanceRef next_CRC_OUT_0_a2_2)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_0_0)) (portRef (member crc_out 14)) )) @@ -37802,18 +37818,18 @@ )) (net fifo_data_out_1 (joined (portRef (member fifo_data_out 14)) - (portRef B (instanceRef next_CRC_OUT_0_a2_2)) (portRef B (instanceRef next_CRC_OUT_0_a2_3)) + (portRef B (instanceRef next_CRC_OUT_0_a2_2)) (portRef C (instanceRef next_CRC_OUT_0_a2_0_0_0)) )) - (net next_CRC_OUT_3 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_3)) - (portRef C (instanceRef CRC_1_3)) - )) (net next_CRC_OUT_2 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_2)) (portRef C (instanceRef CRC_1_2)) )) + (net next_CRC_OUT_3 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_3)) + (portRef C (instanceRef CRC_1_3)) + )) (net next_CRC_OUT_0_a2_0_4_0 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_0_4_0)) (portRef C (instanceRef next_CRC_OUT_0_a2_0_0)) @@ -37834,10 +37850,10 @@ )) (net buf_STAT_INIT_BUFFER_115 (joined (portRef (member buf_stat_init_buffer 0)) - (portRef A (instanceRef CRC_1_4)) + (portRef A (instanceRef CRC_1_2)) + (portRef A (instanceRef CRC_1_3)) (portRef A (instanceRef CRC_1_5)) (portRef A (instanceRef CRC_1_6)) - (portRef A (instanceRef CRC_1_7)) (portRef A (instanceRef CRC_1_8)) (portRef A (instanceRef CRC_1_9)) (portRef A (instanceRef CRC_1_10)) @@ -37846,17 +37862,17 @@ (portRef A (instanceRef CRC_1_13)) (portRef A (instanceRef CRC_1_14)) (portRef A (instanceRef CRC_1_15)) - (portRef A (instanceRef CRC_1_3)) - (portRef A (instanceRef CRC_1_2)) + (portRef A (instanceRef CRC_1_7)) + (portRef A (instanceRef CRC_1_4)) (portRef A (instanceRef CRC_1_1)) (portRef A (instanceRef CRC_1_0)) )) (net STAT_IBUF_BUFFER_0_20 (joined (portRef (member stat_ibuf_buffer_0 0)) - (portRef D (instanceRef CRC_1_4)) + (portRef D (instanceRef CRC_1_2)) + (portRef D (instanceRef CRC_1_3)) (portRef D (instanceRef CRC_1_5)) (portRef D (instanceRef CRC_1_6)) - (portRef D (instanceRef CRC_1_7)) (portRef D (instanceRef CRC_1_8)) (portRef D (instanceRef CRC_1_9)) (portRef D (instanceRef CRC_1_10)) @@ -37865,8 +37881,8 @@ (portRef D (instanceRef CRC_1_13)) (portRef D (instanceRef CRC_1_14)) (portRef D (instanceRef CRC_1_15)) - (portRef D (instanceRef CRC_1_3)) - (portRef D (instanceRef CRC_1_2)) + (portRef D (instanceRef CRC_1_7)) + (portRef D (instanceRef CRC_1_4)) (portRef D (instanceRef CRC_1_1)) (portRef D (instanceRef CRC_1_0)) )) @@ -38200,30 +38216,30 @@ (instance CRC_1_i_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) - (instance next_CRC_OUT_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) (instance CRC_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) - (instance CRC_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A))")) - ) (instance CRC_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C (B A)))")) ) (instance CRC_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C (B A)))")) ) + (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) (instance CRC_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) @@ -38248,15 +38264,15 @@ (instance CRC_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C (B A)))")) ) - (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A))")) - ) (instance CRC_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) (instance CRC_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) + (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) (net next_CRC_OUT_0_a2_0_0 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_RNO_0)) (portRef B (instanceRef next_CRC_OUT_0_a2_0)) @@ -38604,8 +38620,8 @@ (net CRC_15 (joined (portRef Q (instanceRef CRC_15)) (portRef A (instanceRef CRC_1_15)) - (portRef A (instanceRef next_CRC_OUT_0_a2_0)) (portRef A (instanceRef next_CRC_OUT_0_a2_15)) + (portRef A (instanceRef next_CRC_OUT_0_a2_0)) (portRef A (instanceRef next_CRC_OUT_0_a2_3)) (portRef (member crc 0)) )) @@ -38619,9 +38635,9 @@ )) (net N_343 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0)) - (portRef C (instanceRef next_CRC_OUT_0_a2_0)) - (portRef C (instanceRef next_CRC_OUT_0_a2_15)) (portRef C (instanceRef next_CRC_OUT_0_a2_1)) + (portRef C (instanceRef next_CRC_OUT_0_a2_15)) + (portRef C (instanceRef next_CRC_OUT_0_a2_0)) )) (net CRC_RESET_1_sqmuxa (joined (portRef CRC_RESET_1_sqmuxa) @@ -38631,34 +38647,34 @@ (portRef reset_no_link_1) (portRef B (instanceRef CRC_1_i_o2_5)) )) - (net int_data_in_i_14 (joined - (portRef (member int_data_in_i 1)) - (portRef D (instanceRef next_CRC_OUT_0_a2_1)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0_15)) - )) - (net next_CRC_OUT_1 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_1)) - (portRef B (instanceRef CRC_1_1)) - )) (net int_data_in_i_15 (joined (portRef (member int_data_in_i 0)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0)) (portRef D (instanceRef next_CRC_OUT_0_a2_15)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0)) (portRef C (instanceRef next_CRC_OUT_0_a2_3)) )) + (net next_CRC_OUT_0 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_0)) + (portRef B (instanceRef CRC_1_0)) + )) (net next_CRC_OUT_15 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_15)) (portRef B (instanceRef CRC_1_15)) )) - (net next_CRC_OUT_0 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_0)) - (portRef B (instanceRef CRC_1_0)) + (net int_data_in_i_14 (joined + (portRef (member int_data_in_i 1)) + (portRef D (instanceRef next_CRC_OUT_0_a2_1)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0_15)) + )) + (net next_CRC_OUT_1 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_1)) + (portRef B (instanceRef CRC_1_1)) )) (net N_354 (joined (portRef Z (instanceRef CRC_1_i_o2_5)) + (portRef D (instanceRef CRC_1_15)) (portRef D (instanceRef CRC_1_0)) (portRef D (instanceRef CRC_1_1)) - (portRef D (instanceRef CRC_1_15)) (portRef D (instanceRef CRC_RNO_10)) (portRef D (instanceRef CRC_RNO_12)) (portRef D (instanceRef CRC_RNO_5)) @@ -38667,18 +38683,18 @@ (portRef D (instanceRef CRC_1_7)) (portRef D (instanceRef CRC_1_2)) (portRef D (instanceRef CRC_1_3)) + (portRef D (instanceRef CRC_1_4)) (portRef D (instanceRef CRC_1_9)) (portRef D (instanceRef CRC_1_11)) - (portRef D (instanceRef CRC_1_14)) (portRef D (instanceRef CRC_1_13)) - (portRef D (instanceRef CRC_1_4)) + (portRef D (instanceRef CRC_1_14)) (portRef N_354) )) (net N_55 (joined (portRef N_55) + (portRef C (instanceRef CRC_1_15)) (portRef C (instanceRef CRC_1_0)) (portRef C (instanceRef CRC_1_1)) - (portRef C (instanceRef CRC_1_15)) (portRef B (instanceRef CRC_RNO_10)) (portRef B (instanceRef CRC_RNO_12)) (portRef B (instanceRef CRC_RNO_5)) @@ -38687,11 +38703,11 @@ (portRef B (instanceRef CRC_1_7)) (portRef C (instanceRef CRC_1_2)) (portRef C (instanceRef CRC_1_3)) + (portRef C (instanceRef CRC_1_4)) (portRef B (instanceRef CRC_1_9)) (portRef B (instanceRef CRC_1_11)) - (portRef C (instanceRef CRC_1_14)) (portRef C (instanceRef CRC_1_13)) - (portRef C (instanceRef CRC_1_4)) + (portRef C (instanceRef CRC_1_14)) )) ) ) @@ -38730,9 +38746,10 @@ (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) (port un3_current_syn_dataready_out_i (direction OUTPUT)) (port comb_next_init_read (direction OUTPUT)) + (port fifo_data_valid (direction INPUT)) (port init_word_waiting (direction INPUT)) + (port un17_current_fifo_packet_type (direction INPUT)) (port sbuf_init_free (direction INPUT)) - (port un4_fifo_data_valid (direction INPUT)) ) (contents (instance gen_version_0_sbuf (viewRef netlist (cellRef trb_net_sbufZ0_gen_version_0_sbuf_3_gen_version_0_sbuf_1_GEN_IBUF_THE_IBUF_gen_init_sbuf_SBUF_INIT_gen_version_0_sbuf_0_1)) @@ -38905,18 +38922,22 @@ (portRef current_buffer_state_0 (instanceRef gen_version_0_sbuf)) (portRef (member current_buffer_state 0)) )) - (net un4_fifo_data_valid (joined - (portRef un4_fifo_data_valid) - (portRef un4_fifo_data_valid (instanceRef gen_version_0_sbuf)) - )) (net sbuf_init_free (joined (portRef sbuf_init_free) (portRef sbuf_init_free (instanceRef gen_version_0_sbuf)) )) + (net un17_current_fifo_packet_type (joined + (portRef un17_current_fifo_packet_type) + (portRef un17_current_fifo_packet_type (instanceRef gen_version_0_sbuf)) + )) (net init_word_waiting (joined (portRef init_word_waiting) (portRef init_word_waiting (instanceRef gen_version_0_sbuf)) )) + (net fifo_data_valid (joined + (portRef fifo_data_valid) + (portRef fifo_data_valid (instanceRef gen_version_0_sbuf)) + )) (net comb_next_init_read (joined (portRef comb_next_init_read (instanceRef gen_version_0_sbuf)) (portRef comb_next_init_read) @@ -39008,28 +39029,25 @@ (instance next_CRC_OUT_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance next_CRC_OUT_0_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) - ) (instance next_CRC_OUT_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -39038,6 +39056,9 @@ (instance next_CRC_OUT_0_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) + (instance next_CRC_OUT_0_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) + ) (instance next_CRC_OUT_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) @@ -39062,16 +39083,16 @@ (instance next_CRC_OUT_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance CRC_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -39080,41 +39101,41 @@ (instance CRC_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance next_CRC_OUT_0_a2_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (net next_CRC_OUT_1_15 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_1_15)) + (portRef Z (instanceRef next_CRC_OUT_0_a2_1_0)) (portRef D (instanceRef next_CRC_OUT_0_a2_0)) (portRef A (instanceRef CRC_1_RNO_15)) )) @@ -39236,7 +39257,7 @@ )) (net crc_out_15 (joined (portRef Q (instanceRef CRC_15)) - (portRef D (instanceRef next_CRC_OUT_0_a2_1_15)) + (portRef D (instanceRef next_CRC_OUT_0_a2_1_0)) (portRef B (instanceRef CRC_1_15)) (portRef A (instanceRef next_CRC_OUT_0_a2_1_3)) (portRef (member crc_out 0)) @@ -39261,25 +39282,6 @@ (portRef A (instanceRef next_CRC_OUT_0_a2_3)) (portRef A (instanceRef next_CRC_OUT_0_a2_2)) )) - (net crc_out_4 (joined - (portRef Q (instanceRef CRC_4)) - (portRef B (instanceRef CRC_1_4)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0_1_0)) - (portRef B (instanceRef next_CRC_OUT_0_a2_5)) - (portRef A (instanceRef next_CRC_OUT_0_a2_6)) - (portRef (member crc_out 11)) - )) - (net crc_out_5 (joined - (portRef Q (instanceRef CRC_5)) - (portRef B (instanceRef CRC_1_5)) - (portRef A (instanceRef next_CRC_OUT_0_a2_7)) - (portRef B (instanceRef next_CRC_OUT_0_a2_6)) - (portRef (member crc_out 10)) - )) - (net next_CRC_OUT_6 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_6)) - (portRef C (instanceRef CRC_1_6)) - )) (net crc_out_8 (joined (portRef Q (instanceRef CRC_8)) (portRef B (instanceRef CRC_1_8)) @@ -39298,24 +39300,22 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_10)) (portRef C (instanceRef CRC_1_10)) )) - (net crc_out_12 (joined - (portRef Q (instanceRef CRC_12)) - (portRef B (instanceRef CRC_1_12)) - (portRef B (instanceRef next_CRC_OUT_0_a2_13)) - (portRef A (instanceRef next_CRC_OUT_0_a2_14)) - (portRef (member crc_out 3)) + (net crc_out_7 (joined + (portRef Q (instanceRef CRC_7)) + (portRef B (instanceRef CRC_1_7)) + (portRef B (instanceRef next_CRC_OUT_0_a2_8)) + (portRef A (instanceRef next_CRC_OUT_0_a2_9)) + (portRef (member crc_out 8)) )) - (net crc_out_13 (joined - (portRef Q (instanceRef CRC_13)) - (portRef B (instanceRef CRC_1_13)) - (portRef C (instanceRef next_CRC_OUT_0_a2_1)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0)) - (portRef B (instanceRef next_CRC_OUT_0_a2_14)) - (portRef (member crc_out 2)) + (net fifo_data_out_8 (joined + (portRef (member fifo_data_out 7)) + (portRef D (instanceRef next_CRC_OUT_0_a2_9)) + (portRef C (instanceRef next_CRC_OUT_0_a2_10)) )) - (net next_CRC_OUT_14 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_14)) - (portRef C (instanceRef CRC_1_14)) + (net next_CRC_OUT_9 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_9)) + (portRef C (instanceRef CRC_1_9)) + (portRef A (instanceRef next_CRC_OUT_0_a2_0_2_0)) )) (net crc_out_10 (joined (portRef Q (instanceRef CRC_10)) @@ -39332,38 +39332,57 @@ (net next_CRC_OUT_11 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_11)) (portRef C (instanceRef CRC_1_11)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0_1_0)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0_2_0)) )) (net crc_out_11 (joined (portRef Q (instanceRef CRC_11)) (portRef B (instanceRef CRC_1_11)) - (portRef B (instanceRef next_CRC_OUT_0_a2_12)) (portRef A (instanceRef next_CRC_OUT_0_a2_13)) + (portRef B (instanceRef next_CRC_OUT_0_a2_12)) (portRef (member crc_out 4)) )) - (net fifo_data_out_12 (joined - (portRef (member fifo_data_out 3)) - (portRef D (instanceRef next_CRC_OUT_0_a2_13)) - (portRef C (instanceRef next_CRC_OUT_0_a2_14)) - )) - (net next_CRC_OUT_13 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_13)) - (portRef C (instanceRef CRC_1_13)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0_2_0)) - )) (net fifo_data_out_10 (joined (portRef (member fifo_data_out 5)) (portRef C (instanceRef next_CRC_OUT_0_a2_12)) (portRef D (instanceRef next_CRC_OUT_0_a2_11)) )) + (net next_CRC_OUT_12 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_12)) + (portRef C (instanceRef CRC_1_12)) + )) + (net crc_out_12 (joined + (portRef Q (instanceRef CRC_12)) + (portRef B (instanceRef CRC_1_12)) + (portRef B (instanceRef next_CRC_OUT_0_a2_13)) + (portRef A (instanceRef next_CRC_OUT_0_a2_14)) + (portRef (member crc_out 3)) + )) + (net crc_out_13 (joined + (portRef Q (instanceRef CRC_13)) + (portRef B (instanceRef CRC_1_13)) + (portRef C (instanceRef next_CRC_OUT_0_a2_1)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0)) + (portRef B (instanceRef next_CRC_OUT_0_a2_14)) + (portRef (member crc_out 2)) + )) + (net next_CRC_OUT_14 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_14)) + (portRef C (instanceRef CRC_1_14)) + )) (net fifo_data_out_11 (joined (portRef (member fifo_data_out 4)) - (portRef D (instanceRef next_CRC_OUT_0_a2_12)) (portRef C (instanceRef next_CRC_OUT_0_a2_13)) + (portRef D (instanceRef next_CRC_OUT_0_a2_12)) )) - (net next_CRC_OUT_12 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_12)) - (portRef C (instanceRef CRC_1_12)) + (net fifo_data_out_12 (joined + (portRef (member fifo_data_out 3)) + (portRef D (instanceRef next_CRC_OUT_0_a2_13)) + (portRef C (instanceRef next_CRC_OUT_0_a2_14)) + )) + (net next_CRC_OUT_13 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_13)) + (portRef C (instanceRef CRC_1_13)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0_0)) )) (net crc_out_2 (joined (portRef Q (instanceRef CRC_2)) @@ -39384,22 +39403,12 @@ (portRef C (instanceRef CRC_1_4)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_0)) )) - (net crc_out_7 (joined - (portRef Q (instanceRef CRC_7)) - (portRef B (instanceRef CRC_1_7)) - (portRef B (instanceRef next_CRC_OUT_0_a2_8)) - (portRef A (instanceRef next_CRC_OUT_0_a2_9)) - (portRef (member crc_out 8)) - )) - (net fifo_data_out_8 (joined - (portRef (member fifo_data_out 7)) - (portRef D (instanceRef next_CRC_OUT_0_a2_9)) - (portRef C (instanceRef next_CRC_OUT_0_a2_10)) - )) - (net next_CRC_OUT_9 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_9)) - (portRef C (instanceRef CRC_1_9)) - (portRef A (instanceRef next_CRC_OUT_0_a2_0_2_0)) + (net crc_out_5 (joined + (portRef Q (instanceRef CRC_5)) + (portRef B (instanceRef CRC_1_5)) + (portRef B (instanceRef next_CRC_OUT_0_a2_6)) + (portRef A (instanceRef next_CRC_OUT_0_a2_7)) + (portRef (member crc_out 10)) )) (net crc_out_6 (joined (portRef Q (instanceRef CRC_6)) @@ -39408,15 +39417,10 @@ (portRef B (instanceRef next_CRC_OUT_0_a2_7)) (portRef (member crc_out 9)) )) - (net fifo_data_out_5 (joined - (portRef (member fifo_data_out 10)) - (portRef C (instanceRef next_CRC_OUT_0_a2_7)) - (portRef D (instanceRef next_CRC_OUT_0_a2_6)) - )) (net next_CRC_OUT_7 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_7)) (portRef C (instanceRef CRC_1_7)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0_0)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0_1_0)) )) (net fifo_data_out_6 (joined (portRef (member fifo_data_out 9)) @@ -39432,6 +39436,23 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_8)) (portRef C (instanceRef CRC_1_8)) )) + (net crc_out_4 (joined + (portRef Q (instanceRef CRC_4)) + (portRef B (instanceRef CRC_1_4)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0_1_0)) + (portRef B (instanceRef next_CRC_OUT_0_a2_5)) + (portRef A (instanceRef next_CRC_OUT_0_a2_6)) + (portRef (member crc_out 11)) + )) + (net fifo_data_out_5 (joined + (portRef (member fifo_data_out 10)) + (portRef D (instanceRef next_CRC_OUT_0_a2_6)) + (portRef C (instanceRef next_CRC_OUT_0_a2_7)) + )) + (net next_CRC_OUT_6 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_6)) + (portRef C (instanceRef CRC_1_6)) + )) (net fifo_data_out_3 (joined (portRef (member fifo_data_out 12)) (portRef C (instanceRef next_CRC_OUT_0_a2_5)) @@ -39449,7 +39470,7 @@ )) (net crc_out_0 (joined (portRef Q (instanceRef CRC_0)) - (portRef B (instanceRef next_CRC_OUT_0_a2_1_15)) + (portRef B (instanceRef next_CRC_OUT_0_a2_1_0)) (portRef B (instanceRef CRC_1_0)) (portRef C (instanceRef next_CRC_OUT_0_a2_2)) (portRef (member crc_out 15)) @@ -39491,50 +39512,50 @@ )) (net buf_STAT_INIT_BUFFER_51 (joined (portRef (member buf_stat_init_buffer 0)) - (portRef A (instanceRef CRC_1_3)) + (portRef A (instanceRef CRC_1_4)) (portRef A (instanceRef CRC_1_5)) - (portRef A (instanceRef CRC_1_7)) + (portRef A (instanceRef CRC_1_6)) (portRef A (instanceRef CRC_1_8)) - (portRef A (instanceRef CRC_1_9)) (portRef A (instanceRef CRC_1_15)) + (portRef A (instanceRef CRC_1_1)) + (portRef A (instanceRef CRC_1_3)) + (portRef A (instanceRef CRC_1_14)) (portRef A (instanceRef CRC_1_13)) (portRef A (instanceRef CRC_1_12)) - (portRef A (instanceRef CRC_1_0)) - (portRef A (instanceRef CRC_1_14)) (portRef A (instanceRef CRC_1_11)) (portRef A (instanceRef CRC_1_10)) - (portRef A (instanceRef CRC_1_6)) - (portRef A (instanceRef CRC_1_4)) + (portRef A (instanceRef CRC_1_9)) + (portRef A (instanceRef CRC_1_7)) (portRef A (instanceRef CRC_1_2)) - (portRef A (instanceRef CRC_1_1)) + (portRef A (instanceRef CRC_1_0)) )) (net STAT_IBUF_BUFFER_0_20 (joined (portRef (member stat_ibuf_buffer_0 0)) - (portRef D (instanceRef CRC_1_3)) + (portRef D (instanceRef CRC_1_4)) (portRef D (instanceRef CRC_1_5)) - (portRef D (instanceRef CRC_1_7)) + (portRef D (instanceRef CRC_1_6)) (portRef D (instanceRef CRC_1_8)) - (portRef D (instanceRef CRC_1_9)) (portRef D (instanceRef CRC_1_15)) + (portRef D (instanceRef CRC_1_1)) + (portRef D (instanceRef CRC_1_3)) + (portRef D (instanceRef CRC_1_14)) (portRef D (instanceRef CRC_1_13)) (portRef D (instanceRef CRC_1_12)) - (portRef D (instanceRef CRC_1_0)) - (portRef D (instanceRef CRC_1_14)) (portRef D (instanceRef CRC_1_11)) (portRef D (instanceRef CRC_1_10)) - (portRef D (instanceRef CRC_1_6)) - (portRef D (instanceRef CRC_1_4)) + (portRef D (instanceRef CRC_1_9)) + (portRef D (instanceRef CRC_1_7)) (portRef D (instanceRef CRC_1_2)) - (portRef D (instanceRef CRC_1_1)) + (portRef D (instanceRef CRC_1_0)) )) (net fifo_data_out_0 (joined (portRef (member fifo_data_out 15)) - (portRef A (instanceRef next_CRC_OUT_0_a2_1_15)) + (portRef A (instanceRef next_CRC_OUT_0_a2_1_0)) (portRef D (instanceRef next_CRC_OUT_0_a2_2)) )) (net fifo_data_out_15 (joined (portRef (member fifo_data_out 0)) - (portRef C (instanceRef next_CRC_OUT_0_a2_1_15)) + (portRef C (instanceRef next_CRC_OUT_0_a2_1_0)) (portRef B (instanceRef next_CRC_OUT_0_a2_1_3)) )) ) @@ -39773,8 +39794,8 @@ (port VCC (direction INPUT)) (port GND (direction INPUT)) (port buf_SYN_READ_IN (direction INOUT)) - (port un3_current_syn_dataready_out_i (direction OUTPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) + (port un3_current_syn_dataready_out_i (direction OUTPUT)) (port comb_next_init_read (direction OUTPUT)) (port init_word_waiting (direction INPUT)) (port sbuf_init_free (direction INPUT)) @@ -39963,14 +39984,14 @@ (portRef comb_next_init_read (instanceRef gen_version_0_sbuf)) (portRef comb_next_init_read) )) - (net COMB_next_READ_OUT_f1_0 (joined - (portRef COMB_next_READ_OUT_f1_0 (instanceRef gen_version_0_sbuf)) - (portRef COMB_next_READ_OUT_f1_0) - )) (net un3_current_syn_dataready_out_i (joined (portRef un3_current_syn_dataready_out_i (instanceRef gen_version_0_sbuf)) (portRef un3_current_syn_dataready_out_i) )) + (net COMB_next_READ_OUT_f1_0 (joined + (portRef COMB_next_READ_OUT_f1_0 (instanceRef gen_version_0_sbuf)) + (portRef COMB_next_READ_OUT_f1_0) + )) (net buf_SYN_READ_IN (joined (portRef buf_SYN_READ_INZ0 (instanceRef gen_version_0_sbuf)) (portRef buf_SYN_READ_IN) @@ -40230,7 +40251,7 @@ (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_ipu_i_0_i_2 (direction INPUT)) + (port reset_ipu_i_0_i_1_2 (direction INPUT)) ) (contents (instance gen_18_512_THE_FIFO (viewRef netlist (cellRef fifo_18x512_oreg)) @@ -40383,9 +40404,9 @@ (portRef (member length_buffer_full 0) (instanceRef gen_18_512_THE_FIFO)) (portRef (member length_buffer_full 0)) )) - (net reset_ipu_i_0_i_2 (joined - (portRef reset_ipu_i_0_i_2) - (portRef reset_ipu_i_0_i_2 (instanceRef gen_18_512_THE_FIFO)) + (net reset_ipu_i_0_i_1_2 (joined + (portRef reset_ipu_i_0_i_1_2) + (portRef reset_ipu_i_0_i_1_2 (instanceRef gen_18_512_THE_FIFO)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -40427,7 +40448,7 @@ (port tmg_trg_error_i (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_ipu_i_0_i (direction INPUT)) + (port reset_ipu_i_0_i_1 (direction INPUT)) (port header_buffer_almost_full (direction OUTPUT)) ) (contents @@ -40717,9 +40738,9 @@ (portRef header_buffer_almost_full (instanceRef gen_36_512_THE_FIFO)) (portRef header_buffer_almost_full) )) - (net reset_ipu_i_0_i (joined - (portRef reset_ipu_i_0_i) - (portRef reset_ipu_i_0_i (instanceRef gen_36_512_THE_FIFO)) + (net reset_ipu_i_0_i_1 (joined + (portRef reset_ipu_i_0_i_1) + (portRef reset_ipu_i_0_i_1 (instanceRef gen_36_512_THE_FIFO)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -40765,15 +40786,14 @@ (port (array (rename trg_int_number_i "trg_int_number_i(3:0)") 4) (direction INPUT)) (port (array (rename data_buffer_filllevel "data_buffer_filllevel(13:0)") 14) (direction OUTPUT)) (port (array (rename ipu_data "ipu_data(31:8)") 24) (direction OUTPUT)) - (port fee_data_write_i (direction INPUT)) - (port data_counter_0 (direction INPUT)) - (port GND (direction INPUT)) + (port N_277 (direction INPUT)) (port m129_2_03_4_i_4 (direction INPUT)) + (port GND (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) + (port reset_ipu_i_0_i_1_1 (direction INPUT)) + (port reset_ipu_i_0_i_1_2 (direction INPUT)) (port reset_ipu_i_0_i_1 (direction INPUT)) - (port reset_ipu_i_0_i_2 (direction INPUT)) - (port reset_ipu_i_0_i (direction INPUT)) (port fee_almost_full_i (direction OUTPUT)) (port m176_2_03_2_i_4 (direction OUTPUT)) (port m177_2_03_2_i_4 (direction OUTPUT)) @@ -41147,18 +41167,18 @@ (portRef fee_almost_full_i (instanceRef gen_36_8k_THE_FIFO)) (portRef fee_almost_full_i) )) - (net reset_ipu_i_0_i (joined - (portRef reset_ipu_i_0_i) - (portRef reset_ipu_i_0_i (instanceRef gen_36_8k_THE_FIFO)) - )) - (net reset_ipu_i_0_i_2 (joined - (portRef reset_ipu_i_0_i_2) - (portRef reset_ipu_i_0_i_2 (instanceRef gen_36_8k_THE_FIFO)) - )) (net reset_ipu_i_0_i_1 (joined (portRef reset_ipu_i_0_i_1) (portRef reset_ipu_i_0_i_1 (instanceRef gen_36_8k_THE_FIFO)) )) + (net reset_ipu_i_0_i_1_2 (joined + (portRef reset_ipu_i_0_i_1_2) + (portRef reset_ipu_i_0_i_1_2 (instanceRef gen_36_8k_THE_FIFO)) + )) + (net reset_ipu_i_0_i_1_1 (joined + (portRef reset_ipu_i_0_i_1_1) + (portRef reset_ipu_i_0_i_1_1 (instanceRef gen_36_8k_THE_FIFO)) + )) (net VCC (joined (portRef VCC) (portRef VCC (instanceRef gen_36_8k_THE_FIFO)) @@ -41167,21 +41187,17 @@ (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef gen_36_8k_THE_FIFO)) )) - (net m129_2_03_4_i_4 (joined - (portRef m129_2_03_4_i_4) - (portRef m129_2_03_4_i_4 (instanceRef gen_36_8k_THE_FIFO)) - )) (net GND (joined (portRef GND) (portRef GND (instanceRef gen_36_8k_THE_FIFO)) )) - (net data_counter_0 (joined - (portRef data_counter_0) - (portRef data_counter_0 (instanceRef gen_36_8k_THE_FIFO)) + (net m129_2_03_4_i_4 (joined + (portRef m129_2_03_4_i_4) + (portRef m129_2_03_4_i_4 (instanceRef gen_36_8k_THE_FIFO)) )) - (net fee_data_write_i (joined - (portRef fee_data_write_i) - (portRef fee_data_write_i (instanceRef gen_36_8k_THE_FIFO)) + (net N_277 (joined + (portRef N_277) + (portRef N_277 (instanceRef gen_36_8k_THE_FIFO)) )) ) ) @@ -41357,9 +41373,9 @@ (view netlist (viewType NETLIST) (interface (port current_mux_buffer_3_5 (direction INPUT)) - (port current_mux_buffer_3_16 (direction INPUT)) - (port current_mux_buffer_3_0 (direction INPUT)) (port current_mux_buffer_3_1 (direction INPUT)) + (port current_mux_buffer_3_0 (direction INPUT)) + (port current_mux_buffer_3_16 (direction INPUT)) (port current_mux_buffer_3_18 (direction INPUT)) (port current_mux_buffer_3_4 (direction INPUT)) (port current_mux_buffer_2_5 (direction INPUT)) @@ -41374,10 +41390,10 @@ (port current_mux_buffer_2_8 (direction INPUT)) (port current_mux_buffer_2_6 (direction INPUT)) (port current_mux_buffer_2_15 (direction INPUT)) - (port current_mux_buffer_2_16 (direction INPUT)) (port current_mux_buffer_2_13 (direction INPUT)) - (port current_mux_buffer_2_0 (direction INPUT)) (port current_mux_buffer_2_1 (direction INPUT)) + (port current_mux_buffer_2_0 (direction INPUT)) + (port current_mux_buffer_2_16 (direction INPUT)) (port current_mux_buffer_2_18 (direction INPUT)) (port current_mux_buffer_2_4 (direction INPUT)) (port current_mux_buffer_1_5 (direction INPUT)) @@ -41392,30 +41408,30 @@ (port current_mux_buffer_1_8 (direction INPUT)) (port current_mux_buffer_1_6 (direction INPUT)) (port current_mux_buffer_1_15 (direction INPUT)) - (port current_mux_buffer_1_16 (direction INPUT)) (port current_mux_buffer_1_13 (direction INPUT)) - (port current_mux_buffer_1_0 (direction INPUT)) (port current_mux_buffer_1_1 (direction INPUT)) + (port current_mux_buffer_1_0 (direction INPUT)) + (port current_mux_buffer_1_16 (direction INPUT)) (port current_mux_buffer_1_18 (direction INPUT)) (port current_mux_buffer_1_4 (direction INPUT)) (port (array (rename med_data_out "med_data_out(15:0)") 16) (direction OUTPUT)) (port med_packet_num_out_0 (direction OUTPUT)) (port med_packet_num_out_2 (direction OUTPUT)) - (port current_mux_buffer_0_0_d0 (direction INPUT)) (port current_mux_buffer_0_1 (direction INPUT)) (port current_mux_buffer_0_2 (direction INPUT)) (port current_mux_buffer_0_6 (direction INPUT)) (port current_mux_buffer_0_7 (direction INPUT)) (port current_mux_buffer_0_8 (direction INPUT)) - (port current_mux_buffer_0_9 (direction INPUT)) (port current_mux_buffer_0_10 (direction INPUT)) (port current_mux_buffer_0_11 (direction INPUT)) (port current_mux_buffer_0_12 (direction INPUT)) (port current_mux_buffer_0_13 (direction INPUT)) (port current_mux_buffer_0_14 (direction INPUT)) (port current_mux_buffer_0_15 (direction INPUT)) - (port current_mux_buffer_0_16 (direction INPUT)) (port current_mux_buffer_0_18 (direction INPUT)) + (port current_mux_buffer_0_16 (direction INPUT)) + (port current_mux_buffer_0_9 (direction INPUT)) + (port current_mux_buffer_0_0_d0 (direction INPUT)) (port current_mux_buffer_0_5 (direction INPUT)) (port current_mux_buffer_0_4 (direction INPUT)) (port current_mux_buffer_0_0_3 (direction INPUT)) @@ -41429,10 +41445,10 @@ (port current_mux_buffer_0_0_8 (direction INPUT)) (port current_mux_buffer_0_0_6 (direction INPUT)) (port current_mux_buffer_0_0_15 (direction INPUT)) - (port current_mux_buffer_0_0_16 (direction INPUT)) (port current_mux_buffer_0_0_13 (direction INPUT)) - (port current_mux_buffer_0_0_0 (direction INPUT)) (port current_mux_buffer_0_0_1 (direction INPUT)) + (port current_mux_buffer_0_0_0 (direction INPUT)) + (port current_mux_buffer_0_0_16 (direction INPUT)) (port current_mux_buffer_0_0_18 (direction INPUT)) (port (array (rename k_1 "k_1(1:0)") 2) (direction INPUT)) (port N_757_0 (direction INPUT)) @@ -41450,7 +41466,7 @@ (port un155_var_mux_buffer (direction INPUT)) (port un145_var_mux_buffer (direction INPUT)) (port mux_read (direction INPUT)) - (port N_538_i (direction INPUT)) + (port N_465_i (direction INPUT)) ) (contents (instance gen_version_0_sbuf (viewRef netlist (cellRef trb_net_sbufZ0_gen_version_0_sbuf_3_gen_version_0_sbuf_1_GEN_IBUF_THE_IBUF_gen_init_sbuf_SBUF_INIT_gen_version_0_sbuf_0_7)) @@ -41467,22 +41483,22 @@ (portRef current_mux_buffer_0_0_18) (portRef current_mux_buffer_0_0_18 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_0_0_1 (joined - (portRef current_mux_buffer_0_0_1) - (portRef current_mux_buffer_0_0_1 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_0_0_16 (joined + (portRef current_mux_buffer_0_0_16) + (portRef current_mux_buffer_0_0_16 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_0_0_0 (joined (portRef current_mux_buffer_0_0_0) (portRef current_mux_buffer_0_0_0 (instanceRef gen_version_0_sbuf)) )) + (net current_mux_buffer_0_0_1 (joined + (portRef current_mux_buffer_0_0_1) + (portRef current_mux_buffer_0_0_1 (instanceRef gen_version_0_sbuf)) + )) (net current_mux_buffer_0_0_13 (joined (portRef current_mux_buffer_0_0_13) (portRef current_mux_buffer_0_0_13 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_0_0_16 (joined - (portRef current_mux_buffer_0_0_16) - (portRef current_mux_buffer_0_0_16 (instanceRef gen_version_0_sbuf)) - )) (net current_mux_buffer_0_0_15 (joined (portRef current_mux_buffer_0_0_15) (portRef current_mux_buffer_0_0_15 (instanceRef gen_version_0_sbuf)) @@ -41535,14 +41551,22 @@ (portRef current_mux_buffer_0_5) (portRef current_mux_buffer_0_5 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_0_18 (joined - (portRef current_mux_buffer_0_18) - (portRef current_mux_buffer_0_18 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_0_0_d0 (joined + (portRef current_mux_buffer_0_0_d0) + (portRef current_mux_buffer_0_0_d0 (instanceRef gen_version_0_sbuf)) + )) + (net current_mux_buffer_0_9 (joined + (portRef current_mux_buffer_0_9) + (portRef current_mux_buffer_0_9 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_0_16 (joined (portRef current_mux_buffer_0_16) (portRef current_mux_buffer_0_16 (instanceRef gen_version_0_sbuf)) )) + (net current_mux_buffer_0_18 (joined + (portRef current_mux_buffer_0_18) + (portRef current_mux_buffer_0_18 (instanceRef gen_version_0_sbuf)) + )) (net current_mux_buffer_0_15 (joined (portRef current_mux_buffer_0_15) (portRef current_mux_buffer_0_15 (instanceRef gen_version_0_sbuf)) @@ -41567,10 +41591,6 @@ (portRef current_mux_buffer_0_10) (portRef current_mux_buffer_0_10 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_0_9 (joined - (portRef current_mux_buffer_0_9) - (portRef current_mux_buffer_0_9 (instanceRef gen_version_0_sbuf)) - )) (net current_mux_buffer_0_8 (joined (portRef current_mux_buffer_0_8) (portRef current_mux_buffer_0_8 (instanceRef gen_version_0_sbuf)) @@ -41591,10 +41611,6 @@ (portRef current_mux_buffer_0_1) (portRef current_mux_buffer_0_1 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_0_0_d0 (joined - (portRef current_mux_buffer_0_0_d0) - (portRef current_mux_buffer_0_0_d0 (instanceRef gen_version_0_sbuf)) - )) (net med_packet_num_out_2 (joined (portRef med_packet_num_out_2 (instanceRef gen_version_0_sbuf)) (portRef med_packet_num_out_2) @@ -41675,22 +41691,22 @@ (portRef current_mux_buffer_1_18) (portRef current_mux_buffer_1_18 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_1_1 (joined - (portRef current_mux_buffer_1_1) - (portRef current_mux_buffer_1_1 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_1_16 (joined + (portRef current_mux_buffer_1_16) + (portRef current_mux_buffer_1_16 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_1_0 (joined (portRef current_mux_buffer_1_0) (portRef current_mux_buffer_1_0 (instanceRef gen_version_0_sbuf)) )) + (net current_mux_buffer_1_1 (joined + (portRef current_mux_buffer_1_1) + (portRef current_mux_buffer_1_1 (instanceRef gen_version_0_sbuf)) + )) (net current_mux_buffer_1_13 (joined (portRef current_mux_buffer_1_13) (portRef current_mux_buffer_1_13 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_1_16 (joined - (portRef current_mux_buffer_1_16) - (portRef current_mux_buffer_1_16 (instanceRef gen_version_0_sbuf)) - )) (net current_mux_buffer_1_15 (joined (portRef current_mux_buffer_1_15) (portRef current_mux_buffer_1_15 (instanceRef gen_version_0_sbuf)) @@ -41747,22 +41763,22 @@ (portRef current_mux_buffer_2_18) (portRef current_mux_buffer_2_18 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_2_1 (joined - (portRef current_mux_buffer_2_1) - (portRef current_mux_buffer_2_1 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_2_16 (joined + (portRef current_mux_buffer_2_16) + (portRef current_mux_buffer_2_16 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_2_0 (joined (portRef current_mux_buffer_2_0) (portRef current_mux_buffer_2_0 (instanceRef gen_version_0_sbuf)) )) + (net current_mux_buffer_2_1 (joined + (portRef current_mux_buffer_2_1) + (portRef current_mux_buffer_2_1 (instanceRef gen_version_0_sbuf)) + )) (net current_mux_buffer_2_13 (joined (portRef current_mux_buffer_2_13) (portRef current_mux_buffer_2_13 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_2_16 (joined - (portRef current_mux_buffer_2_16) - (portRef current_mux_buffer_2_16 (instanceRef gen_version_0_sbuf)) - )) (net current_mux_buffer_2_15 (joined (portRef current_mux_buffer_2_15) (portRef current_mux_buffer_2_15 (instanceRef gen_version_0_sbuf)) @@ -41819,25 +41835,25 @@ (portRef current_mux_buffer_3_18) (portRef current_mux_buffer_3_18 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_3_1 (joined - (portRef current_mux_buffer_3_1) - (portRef current_mux_buffer_3_1 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_3_16 (joined + (portRef current_mux_buffer_3_16) + (portRef current_mux_buffer_3_16 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_3_0 (joined (portRef current_mux_buffer_3_0) (portRef current_mux_buffer_3_0 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_3_16 (joined - (portRef current_mux_buffer_3_16) - (portRef current_mux_buffer_3_16 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_3_1 (joined + (portRef current_mux_buffer_3_1) + (portRef current_mux_buffer_3_1 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_3_5 (joined (portRef current_mux_buffer_3_5) (portRef current_mux_buffer_3_5 (instanceRef gen_version_0_sbuf)) )) - (net N_538_i (joined - (portRef N_538_i) - (portRef N_538_i (instanceRef gen_version_0_sbuf)) + (net N_465_i (joined + (portRef N_465_i) + (portRef N_465_i (instanceRef gen_version_0_sbuf)) )) (net mux_read (joined (portRef mux_read) @@ -41989,7 +42005,7 @@ (portRef A (instanceRef un1_current_p1_pattern4_2)) (portRef B (instanceRef comb_rr_or_all_tmp_1_1_RNI7TJ11)) )) - (net current_final_pattern_537_1 (joined + (net current_final_pattern_544_1 (joined (portRef Z (instanceRef comb_rr_or_all_tmp_1_1_RNI7TJ11)) (portRef A (instanceRef comb_rr_or_all_tmp_1_1_RNI5VOK2)) )) @@ -42347,12 +42363,11 @@ (cell trb_net16_sbuf_5_4 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename buf_int_read_out "buf_INT_READ_OUT(7:6)") 2) (direction INPUT)) - (port buf_INT_PACKET_NUM_IN_5 (direction INPUT)) - (port buf_INT_PACKET_NUM_IN_2 (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_0 (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_1 (direction OUTPUT)) - (port (array (rename current_mux_buffer_2 "current_mux_buffer_2(18:18)") 1) (direction OUTPUT)) + (port (array (rename med_io_read_in "MED_IO_READ_IN(5:5)") 1) (direction INPUT)) + (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(17:17)") 1) (direction INPUT)) + (port (array (rename buf_int_read_out "buf_INT_READ_OUT(6:6)") 1) (direction INPUT)) + (port (array (rename buf_int_packet_num_in "buf_INT_PACKET_NUM_IN(20:18)") 3) (direction OUTPUT)) + (port (array (rename current_mux_buffer_1 "current_mux_buffer_1(18:18)") 1) (direction OUTPUT)) (port (array (rename buf_int_data_in "buf_INT_DATA_IN(111:96)") 16) (direction OUTPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(98:96)") 3) (direction INPUT)) (port (array (rename med_io_dataready_out "MED_IO_DATAREADY_OUT(6:6)") 1) (direction INPUT)) @@ -42452,34 +42467,34 @@ (portRef (member buf_int_data_in 0) (instanceRef gen_version_5_sbuf)) (portRef (member buf_int_data_in 0)) )) - (net current_mux_buffer_2_18 (joined - (portRef (member current_mux_buffer_2 0) (instanceRef gen_version_5_sbuf)) - (portRef (member current_mux_buffer_2 0)) - )) - (net buf_INT_PACKET_NUM_IN_1 (joined - (portRef buf_INT_PACKET_NUM_IN_1 (instanceRef gen_version_5_sbuf)) - (portRef buf_INT_PACKET_NUM_IN_1) + (net current_mux_buffer_1_18 (joined + (portRef (member current_mux_buffer_1 0) (instanceRef gen_version_5_sbuf)) + (portRef (member current_mux_buffer_1 0)) )) - (net buf_INT_PACKET_NUM_IN_0 (joined - (portRef buf_INT_PACKET_NUM_IN_0 (instanceRef gen_version_5_sbuf)) - (portRef buf_INT_PACKET_NUM_IN_0) + (net buf_INT_PACKET_NUM_IN_18 (joined + (portRef (member buf_int_packet_num_in 2) (instanceRef gen_version_5_sbuf)) + (portRef (member buf_int_packet_num_in 2)) )) - (net buf_INT_PACKET_NUM_IN_2 (joined - (portRef buf_INT_PACKET_NUM_IN_2 (instanceRef gen_version_5_sbuf)) - (portRef buf_INT_PACKET_NUM_IN_2) + (net buf_INT_PACKET_NUM_IN_19 (joined + (portRef (member buf_int_packet_num_in 1) (instanceRef gen_version_5_sbuf)) + (portRef (member buf_int_packet_num_in 1)) )) - (net buf_INT_PACKET_NUM_IN_5 (joined - (portRef buf_INT_PACKET_NUM_IN_5) - (portRef buf_INT_PACKET_NUM_IN_5 (instanceRef gen_version_5_sbuf)) + (net buf_INT_PACKET_NUM_IN_20 (joined + (portRef (member buf_int_packet_num_in 0) (instanceRef gen_version_5_sbuf)) + (portRef (member buf_int_packet_num_in 0)) )) (net buf_INT_READ_OUT_6 (joined - (portRef (member buf_int_read_out 1)) - (portRef (member buf_int_read_out 1) (instanceRef gen_version_5_sbuf)) - )) - (net buf_INT_READ_OUT_7 (joined (portRef (member buf_int_read_out 0)) (portRef (member buf_int_read_out 0) (instanceRef gen_version_5_sbuf)) )) + (net MED_IO_PACKET_NUM_OUT_17 (joined + (portRef (member med_io_packet_num_out 0)) + (portRef (member med_io_packet_num_out 0) (instanceRef gen_version_5_sbuf)) + )) + (net MED_IO_READ_IN_5 (joined + (portRef (member med_io_read_in 0)) + (portRef (member med_io_read_in 0) (instanceRef gen_version_5_sbuf)) + )) (net N_159_i (joined (portRef N_159_i) (portRef N_159_i (instanceRef gen_version_5_sbuf)) @@ -42981,7 +42996,9 @@ (port (array (rename buf_int_packet_num_in "buf_INT_PACKET_NUM_IN(23:21)") 3) (direction OUTPUT)) (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(23:21)") 3) (direction INPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(127:116)") 12) (direction INPUT)) - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction INPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction INPUT)) + (port current_output_data_buffer_3 (direction INPUT)) + (port current_output_data_buffer_0 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port reset_no_link (direction INPUT)) @@ -42997,20 +43014,20 @@ (instance gen_version_5_sbuf (viewRef netlist (cellRef trb_net_sbuf5_1)) ) (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3)) - (portRef (member current_output_data_buffer 3) (instanceRef gen_version_5_sbuf)) + (portRef current_output_data_buffer_0) + (portRef current_output_data_buffer_0 (instanceRef gen_version_5_sbuf)) )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2)) - (portRef (member current_output_data_buffer 2) (instanceRef gen_version_5_sbuf)) + (net current_output_data_buffer_3 (joined + (portRef current_output_data_buffer_3) + (portRef current_output_data_buffer_3 (instanceRef gen_version_5_sbuf)) )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1)) - (portRef (member current_output_data_buffer 1) (instanceRef gen_version_5_sbuf)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1)) + (portRef (member current_output_data_buffer_mb 1) (instanceRef gen_version_5_sbuf)) )) - (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0)) - (portRef (member current_output_data_buffer 0) (instanceRef gen_version_5_sbuf)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0)) + (portRef (member current_output_data_buffer_mb 0) (instanceRef gen_version_5_sbuf)) )) (net MED_IO_DATA_OUT_116 (joined (portRef (member med_io_data_out 11)) @@ -43364,13 +43381,16 @@ (cell rom_16x8 (cellType GENERIC) (view netlist (viewType NETLIST) (interface + (port (array (rename buf_rom_read_addr "buf_rom_read_addr(1:1)") 1) (direction INPUT)) (port (array (rename rom_read_addr "rom_read_addr(2:0)") 3) (direction INPUT)) (port rom_read_dout_15 (direction OUTPUT)) (port rom_read_dout_8 (direction OUTPUT)) (port rom_read_dout_9 (direction OUTPUT)) - (port rom_read_dout_7 (direction OUTPUT)) - (port rom_read_dout_2 (direction OUTPUT)) + (port rom_read_dout_6 (direction OUTPUT)) + (port rom_read_dout_3 (direction OUTPUT)) (port rom_read_dout_0 (direction OUTPUT)) + (port N_2345 (direction INPUT)) + (port rom_read_addr_sn_N_2 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) @@ -43380,19 +43400,16 @@ ) (instance dout_1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance dout_1_3 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance dout_1_6 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance dout_1_5 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance dout_1_7 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance dout_1_8 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance dout_1_12 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance un1_dout_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance dout_1_1_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance dout_1_1_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) ) (instance un1_dout_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) @@ -43403,55 +43420,58 @@ (instance un1_dout_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance dout_1_1_0__m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A)+C (!B !A))")) + (instance dout_1_1_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (!B !A))+D (!C (B !A)))")) ) - (net dout_1_1_0 (joined - (portRef Z (instanceRef dout_1_1_0__m3)) + (instance un1_dout_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B A))+D (!C (B A)))")) + ) + (net N_23_0 (joined + (portRef Z (instanceRef dout_1_1_i_0)) (portRef D (instanceRef dout_1_0)) )) (net rom_read_dout_0 (joined (portRef Q (instanceRef dout_1_0)) (portRef rom_read_dout_0) )) - (net un1_dout_0 (joined - (portRef Z (instanceRef un1_dout_0)) + (net dout_1_1_1 (joined + (portRef Z (instanceRef dout_1_1_0_a2_1)) (portRef D (instanceRef dout_1_1)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef CK (instanceRef dout_1_12)) (portRef CK (instanceRef dout_1_8)) - (portRef CK (instanceRef dout_1_5)) - (portRef CK (instanceRef dout_1_3)) + (portRef CK (instanceRef dout_1_7)) + (portRef CK (instanceRef dout_1_6)) (portRef CK (instanceRef dout_1_1)) (portRef CK (instanceRef dout_1_0)) )) - (net rom_read_dout_2 (joined + (net rom_read_dout_3 (joined (portRef Q (instanceRef dout_1_1)) - (portRef rom_read_dout_2) + (portRef rom_read_dout_3) )) (net VCC (joined (portRef VCC) )) - (net un1_dout_1_0 (joined - (portRef Z (instanceRef un1_dout_1_0)) - (portRef D (instanceRef dout_1_3)) + (net un1_dout_0 (joined + (portRef Z (instanceRef un1_dout_0)) + (portRef D (instanceRef dout_1_6)) )) - (net rom_read_dout_7 (joined - (portRef Q (instanceRef dout_1_3)) - (portRef rom_read_dout_7) + (net rom_read_dout_6 (joined + (portRef Q (instanceRef dout_1_6)) + (portRef rom_read_dout_6) )) - (net dout_1_1_5 (joined - (portRef Z (instanceRef dout_1_1_0_a2_5)) - (portRef D (instanceRef dout_1_5)) + (net un1_dout_1_0 (joined + (portRef Z (instanceRef un1_dout_1_0)) + (portRef D (instanceRef dout_1_7)) )) (net rom_read_dout_9 (joined - (portRef Q (instanceRef dout_1_5)) + (portRef Q (instanceRef dout_1_7)) (portRef rom_read_dout_9) )) (net dout_1_1_8 (joined - (portRef Z (instanceRef un1_dout_1_0_1)) + (portRef Z (instanceRef un1_dout_5_0)) (portRef D (instanceRef dout_1_8)) )) (net rom_read_dout_8 (joined @@ -43466,33 +43486,46 @@ (portRef Q (instanceRef dout_1_12)) (portRef rom_read_dout_15) )) - (net GND (joined - (portRef GND) + (net rom_read_addr_0 (joined + (portRef (member rom_read_addr 2)) + (portRef A (instanceRef un1_dout_5_0)) + (portRef A (instanceRef un1_dout_0)) + (portRef A (instanceRef un1_dout_5)) + (portRef A (instanceRef un1_dout_1_0)) + (portRef A (instanceRef dout_1_1_i_0)) )) (net rom_read_addr_1 (joined (portRef (member rom_read_addr 1)) - (portRef B (instanceRef dout_1_1_0__m3)) (portRef B (instanceRef un1_dout_0)) (portRef B (instanceRef un1_dout_5)) (portRef B (instanceRef un1_dout_1_0)) - (portRef A (instanceRef dout_1_1_0_a2_5)) - (portRef B (instanceRef un1_dout_1_0_1)) - )) - (net rom_read_addr_0 (joined - (portRef (member rom_read_addr 2)) - (portRef A (instanceRef dout_1_1_0__m3)) - (portRef A (instanceRef un1_dout_0)) - (portRef A (instanceRef un1_dout_5)) - (portRef A (instanceRef un1_dout_1_0)) - (portRef A (instanceRef un1_dout_1_0_1)) + (portRef B (instanceRef dout_1_1_i_0)) )) (net rom_read_addr_2 (joined (portRef (member rom_read_addr 0)) - (portRef C (instanceRef dout_1_1_0__m3)) + (portRef A (instanceRef dout_1_1_0_a2_1)) (portRef C (instanceRef un1_dout_0)) (portRef C (instanceRef un1_dout_5)) (portRef C (instanceRef un1_dout_1_0)) - (portRef B (instanceRef dout_1_1_0_a2_5)) + (portRef C (instanceRef dout_1_1_i_0)) + )) + (net GND (joined + (portRef GND) + )) + (net rom_read_addr_sn_N_2 (joined + (portRef rom_read_addr_sn_N_2) + (portRef B (instanceRef un1_dout_5_0)) + (portRef B (instanceRef dout_1_1_0_a2_1)) + )) + (net buf_rom_read_addr_1 (joined + (portRef (member buf_rom_read_addr 0)) + (portRef C (instanceRef un1_dout_5_0)) + (portRef C (instanceRef dout_1_1_0_a2_1)) + )) + (net N_2345 (joined + (portRef N_2345) + (portRef D (instanceRef un1_dout_5_0)) + (portRef D (instanceRef dout_1_1_0_a2_1)) )) ) ) @@ -43508,67 +43541,62 @@ (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction OUTPUT)) (port (array (rename buf_apl_data_out "buf_APL_DATA_OUT(63:48)") 16) (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port (array (rename adr_packet_num_out "ADR_PACKET_NUM_OUT(2:2)") 1) (direction OUTPUT)) (port (array (rename current_state "current_state(5:4)") 2) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_apl "buf_api_stat_fifo_to_apl(106:106)") 1) (direction INPUT)) - (port (array (rename adr_packet_num_out "ADR_PACKET_NUM_OUT(2:2)") 1) (direction OUTPUT)) (port state_2 (direction OUTPUT)) (port state_0 (direction OUTPUT)) (port buf_IDRAM_WR_IN_0_i (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) (port reset_i_rep2 (direction INPUT)) + (port reset_i_fast_r9 (direction INPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port ADDRESS_REJECTED_Q (direction OUTPUT)) (port DONT_UNDERSTAND_OUT_Q (direction OUTPUT)) (port reset_i_fast_1_i (direction INPUT)) (port delayed_buf_API_SEND_OUT_Q (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port N_1956 (direction INPUT)) - (port reset_i_fast_r4 (direction INPUT)) - (port GND (direction INPUT)) + (port N_1958 (direction INPUT)) (port ADR_READ_OUT (direction OUTPUT)) + (port GND (direction INPUT)) (port ADR_READ_IN (direction INPUT)) ) (contents - (instance delayed_buf_API_SEND_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B !A))+D (!C+(!B+!A)))")) + (instance sending_state_RNIVRG81_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (!C (B+A)+C (!B A)))")) ) - (instance ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+!A))+D (!C+(!B !A)))")) + (instance ram_read_addr1_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (!C (B A)+C (!B A)))")) ) - (instance state_srsts_0_i_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (C+(!B !A)))")) + (instance proc_read_id_un24_clk_en_4_RNINP1G1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) (instance ADDRESS_REJECTED_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B !A)))")) ) - (instance ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+!A)))")) - ) - (instance matching_counter_RNO_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance proc_read_id_un32_clk_en_RNIUVU51 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) (instance buf_API_READ_OUT_RNIIL19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (B A))")) ) - (instance matching_counter_RNO_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance sending_state_RNI8CF81_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) - ) (instance buf_API_READ_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+!A))")) ) - (instance state_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + (instance last_ram_read_addr2_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C (B !A))+D A)")) + ) + (instance sending_state_RNIUNG81_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A))+D B)")) + ) + (instance state_0 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance state_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance state_2 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance state_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance state_3 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance state_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance state_4 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) @@ -43578,27 +43606,27 @@ ) (instance recv_set_address (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance ram_read_addr1_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance ram_read_addr1_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance ram_read_addr1_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance ram_read_addr1_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance ram_read_addr1_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance ram_read_addr1_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance ram_read_addr1_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance ram_read_addr1_3 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance matching_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance matching_counter_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance matching_counter_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance matching_counter_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance matching_counter_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance last_ram_read_addr2_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance last_ram_read_addr2_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance last_ram_read_addr2_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance last_ram_read_addr2_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance last_ram_read_addr2_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance last_ram_read_addr2_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance last_ram_read_addr2_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance last_ram_read_addr2_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance delayed_buf_API_SEND_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -43640,214 +43668,178 @@ ) (instance ADDRESS_REJECTED (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance un1_ram_read_addr1_1_sqmuxa_p4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance ram_read_addr2_0_o2_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) + (instance ram_read_addr2_cnst_o2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance ram_read_addr1_1_sqmuxa_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance un5_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance ram_read_addr2_0_o3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance proc_read_id_un39_clk_en_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance state_srsts_0_i_a3_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance ram_read_addr1_c1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance matching_counter_1_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) - ) - (instance matching_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A+B A)")) - ) - (instance matching_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A+B A)")) - ) - (instance un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance proc_read_id_un22_clk_en_9_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance ram_read_addr2_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance proc_read_id_un18_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance ram_read_addr1_1_sqmuxa_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_read_id_un32_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance proc_read_id_un18_clk_en_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) - ) (instance proc_read_id_un34_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance proc_read_id_un24_clk_en_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance proc_read_id_un22_clk_en_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) - (instance proc_read_id_un24_clk_en_0_a3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance proc_read_id_un22_clk_en_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance matching_counter_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance proc_read_id_un22_clk_en_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance ram_read_addr1_1_sqmuxa_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) + (instance proc_read_id_un24_clk_en_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance ram_read_addr2_0_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance proc_read_id_un24_clk_en_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance ram_read_addr2_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C (B+A)))")) + (instance ram_read_addr2_cnst_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) ) - (instance ram_read_addr2_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance matching_counter_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance proc_read_id_ram_read_addr1_9_itt_1_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance ram_read_addr2_cnst_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance ram_read_addr2_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_read_id_un22_clk_en_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance ram_read_addr2_0_a2_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A)))")) + (instance ram_read_addr2_0_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance proc_read_id_un18_clk_en_0_a2_RNICHQF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance ram_read_addr2_cnst_i_x3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (!B A+B !A))")) ) - (instance proc_read_id_ram_read_addr1_9_i_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A)))")) + (instance state_srsts_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance state_srsts_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C !A+C (B+!A)))")) + (instance state_srsts_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance sending_state_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance ram_read_addr2_cnst_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (B !A))")) ) - (instance ram_read_addr1_1_sqmuxa_i_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance state_srsts_0_a2_0_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance ram_read_addr2_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A)))")) + (instance ram_read_addr2_cnst_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)+C A))")) ) - (instance un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_read_id_un39_clk_en_0_a2_RNIM4NF1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance ram_read_addr2_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) - (instance state_srsts_0_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B A))+D (!C !B))")) - ) - (instance sending_state_2_sqmuxa_1_i_s_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+!A)+D (C+(B+!A)))")) - ) - (instance state_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C (B+A)))")) - ) - (instance state_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C (B+A)))")) + (instance proc_read_id_un22_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance proc_read_id_un18_clk_en_0_a2_RNINBOH (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance buf_ADDRESS_OUT_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance proc_read_id_matching_counter_7_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C A)+D (C (!B+A)))")) + (instance matching_counter_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance recv_set_address_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance proc_read_id_un24_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance matching_counter_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + (instance un1_next_state_2_sqmuxa_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B !A))+D (!C (!B+A)+C (!B !A)))")) ) - (instance matching_counter_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + (instance ram_read_addr1_n1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A+B !A))")) ) - (instance state_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance ram_read_addr1_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance ram_read_addr2_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) + (instance matching_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A))")) ) - (instance ram_read_addr2_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) - ) - (instance proc_read_id_ram_read_addr1_9_i_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) - (instance proc_read_id_ram_read_addr1_9_i_1_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B !A)))")) + (instance state_srsts_0_a2_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)+C B))")) ) - (instance state_srsts_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C !A))")) + (instance DONT_UNDERSTAND_OUT_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) - (instance ram_read_addr2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) + (instance ram_read_addr1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) - (instance ram_read_addr2_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance state_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B+!A)))")) ) - (instance ram_read_addr_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance state_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)+C B))")) ) - (instance ram_read_addr_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance ram_read_addr1_n3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C (B A)+C (B !A)))")) ) - (instance ram_read_addr_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance matching_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A))")) ) - (instance un1_recv_set_address_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_ram_read_addr120_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)+C A)+D (C+B))")) ) (instance sending_statee_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+!A)))")) ) - (instance ram_read_addr_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) + (instance un1_sending_state_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B A)+C A))")) + ) + (instance matching_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A)))")) ) (instance proc_read_id_sending_state_13_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A)))")) + (property lut_function (string "(!D (!C (B A)+C A))")) ) - (instance sending_state_2_sqmuxa_1_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance ram_read_addr_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+A))+D (!C A+C (B+A)))")) ) - (instance proc_read_id_ram_read_addr1_9_i_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+!A))+D (B+!A))")) + (instance ram_read_addr_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance proc_read_id_ram_read_addr1_9_i_1_m6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (!B !A)+C !B))")) + (instance ram_read_addr_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C B)+D (C+(B+A)))")) ) - (instance ram_read_addr1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (!B !A)))")) + (instance ram_read_addr_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (!B A)+C (B+A)))")) ) - (instance ram_read_addr1_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (!B !A)))")) + (instance ram_read_addr1e_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (!B+A)+C !B))")) ) - (instance sending_statee_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A))")) ) - (instance ram_read_addr1_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) - (instance DONT_UNDERSTAND_OUT_2_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B !A))")) - ) - (instance DONT_UNDERSTAND_OUT_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B+!A)))")) - ) - (instance proc_read_id_un24_clk_en_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) + (instance sending_statee_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B A)))")) ) - (instance proc_read_id_un24_clk_en_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance sending_state_2_sqmuxa_1_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C !A+C (B+!A)))")) ) (instance sending_statee_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (property lut_function (string "(!C B+C A)")) ) (instance sending_statee_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (property lut_function (string "(!C B+C A)")) ) - (instance ram_read_addr2_0_a3_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance proc_read_id_un22_clk_en_5_0_RNI82J41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance sending_state_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_read_id_un29_clk_en_0_I_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -43882,216 +43874,236 @@ ) (instance THE_STAT_RAM (viewRef netlist (cellRef ram_16x16_dp)) ) - (net sending_state_1 (joined - (portRef Q (instanceRef sending_state_1)) - (portRef A (instanceRef ram_read_addr2_0_a3_0_2)) - (portRef C (instanceRef sending_statee_1)) - (portRef D (instanceRef sending_statee_RNO_0_1)) - (portRef C (instanceRef ram_read_addr2_0_a2_2)) - (portRef C (instanceRef state_srsts_0_i_0)) - (portRef C (instanceRef ram_read_addr2_0_a2_0_0_1)) - (portRef C (instanceRef ram_read_addr2_0_a2_3)) - (portRef C (instanceRef ram_read_addr2_0_a3_2)) - (portRef D (instanceRef ram_read_addr2_0_a3_0)) - (portRef B (instanceRef ram_read_addr2_0_a3_0_0)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_o2)) - (portRef B (instanceRef sending_state_RNI8CF81_0)) - (portRef C (instanceRef ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1)) - (portRef B (instanceRef delayed_buf_API_SEND_OUT_RNO)) - )) (net sending_state_0 (joined (portRef Q (instanceRef sending_state_0)) - (portRef B (instanceRef ram_read_addr2_0_a3_0_2)) - (portRef C (instanceRef sending_statee_0)) + (portRef B (instanceRef sending_statee_0)) + (portRef C (instanceRef sending_state_2_sqmuxa_1_i)) (portRef C (instanceRef sending_statee_RNO_0_1)) - (portRef B (instanceRef ram_read_addr2_0_a2_0_0_1)) - (portRef B (instanceRef ram_read_addr2_0_a3_2)) - (portRef A (instanceRef ram_read_addr2_0_a3_0_0)) - (portRef B (instanceRef state_srsts_0_i_a3_0_4)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_o2)) - (portRef B (instanceRef ram_read_addr2_0_o2_0_3)) - (portRef C (instanceRef sending_state_RNI8CF81_0)) - (portRef D (instanceRef ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1)) - (portRef D (instanceRef state_srsts_0_i_RNO_4)) - (portRef D (instanceRef ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51)) - (portRef D (instanceRef delayed_buf_API_SEND_OUT_RNO)) - )) - (net N_33_i_0 (joined - (portRef Z (instanceRef delayed_buf_API_SEND_OUT_RNO)) + (portRef C (instanceRef state_RNO_0)) + (portRef D (instanceRef state_srsts_0_a2_1_4)) + (portRef C (instanceRef un1_next_state_2_sqmuxa_i_o2)) + (portRef A (instanceRef proc_read_id_un39_clk_en_0_a2)) + (portRef B (instanceRef sending_state_RNIUNG81_0)) + (portRef A (instanceRef sending_state_RNIVRG81_0)) + )) + (net sending_state_1 (joined + (portRef Q (instanceRef sending_state_1)) + (portRef B (instanceRef sending_statee_1)) + (portRef D (instanceRef sending_statee_RNO_0_1)) + (portRef D (instanceRef un1_next_state_2_sqmuxa_i_o2)) + (portRef C (instanceRef ram_read_addr2_cnst_i_1)) + (portRef D (instanceRef ram_read_addr2_cnst_0)) + (portRef B (instanceRef state_srsts_0_a2_0)) + (portRef B (instanceRef ram_read_addr2_cnst_i_x3_2)) + (portRef B (instanceRef ram_read_addr2_0_sqmuxa_0_a3)) + (portRef B (instanceRef ram_read_addr2_cnst_i_3)) + (portRef B (instanceRef proc_read_id_un39_clk_en_0_a2)) + (portRef A (instanceRef sending_state_RNIUNG81_0)) + (portRef A (instanceRef last_ram_read_addr2_RNO_0)) + (portRef B (instanceRef sending_state_RNIVRG81_0)) + )) + (net N_232_0 (joined + (portRef Z (instanceRef sending_state_RNIVRG81_0)) + (portRef A (instanceRef sending_state_2_sqmuxa_1_i)) (portRef D (instanceRef delayed_buf_API_SEND_OUT)) )) - (net ADR_READ_IN (joined - (portRef ADR_READ_IN) - (portRef A (instanceRef state_RNO_2)) - (portRef A (instanceRef state_RNO_3)) - (portRef C (instanceRef sending_state_2_sqmuxa_1_i_s_1)) - (portRef B (instanceRef state_srsts_0_0_0_1)) - (portRef C (instanceRef ram_read_addr2_0_0_2)) - (portRef B (instanceRef state_srsts_0_i_0)) - (portRef B (instanceRef ram_read_addr2_0_a2_3)) - (portRef A (instanceRef ram_read_addr2_0_a3_2)) - (portRef B (instanceRef state_srsts_0_i_RNO_4)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51)) - (portRef C (instanceRef delayed_buf_API_SEND_OUT_RNO)) + (net N_347_0 (joined + (portRef Z (instanceRef proc_read_id_un39_clk_en_0_a2_RNIM4NF1)) + (portRef B (instanceRef ram_read_addr1_n3)) + (portRef B (instanceRef ram_read_addr1_RNO_0)) + (portRef C (instanceRef ram_read_addr1_n1)) + (portRef A (instanceRef ram_read_addr1_RNO_2)) )) - (net N_98 (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_o2)) - (portRef A (instanceRef sending_state_2_sqmuxa_1_i_s_1)) - (portRef A (instanceRef state_srsts_0_0_0_1)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_i_1_0)) - (portRef C (instanceRef ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51)) - )) - (net N_96 (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51)) - (portRef A (instanceRef ram_read_addr_0_i_3)) - (portRef A (instanceRef ram_read_addr2_0_o2_0)) - (portRef A (instanceRef ram_read_addr2_0_2)) - (portRef A (instanceRef ram_read_addr2_0_o2_1)) - (portRef A (instanceRef ram_read_addr2_0_3)) + (net buf_STAT_ADDR_DEBUG_2 (joined + (portRef Q (instanceRef ram_read_addr1_2)) + (portRef B (instanceRef ram_read_addr_0_i_2)) + (portRef A (instanceRef ram_read_addr1_n3)) + (portRef C (instanceRef proc_read_id_un32_clk_en)) + (portRef B (instanceRef ram_read_addr1_RNO_2)) )) - (net state_0 (joined - (portRef Q (instanceRef state_1)) - (portRef D (instanceRef sending_state_2_sqmuxa_1_i_s_1)) - (portRef C (instanceRef state_srsts_0_0_0_1)) - (portRef D (instanceRef ram_read_addr2_0_a2_2)) - (portRef D (instanceRef state_srsts_0_i_0)) - (portRef D (instanceRef ram_read_addr2_0_a2_0_0_1)) - (portRef D (instanceRef ram_read_addr2_0_a3_2)) - (portRef C (instanceRef ram_read_addr2_0_a3_0_0)) - (portRef A (instanceRef state_srsts_0_i_RNO_4)) - (portRef A (instanceRef delayed_buf_API_SEND_OUT_RNO)) - (portRef state_0) + (net buf_STAT_ADDR_DEBUG_1 (joined + (portRef Q (instanceRef ram_read_addr1_1)) + (portRef A (instanceRef ram_read_addr_0_i_1)) + (portRef B (instanceRef ram_read_addr1_n1)) + (portRef B (instanceRef proc_read_id_un32_clk_en)) + (portRef B (instanceRef ram_read_addr1_c1)) + (portRef C (instanceRef ram_read_addr1_RNO_2)) )) - (net ADR_PACKET_NUM_OUT_2 (joined - (portRef Q (instanceRef state_0)) - (portRef C (instanceRef ram_read_addr2_0_a3_0_2)) - (portRef B (instanceRef ram_read_addr2_0_a3_0_1)) - (portRef A (instanceRef state_srsts_0_i_a3_0_4)) - (portRef A (instanceRef ram_read_addr2_0_o2_0_3)) - (portRef C (instanceRef state_srsts_0_i_RNO_4)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51)) - (portRef (member adr_packet_num_out 0)) + (net buf_STAT_ADDR_DEBUG_0 (joined + (portRef Q (instanceRef ram_read_addr1_0)) + (portRef A (instanceRef ram_read_addr_0_i_0)) + (portRef A (instanceRef ram_read_addr1_RNO_0)) + (portRef A (instanceRef ram_read_addr1_n1)) + (portRef A (instanceRef proc_read_id_un32_clk_en)) + (portRef A (instanceRef ram_read_addr1_c1)) + (portRef D (instanceRef ram_read_addr1_RNO_2)) + )) + (net ram_read_addr1_n2 (joined + (portRef Z (instanceRef ram_read_addr1_RNO_2)) + (portRef D (instanceRef ram_read_addr1_2)) )) - (net state_srsts_0_i_tz_0_4 (joined - (portRef Z (instanceRef state_srsts_0_i_RNO_4)) - (portRef C (instanceRef state_srsts_0_i_4)) + (net un18_clk_en (joined + (portRef Z (instanceRef proc_read_id_un18_clk_en)) + (portRef A (instanceRef proc_read_id_un22_clk_en_5_0_RNI82J41)) + (portRef B (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) + (portRef A (instanceRef proc_read_id_un24_clk_en_4_RNINP1G1)) + )) + (net un24_clk_en_8 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_8)) + (portRef B (instanceRef proc_read_id_un22_clk_en_5_0_RNI82J41)) + (portRef C (instanceRef proc_read_id_un24_clk_en)) + (portRef C (instanceRef proc_read_id_un22_clk_en)) + (portRef B (instanceRef proc_read_id_un24_clk_en_4_RNINP1G1)) + )) + (net un24_clk_en_5_0 (joined + (portRef Z (instanceRef proc_read_id_un24_clk_en_5)) + (portRef B (instanceRef proc_read_id_un24_clk_en)) + (portRef C (instanceRef proc_read_id_un24_clk_en_4_RNINP1G1)) + )) + (net un24_clk_en_4_0 (joined + (portRef Z (instanceRef proc_read_id_un24_clk_en_4)) + (portRef A (instanceRef proc_read_id_un24_clk_en)) + (portRef D (instanceRef proc_read_id_un24_clk_en_4_RNINP1G1)) + )) + (net recv_set_address_1_sqmuxa (joined + (portRef Z (instanceRef proc_read_id_un24_clk_en_4_RNINP1G1)) + (portRef C (instanceRef un1_ram_read_addr120_1)) + (portRef D (instanceRef recv_set_address)) )) (net un34_clk_en (joined (portRef Z (instanceRef proc_read_id_un34_clk_en)) - (portRef A (instanceRef sending_state_0_sqmuxa_0)) + (portRef B (instanceRef buf_ADDRESS_OUT_0_sqmuxa)) (portRef A (instanceRef ADDRESS_REJECTED_RNO)) )) - (net N_309_0 (joined - (portRef Z (instanceRef buf_API_READ_OUT_RNIIL19)) - (portRef B (instanceRef sending_state_0_sqmuxa_0)) - (portRef C (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) - (portRef A (instanceRef sending_state_2_sqmuxa_1_i_s)) - (portRef B (instanceRef proc_read_id_sending_state_13_0)) - (portRef A (instanceRef sending_statee_RNO_0_1)) - (portRef A (instanceRef un1_recv_set_address_0_sqmuxa_0)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_i_a2_1_0)) - (portRef A (instanceRef matching_counter_RNO_0_1)) - (portRef A (instanceRef matching_counter_RNO_0_2)) - (portRef A (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_m1_e)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_i_1_0)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_o2_0)) + (net un32_clk_en (joined + (portRef Z (instanceRef proc_read_id_un32_clk_en)) + (portRef A (instanceRef buf_ADDRESS_OUT_0_sqmuxa)) + (portRef B (instanceRef proc_read_id_un39_clk_en_0_a2_RNIM4NF1)) + (portRef A (instanceRef matching_counter_0_sqmuxa)) + (portRef C (instanceRef proc_read_id_un32_clk_en_RNIUVU51)) (portRef B (instanceRef ADDRESS_REJECTED_RNO)) )) (net recv_set_address (joined (portRef Q (instanceRef recv_set_address)) - (portRef C (instanceRef sending_state_0_sqmuxa_0)) - (portRef B (instanceRef matching_counter_0_sqmuxa_0_a2)) - (portRef D (instanceRef proc_read_id_un18_clk_en_0_a2)) - (portRef B (instanceRef matching_counter_1_sqmuxa_i_0)) - (portRef B (instanceRef matching_counter_RNO_1_1)) - (portRef C (instanceRef matching_counter_RNO_1_2)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1)) + (portRef B (instanceRef proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1)) + (portRef B (instanceRef ram_read_addr1_1_sqmuxa_1)) + (portRef C (instanceRef matching_counter_1_sqmuxa)) + (portRef C (instanceRef buf_ADDRESS_OUT_0_sqmuxa)) + (portRef C (instanceRef proc_read_id_un39_clk_en_0_a2_RNIM4NF1)) + (portRef B (instanceRef matching_counter_0_sqmuxa)) + (portRef D (instanceRef proc_read_id_un18_clk_en)) + (portRef B (instanceRef proc_read_id_un32_clk_en_RNIUVU51)) (portRef C (instanceRef ADDRESS_REJECTED_RNO)) )) - (net N_200 (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_a2)) - (portRef D (instanceRef sending_state_0_sqmuxa_0)) - (portRef A (instanceRef matching_counter_0_sqmuxa_0_a2)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1)) + (net N_342_0 (joined + (portRef Z (instanceRef buf_API_READ_OUT_RNIIL19)) + (portRef D (instanceRef proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1)) + (portRef A (instanceRef sending_statee_RNO_1)) + (portRef A (instanceRef ram_read_addr1e_0_i)) + (portRef A (instanceRef proc_read_id_sending_state_13_0)) + (portRef A (instanceRef un1_sending_state_2_sqmuxa)) + (portRef A (instanceRef sending_statee_RNO_0_1)) + (portRef A (instanceRef un1_ram_read_addr120_1)) + (portRef A (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) + (portRef A (instanceRef sending_state_0_sqmuxa)) + (portRef A (instanceRef matching_counter_1_sqmuxa)) + (portRef A (instanceRef proc_read_id_un32_clk_en_RNIUVU51)) (portRef D (instanceRef ADDRESS_REJECTED_RNO)) )) (net ADDRESS_REJECTED_4 (joined (portRef Z (instanceRef ADDRESS_REJECTED_RNO)) (portRef D (instanceRef ADDRESS_REJECTED)) )) - (net ram_read_addr1_1_sqmuxa_i_1 (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1)) - (portRef B (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_m1_e)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_itt_1_m1_e)) - )) - (net matching_counter_1 (joined - (portRef Q (instanceRef matching_counter_1)) - (portRef C (instanceRef matching_counter_RNO_0_1)) - (portRef B (instanceRef proc_read_id_un34_clk_en)) - (portRef A (instanceRef matching_counter_RNO_1)) - (portRef A (instanceRef matching_counter_RNO_1_2)) - )) - (net matching_counter_0 (joined - (portRef Q (instanceRef matching_counter_0)) - (portRef C (instanceRef proc_read_id_matching_counter_7_0)) - (portRef A (instanceRef proc_read_id_un34_clk_en)) - (portRef A (instanceRef matching_counter_RNO_1_1)) - (portRef B (instanceRef matching_counter_RNO_1_2)) + (net recv_set_address_0_sqmuxa (joined + (portRef Z (instanceRef proc_read_id_un32_clk_en_RNIUVU51)) + (portRef D (instanceRef matching_counter_RNO_2)) + (portRef C (instanceRef matching_counter_RNO_1)) + (portRef C (instanceRef matching_counter_RNO_0)) )) - (net un29_clk_en_i (joined - (portRef S1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) - (portRef A (instanceRef matching_counter_1_sqmuxa_i_0)) - (portRef C (instanceRef matching_counter_RNO_1_1)) - (portRef D (instanceRef matching_counter_RNO_1_2)) + (net ADR_READ_OUT (joined + (portRef Q (instanceRef buf_API_READ_OUT)) + (portRef A (instanceRef buf_API_READ_OUT_RNO)) + (portRef A (instanceRef buf_API_READ_OUT_RNIIL19)) + (portRef ADR_READ_OUT) )) - (net matching_counter_RNO_1_2 (joined - (portRef Z (instanceRef matching_counter_RNO_1_2)) - (portRef D (instanceRef matching_counter_RNO_0_2)) + (net buf_api_stat_fifo_to_apl_106 (joined + (portRef (member buf_api_stat_fifo_to_apl 0)) + (portRef B (instanceRef buf_API_READ_OUT_RNO)) + (portRef B (instanceRef buf_API_READ_OUT_RNIIL19)) )) - (net matching_counter_RNO_1_1 (joined - (portRef Z (instanceRef matching_counter_RNO_1_1)) - (portRef D (instanceRef matching_counter_RNO_0_1)) + (net N_1958 (joined + (portRef N_1958) + (portRef C (instanceRef buf_API_READ_OUT_RNO)) )) (net GND (joined (portRef GND) (portRef GND (instanceRef THE_STAT_RAM)) (portRef C1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) + (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) (portRef CIN (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) (portRef C0 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) )) - (net N_175 (joined - (portRef Z (instanceRef sending_state_RNI8CF81_0)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_i_1_m6)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_i_1_3)) + (net N_342_0_i (joined + (portRef Z (instanceRef buf_API_READ_OUT_RNO)) + (portRef D (instanceRef buf_API_READ_OUT)) )) - (net ADR_READ_OUT (joined - (portRef Q (instanceRef buf_API_READ_OUT)) - (portRef A (instanceRef buf_API_READ_OUT_RNO)) - (portRef A (instanceRef buf_API_READ_OUT_RNIIL19)) - (portRef ADR_READ_OUT) + (net N_276 (joined + (portRef Z (instanceRef un5_i_a2_2)) + (portRef C (instanceRef ram_read_addr2_cnst_0)) + (portRef B (instanceRef last_ram_read_addr2_RNO_0)) )) - (net buf_api_stat_fifo_to_apl_106 (joined - (portRef (member buf_api_stat_fifo_to_apl 0)) - (portRef B (instanceRef buf_API_READ_OUT_RNO)) - (portRef B (instanceRef buf_API_READ_OUT_RNIIL19)) + (net N_234_0 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_o2_0_0)) + (portRef B (instanceRef state_srsts_0_a2_1_4)) + (portRef B (instanceRef ram_read_addr2_cnst_i_1)) + (portRef C (instanceRef state_srsts_0_a2_0_1_4)) + (portRef B (instanceRef ram_read_addr2_cnst_0)) + (portRef C (instanceRef last_ram_read_addr2_RNO_0)) + )) + (net ram_read_addr2_cnst_0_i_0 (joined + (portRef Z (instanceRef last_ram_read_addr2_RNO_0)) + (portRef D (instanceRef last_ram_read_addr2_0)) )) - (net N_1956 (joined - (portRef N_1956) - (portRef C (instanceRef buf_API_READ_OUT_RNO)) + (net ADR_READ_IN (joined + (portRef ADR_READ_IN) + (portRef B (instanceRef un1_next_state_2_sqmuxa_i_o2)) + (portRef B (instanceRef state_srsts_0_a2_0_1_4)) + (portRef A (instanceRef state_srsts_0_a2_0)) + (portRef A (instanceRef state_srsts_0_o2_1)) + (portRef A (instanceRef ram_read_addr2_cnst_i_x3_2)) + (portRef A (instanceRef ram_read_addr2_0_sqmuxa_0_a3)) + (portRef A (instanceRef ram_read_addr2_cnst_i_3)) + (portRef SP (instanceRef state_3)) + (portRef SP (instanceRef state_2)) + (portRef C (instanceRef sending_state_RNIUNG81_0)) + (portRef D (instanceRef sending_state_RNIVRG81_0)) )) - (net N_309_0_i (joined - (portRef Z (instanceRef buf_API_READ_OUT_RNO)) - (portRef D (instanceRef buf_API_READ_OUT)) + (net ADR_PACKET_NUM_OUT_2 (joined + (portRef Q (instanceRef state_0)) + (portRef A (instanceRef state_RNO_0)) + (portRef A (instanceRef state_srsts_0_a2_1_4)) + (portRef A (instanceRef un1_next_state_2_sqmuxa_i_o2)) + (portRef A (instanceRef state_srsts_0_a2_0_1_4)) + (portRef A (instanceRef ram_read_addr2_cnst_0)) + (portRef B (instanceRef ram_read_addr2_cnst_o2_0)) + (portRef D (instanceRef sending_state_RNIUNG81_0)) + (portRef D (instanceRef last_ram_read_addr2_RNO_0)) + (portRef (member adr_packet_num_out 0)) )) - (net N_51 (joined - (portRef Z (instanceRef state_srsts_0_i_0)) + (net N_236_0_i (joined + (portRef Z (instanceRef sending_state_RNIUNG81_0)) + (portRef SP (instanceRef last_ram_read_addr2_3)) + (portRef SP (instanceRef last_ram_read_addr2_2)) + (portRef SP (instanceRef last_ram_read_addr2_1)) + (portRef SP (instanceRef last_ram_read_addr2_0)) + )) + (net N_185s_0_i (joined + (portRef Z (instanceRef state_RNO_0)) (portRef D (instanceRef state_0)) )) - (net N_172s_0_i (joined + (net N_184s_0_i (joined (portRef Z (instanceRef state_RNO_1)) (portRef D (instanceRef state_1)) )) @@ -44138,53 +44150,35 @@ (portRef CK (instanceRef state_1)) (portRef CK (instanceRef state_0)) )) + (net state_0 (joined + (portRef Q (instanceRef state_1)) + (portRef C (instanceRef state_RNO_1)) + (portRef D (instanceRef ram_read_addr2_cnst_i_1)) + (portRef D (instanceRef state_srsts_0_a2_0_1_4)) + (portRef C (instanceRef state_srsts_0_a2_0)) + (portRef B (instanceRef state_srsts_0_o2_1)) + (portRef C (instanceRef ram_read_addr2_cnst_i_x3_2)) + (portRef C (instanceRef ram_read_addr2_0_sqmuxa_0_a3)) + (portRef A (instanceRef un5_i_a2_2)) + (portRef C (instanceRef sending_state_RNIVRG81_0)) + (portRef state_0) + )) (net VCC (joined (portRef VCC) (portRef VCC (instanceRef THE_STAT_RAM)) (portRef D1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) - (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) (portRef D0 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) )) - (net N_29_i (joined - (portRef Z (instanceRef state_RNO_2)) - (portRef D (instanceRef state_2)) - )) (net stateZ0Z_2 (joined (portRef Q (instanceRef state_2)) - (portRef B (instanceRef state_RNO_2)) - (portRef D (instanceRef state_srsts_0_0_0_1)) - (portRef D (instanceRef ram_read_addr2_0_a2_3)) - (portRef A (instanceRef ram_read_addr2_0_o3_0_1)) - )) - (net N_27_i (joined - (portRef Z (instanceRef state_RNO_3)) - (portRef D (instanceRef state_3)) - )) - (net N_25 (joined - (portRef Z (instanceRef state_srsts_0_i_4)) - (portRef D (instanceRef state_4)) + (portRef C (instanceRef state_srsts_0_o2_1)) + (portRef C (instanceRef ram_read_addr2_cnst_i_3)) + (portRef A (instanceRef ram_read_addr2_cnst_o2_0_0)) )) (net state_4 (joined (portRef Q (instanceRef state_4)) - (portRef C (instanceRef state_RNO_3)) - )) - (net sending_statee_0_0 (joined - (portRef Z (instanceRef sending_statee_0)) - (portRef D (instanceRef sending_state_0)) - )) - (net sending_statee_0_1 (joined - (portRef Z (instanceRef sending_statee_1)) - (portRef D (instanceRef sending_state_1)) - )) - (net recv_set_address_1_sqmuxa (joined - (portRef Z (instanceRef recv_set_address_1_sqmuxa_0_a2)) - (portRef C (instanceRef un1_recv_set_address_0_sqmuxa_0)) - (portRef D (instanceRef recv_set_address)) - )) - (net un1_recv_set_address_0_sqmuxa_0 (joined - (portRef Z (instanceRef un1_recv_set_address_0_sqmuxa_0)) - (portRef SP (instanceRef recv_set_address)) + (portRef D (instanceRef state_3)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -44196,121 +44190,117 @@ (portRef CD (instanceRef matching_counter_2)) (portRef CD (instanceRef matching_counter_1)) (portRef CD (instanceRef matching_counter_0)) - (portRef CD (instanceRef ram_read_addr1_3)) - (portRef CD (instanceRef ram_read_addr1_2)) - (portRef CD (instanceRef ram_read_addr1_1)) - (portRef CD (instanceRef ram_read_addr1_0)) (portRef CD (instanceRef recv_set_address)) - (portRef PD (instanceRef state_0)) + (portRef CD (instanceRef state_3)) + (portRef CD (instanceRef state_2)) )) - (net N_35_i_0 (joined + (net state_2 (joined + (portRef Q (instanceRef state_3)) + (portRef B (instanceRef un5_i_a2_2)) + (portRef B (instanceRef ram_read_addr2_cnst_o2_0_0)) + (portRef D (instanceRef state_2)) + (portRef state_2) + )) + (net N_181s_0_i (joined + (portRef Z (instanceRef state_RNO_4)) + (portRef D (instanceRef state_4)) + )) + (net sending_statee_0_0 (joined + (portRef Z (instanceRef sending_statee_0)) + (portRef D (instanceRef sending_state_0)) + )) + (net sending_statee_0_1 (joined + (portRef Z (instanceRef sending_statee_1)) + (portRef D (instanceRef sending_state_1)) + )) + (net un1_ram_read_addr120_1_0 (joined + (portRef Z (instanceRef un1_ram_read_addr120_1)) + (portRef SP (instanceRef recv_set_address)) + )) + (net N_4339_i (joined (portRef Z (instanceRef ram_read_addr1_RNO_0)) (portRef D (instanceRef ram_read_addr1_0)) )) - (net buf_STAT_ADDR_DEBUG_0 (joined - (portRef Q (instanceRef ram_read_addr1_0)) - (portRef C (instanceRef ram_read_addr1_RNO_0)) - (portRef A (instanceRef ram_read_addr_i_0)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_itt_1_m1_e)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_a2)) - (portRef A (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e_0)) - (portRef A (instanceRef un1_ram_read_addr1_1_sqmuxa_p4)) - )) - (net ram_read_addr1_9_i_1_m6 (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_i_1_m6)) - (portRef D (instanceRef ram_read_addr1_1)) + (net ram_read_addr1e_0_i (joined + (portRef Z (instanceRef ram_read_addr1e_0_i)) + (portRef SP (instanceRef ram_read_addr1_3)) + (portRef SP (instanceRef ram_read_addr1_2)) + (portRef SP (instanceRef ram_read_addr1_1)) + (portRef SP (instanceRef ram_read_addr1_0)) )) - (net buf_STAT_ADDR_DEBUG_1 (joined - (portRef Q (instanceRef ram_read_addr1_1)) - (portRef C (instanceRef proc_read_id_ram_read_addr1_9_i_1_m6)) - (portRef A (instanceRef ram_read_addr_i_1)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_i_1_m3)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_a2)) - (portRef B (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e_0)) - (portRef B (instanceRef un1_ram_read_addr1_1_sqmuxa_p4)) - )) - (net N_39_i_0 (joined - (portRef Z (instanceRef ram_read_addr1_RNO_2)) - (portRef D (instanceRef ram_read_addr1_2)) - )) - (net buf_STAT_ADDR_DEBUG_2 (joined - (portRef Q (instanceRef ram_read_addr1_2)) - (portRef C (instanceRef ram_read_addr1_RNO_2)) - (portRef A (instanceRef ram_read_addr_i_2)) - (portRef C (instanceRef ram_read_addr1_1_sqmuxa_i_a2)) - (portRef C (instanceRef un1_ram_read_addr1_1_sqmuxa_p4)) + (net ram_read_addr1_n1 (joined + (portRef Z (instanceRef ram_read_addr1_n1)) + (portRef D (instanceRef ram_read_addr1_1)) )) - (net N_41_i_0 (joined - (portRef Z (instanceRef ram_read_addr1_RNO_3)) + (net ram_read_addr1_n3 (joined + (portRef Z (instanceRef ram_read_addr1_n3)) (portRef D (instanceRef ram_read_addr1_3)) )) (net ram_read_addr1_3 (joined (portRef Q (instanceRef ram_read_addr1_3)) - (portRef B (instanceRef ram_read_addr1_RNO_3)) (portRef D (instanceRef ram_read_addr_0_i_3)) - (portRef D (instanceRef ram_read_addr1_1_sqmuxa_i_a2)) + (portRef C (instanceRef ram_read_addr1_n3)) + (portRef D (instanceRef proc_read_id_un32_clk_en)) )) - (net matching_counter_7_0 (joined - (portRef Z (instanceRef proc_read_id_matching_counter_7_0)) + (net N_159_m (joined + (portRef Z (instanceRef matching_counter_RNO_0)) (portRef D (instanceRef matching_counter_0)) )) - (net matching_counter_RNO_1 (joined + (net matching_counter_0 (joined + (portRef Q (instanceRef matching_counter_0)) + (portRef A (instanceRef proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1)) + (portRef A (instanceRef matching_counter_RNO_0)) + (portRef A (instanceRef proc_read_id_un34_clk_en)) + )) + (net N_160_m (joined (portRef Z (instanceRef matching_counter_RNO_1)) (portRef D (instanceRef matching_counter_1)) )) - (net N_2_2_i (joined - (portRef Z (instanceRef matching_counter_RNO_0_1)) - (portRef SP (instanceRef matching_counter_1)) + (net matching_counter_1 (joined + (portRef Q (instanceRef matching_counter_1)) + (portRef B (instanceRef matching_counter_RNO_2)) + (portRef B (instanceRef matching_counter_RNO_1)) + (portRef B (instanceRef proc_read_id_un34_clk_en)) )) - (net matching_counter_RNO_2 (joined + (net N_161_m (joined (portRef Z (instanceRef matching_counter_RNO_2)) (portRef D (instanceRef matching_counter_2)) )) - (net N_2_8_i (joined - (portRef Z (instanceRef matching_counter_RNO_0_2)) - (portRef SP (instanceRef matching_counter_2)) - )) (net matching_counter_2 (joined (portRef Q (instanceRef matching_counter_2)) - (portRef C (instanceRef matching_counter_RNO_0_2)) + (portRef C (instanceRef matching_counter_RNO_2)) (portRef C (instanceRef proc_read_id_un34_clk_en)) - (portRef A (instanceRef matching_counter_RNO_2)) - )) - (net buf_STAT_ADDR_DEBUG_3 (joined - (portRef Z (instanceRef ram_read_addr2_0_o2_0)) - (portRef B (instanceRef ram_read_addr_i_0)) - (portRef D (instanceRef last_ram_read_addr2_0)) )) (net last_ram_read_addr2_0 (joined (portRef Q (instanceRef last_ram_read_addr2_0)) - (portRef D (instanceRef ram_read_addr2_0_o2_0)) + (portRef C (instanceRef ram_read_addr_0_i_0)) )) - (net buf_STAT_ADDR_DEBUG_4 (joined - (portRef Z (instanceRef ram_read_addr2_0_o2_1)) - (portRef B (instanceRef ram_read_addr_i_1)) + (net N_224_0 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_i_1)) + (portRef B (instanceRef ram_read_addr_0_i_1)) (portRef D (instanceRef last_ram_read_addr2_1)) )) (net last_ram_read_addr2_1 (joined (portRef Q (instanceRef last_ram_read_addr2_1)) - (portRef C (instanceRef ram_read_addr2_0_o2_1)) + (portRef D (instanceRef ram_read_addr_0_i_1)) )) - (net buf_STAT_ADDR_DEBUG_5 (joined - (portRef Z (instanceRef ram_read_addr2_0_2)) - (portRef B (instanceRef ram_read_addr_i_2)) + (net N_4338 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_i_x3_2)) + (portRef A (instanceRef ram_read_addr_0_i_2)) (portRef D (instanceRef last_ram_read_addr2_2)) )) (net last_ram_read_addr2_2 (joined (portRef Q (instanceRef last_ram_read_addr2_2)) - (portRef C (instanceRef ram_read_addr2_0_2)) + (portRef D (instanceRef ram_read_addr_0_i_2)) )) - (net buf_STAT_ADDR_DEBUG_6 (joined - (portRef Z (instanceRef ram_read_addr2_0_3)) + (net N_228_0 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_i_3)) + (portRef A (instanceRef ram_read_addr_0_i_3)) (portRef D (instanceRef last_ram_read_addr2_3)) )) (net last_ram_read_addr2_3 (joined (portRef Q (instanceRef last_ram_read_addr2_3)) (portRef C (instanceRef ram_read_addr_0_i_3)) - (portRef C (instanceRef ram_read_addr2_0_3)) )) (net delayed_buf_API_SEND_OUT_Q (joined (portRef Q (instanceRef delayed_buf_API_SEND_OUT)) @@ -44417,380 +44407,303 @@ (portRef Q (instanceRef ADDRESS_REJECTED)) (portRef ADDRESS_REJECTED_Q) )) - (net ram_read_addr1_1_sqmuxa_i_m1_e (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_m1_e)) - (portRef D (instanceRef ram_read_addr1_RNO_0)) - (portRef D (instanceRef un1_ram_read_addr1_1_sqmuxa_p4)) - )) - (net un1_ram_read_addr1_1_sqmuxa_p4 (joined - (portRef Z (instanceRef un1_ram_read_addr1_1_sqmuxa_p4)) - (portRef C (instanceRef ram_read_addr1_RNO_3)) - )) - (net N_114 (joined - (portRef Z (instanceRef ram_read_addr2_0_o2_0_3)) - (portRef A (instanceRef state_srsts_0_i_0)) - (portRef A (instanceRef ram_read_addr2_0_a2_3)) - )) - (net state_2 (joined - (portRef Q (instanceRef state_3)) - (portRef C (instanceRef state_RNO_2)) - (portRef B (instanceRef state_RNO_3)) - (portRef D (instanceRef ram_read_addr2_0_a3_0_0)) - (portRef B (instanceRef ram_read_addr2_0_o3_0_1)) - (portRef state_2) - )) - (net N_97 (joined - (portRef Z (instanceRef ram_read_addr2_0_o3_0_1)) - (portRef A (instanceRef state_srsts_0_i_4)) - (portRef A (instanceRef ram_read_addr2_0_a2_0_0_1)) - (portRef A (instanceRef ram_read_addr2_0_a3_0)) - )) - (net N_210 (joined - (portRef Z (instanceRef state_srsts_0_i_a3_0_4)) - (portRef A (instanceRef ram_read_addr2_0_a2_2)) + (net N_347_0_1 (joined + (portRef Z (instanceRef proc_read_id_un39_clk_en_0_a2)) + (portRef B (instanceRef ram_read_addr1e_0_i)) + (portRef B (instanceRef state_RNO_1)) + (portRef A (instanceRef proc_read_id_un39_clk_en_0_a2_RNIM4NF1)) )) - (net matching_counter_1_sqmuxa_i_0 (joined - (portRef Z (instanceRef matching_counter_1_sqmuxa_i_0)) - (portRef D (instanceRef proc_read_id_matching_counter_7_0)) + (net ram_read_addr1_c1 (joined + (portRef Z (instanceRef ram_read_addr1_c1)) + (portRef D (instanceRef ram_read_addr1_n3)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef reset_i_rep2 (instanceRef THE_STAT_RAM)) - (portRef D (instanceRef state_srsts_0_i_4)) - (portRef B (instanceRef state_RNO_1)) - (portRef D (instanceRef state_RNO_2)) - (portRef D (instanceRef state_RNO_3)) - (portRef B (instanceRef matching_counter_RNO_1)) - (portRef B (instanceRef matching_counter_RNO_2)) + (net buf_APL_DATA_OUT_61 (joined + (portRef (member buf_apl_data_out 2)) + (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) + (portRef A (instanceRef proc_read_id_un22_clk_en_9_0)) + (portRef D (instanceRef buf_ADDRESS_OUT_13)) )) - (net un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e_0 (joined - (portRef Z (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e_0)) - (portRef C (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e)) + (net buf_APL_DATA_OUT_63 (joined + (portRef (member buf_apl_data_out 0)) + (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) + (portRef B (instanceRef proc_read_id_un22_clk_en_9_0)) + (portRef D (instanceRef buf_ADDRESS_OUT_15)) )) - (net N_183 (joined - (portRef Z (instanceRef ram_read_addr2_0_a3_0_0)) - (portRef B (instanceRef ram_read_addr2_0_a3_0)) + (net un22_clk_en_9_0 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_9_0)) + (portRef C (instanceRef proc_read_id_un22_clk_en_8)) )) (net buf_APL_PACKET_NUM_OUT_9 (joined (portRef (member buf_apl_packet_num_out 2)) - (portRef A (instanceRef proc_read_id_un18_clk_en_0_a2)) + (portRef A (instanceRef proc_read_id_un18_clk_en)) )) (net buf_APL_PACKET_NUM_OUT_10 (joined (portRef (member buf_apl_packet_num_out 1)) - (portRef B (instanceRef proc_read_id_un18_clk_en_0_a2)) + (portRef B (instanceRef proc_read_id_un18_clk_en)) )) (net buf_APL_PACKET_NUM_OUT_11 (joined (portRef (member buf_apl_packet_num_out 0)) - (portRef C (instanceRef proc_read_id_un18_clk_en_0_a2)) + (portRef C (instanceRef proc_read_id_un18_clk_en)) )) - (net un18_clk_en (joined - (portRef Z (instanceRef proc_read_id_un18_clk_en_0_a2)) - (portRef D (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) - (portRef B (instanceRef proc_read_id_un18_clk_en_0_a2_RNICHQF)) + (net buf_APL_DATA_OUT_52 (joined + (portRef (member buf_apl_data_out 11)) + (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) + (portRef A (instanceRef proc_read_id_un24_clk_en_4)) + (portRef A (instanceRef proc_read_id_un22_clk_en_4)) + (portRef D (instanceRef buf_ADDRESS_OUT_4)) + )) + (net buf_APL_DATA_OUT_53 (joined + (portRef (member buf_apl_data_out 10)) + (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) + (portRef B (instanceRef proc_read_id_un24_clk_en_4)) + (portRef B (instanceRef proc_read_id_un22_clk_en_4)) + (portRef D (instanceRef buf_ADDRESS_OUT_5)) + )) + (net buf_APL_DATA_OUT_54 (joined + (portRef (member buf_apl_data_out 9)) + (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) + (portRef C (instanceRef proc_read_id_un24_clk_en_4)) + (portRef C (instanceRef proc_read_id_un22_clk_en_4)) + (portRef D (instanceRef buf_ADDRESS_OUT_6)) + )) + (net buf_APL_DATA_OUT_55 (joined + (portRef (member buf_apl_data_out 8)) + (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) + (portRef D (instanceRef proc_read_id_un24_clk_en_4)) + (portRef D (instanceRef proc_read_id_un22_clk_en_4)) + (portRef D (instanceRef buf_ADDRESS_OUT_7)) + )) + (net un22_clk_en_4 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_4)) + (portRef D (instanceRef proc_read_id_un22_clk_en_5_0_RNI82J41)) + (portRef A (instanceRef proc_read_id_un22_clk_en)) )) (net buf_APL_DATA_OUT_56 (joined (portRef (member buf_apl_data_out 7)) (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) - (portRef A (instanceRef proc_read_id_un24_clk_en_0_a3_7)) + (portRef A (instanceRef proc_read_id_un24_clk_en_5)) + (portRef A (instanceRef proc_read_id_un22_clk_en_5_0)) (portRef D (instanceRef buf_ADDRESS_OUT_8)) )) - (net buf_APL_DATA_OUT_61 (joined - (portRef (member buf_apl_data_out 2)) - (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) - (portRef B (instanceRef proc_read_id_un24_clk_en_0_a3_7)) - (portRef D (instanceRef buf_ADDRESS_OUT_13)) + (net buf_APL_DATA_OUT_59 (joined + (portRef (member buf_apl_data_out 4)) + (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) + (portRef B (instanceRef proc_read_id_un24_clk_en_5)) + (portRef B (instanceRef proc_read_id_un22_clk_en_5_0)) + (portRef D (instanceRef buf_ADDRESS_OUT_11)) + )) + (net buf_APL_DATA_OUT_60 (joined + (portRef (member buf_apl_data_out 3)) + (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) + (portRef C (instanceRef proc_read_id_un24_clk_en_5)) + (portRef C (instanceRef proc_read_id_un22_clk_en_5_0)) + (portRef D (instanceRef buf_ADDRESS_OUT_12)) )) (net buf_APL_DATA_OUT_62 (joined (portRef (member buf_apl_data_out 1)) (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) - (portRef C (instanceRef proc_read_id_un24_clk_en_0_a3_7)) + (portRef D (instanceRef proc_read_id_un24_clk_en_5)) + (portRef D (instanceRef proc_read_id_un22_clk_en_5_0)) (portRef D (instanceRef buf_ADDRESS_OUT_14)) )) - (net buf_APL_DATA_OUT_63 (joined - (portRef (member buf_apl_data_out 0)) - (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) - (portRef D (instanceRef proc_read_id_un24_clk_en_0_a3_7)) - (portRef D (instanceRef buf_ADDRESS_OUT_15)) + (net un22_clk_en_5 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_5_0)) + (portRef C (instanceRef proc_read_id_un22_clk_en_5_0_RNI82J41)) + (portRef B (instanceRef proc_read_id_un22_clk_en)) )) - (net un24_clk_en_0_a3_7 (joined - (portRef Z (instanceRef proc_read_id_un24_clk_en_0_a3_7)) - (portRef C (instanceRef proc_read_id_un24_clk_en_0_a3)) + (net buf_APL_DATA_OUT_49 (joined + (portRef (member buf_apl_data_out 14)) + (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) + (portRef A (instanceRef proc_read_id_un22_clk_en_6)) + (portRef D (instanceRef buf_ADDRESS_OUT_1)) )) - (net buf_APL_DATA_OUT_50 (joined - (portRef (member buf_apl_data_out 13)) - (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) - (portRef A (instanceRef proc_read_id_un24_clk_en_0_a3_8)) - (portRef D (instanceRef buf_ADDRESS_OUT_2)) + (net buf_APL_DATA_OUT_51 (joined + (portRef (member buf_apl_data_out 12)) + (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) + (portRef B (instanceRef proc_read_id_un22_clk_en_6)) + (portRef D (instanceRef buf_ADDRESS_OUT_3)) + )) + (net buf_APL_DATA_OUT_57 (joined + (portRef (member buf_apl_data_out 6)) + (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) + (portRef C (instanceRef proc_read_id_un22_clk_en_6)) + (portRef D (instanceRef buf_ADDRESS_OUT_9)) )) (net buf_APL_DATA_OUT_58 (joined (portRef (member buf_apl_data_out 5)) (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) - (portRef B (instanceRef proc_read_id_un24_clk_en_0_a3_8)) + (portRef D (instanceRef proc_read_id_un22_clk_en_6)) (portRef D (instanceRef buf_ADDRESS_OUT_10)) )) - (net buf_APL_DATA_OUT_59 (joined - (portRef (member buf_apl_data_out 4)) - (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) - (portRef C (instanceRef proc_read_id_un24_clk_en_0_a3_8)) - (portRef D (instanceRef buf_ADDRESS_OUT_11)) - )) - (net buf_APL_DATA_OUT_60 (joined - (portRef (member buf_apl_data_out 3)) - (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) - (portRef D (instanceRef proc_read_id_un24_clk_en_0_a3_8)) - (portRef D (instanceRef buf_ADDRESS_OUT_12)) - )) - (net un24_clk_en_0_a3_8 (joined - (portRef Z (instanceRef proc_read_id_un24_clk_en_0_a3_8)) - (portRef D (instanceRef proc_read_id_un24_clk_en_0_a3)) - )) - (net N_313_1 (joined - (portRef Z (instanceRef matching_counter_0_sqmuxa_0_a2)) - (portRef D (instanceRef proc_read_id_ram_read_addr1_9_i_1_3)) - (portRef B (instanceRef un1_recv_set_address_0_sqmuxa_0)) - (portRef C (instanceRef proc_read_id_ram_read_addr1_9_i_1_m3)) - (portRef B (instanceRef matching_counter_RNO_0_1)) - (portRef B (instanceRef matching_counter_RNO_0_2)) - (portRef B (instanceRef proc_read_id_matching_counter_7_0)) - (portRef C (instanceRef proc_read_id_ram_read_addr1_9_i_1_0)) - )) - (net reset_i_fast_r4 (joined - (portRef reset_i_fast_r4) - (portRef B (instanceRef sending_state_0_sqmuxa)) - (portRef D (instanceRef proc_read_id_ram_read_addr1_9_i_1_0)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_o2_0)) - (portRef A (instanceRef sending_state_RNI8CF81_0)) - )) - (net N_129 (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_o2_0)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_i_1_m6)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_i_1_3)) - (portRef A (instanceRef proc_read_id_matching_counter_7_0)) + (net un24_clk_en_6 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_6)) + (portRef D (instanceRef proc_read_id_un22_clk_en_8)) )) (net buf_api_stat_fifo_to_int_110 (joined (portRef (member buf_api_stat_fifo_to_int 0)) - (portRef A (instanceRef ram_read_addr2_0_a3_0_1)) + (portRef A (instanceRef ram_read_addr2_cnst_o2_0)) )) (net current_state_4 (joined (portRef (member current_state 1)) - (portRef C (instanceRef ram_read_addr2_0_a3_0_1)) + (portRef C (instanceRef ram_read_addr2_cnst_o2_0)) (portRef D (instanceRef buf_API_READ_OUT_RNIIL19)) )) (net current_state_5 (joined (portRef (member current_state 0)) - (portRef D (instanceRef ram_read_addr2_0_a3_0_1)) + (portRef D (instanceRef ram_read_addr2_cnst_o2_0)) (portRef C (instanceRef buf_API_READ_OUT_RNIIL19)) )) - (net N_218 (joined - (portRef Z (instanceRef ram_read_addr2_0_a3_0_1)) - (portRef B (instanceRef ram_read_addr2_0_o2_1)) - (portRef B (instanceRef ram_read_addr2_0_a2_2)) - (portRef C (instanceRef ram_read_addr2_0_a3_0)) - )) - (net N_187 (joined - (portRef Z (instanceRef ram_read_addr2_0_a3_0)) - (portRef B (instanceRef ram_read_addr2_0_o2_0)) - )) - (net N_264 (joined - (portRef Z (instanceRef ram_read_addr2_0_a3_2)) - (portRef B (instanceRef state_srsts_0_i_4)) - (portRef B (instanceRef ram_read_addr2_0_0_2)) + (net N_231_0 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_o2_0)) + (portRef A (instanceRef ram_read_addr2_cnst_i_1)) )) - (net ram_read_addr1_9_itt_1_m1_e (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_itt_1_m1_e)) - (portRef D (instanceRef proc_read_id_ram_read_addr1_9_i_1_m3)) - )) - (net N_178 (joined - (portRef Z (instanceRef ram_read_addr2_0_a2_3)) - (portRef B (instanceRef ram_read_addr_0_i_3)) - (portRef B (instanceRef ram_read_addr2_0_3)) - )) - (net ram_read_addr2_0_a2_0_1 (joined - (portRef Z (instanceRef ram_read_addr2_0_a2_0_0_1)) - (portRef D (instanceRef ram_read_addr2_0_o2_1)) - )) - (net N_207 (joined - (portRef Z (instanceRef proc_read_id_un24_clk_en_0_a3)) - (portRef A (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) - (portRef A (instanceRef proc_read_id_un18_clk_en_0_a2_RNICHQF)) + (net N_346_1 (joined + (portRef Z (instanceRef matching_counter_0_sqmuxa)) + (portRef B (instanceRef un1_ram_read_addr120_1)) )) - (net N_216 (joined - (portRef Z (instanceRef proc_read_id_un18_clk_en_0_a2_RNICHQF)) - (portRef A (instanceRef recv_set_address_1_sqmuxa_0_a2)) - (portRef A (instanceRef proc_read_id_un18_clk_en_0_a2_RNINBOH)) + (net buf_APL_DATA_OUT_48 (joined + (portRef (member buf_apl_data_out 15)) + (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) + (portRef A (instanceRef proc_read_id_un22_clk_en_8)) + (portRef D (instanceRef buf_ADDRESS_OUT_0)) )) - (net N_39_1 (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_i_1_0)) - (portRef A (instanceRef ram_read_addr1_RNO_2)) - (portRef A (instanceRef ram_read_addr1_RNO_0)) + (net buf_APL_DATA_OUT_50 (joined + (portRef (member buf_apl_data_out 13)) + (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) + (portRef B (instanceRef proc_read_id_un22_clk_en_8)) + (portRef D (instanceRef buf_ADDRESS_OUT_2)) )) - (net N_165 (joined - (portRef Z (instanceRef sending_state_0_sqmuxa_0)) - (portRef A (instanceRef sending_statee_RNO_1)) - (portRef A (instanceRef proc_read_id_sending_state_13_0)) - (portRef B (instanceRef sending_state_2_sqmuxa_1_i_s_1)) - (portRef A (instanceRef sending_state_0_sqmuxa)) + (net N_272 (joined + (portRef Z (instanceRef ram_read_addr2_0_sqmuxa_0_a3)) + (portRef B (instanceRef sending_state_2_sqmuxa_1_i)) + (portRef C (instanceRef state_srsts_0_a2_1_4)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef C (instanceRef ram_read_addr1_1_sqmuxa_i_m1_e)) + (net N_241_0 (joined + (portRef Z (instanceRef state_srsts_0_o2_1)) + (portRef A (instanceRef state_RNO_1)) )) - (net N_186 (joined - (portRef Z (instanceRef ram_read_addr2_0_a2_2)) - (portRef B (instanceRef ram_read_addr2_0_2)) + (net N_254 (joined + (portRef Z (instanceRef state_srsts_0_a2_0)) + (portRef B (instanceRef state_RNO_0)) )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef D (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e)) + (net ram_read_addr2_cnst_0_0 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_0)) + (portRef D (instanceRef ram_read_addr_0_i_0)) )) - (net un1_ram_read_addr1_1_sqmuxa_ac0_1 (joined - (portRef Z (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e)) - (portRef D (instanceRef ram_read_addr1_RNO_2)) + (net state_srsts_0_a2_0_1_4 (joined + (portRef Z (instanceRef state_srsts_0_a2_0_1_4)) + (portRef A (instanceRef state_RNO_4)) )) - (net N_259 (joined - (portRef Z (instanceRef ram_read_addr2_0_a3_0_2)) - (portRef C (instanceRef ram_read_addr2_0_o2_0)) - (portRef A (instanceRef ram_read_addr2_0_0_2)) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef D (instanceRef proc_read_id_un39_clk_en_0_a2_RNIM4NF1)) )) - (net ram_read_addr2_0_0_2 (joined - (portRef Z (instanceRef ram_read_addr2_0_0_2)) - (portRef D (instanceRef ram_read_addr2_0_2)) + (net un22_clk_en (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en)) + (portRef C (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) )) - (net state_srsts_0_0_0_1 (joined - (portRef Z (instanceRef state_srsts_0_0_0_1)) - (portRef A (instanceRef state_RNO_1)) + (net buf_ADDRESS_OUT_0_sqmuxa (joined + (portRef Z (instanceRef buf_ADDRESS_OUT_0_sqmuxa)) + (portRef B (instanceRef sending_statee_RNO_1)) + (portRef C (instanceRef proc_read_id_sending_state_13_0)) + (portRef C (instanceRef un1_sending_state_2_sqmuxa)) + (portRef B (instanceRef sending_state_0_sqmuxa)) )) - (net sending_state_2_sqmuxa_1_i_s_1 (joined - (portRef Z (instanceRef sending_state_2_sqmuxa_1_i_s_1)) - (portRef C (instanceRef sending_state_2_sqmuxa_1_i_s)) + (net un29_clk_en (joined + (portRef S1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) + (portRef C (instanceRef proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1)) + (portRef B (instanceRef matching_counter_1_sqmuxa)) )) - (net buf_APL_DATA_OUT_52 (joined - (portRef (member buf_apl_data_out 11)) - (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) - (portRef A (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa_1)) - (portRef B (instanceRef recv_set_address_1_sqmuxa_0_a2)) - (portRef B (instanceRef proc_read_id_un18_clk_en_0_a2_RNINBOH)) - (portRef D (instanceRef buf_ADDRESS_OUT_4)) + (net matching_counter_1_sqmuxa (joined + (portRef Z (instanceRef matching_counter_1_sqmuxa)) + (portRef B (instanceRef matching_counter_RNO_0)) )) - (net buf_APL_DATA_OUT_53 (joined - (portRef (member buf_apl_data_out 10)) - (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) - (portRef B (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa_1)) - (portRef C (instanceRef recv_set_address_1_sqmuxa_0_a2)) - (portRef C (instanceRef proc_read_id_un18_clk_en_0_a2_RNINBOH)) - (portRef D (instanceRef buf_ADDRESS_OUT_5)) + (net un24_clk_en (joined + (portRef Z (instanceRef proc_read_id_un24_clk_en)) + (portRef D (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) )) - (net buf_APL_DATA_OUT_55 (joined - (portRef (member buf_apl_data_out 8)) - (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) - (portRef C (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa_1)) - (portRef D (instanceRef recv_set_address_1_sqmuxa_0_a2)) - (portRef D (instanceRef proc_read_id_un18_clk_en_0_a2_RNINBOH)) - (portRef D (instanceRef buf_ADDRESS_OUT_7)) + (net N_236_0 (joined + (portRef Z (instanceRef un1_next_state_2_sqmuxa_i_o2)) + (portRef B (instanceRef ram_read_addr_0_i_3)) + (portRef C (instanceRef ram_read_addr_0_i_2)) + (portRef C (instanceRef ram_read_addr_0_i_1)) + (portRef B (instanceRef ram_read_addr_0_i_0)) )) - (net N_311_0_1 (joined - (portRef Z (instanceRef proc_read_id_un18_clk_en_0_a2_RNINBOH)) - (portRef C (instanceRef proc_read_id_ram_read_addr1_9_i_1_3)) - (portRef B (instanceRef sending_state_2_sqmuxa_1_i_s)) - (portRef C (instanceRef proc_read_id_sending_state_13_0)) + (net N_344_0_1 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_5_0_RNI82J41)) + (portRef B (instanceRef proc_read_id_sending_state_13_0)) + (portRef B (instanceRef un1_sending_state_2_sqmuxa)) (portRef B (instanceRef sending_statee_RNO_0_1)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_i_1_m3)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_i_a2_1_0)) - )) - (net N_177 (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_i_a2_1_0)) - (portRef B (instanceRef ram_read_addr1_RNO_2)) - (portRef B (instanceRef ram_read_addr1_RNO_0)) + (portRef A (instanceRef ram_read_addr1_1_sqmuxa_1)) )) - (net ram_read_addr1_9_i_1_N_4 (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_i_1_m3)) - (portRef D (instanceRef proc_read_id_ram_read_addr1_9_i_1_m6)) + (net ram_read_addr1_1_sqmuxa_1 (joined + (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_1)) + (portRef C (instanceRef ram_read_addr1e_0_i)) )) - (net ram_read_addr_i_0 (joined - (portRef Z (instanceRef ram_read_addr_i_0)) - (portRef (member ram_read_addr_i 1) (instanceRef THE_STAT_RAM)) + (net state_srsts_0_a2_1_4 (joined + (portRef Z (instanceRef state_srsts_0_a2_1_4)) + (portRef B (instanceRef state_RNO_4)) )) - (net N_18 (joined - (portRef Z (instanceRef ram_read_addr_i_2)) - (portRef N_18 (instanceRef THE_STAT_RAM)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef THE_STAT_RAM)) + (portRef C (instanceRef state_RNO_4)) + (portRef D (instanceRef state_RNO_0)) + (portRef D (instanceRef state_RNO_1)) )) - (net ram_read_addr_i_1 (joined - (portRef Z (instanceRef ram_read_addr_i_1)) - (portRef (member ram_read_addr_i 0) (instanceRef THE_STAT_RAM)) + (net CO0 (joined + (portRef Z (instanceRef proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1)) + (portRef A (instanceRef matching_counter_RNO_2)) + (portRef A (instanceRef matching_counter_RNO_1)) )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef C (instanceRef sending_statee_RNO_1)) - (portRef D (instanceRef sending_state_2_sqmuxa_1_i_s)) - (portRef D (instanceRef un1_recv_set_address_0_sqmuxa_0)) - (portRef C (instanceRef proc_read_id_ram_read_addr1_9_i_a2_1_0)) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef D (instanceRef un1_ram_read_addr120_1)) + (portRef C (instanceRef sending_state_0_sqmuxa)) )) - (net sending_state_13_110_a3_0 (joined + (net sending_state_13_119_a3_1 (joined (portRef Z (instanceRef sending_statee_RNO_0_1)) - (portRef B (instanceRef sending_statee_RNO_1)) + (portRef C (instanceRef sending_statee_RNO_1)) )) - (net ram_read_addr_0_i_3 (joined - (portRef Z (instanceRef ram_read_addr_0_i_3)) - (portRef (member ram_read_addr_0_i 0) (instanceRef THE_STAT_RAM)) + (net un1_sending_state_2_sqmuxa_0 (joined + (portRef Z (instanceRef un1_sending_state_2_sqmuxa)) + (portRef D (instanceRef sending_state_2_sqmuxa_1_i)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef D (instanceRef sending_statee_RNO_1)) + (portRef D (instanceRef ram_read_addr1e_0_i)) (portRef D (instanceRef proc_read_id_sending_state_13_0)) + (portRef D (instanceRef un1_sending_state_2_sqmuxa)) )) (net sending_state_13_0 (joined (portRef Z (instanceRef proc_read_id_sending_state_13_0)) - (portRef B (instanceRef sending_statee_0)) - )) - (net N_9 (joined - (portRef Z (instanceRef sending_state_2_sqmuxa_1_i_s)) (portRef A (instanceRef sending_statee_0)) - (portRef A (instanceRef sending_statee_1)) )) - (net ram_read_addr1_9_i_1_3 (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_i_1_3)) - (portRef A (instanceRef ram_read_addr1_RNO_3)) + (net ram_read_addr_0_i_0 (joined + (portRef Z (instanceRef ram_read_addr_0_i_0)) + (portRef (member ram_read_addr_0_i 3) (instanceRef THE_STAT_RAM)) )) - (net N_296_0_i (joined - (portRef Z (instanceRef sending_statee_RNO_1)) - (portRef B (instanceRef sending_statee_1)) - )) - (net DONT_UNDERSTAND_OUT_2_sqmuxa_1 (joined - (portRef Z (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa_1)) - (portRef B (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) + (net ram_read_addr_0_i_1 (joined + (portRef Z (instanceRef ram_read_addr_0_i_1)) + (portRef (member ram_read_addr_0_i 2) (instanceRef THE_STAT_RAM)) )) - (net buf_APL_DATA_OUT_48 (joined - (portRef (member buf_apl_data_out 15)) - (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) - (portRef A (instanceRef proc_read_id_un24_clk_en_0_a3_1)) - (portRef D (instanceRef buf_ADDRESS_OUT_0)) + (net ram_read_addr_0_i_2 (joined + (portRef Z (instanceRef ram_read_addr_0_i_2)) + (portRef (member ram_read_addr_0_i 1) (instanceRef THE_STAT_RAM)) )) - (net buf_APL_DATA_OUT_49 (joined - (portRef (member buf_apl_data_out 14)) - (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) - (portRef B (instanceRef proc_read_id_un24_clk_en_0_a3_1)) - (portRef D (instanceRef buf_ADDRESS_OUT_1)) - )) - (net buf_APL_DATA_OUT_51 (joined - (portRef (member buf_apl_data_out 12)) - (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) - (portRef C (instanceRef proc_read_id_un24_clk_en_0_a3_1)) - (portRef D (instanceRef buf_ADDRESS_OUT_3)) - )) - (net buf_APL_DATA_OUT_54 (joined - (portRef (member buf_apl_data_out 9)) - (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) - (portRef D (instanceRef proc_read_id_un24_clk_en_0_a3_1)) - (portRef D (instanceRef buf_ADDRESS_OUT_6)) + (net ram_read_addr_0_i_3 (joined + (portRef Z (instanceRef ram_read_addr_0_i_3)) + (portRef (member ram_read_addr_0_i 0) (instanceRef THE_STAT_RAM)) )) - (net un24_clk_en_0_a3_1 (joined - (portRef Z (instanceRef proc_read_id_un24_clk_en_0_a3_1)) - (portRef B (instanceRef proc_read_id_un24_clk_en_0_a3)) + (net N_329_0_i (joined + (portRef Z (instanceRef sending_statee_RNO_1)) + (portRef A (instanceRef sending_statee_1)) )) - (net buf_APL_DATA_OUT_57 (joined - (portRef (member buf_apl_data_out 6)) - (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) - (portRef A (instanceRef proc_read_id_un24_clk_en_0_a3)) - (portRef D (instanceRef buf_ADDRESS_OUT_9)) + (net sending_state_2_sqmuxa_1_i (joined + (portRef Z (instanceRef sending_state_2_sqmuxa_1_i)) + (portRef C (instanceRef sending_statee_0)) + (portRef C (instanceRef sending_statee_1)) )) (net un29_clk_en_0_data_tmp_0 (joined (portRef COUT (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) @@ -45001,33 +44914,34 @@ (cell trb_net_pattern_gen (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename regio_addr_i "regio_addr_i(4:2)") 3) (direction INPUT)) - (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:1)") 1) (direction INPUT)) - (port un20_dat_addr_in_1 (direction OUTPUT)) + (port (array (rename reg_enable_pattern "reg_enable_pattern(1:1)") 1) (direction OUTPUT)) + (port (array (rename regio_addr_i "regio_addr_i(1:1)") 1) (direction INPUT)) + (port (array (rename regio_addr_i_4 "regio_addr_i_4(0:0)") 1) (direction INPUT)) + (port GND (direction INPUT)) + (port N_5867 (direction INPUT)) ) (contents - (instance RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_7_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (net regio_addr_i_1_1 (joined - (portRef (member regio_addr_i_1 0)) - (portRef A (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1)) - )) - (net regio_addr_i_2 (joined - (portRef (member regio_addr_i 2)) - (portRef B (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1)) + (net N_5867 (joined + (portRef N_5867) + (portRef A (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_7_0_a2)) )) - (net regio_addr_i_3 (joined - (portRef (member regio_addr_i 1)) - (portRef C (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1)) + (net regio_addr_i_4_0 (joined + (portRef (member regio_addr_i_4 0)) + (portRef B (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_7_0_a2)) )) - (net regio_addr_i_4 (joined + (net regio_addr_i_1 (joined (portRef (member regio_addr_i 0)) - (portRef D (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1)) + (portRef C (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_7_0_a2)) + )) + (net GND (joined + (portRef GND) )) - (net un20_dat_addr_in_1 (joined - (portRef Z (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1)) - (portRef un20_dat_addr_in_1) + (net reg_enable_pattern_1 (joined + (portRef Z (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_7_0_a2)) + (portRef (member reg_enable_pattern 0)) )) ) ) @@ -45042,14 +44956,29 @@ (port buf_api_stat_fifo_to_int_6 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_7 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) - (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(63:48)") 16) (direction INPUT)) + (port buf_APL_DATA_IN_0 (direction INPUT)) + (port buf_APL_DATA_IN_1 (direction INPUT)) + (port buf_APL_DATA_IN_2 (direction INPUT)) + (port buf_APL_DATA_IN_3 (direction INPUT)) + (port buf_APL_DATA_IN_4 (direction INPUT)) + (port buf_APL_DATA_IN_5 (direction INPUT)) + (port buf_APL_DATA_IN_6 (direction INPUT)) + (port buf_APL_DATA_IN_7 (direction INPUT)) + (port buf_APL_DATA_IN_8 (direction INPUT)) + (port buf_APL_DATA_IN_10 (direction INPUT)) + (port buf_APL_DATA_IN_11 (direction INPUT)) + (port buf_APL_DATA_IN_12 (direction INPUT)) + (port buf_APL_DATA_IN_13 (direction INPUT)) + (port buf_APL_DATA_IN_14 (direction INPUT)) + (port buf_APL_DATA_IN_15 (direction INPUT)) + (port (array (rename buf_api_data_out_rnigu9q "buf_API_DATA_OUT_RNIGU9Q(9:9)") 1) (direction INPUT)) (port buf_APL_PACKET_NUM_IN_0 (direction INPUT)) (port buf_APL_PACKET_NUM_IN_2 (direction INPUT)) (port (array (rename fifo_to_int_data_out "fifo_to_int_data_out(15:3)") 13) (direction OUTPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) ) (contents (instance fifo (viewRef netlist (cellRef lattice_ecp3_fifo_18x1k_6)) @@ -45114,69 +45043,69 @@ (portRef buf_APL_PACKET_NUM_IN_0) (portRef buf_APL_PACKET_NUM_IN_0 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_48 (joined - (portRef (member buf_apl_data_in 15)) - (portRef (member buf_apl_data_in 15) (instanceRef fifo)) + (net buf_API_DATA_OUT_RNIGU9Q_9 (joined + (portRef (member buf_api_data_out_rnigu9q 0)) + (portRef (member buf_api_data_out_rnigu9q 0) (instanceRef fifo)) )) - (net buf_APL_DATA_IN_49 (joined - (portRef (member buf_apl_data_in 14)) - (portRef (member buf_apl_data_in 14) (instanceRef fifo)) + (net buf_APL_DATA_IN_15 (joined + (portRef buf_APL_DATA_IN_15) + (portRef buf_APL_DATA_IN_15 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_50 (joined - (portRef (member buf_apl_data_in 13)) - (portRef (member buf_apl_data_in 13) (instanceRef fifo)) + (net buf_APL_DATA_IN_14 (joined + (portRef buf_APL_DATA_IN_14) + (portRef buf_APL_DATA_IN_14 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_51 (joined - (portRef (member buf_apl_data_in 12)) - (portRef (member buf_apl_data_in 12) (instanceRef fifo)) + (net buf_APL_DATA_IN_13 (joined + (portRef buf_APL_DATA_IN_13) + (portRef buf_APL_DATA_IN_13 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_52 (joined - (portRef (member buf_apl_data_in 11)) - (portRef (member buf_apl_data_in 11) (instanceRef fifo)) + (net buf_APL_DATA_IN_12 (joined + (portRef buf_APL_DATA_IN_12) + (portRef buf_APL_DATA_IN_12 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_53 (joined - (portRef (member buf_apl_data_in 10)) - (portRef (member buf_apl_data_in 10) (instanceRef fifo)) + (net buf_APL_DATA_IN_11 (joined + (portRef buf_APL_DATA_IN_11) + (portRef buf_APL_DATA_IN_11 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_54 (joined - (portRef (member buf_apl_data_in 9)) - (portRef (member buf_apl_data_in 9) (instanceRef fifo)) + (net buf_APL_DATA_IN_10 (joined + (portRef buf_APL_DATA_IN_10) + (portRef buf_APL_DATA_IN_10 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_55 (joined - (portRef (member buf_apl_data_in 8)) - (portRef (member buf_apl_data_in 8) (instanceRef fifo)) + (net buf_APL_DATA_IN_8 (joined + (portRef buf_APL_DATA_IN_8) + (portRef buf_APL_DATA_IN_8 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_56 (joined - (portRef (member buf_apl_data_in 7)) - (portRef (member buf_apl_data_in 7) (instanceRef fifo)) + (net buf_APL_DATA_IN_7 (joined + (portRef buf_APL_DATA_IN_7) + (portRef buf_APL_DATA_IN_7 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_57 (joined - (portRef (member buf_apl_data_in 6)) - (portRef (member buf_apl_data_in 6) (instanceRef fifo)) + (net buf_APL_DATA_IN_6 (joined + (portRef buf_APL_DATA_IN_6) + (portRef buf_APL_DATA_IN_6 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_58 (joined - (portRef (member buf_apl_data_in 5)) - (portRef (member buf_apl_data_in 5) (instanceRef fifo)) + (net buf_APL_DATA_IN_5 (joined + (portRef buf_APL_DATA_IN_5) + (portRef buf_APL_DATA_IN_5 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_59 (joined - (portRef (member buf_apl_data_in 4)) - (portRef (member buf_apl_data_in 4) (instanceRef fifo)) + (net buf_APL_DATA_IN_4 (joined + (portRef buf_APL_DATA_IN_4) + (portRef buf_APL_DATA_IN_4 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_60 (joined - (portRef (member buf_apl_data_in 3)) - (portRef (member buf_apl_data_in 3) (instanceRef fifo)) + (net buf_APL_DATA_IN_3 (joined + (portRef buf_APL_DATA_IN_3) + (portRef buf_APL_DATA_IN_3 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_61 (joined - (portRef (member buf_apl_data_in 2)) - (portRef (member buf_apl_data_in 2) (instanceRef fifo)) + (net buf_APL_DATA_IN_2 (joined + (portRef buf_APL_DATA_IN_2) + (portRef buf_APL_DATA_IN_2 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_62 (joined - (portRef (member buf_apl_data_in 1)) - (portRef (member buf_apl_data_in 1) (instanceRef fifo)) + (net buf_APL_DATA_IN_1 (joined + (portRef buf_APL_DATA_IN_1) + (portRef buf_APL_DATA_IN_1 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_63 (joined - (portRef (member buf_apl_data_in 0)) - (portRef (member buf_apl_data_in 0) (instanceRef fifo)) + (net buf_APL_DATA_IN_0 (joined + (portRef buf_APL_DATA_IN_0) + (portRef buf_APL_DATA_IN_0 (instanceRef fifo)) )) (net buf_api_stat_fifo_to_int_8 (joined (portRef buf_api_stat_fifo_to_int_8 (instanceRef fifo)) @@ -45206,9 +45135,9 @@ (portRef buf_api_stat_fifo_to_int_0) (portRef buf_api_stat_fifo_to_int_0 (instanceRef fifo)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef fifo)) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef reset_i_19 (instanceRef fifo)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -45228,21 +45157,21 @@ (cell trb_net16_fifo_1_1_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port buf_api_stat_fifo_to_apl_11 (direction OUTPUT)) - (port buf_api_stat_fifo_to_apl_0 (direction INPUT)) - (port buf_api_stat_fifo_to_apl_8 (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_apl_i "buf_api_stat_fifo_to_apl_i(110:110)") 1) (direction OUTPUT)) + (port (array (rename buf_to_apl_init_dataready "buf_to_apl_INIT_DATAREADY(3:3)") 1) (direction INPUT)) + (port (array (rename state_to_apl "state_to_apl(1:0)") 2) (direction INPUT)) (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(63:48)") 16) (direction INPUT)) (port buf_to_apl_INIT_PACKET_NUM_0 (direction INPUT)) (port buf_to_apl_INIT_PACKET_NUM_2 (direction INPUT)) (port (array (rename next_fifo_to_apl_data_out "next_fifo_to_apl_data_out(15:0)") 16) (direction OUTPUT)) (port (array (rename next_fifo_to_apl_packet_num_out "next_fifo_to_apl_packet_num_out(1:0)") 2) (direction OUTPUT)) - (port next_fifo_to_apl_empty (direction OUTPUT)) (port GND (direction INPUT)) + (port N_4969 (direction INPUT)) + (port next_fifo_to_apl_empty (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_i_18 (direction INPUT)) (port reset_i_19 (direction INPUT)) + (port reset_i_20 (direction INPUT)) ) (contents (instance fifo (viewRef netlist (cellRef lattice_ecp3_fifo_18x1k_5)) @@ -45391,30 +45320,30 @@ (portRef (member buf_to_apl_init_data 0)) (portRef (member buf_to_apl_init_data 0) (instanceRef fifo)) )) + (net state_to_apl_0 (joined + (portRef (member state_to_apl 1)) + (portRef (member state_to_apl 1) (instanceRef fifo)) + )) + (net state_to_apl_1 (joined + (portRef (member state_to_apl 0)) + (portRef (member state_to_apl 0) (instanceRef fifo)) + )) + (net buf_to_apl_INIT_DATAREADY_3 (joined + (portRef (member buf_to_apl_init_dataready 0)) + (portRef (member buf_to_apl_init_dataready 0) (instanceRef fifo)) + )) (net buf_api_stat_fifo_to_apl_i_110 (joined (portRef (member buf_api_stat_fifo_to_apl_i 0) (instanceRef fifo)) (portRef (member buf_api_stat_fifo_to_apl_i 0)) )) - (net buf_api_stat_fifo_to_apl_8 (joined - (portRef buf_api_stat_fifo_to_apl_8) - (portRef buf_api_stat_fifo_to_apl_8 (instanceRef fifo)) - )) - (net buf_api_stat_fifo_to_apl_0 (joined - (portRef buf_api_stat_fifo_to_apl_0) - (portRef buf_api_stat_fifo_to_apl_0 (instanceRef fifo)) - )) - (net buf_api_stat_fifo_to_apl_11 (joined - (portRef buf_api_stat_fifo_to_apl_11 (instanceRef fifo)) - (portRef buf_api_stat_fifo_to_apl_11) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef fifo)) )) (net reset_i_19 (joined (portRef reset_i_19) (portRef reset_i_19 (instanceRef fifo)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef fifo)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef fifo)) @@ -45423,14 +45352,18 @@ (portRef VCC) (portRef VCC (instanceRef fifo)) )) - (net GND (joined - (portRef GND) - (portRef GND (instanceRef fifo)) - )) (net next_fifo_to_apl_empty (joined (portRef next_fifo_to_apl_empty (instanceRef fifo)) (portRef next_fifo_to_apl_empty) )) + (net N_4969 (joined + (portRef N_4969) + (portRef N_4969 (instanceRef fifo)) + )) + (net GND (joined + (portRef GND) + (portRef GND (instanceRef fifo)) + )) ) ) ) @@ -45439,10 +45372,12 @@ (interface (port (array (rename buf_apl_read_in "buf_APL_READ_IN(3:3)") 1) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(112:112)") 1) (direction INPUT)) - (port (array (rename current_fifo_to_apl_packet_type "current_fifo_to_apl_packet_type(2:0)") 3) (direction INPUT)) (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port GND (direction INPUT)) + (port N_104 (direction INPUT)) + (port N_103 (direction INPUT)) + (port N_102 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) ) @@ -45485,14 +45420,14 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (property lut_function (string "(!C A+C B)")) + ) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (property lut_function (string "(!C A+C B)")) ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C+(!B !A)))")) @@ -45544,9 +45479,9 @@ (net current_buffer_state_1 (joined (portRef Q (instanceRef current_buffer_state_1)) (portRef A (instanceRef move_b2_buffer_i)) - (portRef B (instanceRef current_b2_buffer_RNO_2)) - (portRef B (instanceRef current_b2_buffer_RNO_1)) - (portRef B (instanceRef current_b2_buffer_RNO_0)) + (portRef C (instanceRef current_b2_buffer_RNO_2)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) + (portRef C (instanceRef current_b2_buffer_RNO_1)) (portRef D (instanceRef current_buffer_state_ns_1_0__N_6_i)) (portRef D (instanceRef combined_COMB_DATAREADY_IN_RNI5MTC)) (portRef D (instanceRef current_next_READ_OUT_RNO)) @@ -45591,15 +45526,15 @@ )) (net current_b1_buffer_0 (joined (portRef Q (instanceRef current_b1_buffer_0)) - (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef B (instanceRef current_b2_buffer_RNO_0)) )) (net current_b1_buffer_1 (joined (portRef Q (instanceRef current_b1_buffer_1)) - (portRef A (instanceRef current_b2_buffer_RNO_1)) + (portRef B (instanceRef current_b2_buffer_RNO_1)) )) (net current_b1_buffer_2 (joined (portRef Q (instanceRef current_b1_buffer_2)) - (portRef A (instanceRef current_b2_buffer_RNO_2)) + (portRef B (instanceRef current_b2_buffer_RNO_2)) )) (net N_102_0_i (joined (portRef Z (instanceRef current_SYN_DATAREADY_OUT_RNO)) @@ -45618,7 +45553,7 @@ (net GND (joined (portRef GND) )) - (net N_93_0 (joined + (net N_1223 (joined (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef A (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) @@ -45637,19 +45572,19 @@ (portRef Q (instanceRef current_SYN_DATAREADY_OUT)) ) ) - (net current_fifo_to_apl_packet_type_0 (joined - (portRef (member current_fifo_to_apl_packet_type 2)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) - )) - (net current_fifo_to_apl_packet_type_1 (joined - (portRef (member current_fifo_to_apl_packet_type 1)) - (portRef C (instanceRef current_b2_buffer_RNO_1)) + (net N_103 (joined + (portRef N_103) + (portRef A (instanceRef current_b2_buffer_RNO_1)) (portRef D (instanceRef current_b1_buffer_1)) )) - (net current_fifo_to_apl_packet_type_2 (joined - (portRef (member current_fifo_to_apl_packet_type 0)) - (portRef C (instanceRef current_b2_buffer_RNO_2)) + (net N_102 (joined + (portRef N_102) + (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) + )) + (net N_104 (joined + (portRef N_104) + (portRef A (instanceRef current_b2_buffer_RNO_2)) (portRef D (instanceRef current_b1_buffer_2)) )) (net current_next_READ_OUT_1 (joined @@ -45876,27 +45811,27 @@ (port buf_api_stat_fifo_to_int_18 (direction INPUT)) (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) (port (array (rename next_int_master_data_out "next_INT_MASTER_DATA_OUT(15:0)") 16) (direction INPUT)) - (port (array (rename state_to_int "state_to_int(0:0)") 1) (direction INPUT)) + (port (array (rename state_to_int "state_to_int(2:2)") 1) (direction INPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(3:3)") 1) (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port un3_current_syn_dataready_out (direction OUTPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) - (port N_653 (direction INPUT)) - (port next_INT_MASTER_DATA_OUT_sn_N_17_i (direction INPUT)) - (port N_655 (direction INPUT)) - (port N_650 (direction INPUT)) (port N_657 (direction INPUT)) + (port next_INT_MASTER_DATA_OUT_sn_N_17_i (direction INPUT)) (port N_656 (direction INPUT)) + (port N_655 (direction INPUT)) (port N_654 (direction INPUT)) + (port N_653 (direction INPUT)) (port N_652 (direction INPUT)) (port N_651 (direction INPUT)) + (port N_650 (direction INPUT)) (port N_649 (direction INPUT)) (port N_648 (direction INPUT)) (port N_647 (direction INPUT)) (port N_645 (direction INPUT)) - (port un21_next_int_master_dataready_out_0 (direction INPUT)) + (port un11_next_int_master_dataready_out_0 (direction INPUT)) (port sbuf_free (direction INPUT)) (port next_INT_MASTER_DATAREADY_OUT_iv_0 (direction INPUT)) ) @@ -45907,7 +45842,7 @@ (portRef (member apl_to_buf_reply_read_i_3_i 0)) (portRef (member apl_to_buf_reply_read_i_3_i 0) (instanceRef gen_version_0_sbuf)) )) - (net state_to_int_0 (joined + (net state_to_int_2 (joined (portRef (member state_to_int 0)) (portRef (member state_to_int 0) (instanceRef gen_version_0_sbuf)) )) @@ -46063,9 +45998,9 @@ (portRef sbuf_free) (portRef sbuf_free (instanceRef gen_version_0_sbuf)) )) - (net un21_next_int_master_dataready_out_0 (joined - (portRef un21_next_int_master_dataready_out_0) - (portRef un21_next_int_master_dataready_out_0 (instanceRef gen_version_0_sbuf)) + (net un11_next_int_master_dataready_out_0 (joined + (portRef un11_next_int_master_dataready_out_0) + (portRef un11_next_int_master_dataready_out_0 (instanceRef gen_version_0_sbuf)) )) (net N_645 (joined (portRef N_645) @@ -46083,6 +46018,10 @@ (portRef N_649) (portRef N_649 (instanceRef gen_version_0_sbuf)) )) + (net N_650 (joined + (portRef N_650) + (portRef N_650 (instanceRef gen_version_0_sbuf)) + )) (net N_651 (joined (portRef N_651) (portRef N_651 (instanceRef gen_version_0_sbuf)) @@ -46091,33 +46030,29 @@ (portRef N_652) (portRef N_652 (instanceRef gen_version_0_sbuf)) )) + (net N_653 (joined + (portRef N_653) + (portRef N_653 (instanceRef gen_version_0_sbuf)) + )) (net N_654 (joined (portRef N_654) (portRef N_654 (instanceRef gen_version_0_sbuf)) )) - (net N_656 (joined - (portRef N_656) - (portRef N_656 (instanceRef gen_version_0_sbuf)) - )) - (net N_657 (joined - (portRef N_657) - (portRef N_657 (instanceRef gen_version_0_sbuf)) - )) - (net N_650 (joined - (portRef N_650) - (portRef N_650 (instanceRef gen_version_0_sbuf)) - )) (net N_655 (joined (portRef N_655) (portRef N_655 (instanceRef gen_version_0_sbuf)) )) + (net N_656 (joined + (portRef N_656) + (portRef N_656 (instanceRef gen_version_0_sbuf)) + )) (net next_INT_MASTER_DATA_OUT_sn_N_17_i (joined (portRef next_INT_MASTER_DATA_OUT_sn_N_17_i) (portRef next_INT_MASTER_DATA_OUT_sn_N_17_i (instanceRef gen_version_0_sbuf)) )) - (net N_653 (joined - (portRef N_653) - (portRef N_653 (instanceRef gen_version_0_sbuf)) + (net N_657 (joined + (portRef N_657) + (portRef N_657 (instanceRef gen_version_0_sbuf)) )) (net COMB_next_READ_OUT_f1_0 (joined (portRef COMB_next_READ_OUT_f1_0 (instanceRef gen_version_0_sbuf)) @@ -46145,23 +46080,20 @@ (cell trb_net16_fifo_1_6 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port buf_api_stat_fifo_to_int_11 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_0 (direction INPUT)) - (port buf_api_stat_fifo_to_int_9 (direction INPUT)) - (port buf_api_stat_fifo_to_int_12 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_5 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_3 (direction INPUT)) (port buf_api_stat_fifo_to_int_6 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_7 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) - (port (array (rename state "state(1:0)") 2) (direction INPUT)) + (port buf_api_stat_fifo_to_int_0 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_2 (direction OUTPUT)) (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(31:16)") 16) (direction INPUT)) (port (array (rename buf_apl_packet_num_in "buf_APL_PACKET_NUM_IN(3:3)") 1) (direction INPUT)) (port (array (rename fifo_to_int_data_out "fifo_to_int_data_out(15:3)") 13) (direction OUTPUT)) - (port waiting_word (direction INPUT)) - (port FF_23_RNICS201 (direction OUTPUT)) + (port N_5723_i (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_i_19 (direction INPUT)) + (port reset_i_20 (direction INPUT)) ) (contents (instance fifo (viewRef netlist (cellRef lattice_ecp3_fifo_18x1k_4)) @@ -46286,45 +46218,33 @@ (portRef (member buf_apl_data_in 0)) (portRef (member buf_apl_data_in 0) (instanceRef fifo)) )) - (net state_0 (joined - (portRef (member state 1)) - (portRef (member state 1) (instanceRef fifo)) - )) - (net state_1 (joined - (portRef (member state 0)) - (portRef (member state 0) (instanceRef fifo)) + (net buf_api_stat_fifo_to_int_2 (joined + (portRef buf_api_stat_fifo_to_int_2 (instanceRef fifo)) + (portRef buf_api_stat_fifo_to_int_2) )) - (net buf_api_stat_fifo_to_int_8 (joined - (portRef buf_api_stat_fifo_to_int_8 (instanceRef fifo)) - (portRef buf_api_stat_fifo_to_int_8) + (net buf_api_stat_fifo_to_int_1 (joined + (portRef buf_api_stat_fifo_to_int_1 (instanceRef fifo)) + (portRef buf_api_stat_fifo_to_int_1) )) - (net buf_api_stat_fifo_to_int_7 (joined - (portRef buf_api_stat_fifo_to_int_7 (instanceRef fifo)) - (portRef buf_api_stat_fifo_to_int_7) + (net buf_api_stat_fifo_to_int_0 (joined + (portRef buf_api_stat_fifo_to_int_0 (instanceRef fifo)) + (portRef buf_api_stat_fifo_to_int_0) )) (net buf_api_stat_fifo_to_int_6 (joined (portRef buf_api_stat_fifo_to_int_6 (instanceRef fifo)) (portRef buf_api_stat_fifo_to_int_6) )) - (net buf_api_stat_fifo_to_int_12 (joined - (portRef buf_api_stat_fifo_to_int_12 (instanceRef fifo)) - (portRef buf_api_stat_fifo_to_int_12) - )) - (net buf_api_stat_fifo_to_int_9 (joined - (portRef buf_api_stat_fifo_to_int_9) - (portRef buf_api_stat_fifo_to_int_9 (instanceRef fifo)) - )) - (net buf_api_stat_fifo_to_int_0 (joined - (portRef buf_api_stat_fifo_to_int_0) - (portRef buf_api_stat_fifo_to_int_0 (instanceRef fifo)) + (net buf_api_stat_fifo_to_int_3 (joined + (portRef buf_api_stat_fifo_to_int_3) + (portRef buf_api_stat_fifo_to_int_3 (instanceRef fifo)) )) - (net buf_api_stat_fifo_to_int_11 (joined - (portRef buf_api_stat_fifo_to_int_11 (instanceRef fifo)) - (portRef buf_api_stat_fifo_to_int_11) + (net buf_api_stat_fifo_to_int_5 (joined + (portRef buf_api_stat_fifo_to_int_5 (instanceRef fifo)) + (portRef buf_api_stat_fifo_to_int_5) )) - (net reset_i_19 (joined - (portRef reset_i_19) - (portRef reset_i_19 (instanceRef fifo)) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef fifo)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -46338,13 +46258,9 @@ (portRef GND) (portRef GND (instanceRef fifo)) )) - (net FF_23_RNICS201 (joined - (portRef FF_23_RNICS201 (instanceRef fifo)) - (portRef FF_23_RNICS201) - )) - (net waiting_word (joined - (portRef waiting_word) - (portRef waiting_word (instanceRef fifo)) + (net N_5723_i (joined + (portRef N_5723_i) + (portRef N_5723_i (instanceRef fifo)) )) ) ) @@ -46365,7 +46281,7 @@ (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_i_19 (direction INPUT)) + (port reset_i_20 (direction INPUT)) ) (contents (instance fifo (viewRef netlist (cellRef lattice_ecp3_fifo_18x1k_3)) @@ -46530,9 +46446,9 @@ (portRef buf_api_stat_fifo_to_apl_11 (instanceRef fifo)) (portRef buf_api_stat_fifo_to_apl_11) )) - (net reset_i_19 (joined - (portRef reset_i_19) - (portRef reset_i_19 (instanceRef fifo)) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef fifo)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -46558,10 +46474,12 @@ (interface (port (array (rename buf_apl_read_in "buf_APL_READ_IN(1:1)") 1) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(48:48)") 1) (direction INPUT)) - (port (array (rename current_fifo_to_apl_packet_type "current_fifo_to_apl_packet_type(2:0)") 3) (direction INPUT)) (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port GND (direction INPUT)) + (port N_5041 (direction INPUT)) + (port N_5040 (direction INPUT)) + (port N_5039 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) ) @@ -46604,14 +46522,14 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (property lut_function (string "(!C A+C B)")) + ) + (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C+(!B !A)))")) @@ -46663,9 +46581,9 @@ (net current_buffer_state_1 (joined (portRef Q (instanceRef current_buffer_state_1)) (portRef A (instanceRef move_b2_buffer_i)) - (portRef B (instanceRef current_b2_buffer_RNO_1)) - (portRef B (instanceRef current_b2_buffer_RNO_0)) - (portRef B (instanceRef current_b2_buffer_RNO_2)) + (portRef C (instanceRef current_b2_buffer_RNO_2)) + (portRef C (instanceRef current_b2_buffer_RNO_1)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_buffer_state_ns_1_0__N_6_i)) (portRef D (instanceRef combined_COMB_DATAREADY_IN_RNITLTC)) (portRef D (instanceRef current_next_READ_OUT_RNO)) @@ -46710,15 +46628,15 @@ )) (net current_b1_buffer_0 (joined (portRef Q (instanceRef current_b1_buffer_0)) - (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef B (instanceRef current_b2_buffer_RNO_0)) )) (net current_b1_buffer_1 (joined (portRef Q (instanceRef current_b1_buffer_1)) - (portRef A (instanceRef current_b2_buffer_RNO_1)) + (portRef B (instanceRef current_b2_buffer_RNO_1)) )) (net current_b1_buffer_2 (joined (portRef Q (instanceRef current_b1_buffer_2)) - (portRef A (instanceRef current_b2_buffer_RNO_2)) + (portRef B (instanceRef current_b2_buffer_RNO_2)) )) (net N_102_0_i (joined (portRef Z (instanceRef current_SYN_DATAREADY_OUT_RNO)) @@ -46756,21 +46674,21 @@ (portRef Q (instanceRef current_SYN_DATAREADY_OUT)) ) ) - (net current_fifo_to_apl_packet_type_2 (joined - (portRef (member current_fifo_to_apl_packet_type 0)) - (portRef C (instanceRef current_b2_buffer_RNO_2)) - (portRef D (instanceRef current_b1_buffer_2)) - )) - (net current_fifo_to_apl_packet_type_0 (joined - (portRef (member current_fifo_to_apl_packet_type 2)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) + (net N_5039 (joined + (portRef N_5039) + (portRef A (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b1_buffer_0)) )) - (net current_fifo_to_apl_packet_type_1 (joined - (portRef (member current_fifo_to_apl_packet_type 1)) - (portRef C (instanceRef current_b2_buffer_RNO_1)) + (net N_5040 (joined + (portRef N_5040) + (portRef A (instanceRef current_b2_buffer_RNO_1)) (portRef D (instanceRef current_b1_buffer_1)) )) + (net N_5041 (joined + (portRef N_5041) + (portRef A (instanceRef current_b2_buffer_RNO_2)) + (portRef D (instanceRef current_b1_buffer_2)) + )) (net current_next_READ_OUT_1 (joined (portRef Q (instanceRef current_next_READ_OUT)) ) @@ -46995,25 +46913,25 @@ (port buf_api_stat_fifo_to_int_18 (direction INPUT)) (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) (port (array (rename next_int_master_data_out "next_INT_MASTER_DATA_OUT(15:0)") 16) (direction INPUT)) - (port (array (rename state_to_int "state_to_int(0:0)") 1) (direction INPUT)) + (port (array (rename state_to_int "state_to_int(2:2)") 1) (direction INPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(1:1)") 1) (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port un3_current_syn_dataready_out (direction OUTPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) - (port N_564 (direction INPUT)) - (port next_INT_MASTER_DATA_OUT_sn_N_17_0 (direction INPUT)) - (port N_565 (direction INPUT)) - (port N_566 (direction INPUT)) - (port N_567 (direction INPUT)) - (port N_569 (direction INPUT)) (port N_571 (direction INPUT)) + (port next_INT_MASTER_DATA_OUT_sn_N_17_0 (direction INPUT)) (port N_572 (direction INPUT)) + (port N_567 (direction INPUT)) (port N_570 (direction INPUT)) + (port N_569 (direction INPUT)) + (port N_566 (direction INPUT)) + (port N_565 (direction INPUT)) + (port N_564 (direction INPUT)) (port N_561 (direction INPUT)) (port N_560 (direction INPUT)) - (port un21_next_int_master_dataready_out_0 (direction INPUT)) + (port un11_next_int_master_dataready_out_0 (direction INPUT)) (port sbuf_free (direction INPUT)) (port next_INT_MASTER_DATAREADY_OUT_iv_0 (direction INPUT)) ) @@ -47024,7 +46942,7 @@ (portRef (member apl_to_buf_reply_read_i_3_i 0)) (portRef (member apl_to_buf_reply_read_i_3_i 0) (instanceRef gen_version_0_sbuf)) )) - (net state_to_int_0 (joined + (net state_to_int_2 (joined (portRef (member state_to_int 0)) (portRef (member state_to_int 0) (instanceRef gen_version_0_sbuf)) )) @@ -47180,9 +47098,9 @@ (portRef sbuf_free) (portRef sbuf_free (instanceRef gen_version_0_sbuf)) )) - (net un21_next_int_master_dataready_out_0 (joined - (portRef un21_next_int_master_dataready_out_0) - (portRef un21_next_int_master_dataready_out_0 (instanceRef gen_version_0_sbuf)) + (net un11_next_int_master_dataready_out_0 (joined + (portRef un11_next_int_master_dataready_out_0) + (portRef un11_next_int_master_dataready_out_0 (instanceRef gen_version_0_sbuf)) )) (net N_560 (joined (portRef N_560) @@ -47192,41 +47110,41 @@ (portRef N_561) (portRef N_561 (instanceRef gen_version_0_sbuf)) )) - (net N_570 (joined - (portRef N_570) - (portRef N_570 (instanceRef gen_version_0_sbuf)) + (net N_564 (joined + (portRef N_564) + (portRef N_564 (instanceRef gen_version_0_sbuf)) )) - (net N_572 (joined - (portRef N_572) - (portRef N_572 (instanceRef gen_version_0_sbuf)) + (net N_565 (joined + (portRef N_565) + (portRef N_565 (instanceRef gen_version_0_sbuf)) )) - (net N_571 (joined - (portRef N_571) - (portRef N_571 (instanceRef gen_version_0_sbuf)) + (net N_566 (joined + (portRef N_566) + (portRef N_566 (instanceRef gen_version_0_sbuf)) )) (net N_569 (joined (portRef N_569) (portRef N_569 (instanceRef gen_version_0_sbuf)) )) + (net N_570 (joined + (portRef N_570) + (portRef N_570 (instanceRef gen_version_0_sbuf)) + )) (net N_567 (joined (portRef N_567) (portRef N_567 (instanceRef gen_version_0_sbuf)) )) - (net N_566 (joined - (portRef N_566) - (portRef N_566 (instanceRef gen_version_0_sbuf)) - )) - (net N_565 (joined - (portRef N_565) - (portRef N_565 (instanceRef gen_version_0_sbuf)) + (net N_572 (joined + (portRef N_572) + (portRef N_572 (instanceRef gen_version_0_sbuf)) )) (net next_INT_MASTER_DATA_OUT_sn_N_17_0 (joined (portRef next_INT_MASTER_DATA_OUT_sn_N_17_0) (portRef next_INT_MASTER_DATA_OUT_sn_N_17_0 (instanceRef gen_version_0_sbuf)) )) - (net N_564 (joined - (portRef N_564) - (portRef N_564 (instanceRef gen_version_0_sbuf)) + (net N_571 (joined + (portRef N_571) + (portRef N_571 (instanceRef gen_version_0_sbuf)) )) (net COMB_next_READ_OUT_f1_0 (joined (portRef COMB_next_READ_OUT_f1_0 (instanceRef gen_version_0_sbuf)) @@ -47261,7 +47179,9 @@ (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(103:102)") 2) (direction INPUT)) (port (array (rename buf_stat_reply_obuf_debug_i "buf_STAT_REPLY_OBUF_DEBUG_i(120:120)") 1) (direction INPUT)) (port (array (rename timer_ticks "timer_ticks(1:1)") 1) (direction INPUT)) - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction OUTPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction OUTPUT)) + (port current_output_data_buffer_3 (direction OUTPUT)) + (port current_output_data_buffer_0 (direction OUTPUT)) (port buf_STAT_REPLY_OBUF_DEBUG_5 (direction INPUT)) (port buf_STAT_REPLY_OBUF_DEBUG_3 (direction INPUT)) (port (array (rename un1_iobuf_1 "un1_IOBUF_1(31:16)") 16) (direction INPUT)) @@ -47275,19 +47195,25 @@ (port comb_dataready_0_i (direction OUTPUT)) (port reset_no_link_1 (direction INPUT)) (port reg_ack_reply_internal_Q (direction INPUT)) + (port GND (direction INPUT)) (port int_dataready_in_i (direction OUTPUT)) (port reg_INT_READ_OUT (direction OUTPUT)) - (port GND (direction INPUT)) (port reg_eob_reply_out_Q (direction INPUT)) ) (contents + (instance gen1_un1_send_eob_RNIDTFL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) (instance reg_SEND_ACK_IN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C !A)+D !A)")) ) - (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (!B !A+B A))")) + (instance sending_state_ns_i_a2_1_0_a2_RNIMOM32_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance sending_state_ns_0_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance sending_state_ns_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_i_2_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) (instance un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -47296,56 +47222,60 @@ (instance int_packet_num_in_i_RNIVCIV_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(B+A)))")) ) + (instance reg_INT_READ_OUT_RNIPCII1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (!C (B A)))")) + ) (instance send_ACK_RNIHL101 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (!C (B !A)+C !A))")) ) - (instance sending_state_RNIULTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) - ) - (instance sbuf_free_RNIEDMK1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C (!B !A)))")) - ) - (instance transfer_counter_RNIVOH41_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) (instance reg_SEND_ACK_IN_2_RNIHTT01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance TRANSMITTED_BUFFERS_0__fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C !A)+D (!C (!B A+B !A)+C !A))")) ) - (instance sbuf_free_RNIEDMK1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) + (instance reg_INT_READ_OUT_RNIEDMK1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B A))")) ) - (instance current_output_data_buffer_1_0_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_1_0_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (!B !A)))")) + (instance current_output_data_buffer_mb_1_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance current_output_data_buffer_mb_1_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+!A)+C (!B !A))")) ) - (instance current_output_data_buffer_1_0_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B+A)+C (!B !A)))")) + (instance current_output_data_buffer_mb_1_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C !A+C !B))")) ) - (instance current_output_data_buffer_4_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_4_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_am_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance current_output_data_buffer_am_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance current_output_data_buffer_4_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_am_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C B))")) ) (instance max_DATA_COUNT_minus_one_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) + (instance transfer_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance transfer_counter_fast_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance transfer_counter_0_rep1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance transfer_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance transfer_counter_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance transfer_counter_fast_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance transfer_counter_2 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) + (instance transfer_counter_fast_2 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + ) + (instance transfer_counter_2_rep1 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + ) (instance sending_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance sending_state_fast_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sending_state_fast_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance sending_state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance sbuf_free (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -47360,6 +47290,8 @@ ) (instance reg_SEND_ACK_IN (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance reg_INT_READ_OUT_fast (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance reg_INT_READ_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance proc_reg_setting_timer_tick (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -47394,6 +47326,8 @@ ) (instance int_packet_num_in_i_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance int_dataready_in_i_fast (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance int_dataready_in_i (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance int_data_in_i_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) @@ -47481,29 +47415,35 @@ (instance reg_INT_READ_OUT_RNIFHUG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance sending_state_ns_i_a2_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) + ) + (instance current_output_data_buffer_5_sqmuxa_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) (instance GENERATE_WORDS_un10_transfer_counter_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (property lut_function (string "(B !A)")) ) (instance transfer_counter_RNITR1M_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance sending_state_ns_i_a2_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) - ) - (instance sending_state_ns_i_o2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance transfer_counter_fast_RNIPO2R_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance max_DATA_COUNT_minus_onec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance reg_INT_READ_OUT_RNIAAJ91 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance next_SEND_ACK_IN_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) ) - (instance sbuf_free_RNIVRN31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A)+C !A)")) + (instance current_EOB_word_3_sqmuxa_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance transfer_counter_1_sqmuxa_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance sending_state_ns_i_a2_1_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance current_output_data_buffer_5_sqmuxa_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(!B+!A)))")) ) (instance reg_INT_READ_OUT_RNIFHUG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) @@ -47511,21 +47451,12 @@ (instance SYNC_INT_DATA_INPUTS_un4_buf_int_read_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+!A))")) ) - (instance sending_state_ns_i_a2_1_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance current_EOB_word_3_sqmuxa_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance next_SEND_ACK_IN_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C A)")) + (instance TRANSMITTED_BUFFERS_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) ) (instance gen1_un3_send_eob (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) - (instance TRANSMITTED_BUFFERS_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) - ) (instance send_ACK (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) @@ -47538,84 +47469,81 @@ (instance gen1_un1_send_eob_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (C (!B !A)))")) ) - (instance current_output_data_buffer_3_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B !A)))")) - ) (instance current_ACK_word_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance current_output_data_buffer_3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C (B !A)))")) ) - (instance sending_state_ns_0_m6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C (B+A))+D (C+(!B+!A)))")) - ) - (instance current_output_data_buffer_5_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!C+(B !A)))")) - ) - (instance transfer_counter_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) (instance sending_state_ns_i_a6_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) (instance reset_DATA_COUNT_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) + (instance current_output_data_buffer_3_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B+A)))")) + ) + (instance current_output_data_buffer_5_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + ) (instance gen1_un1_send_eob (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance current_output_data_buffer_3_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (!C B))")) - ) - (instance current_output_data_buffer_3_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) + (instance transfer_counter_1_sqmuxa_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B A)))")) ) (instance next_INT_READ_OUT_7_sqmuxa_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) + (instance current_output_data_buffer_3_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) + ) + (instance current_output_data_buffer_3_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A))+D (!C B))")) + ) (instance current_output_data_buffer_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) ) - (instance un1_transfer_counter36 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) - ) - (instance transfer_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C A)+D A)")) + (instance sending_state_ns_0_m6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A)+C (B+A))+D (C+(!B+!A)))")) ) (instance reset_DATA_COUNT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) + (instance current_output_data_buffer_3_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(!B A)))")) + ) + (instance transfer_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A+B A)")) + ) (instance next_INT_READ_OUT_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) ) + (instance current_output_data_buffer_3_0_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + ) + (instance sending_state_ns_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + ) (instance sending_state_ns_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !C+D (!C (B+A)))")) ) - (instance current_output_data_buffer_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance current_output_data_buffer_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance next_INT_READ_OUT_1_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A))")) ) + (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A)+C B)")) + ) (instance un1_next_INT_READ_OUT_6_sqmuxa_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D (!C+B))")) + (property lut_function (string "(!D (B+A)+D (C (B+A)))")) ) (instance un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C A+C (!B A)))")) ) - (instance sending_state_ns_0_a6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance sending_state_ns_i_a6_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance sending_state_ns_i_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(B !A)))")) - ) (instance current_output_data_buffer_4_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) ) @@ -47664,6 +47592,12 @@ (instance current_output_data_buffer_4_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) + (instance current_output_data_buffer_4_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) + ) + (instance current_output_data_buffer_4_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) (instance current_output_data_buffer_4_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) ) @@ -47688,77 +47622,97 @@ (instance current_output_data_buffer_4_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance transfer_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (!B A+B !A)))")) - ) - (instance current_output_data_buffer_4_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) + (instance transfer_counter_1_sqmuxa_i_s_RNIFDIR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) ) - (instance current_output_data_buffer_4_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance sending_state_ns_0_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))")) ) (instance CURRENT_DATA_COUNTe_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B !A)))")) ) - (instance sending_state_ns_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (C (!B !A)))")) - ) - (instance current_output_data_buffer_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance current_output_data_buffer_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance transfer_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !A+C (!B+!A)))")) ) - (instance current_output_data_buffer_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C (B+A))+D (B+A))")) + (instance sending_state_ns_i_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A))+D (C !A))")) ) (instance TRANSMITTED_BUFFERS_2_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+!A)+C (B+A))")) ) (instance sending_state_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(B+A))")) + (property lut_function (string "(!D (!C+!B)+D (!C+(!B+A)))")) + ) + (instance sending_state_ns_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B !A)))")) ) (instance reg_INT_READ_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (!C (B A)))")) ) - (instance sending_state_ns_0_1_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) + (instance transfer_counter_2_rep1_RNIU6UL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance sending_state_ns_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C+(B+!A)))")) + (instance current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B+!A)+C !B))")) ) - (instance transfer_counter_RNI152D_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance current_output_data_buffer_sn_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance sending_state_ns_i_a2_0_o2_RNICC4A2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B !A)))")) + (instance current_output_data_buffer_1_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C (!B !A))+D (!B !A))")) ) - (instance current_output_data_buffer_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+!A))+D (!C (B A)))")) ) - (instance current_ACK_word_2_sqmuxa_RNIOJ311 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B A)+C !B))")) + (instance current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B+!A)+C !B))")) ) - (instance transfer_counter_0_rep1_RNI5VS03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !B+C (!B !A)))")) + (instance current_ACK_word_2_sqmuxa_RNI5AP52 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B !A)))")) + ) + (instance current_output_data_buffer_mb_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D !C)")) + ) + (instance sending_state_ns_0_i_fast_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!B)+D (!C+(!B+A)))")) + ) + (instance sending_state_ns_i_fast_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B !A)))")) ) (instance transfer_counter_fast_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C A)+D A)")) + (property lut_function (string "(!B !A+B A)")) ) (instance transfer_counter_0_rep1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C A)+D A)")) + (property lut_function (string "(!B !A+B A)")) ) - (instance current_output_data_buffer_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_INT_READ_OUT_fast_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (!C (B A)))")) + ) + (instance transfer_counter_fast_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !A+C (!B+!A)))")) + ) + (instance transfer_counter_2_rep1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !A+C (!B+!A)))")) + ) + (instance transfer_counter_fast_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+!A))")) + ) + (instance current_output_data_buffer_mb_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A))")) + ) + (instance current_output_data_buffer_mb_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (!C (!B A)+C (B+A)))")) + ) + (instance current_output_data_buffer_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+!A)+D (!C !A+C !B))")) ) - (instance current_output_data_buffer_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (!C (B A)))")) ) - (instance current_output_data_buffer_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+!A)+D (!C !A+C !B))")) ) - (instance current_output_data_buffer_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (!C (B A)))")) ) (instance current_output_data_buffer_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -47767,10 +47721,10 @@ (instance current_output_data_buffer_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (!C (B A)))")) ) - (instance current_output_data_buffer_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+!A)+D (!C !A+C !B))")) ) - (instance current_output_data_buffer_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (!C (B A)))")) ) (instance current_output_data_buffer_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -47800,8 +47754,14 @@ (instance current_output_data_buffer_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B))")) ) - (instance sending_state_ns_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance reg_INT_READ_OUT_RNIEDMK1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B A))")) + ) + (instance sbuf_free_RNIVRN31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C !A)")) + ) + (instance un1_transfer_counter36 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) ) (instance CURRENT_DATA_COUNT_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -47883,103 +47843,138 @@ ) (instance GEN_CRC_CRC_gen (viewRef netlist (cellRef trb_net_CRC_GEN_IBUF_THE_IBUF_gen_crc_THE_CRC_0_3)) ) - (net next_SEND_ACK_IN_0_sqmuxa_1 (joined - (portRef Z (instanceRef sending_state_ns_i_a6_1_0)) - (portRef C (instanceRef sending_state_ns_i_0_0)) - (portRef A (instanceRef reg_SEND_ACK_IN_RNO)) + (net un1_send_eob (joined + (portRef Z (instanceRef gen1_un1_send_eob)) + (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef B (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef A (instanceRef gen1_un1_send_eob_RNIDTFL)) )) (net reg_SEND_ACK_IN_2 (joined (portRef Q (instanceRef reg_SEND_ACK_IN_2)) - (portRef C (instanceRef sending_state_ns_i_a2_0_0)) (portRef B (instanceRef send_ACK)) (portRef B (instanceRef next_SEND_ACK_IN_2)) (portRef C (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) (portRef C (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef C (instanceRef gen1_un1_send_eob_RNIDTFL)) )) (net reg_SEND_ACK_IN (joined (portRef Q (instanceRef reg_SEND_ACK_IN)) - (portRef D (instanceRef sending_state_ns_i_a2_0_0)) (portRef A (instanceRef send_ACK)) (portRef A (instanceRef next_SEND_ACK_IN_2)) (portRef D (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) (portRef D (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef D (instanceRef gen1_un1_send_eob_RNIDTFL)) + )) + (net N_160 (joined + (portRef Z (instanceRef gen1_un1_send_eob_RNIDTFL)) + (portRef A (instanceRef sending_state_ns_0_i_fast_1)) + (portRef A (instanceRef sending_state_ns_0_i_1)) + (portRef A (instanceRef sending_state_ns_i_2_0)) + (portRef B (instanceRef sending_state_ns_0_2_1)) + )) + (net next_SEND_ACK_IN_0_sqmuxa_1 (joined + (portRef Z (instanceRef sending_state_ns_i_a6_1_0)) + (portRef C (instanceRef sending_state_ns_i_0_0)) + (portRef A (instanceRef reg_SEND_ACK_IN_RNO)) + )) + (net reg_eob_reply_out_Q (joined + (portRef reg_eob_reply_out_Q) + (portRef C (instanceRef send_ACK)) + (portRef C (instanceRef next_SEND_ACK_IN_2)) + (portRef B (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) + (portRef B (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef B (instanceRef gen1_un1_send_eob_RNIDTFL)) )) (net reg_SEND_ACK_IN_2_0 (joined (portRef Z (instanceRef reg_SEND_ACK_IN_RNO)) (portRef D (instanceRef reg_SEND_ACK_IN)) )) - (net comb_dataready_0 (joined - (portRef Z (instanceRef sbuf_free_RNIEDMK1)) - (portRef C (instanceRef transfer_counter_0_rep1_RNO)) - (portRef C (instanceRef transfer_counter_fast_RNO_0)) - (portRef C (instanceRef transfer_counter_RNO_0)) - (portRef B (instanceRef un1_transfer_counter36)) - (portRef B (instanceRef transfer_counter_RNO_0_2)) - (portRef B (instanceRef transfer_counter_RNO_1)) - )) - (net un2_transfer_counter_3_m_2 (joined - (portRef Z (instanceRef transfer_counter_RNO_1)) - (portRef D (instanceRef transfer_counter_1)) + (net N_352 (joined + (portRef Z (instanceRef sbuf_free_RNIVRN31)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIEDMK1_0)) + (portRef C (instanceRef transfer_counter_2_rep1_RNO)) + (portRef C (instanceRef transfer_counter_fast_RNO_2)) + (portRef C (instanceRef transfer_counter_RNO_2)) + (portRef C (instanceRef reg_INT_READ_OUT_RNIEDMK1)) + (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_RNIMOM32_0)) )) (net un14_transfer_counter (joined (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef A (instanceRef sending_state_ns_0_a6_1)) (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) (portRef A (instanceRef reset_DATA_COUNT)) (portRef A (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) (portRef A (instanceRef sending_state_ns_i_a6_1_0)) (portRef A (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) - (portRef A (instanceRef sending_state_ns_i_RNO_0)) + (portRef A (instanceRef sending_state_ns_i_2_RNO_0)) + (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_RNIMOM32_0)) )) - (net GND (joined - (portRef GND) - (portRef GND (instanceRef GEN_CRC_CRC_gen)) - (portRef C1 (instanceRef buffer_number_s_0_15)) - (portRef B1 (instanceRef buffer_number_s_0_15)) - (portRef A1 (instanceRef buffer_number_s_0_15)) - (portRef B0 (instanceRef buffer_number_s_0_15)) - (portRef B1 (instanceRef buffer_number_cry_0_13)) - (portRef B0 (instanceRef buffer_number_cry_0_13)) - (portRef B1 (instanceRef buffer_number_cry_0_11)) - (portRef B0 (instanceRef buffer_number_cry_0_11)) - (portRef B1 (instanceRef buffer_number_cry_0_9)) - (portRef B0 (instanceRef buffer_number_cry_0_9)) - (portRef B1 (instanceRef buffer_number_cry_0_7)) - (portRef B0 (instanceRef buffer_number_cry_0_7)) - (portRef B1 (instanceRef buffer_number_cry_0_5)) - (portRef B0 (instanceRef buffer_number_cry_0_5)) - (portRef B1 (instanceRef buffer_number_cry_0_3)) - (portRef B0 (instanceRef buffer_number_cry_0_3)) - (portRef B1 (instanceRef buffer_number_cry_0_1)) - (portRef B0 (instanceRef buffer_number_cry_0_1)) - (portRef CIN (instanceRef buffer_number_cry_0_0)) - (portRef B1 (instanceRef buffer_number_cry_0_0)) - (portRef C0 (instanceRef buffer_number_cry_0_0)) - (portRef A0 (instanceRef buffer_number_cry_0_0)) - (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) - (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) - (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) - (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) - (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef CIN (instanceRef CURRENT_DATA_COUNT_cry_0_0)) - (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) - (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) - (portRef A0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_8)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_7)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_6)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_5)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_4)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_3)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_2)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_1)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_0)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) + (net sending_state_ns_0_a6_1_1 (joined + (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_RNIMOM32_0)) + (portRef D (instanceRef sending_state_ns_0_i_fast_1)) + (portRef D (instanceRef sending_state_ns_0_i_1)) + )) + (net sbuf_free (joined + (portRef Q (instanceRef sbuf_free)) + (portRef A (instanceRef sbuf_free_RNIVRN31)) + (portRef D (instanceRef sending_state_ns_i_0_0)) + (portRef B (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) + (portRef B (instanceRef sending_state_ns_i_a6_1_0)) + (portRef A (instanceRef sending_state_ns_0_0_RNO_1)) + )) + (net N_140_i (joined + (portRef Z (instanceRef send_ACK_RNIHL101)) + (portRef B (instanceRef sending_state_ns_i_fast_0)) + (portRef B (instanceRef sending_state_ns_i_0)) + (portRef B (instanceRef sending_state_ns_0_0_RNO_1)) + )) + (net sending_state_fast_1 (joined + (portRef Q (instanceRef sending_state_fast_1)) + (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1)) + (portRef B (instanceRef sending_state_ns_i_a2_0_o2_0)) + (portRef C (instanceRef sending_state_ns_0_0_RNO_1)) + )) + (net sending_state_fast_0 (joined + (portRef Q (instanceRef sending_state_fast_0)) + (portRef C (instanceRef sending_state_ns_i_fast_0)) + (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1)) + (portRef A (instanceRef sending_state_ns_i_a2_0_o2_0)) + (portRef D (instanceRef sending_state_ns_0_0_RNO_1)) + )) + (net N_152 (joined + (portRef Z (instanceRef sending_state_ns_0_0_RNO_1)) + (portRef B (instanceRef sending_state_ns_0_0_1)) + )) + (net reg_INT_READ_OUT (joined + (portRef Q (instanceRef reg_INT_READ_OUT)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIEDMK1_0)) + (portRef B (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIFHUG)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIEDMK1)) + (portRef C (instanceRef send_ACK_RNIHL101)) + (portRef C (instanceRef reg_INT_READ_OUT_RNIPCII1)) + (portRef C (instanceRef int_packet_num_in_i_RNIVCIV_2)) + (portRef B (instanceRef sending_state_ns_i_2_RNO_0)) + (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_RNIMOM32_0)) + (portRef reg_INT_READ_OUT) + )) + (net int_dataready_in_i (joined + (portRef Q (instanceRef int_dataready_in_i)) + (portRef C (instanceRef reg_INT_READ_OUT_RNIEDMK1_0)) + (portRef A (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIFHUG)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIEDMK1)) + (portRef D (instanceRef send_ACK_RNIHL101)) + (portRef D (instanceRef reg_INT_READ_OUT_RNIPCII1)) + (portRef D (instanceRef int_packet_num_in_i_RNIVCIV_2)) + (portRef C (instanceRef sending_state_ns_i_2_RNO_0)) + (portRef D (instanceRef sending_state_ns_i_a2_1_0_a2_RNIMOM32_0)) + (portRef int_dataready_in_i) )) (net sending_state_ns_i_a6_3_0_0 (joined - (portRef Z (instanceRef sending_state_ns_i_RNO_0)) - (portRef D (instanceRef sending_state_ns_i_0)) + (portRef Z (instanceRef sending_state_ns_i_2_RNO_0)) + (portRef D (instanceRef sending_state_ns_i_2_0)) )) (net buf_STAT_REPLY_OBUF_DEBUG_117 (joined (portRef Q (instanceRef TRANSMITTED_BUFFERS_0)) @@ -48018,180 +48013,50 @@ (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) (portRef B (instanceRef int_packet_num_in_i_RNIVCIV_2)) )) - (net reg_INT_READ_OUT (joined - (portRef Q (instanceRef reg_INT_READ_OUT)) - (portRef B (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIFHUG)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIAAJ91)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) - (portRef C (instanceRef send_ACK_RNIHL101)) - (portRef C (instanceRef int_packet_num_in_i_RNIVCIV_2)) - (portRef B (instanceRef sending_state_ns_i_RNO_0)) - (portRef reg_INT_READ_OUT) - )) - (net int_dataready_in_i (joined - (portRef Q (instanceRef int_dataready_in_i)) - (portRef A (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIFHUG)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIAAJ91)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) - (portRef D (instanceRef send_ACK_RNIHL101)) - (portRef D (instanceRef int_packet_num_in_i_RNIVCIV_2)) - (portRef C (instanceRef sending_state_ns_i_RNO_0)) - (portRef int_dataready_in_i) - )) (net N_55 (joined (portRef Z (instanceRef int_packet_num_in_i_RNIVCIV_2)) (portRef N_55 (instanceRef GEN_CRC_CRC_gen)) )) + (net N_112 (joined + (portRef Z (instanceRef transfer_counter_fast_RNIPO2R_0)) + (portRef C (instanceRef un1_transfer_counter36)) + (portRef A (instanceRef transfer_counter_2_rep1_RNO)) + (portRef A (instanceRef transfer_counter_fast_RNO_2)) + (portRef A (instanceRef transfer_counter_RNO_2)) + (portRef B (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef B (instanceRef transfer_counter_1_sqmuxa_i_s)) + (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIPCII1)) + )) + (net current_NOP_word_4_sqmuxa (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIPCII1)) + (portRef B (instanceRef sending_state_ns_i_2_0)) + (portRef D (instanceRef sending_state_ns_0_2_1)) + (portRef A (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) + )) (net send_ACK_0 (joined (portRef Z (instanceRef send_ACK)) - (portRef C (instanceRef sending_state_ns_0_1_1)) + (portRef D (instanceRef sending_state_ns_0_0_1)) (portRef D (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) (portRef A (instanceRef send_ACK_RNIHL101)) )) - (net N_140_i (joined - (portRef Z (instanceRef send_ACK_RNIHL101)) - (portRef B (instanceRef sending_state_ns_0_1_1_1)) - (portRef B (instanceRef sending_state_ns_i_1_0)) - )) - (net MED_IO_PACKET_NUM_OUT_21 (joined - (portRef Q (instanceRef transfer_counter_0)) - (portRef A (instanceRef CURRENT_DATA_COUNTe_0_i)) - (portRef A (instanceRef current_output_data_buffer_4_am_8)) - (portRef A (instanceRef current_output_data_buffer_4_am_12)) - (portRef A (instanceRef current_output_data_buffer_4_am_11)) - (portRef A (instanceRef current_output_data_buffer_4_am_10)) - (portRef A (instanceRef current_output_data_buffer_4_am_9)) - (portRef A (instanceRef current_output_data_buffer_4_am_7)) - (portRef A (instanceRef current_output_data_buffer_4_am_6)) - (portRef A (instanceRef current_output_data_buffer_4_am_5)) - (portRef A (instanceRef current_output_data_buffer_4_am_4)) - (portRef A (instanceRef current_output_data_buffer_4_am_3)) - (portRef A (instanceRef current_output_data_buffer_4_am_15)) - (portRef A (instanceRef current_output_data_buffer_4_am_14)) - (portRef A (instanceRef current_output_data_buffer_4_am_13)) - (portRef A (instanceRef transfer_counter_RNO_0)) - (portRef A (instanceRef current_output_data_buffer_1_0)) - (portRef A (instanceRef transfer_counter_RNO_0_2)) - (portRef A (instanceRef sending_state_ns_0_m6_1)) - (portRef A (instanceRef transfer_counter_1_sqmuxa_i_a2)) - (portRef A (instanceRef transfer_counter_RNITR1M_0)) - (portRef D (instanceRef current_output_data_buffer_4_am_1)) - (portRef D (instanceRef current_output_data_buffer_1_0_am_2)) - (portRef D (instanceRef transfer_counter_RNIVOH41_1)) - (portRef A (instanceRef sending_state_RNIULTV_1)) - (portRef C (instanceRef transfer_counter_RNO_1)) - (portRef (member med_io_packet_num_out 2)) - )) - (net sending_state_1 (joined - (portRef Q (instanceRef sending_state_1)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef D (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef D (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef C (instanceRef sbuf_free_RNIVRN31)) - (portRef D (instanceRef reg_INT_READ_OUT_RNIAAJ91)) - (portRef B (instanceRef sending_state_ns_i_a2_0_o2_0)) - (portRef B (instanceRef sbuf_free_RNIEDMK1)) - (portRef B (instanceRef sending_state_RNIULTV_1)) - )) (net MED_IO_PACKET_NUM_OUT_23 (joined (portRef Q (instanceRef transfer_counter_2)) - (portRef B (instanceRef transfer_counter_RNI152D_1)) - (portRef A (instanceRef sending_state_ns_0_1_1)) - (portRef B (instanceRef transfer_counter_RNO_2)) - (portRef A (instanceRef sending_state_ns_i_a6_2_0)) + (portRef D (instanceRef un1_transfer_counter36)) + (portRef C (instanceRef transfer_counter_1_sqmuxa_i_s_RNIFDIR)) (portRef A (instanceRef sending_state_ns_i_0_0)) + (portRef A (instanceRef sending_state_ns_0_0_1)) + (portRef B (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef C (instanceRef sending_state_ns_0_m6_1)) (portRef A (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef A (instanceRef transfer_counter_1_sqmuxa_i_s)) (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef C (instanceRef sending_state_ns_0_m6_1)) (portRef B (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef B (instanceRef current_ACK_word_2_sqmuxa)) - (portRef A (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef C (instanceRef transfer_counter_1_sqmuxa_i_a2)) - (portRef B (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) - (portRef B (instanceRef transfer_counter_RNIVOH41_1)) - (portRef C (instanceRef sending_state_RNIULTV_1)) (portRef B (instanceRef send_ACK_RNIHL101)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIPCII1)) (portRef (member med_io_packet_num_out 0)) )) - (net MED_IO_PACKET_NUM_OUT_22 (joined - (portRef Q (instanceRef transfer_counter_1)) - (portRef A (instanceRef transfer_counter_RNI152D_1)) - (portRef A (instanceRef transfer_counter_RNO_2)) - (portRef B (instanceRef sending_state_ns_0_m6_1)) - (portRef A (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef A (instanceRef current_ACK_word_2_sqmuxa)) - (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef B (instanceRef transfer_counter_1_sqmuxa_i_a2)) - (portRef A (instanceRef sending_state_ns_i_o2_0_0)) - (portRef A (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) - (portRef C (instanceRef transfer_counter_RNIVOH41_1)) - (portRef D (instanceRef sending_state_RNIULTV_1)) - (portRef A (instanceRef transfer_counter_RNO_1)) - (portRef (member med_io_packet_num_out 1)) - )) - (net current_EOB_word_2_sqmuxa (joined - (portRef Z (instanceRef sending_state_RNIULTV_1)) - (portRef D (instanceRef current_output_data_buffer_1_6)) - (portRef D (instanceRef current_output_data_buffer_1_5)) - (portRef D (instanceRef current_output_data_buffer_1_3)) - (portRef D (instanceRef current_output_data_buffer_1_4)) - )) - (net N_115_1 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) - (portRef C (instanceRef CURRENT_DATA_COUNTe_0_i)) - (portRef C (instanceRef sending_state_ns_i_a6_2_0)) - (portRef B (instanceRef sending_state_ns_0_a6_1)) - (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - (portRef B (instanceRef reset_DATA_COUNT)) - (portRef A (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef D (instanceRef sending_state_ns_0_m6_1)) - (portRef B (instanceRef sbuf_free_RNIEDMK1_0)) - (portRef A (instanceRef transfer_counter_RNIVOH41_1)) - (portRef A (instanceRef sbuf_free_RNIEDMK1)) - )) - (net sending_state_0 (joined - (portRef Q (instanceRef sending_state_0)) - (portRef C (instanceRef sending_state_ns_i_1_0)) - (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) - (portRef C (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef sending_state_ns_i_a6_1_0)) - (portRef D (instanceRef current_ACK_word_2_sqmuxa)) - (portRef D (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef B (instanceRef sbuf_free_RNIVRN31)) - (portRef C (instanceRef reg_INT_READ_OUT_RNIAAJ91)) - (portRef A (instanceRef sending_state_ns_i_a2_0_o2_0)) - (portRef C (instanceRef sbuf_free_RNIEDMK1)) - )) - (net sbuf_free (joined - (portRef Q (instanceRef sbuf_free)) - (portRef C (instanceRef sending_state_ns_0_1_1_1)) - (portRef D (instanceRef sending_state_ns_i_0_0)) - (portRef B (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef B (instanceRef sending_state_ns_i_a6_1_0)) - (portRef A (instanceRef sbuf_free_RNIVRN31)) - (portRef D (instanceRef sbuf_free_RNIEDMK1)) - )) - (net current_NOP_word_4_sqmuxa (joined - (portRef Z (instanceRef transfer_counter_RNIVOH41_1)) - (portRef A (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) - (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) - )) - (net reg_eob_reply_out_Q (joined - (portRef reg_eob_reply_out_Q) - (portRef B (instanceRef sending_state_ns_i_a2_0_0)) - (portRef C (instanceRef send_ACK)) - (portRef C (instanceRef next_SEND_ACK_IN_2)) - (portRef B (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) - (portRef B (instanceRef reg_SEND_ACK_IN_RNO)) - )) (net next_INT_READ_OUT_1_sqmuxa (joined (portRef Z (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) @@ -48207,109 +48072,66 @@ (portRef Z (instanceRef TRANSMITTED_BUFFERS_0__fb)) (portRef D (instanceRef TRANSMITTED_BUFFERS_0)) )) - (net N_352 (joined - (portRef Z (instanceRef sbuf_free_RNIVRN31)) - (portRef D (instanceRef sending_state_ns_0_a6_1)) - (portRef A (instanceRef sbuf_free_RNIEDMK1_0)) + (net GND (joined + (portRef GND) + (portRef GND (instanceRef GEN_CRC_CRC_gen)) + (portRef C1 (instanceRef buffer_number_s_0_15)) + (portRef B1 (instanceRef buffer_number_s_0_15)) + (portRef A1 (instanceRef buffer_number_s_0_15)) + (portRef B0 (instanceRef buffer_number_s_0_15)) + (portRef B1 (instanceRef buffer_number_cry_0_13)) + (portRef B0 (instanceRef buffer_number_cry_0_13)) + (portRef B1 (instanceRef buffer_number_cry_0_11)) + (portRef B0 (instanceRef buffer_number_cry_0_11)) + (portRef B1 (instanceRef buffer_number_cry_0_9)) + (portRef B0 (instanceRef buffer_number_cry_0_9)) + (portRef B1 (instanceRef buffer_number_cry_0_7)) + (portRef B0 (instanceRef buffer_number_cry_0_7)) + (portRef B1 (instanceRef buffer_number_cry_0_5)) + (portRef B0 (instanceRef buffer_number_cry_0_5)) + (portRef B1 (instanceRef buffer_number_cry_0_3)) + (portRef B0 (instanceRef buffer_number_cry_0_3)) + (portRef B1 (instanceRef buffer_number_cry_0_1)) + (portRef B0 (instanceRef buffer_number_cry_0_1)) + (portRef CIN (instanceRef buffer_number_cry_0_0)) + (portRef B1 (instanceRef buffer_number_cry_0_0)) + (portRef C0 (instanceRef buffer_number_cry_0_0)) + (portRef A0 (instanceRef buffer_number_cry_0_0)) + (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) + (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) + (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) + (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) + (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) + (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) + (portRef CIN (instanceRef CURRENT_DATA_COUNT_cry_0_0)) + (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) + (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) + (portRef A0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_8)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_7)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_6)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_5)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_4)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_3)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_2)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_1)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_0)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) )) (net comb_dataready_0_i (joined - (portRef Z (instanceRef sbuf_free_RNIEDMK1_0)) + (portRef Z (instanceRef reg_INT_READ_OUT_RNIEDMK1)) (portRef comb_dataready_0_i) )) - (net current_output_data_buffer_1_0_bm_2 (joined - (portRef Z (instanceRef current_output_data_buffer_1_0_bm_2)) - (portRef ALUT (instanceRef current_output_data_buffer_1_0_2)) + (net current_output_data_buffer_mb_1_bm_2 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_1_bm_2)) + (portRef ALUT (instanceRef current_output_data_buffer_mb_1_2)) )) - (net current_output_data_buffer_1_0_am_2 (joined - (portRef Z (instanceRef current_output_data_buffer_1_0_am_2)) - (portRef BLUT (instanceRef current_output_data_buffer_1_0_2)) - )) - (net N_237 (joined - (portRef Z (instanceRef transfer_counter_0_rep1_RNI5VS03)) - (portRef D (instanceRef current_output_data_buffer_13)) - (portRef D (instanceRef current_output_data_buffer_14)) - (portRef D (instanceRef current_output_data_buffer_15)) - (portRef D (instanceRef current_output_data_buffer_7)) - (portRef D (instanceRef current_output_data_buffer_8)) - (portRef D (instanceRef current_output_data_buffer_9)) - (portRef D (instanceRef current_output_data_buffer_10)) - (portRef D (instanceRef current_output_data_buffer_11)) - (portRef D (instanceRef current_output_data_buffer_12)) - (portRef C (instanceRef current_output_data_buffer_6)) - (portRef C (instanceRef current_output_data_buffer_1_6)) - (portRef C (instanceRef current_output_data_buffer_5)) - (portRef C (instanceRef current_output_data_buffer_1_5)) - (portRef C (instanceRef current_output_data_buffer_3)) - (portRef C (instanceRef current_output_data_buffer_1_3)) - (portRef C (instanceRef current_output_data_buffer_4)) - (portRef C (instanceRef current_output_data_buffer_1_4)) - (portRef C (instanceRef current_output_data_buffer_2)) - (portRef B (instanceRef current_output_data_buffer_1)) - (portRef C0 (instanceRef current_output_data_buffer_0)) - (portRef C0 (instanceRef current_output_data_buffer_1_0_2)) - )) - (net current_output_data_buffer_1_2 (joined - (portRef Z (instanceRef current_output_data_buffer_1_0_2)) - (portRef D (instanceRef current_output_data_buffer_2)) - )) - (net N_363 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef B (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef A (instanceRef current_output_data_buffer_1_0_bm_2)) - )) - (net N_373 (joined - (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef C (instanceRef transfer_counter_0_rep1_RNI5VS03)) - (portRef D (instanceRef current_output_data_buffer_bm_0)) - (portRef B (instanceRef current_output_data_buffer_4_am_8)) - (portRef B (instanceRef current_output_data_buffer_4_am_12)) - (portRef B (instanceRef current_output_data_buffer_4_am_11)) - (portRef B (instanceRef current_output_data_buffer_4_am_10)) - (portRef B (instanceRef current_output_data_buffer_4_am_9)) - (portRef B (instanceRef current_output_data_buffer_4_am_7)) - (portRef B (instanceRef current_output_data_buffer_4_am_6)) - (portRef B (instanceRef current_output_data_buffer_4_am_5)) - (portRef B (instanceRef current_output_data_buffer_4_am_4)) - (portRef B (instanceRef current_output_data_buffer_4_am_3)) - (portRef B (instanceRef current_output_data_buffer_4_am_15)) - (portRef B (instanceRef current_output_data_buffer_4_am_14)) - (portRef B (instanceRef current_output_data_buffer_4_am_13)) - (portRef B (instanceRef current_output_data_buffer_1_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef C (instanceRef current_output_data_buffer_4_am_1)) - (portRef A (instanceRef current_output_data_buffer_1_0_am_2)) - (portRef B (instanceRef current_output_data_buffer_1_0_bm_2)) - )) - (net N_88 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef B (instanceRef current_output_data_buffer_bm_0)) - (portRef C (instanceRef current_output_data_buffer_1_0_bm_2)) - )) - (net REPLYOBUF_stat_buffer_18 (joined - (portRef Q (instanceRef CURRENT_DATA_COUNT_2)) - (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef A (instanceRef gen1_un1_send_eob_2)) - (portRef D (instanceRef current_output_data_buffer_1_0_bm_2)) - )) - (net un1_IOBUF_1_18 (joined - (portRef (member un1_iobuf_1 13)) - (portRef B (instanceRef current_output_data_buffer_1_0_am_2)) - )) - (net buffer_number_2 (joined - (portRef Q (instanceRef buffer_number_2)) - (portRef C1 (instanceRef buffer_number_cry_0_1)) - (portRef C (instanceRef current_output_data_buffer_1_0_am_2)) - )) - (net current_output_data_buffer_4_bm_1 (joined - (portRef Z (instanceRef current_output_data_buffer_4_bm_1)) - (portRef ALUT (instanceRef current_output_data_buffer_4_1)) - )) - (net current_output_data_buffer_4_am_1 (joined - (portRef Z (instanceRef current_output_data_buffer_4_am_1)) - (portRef BLUT (instanceRef current_output_data_buffer_4_1)) + (net current_output_data_buffer_mb_1_am_2 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_1_am_2)) + (portRef BLUT (instanceRef current_output_data_buffer_mb_1_2)) )) (net N_35 (joined - (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_RNICC4A2_0)) + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1)) (portRef C (instanceRef current_output_data_buffer_13)) (portRef C (instanceRef current_output_data_buffer_14)) (portRef C (instanceRef current_output_data_buffer_15)) @@ -48319,30 +48141,31 @@ (portRef C (instanceRef current_output_data_buffer_10)) (portRef C (instanceRef current_output_data_buffer_11)) (portRef C (instanceRef current_output_data_buffer_12)) - (portRef B (instanceRef current_output_data_buffer_6)) - (portRef B (instanceRef current_output_data_buffer_5)) (portRef B (instanceRef current_output_data_buffer_3)) + (portRef B (instanceRef current_output_data_buffer_5)) (portRef B (instanceRef current_output_data_buffer_4)) - (portRef A (instanceRef current_output_data_buffer_2)) - (portRef A (instanceRef current_output_data_buffer_am_0)) - (portRef C0 (instanceRef current_output_data_buffer_4_1)) + (portRef B (instanceRef current_output_data_buffer_6)) + (portRef A (instanceRef current_output_data_buffer_0)) + (portRef B (instanceRef current_output_data_buffer_sn_2)) + (portRef C0 (instanceRef current_output_data_buffer_am_1)) + (portRef C0 (instanceRef current_output_data_buffer_mb_1_2)) )) - (net N_222 (joined - (portRef Z (instanceRef current_output_data_buffer_4_1)) - (portRef A (instanceRef current_output_data_buffer_1)) + (net current_output_data_buffer_mb_1_2 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_1_2)) + (portRef C (instanceRef current_output_data_buffer_mb_2)) )) - (net int_data_in_i_1 (joined - (portRef Q (instanceRef int_data_in_i_1)) - (portRef (member int_data_in_i 14) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_4_bm_1)) + (net int_data_in_i_2 (joined + (portRef Q (instanceRef int_data_in_i_2)) + (portRef (member int_data_in_i 13) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_mb_1_bm_2)) )) (net N_33 (joined (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef B (instanceRef current_output_data_buffer_4_bm_8)) (portRef B (instanceRef current_output_data_buffer_4_bm_12)) (portRef B (instanceRef current_output_data_buffer_4_bm_11)) (portRef B (instanceRef current_output_data_buffer_4_bm_10)) (portRef B (instanceRef current_output_data_buffer_4_bm_9)) + (portRef B (instanceRef current_output_data_buffer_4_bm_8)) (portRef B (instanceRef current_output_data_buffer_4_bm_7)) (portRef B (instanceRef current_output_data_buffer_4_bm_6)) (portRef B (instanceRef current_output_data_buffer_4_bm_5)) @@ -48352,39 +48175,121 @@ (portRef B (instanceRef current_output_data_buffer_4_bm_14)) (portRef B (instanceRef current_output_data_buffer_4_bm_13)) (portRef B (instanceRef current_output_data_buffer_2_0)) - (portRef B (instanceRef current_output_data_buffer_2_2)) - (portRef B (instanceRef current_output_data_buffer_4_bm_1)) + (portRef B (instanceRef current_output_data_buffer_am_bm_1)) + (portRef B (instanceRef current_output_data_buffer_mb_1_bm_2)) + )) + (net CRC_2 (joined + (portRef (member crc 13) (instanceRef GEN_CRC_CRC_gen)) + (portRef C (instanceRef current_output_data_buffer_mb_1_bm_2)) + )) + (net un1_IOBUF_1_18 (joined + (portRef (member un1_iobuf_1 13)) + (portRef A (instanceRef current_output_data_buffer_mb_1_am_2)) + )) + (net buffer_number_2 (joined + (portRef Q (instanceRef buffer_number_2)) + (portRef C1 (instanceRef buffer_number_cry_0_1)) + (portRef B (instanceRef current_output_data_buffer_mb_1_am_2)) + )) + (net N_373 (joined + (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef D (instanceRef current_output_data_buffer_1_3)) + (portRef D (instanceRef current_output_data_buffer_1_5)) + (portRef D (instanceRef current_output_data_buffer_1_4)) + (portRef D (instanceRef current_output_data_buffer_1_6)) + (portRef B (instanceRef current_output_data_buffer_mb_1_1)) + (portRef C (instanceRef current_ACK_word_2_sqmuxa_RNI5AP52)) + (portRef D (instanceRef current_output_data_buffer_sn_2)) + (portRef B (instanceRef current_output_data_buffer_4_am_12)) + (portRef B (instanceRef current_output_data_buffer_4_am_11)) + (portRef B (instanceRef current_output_data_buffer_4_am_10)) + (portRef B (instanceRef current_output_data_buffer_4_am_9)) + (portRef B (instanceRef current_output_data_buffer_4_am_8)) + (portRef B (instanceRef current_output_data_buffer_4_am_7)) + (portRef B (instanceRef current_output_data_buffer_4_am_6)) + (portRef B (instanceRef current_output_data_buffer_4_am_5)) + (portRef B (instanceRef current_output_data_buffer_4_am_4)) + (portRef B (instanceRef current_output_data_buffer_4_am_3)) + (portRef B (instanceRef current_output_data_buffer_4_am_15)) + (portRef B (instanceRef current_output_data_buffer_4_am_14)) + (portRef B (instanceRef current_output_data_buffer_4_am_13)) + (portRef D (instanceRef current_output_data_buffer_3_0_1_0)) + (portRef B (instanceRef current_output_data_buffer_1_0)) + (portRef C (instanceRef current_output_data_buffer_3_0_0_2)) + (portRef C (instanceRef current_output_data_buffer_am_am_1)) + (portRef C (instanceRef current_output_data_buffer_mb_1_am_2)) + )) + (net current_output_data_buffer_am_bm_1 (joined + (portRef Z (instanceRef current_output_data_buffer_am_bm_1)) + (portRef ALUT (instanceRef current_output_data_buffer_am_1)) + )) + (net current_output_data_buffer_am_am_1 (joined + (portRef Z (instanceRef current_output_data_buffer_am_am_1)) + (portRef BLUT (instanceRef current_output_data_buffer_am_1)) + )) + (net current_output_data_buffer_am_0_1 (joined + (portRef Z (instanceRef current_output_data_buffer_am_1)) + (portRef A (instanceRef current_output_data_buffer_mb_1)) + )) + (net int_data_in_i_1 (joined + (portRef Q (instanceRef int_data_in_i_1)) + (portRef (member int_data_in_i 14) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_am_bm_1)) )) (net CRC_1 (joined (portRef (member crc 14) (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef current_output_data_buffer_4_bm_1)) + (portRef C (instanceRef current_output_data_buffer_am_bm_1)) )) (net un1_IOBUF_1_17 (joined (portRef (member un1_iobuf_1 14)) - (portRef A (instanceRef current_output_data_buffer_4_am_1)) + (portRef A (instanceRef current_output_data_buffer_am_am_1)) )) (net buffer_number_1 (joined (portRef Q (instanceRef buffer_number_1)) (portRef C0 (instanceRef buffer_number_cry_0_1)) - (portRef B (instanceRef current_output_data_buffer_4_am_1)) + (portRef B (instanceRef current_output_data_buffer_am_am_1)) )) - (net N_263467_0 (joined + (net MED_IO_PACKET_NUM_OUT_21 (joined + (portRef Q (instanceRef transfer_counter_0)) + (portRef A (instanceRef transfer_counter_fast_RNO_1)) + (portRef A (instanceRef current_output_data_buffer_sn_2)) + (portRef A (instanceRef CURRENT_DATA_COUNTe_0_i)) + (portRef A (instanceRef transfer_counter_1_sqmuxa_i_s_RNIFDIR)) + (portRef A (instanceRef current_output_data_buffer_4_am_12)) + (portRef A (instanceRef current_output_data_buffer_4_am_11)) + (portRef A (instanceRef current_output_data_buffer_4_am_10)) + (portRef A (instanceRef current_output_data_buffer_4_am_9)) + (portRef A (instanceRef current_output_data_buffer_4_am_8)) + (portRef A (instanceRef current_output_data_buffer_4_am_7)) + (portRef A (instanceRef current_output_data_buffer_4_am_6)) + (portRef A (instanceRef current_output_data_buffer_4_am_5)) + (portRef A (instanceRef current_output_data_buffer_4_am_4)) + (portRef A (instanceRef current_output_data_buffer_4_am_3)) + (portRef A (instanceRef current_output_data_buffer_4_am_15)) + (portRef A (instanceRef current_output_data_buffer_4_am_14)) + (portRef A (instanceRef current_output_data_buffer_4_am_13)) + (portRef A (instanceRef transfer_counter_RNO_1)) + (portRef A (instanceRef transfer_counter_RNO_0)) + (portRef A (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef A (instanceRef sending_state_ns_0_m6_1)) + (portRef A (instanceRef current_output_data_buffer_1_0)) + (portRef A (instanceRef transfer_counter_RNITR1M_0)) + (portRef D (instanceRef current_output_data_buffer_am_am_1)) + (portRef D (instanceRef current_output_data_buffer_mb_1_am_2)) + (portRef (member med_io_packet_num_out 2)) + )) + (net N_263741_0 (joined (portRef Z (instanceRef max_DATA_COUNT_minus_one_0_2)) (portRef D (instanceRef max_DATA_COUNT_minus_one_2)) )) + (net un2_transfer_counter_3_m_1 (joined + (portRef Z (instanceRef transfer_counter_RNO_0)) + (portRef D (instanceRef transfer_counter_0)) + )) (net un2_transfer_counter_3_m_fast_1 (joined (portRef Z (instanceRef transfer_counter_fast_RNO_0)) (portRef D (instanceRef transfer_counter_fast_0)) )) - (net MED_IO_PACKET_NUM_OUT_fast_21 (joined - (portRef Q (instanceRef transfer_counter_fast_0)) - (portRef A (instanceRef transfer_counter_fast_RNO_0)) - (portRef B (instanceRef sending_state_ns_i_o2_0_0)) - )) - (net un2_transfer_counter_3_m_rep1_1 (joined - (portRef Z (instanceRef transfer_counter_0_rep1_RNO)) - (portRef D (instanceRef transfer_counter_0_rep1)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef GEN_CRC_CRC_gen)) @@ -48430,6 +48335,7 @@ (portRef CK (instanceRef int_data_in_i_1)) (portRef CK (instanceRef int_data_in_i_0)) (portRef CK (instanceRef int_dataready_in_i)) + (portRef CK (instanceRef int_dataready_in_i_fast)) (portRef CK (instanceRef int_packet_num_in_i_2)) (portRef CK (instanceRef max_DATA_COUNT_minus_one_5)) (portRef CK (instanceRef max_DATA_COUNT_minus_one_2)) @@ -48447,6 +48353,7 @@ (portRef CK (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) (portRef CK (instanceRef proc_reg_setting_timer_tick)) (portRef CK (instanceRef reg_INT_READ_OUT)) + (portRef CK (instanceRef reg_INT_READ_OUT_fast)) (portRef CK (instanceRef reg_SEND_ACK_IN)) (portRef CK (instanceRef reg_SEND_ACK_IN_2)) (portRef CK (instanceRef saved_packet_type_2)) @@ -48454,19 +48361,26 @@ (portRef CK (instanceRef saved_packet_type_0)) (portRef CK (instanceRef sbuf_free)) (portRef CK (instanceRef sending_state_1)) + (portRef CK (instanceRef sending_state_fast_1)) + (portRef CK (instanceRef sending_state_fast_0)) (portRef CK (instanceRef sending_state_0)) + (portRef CK (instanceRef transfer_counter_2_rep1)) + (portRef CK (instanceRef transfer_counter_fast_2)) (portRef CK (instanceRef transfer_counter_2)) + (portRef CK (instanceRef transfer_counter_fast_1)) (portRef CK (instanceRef transfer_counter_1)) - (portRef CK (instanceRef transfer_counter_0)) (portRef CK (instanceRef transfer_counter_0_rep1)) (portRef CK (instanceRef transfer_counter_fast_0)) + (portRef CK (instanceRef transfer_counter_0)) )) (net reset_no_link (joined (portRef reset_no_link) (portRef CD (instanceRef TRANSMITTED_BUFFERS_1)) (portRef CD (instanceRef TRANSMITTED_BUFFERS_0)) (portRef CD (instanceRef int_dataready_in_i)) + (portRef CD (instanceRef int_dataready_in_i_fast)) (portRef CD (instanceRef reg_INT_READ_OUT)) + (portRef CD (instanceRef reg_INT_READ_OUT_fast)) (portRef CD (instanceRef reg_SEND_ACK_IN)) (portRef CD (instanceRef reg_SEND_ACK_IN_2)) (portRef PD (instanceRef saved_packet_type_2)) @@ -48474,23 +48388,22 @@ (portRef PD (instanceRef saved_packet_type_0)) (portRef CD (instanceRef sbuf_free)) (portRef CD (instanceRef sending_state_1)) + (portRef CD (instanceRef sending_state_fast_1)) + (portRef CD (instanceRef sending_state_fast_0)) (portRef CD (instanceRef sending_state_0)) + (portRef PD (instanceRef transfer_counter_2_rep1)) + (portRef PD (instanceRef transfer_counter_fast_2)) (portRef PD (instanceRef transfer_counter_2)) + (portRef CD (instanceRef transfer_counter_fast_1)) (portRef CD (instanceRef transfer_counter_1)) - (portRef CD (instanceRef transfer_counter_0)) (portRef CD (instanceRef transfer_counter_0_rep1)) (portRef CD (instanceRef transfer_counter_fast_0)) + (portRef CD (instanceRef transfer_counter_0)) )) - (net MED_IO_PACKET_NUM_OUT_21_rep1 (joined - (portRef Q (instanceRef transfer_counter_0_rep1)) - (portRef A (instanceRef transfer_counter_0_rep1_RNO)) - (portRef A (instanceRef transfer_counter_0_rep1_RNI5VS03)) - (portRef A (instanceRef current_ACK_word_2_sqmuxa_RNIOJ311)) - (portRef A (instanceRef sending_state_ns_i_a2_0_o2_RNICC4A2_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef C (instanceRef current_ACK_word_2_sqmuxa)) - (portRef B (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (net MED_IO_PACKET_NUM_OUT_fast_21 (joined + (portRef Q (instanceRef transfer_counter_fast_0)) + (portRef A (instanceRef transfer_counter_fast_RNO_0)) + (portRef A (instanceRef transfer_counter_fast_RNIPO2R_0)) )) (net VCC (joined (portRef VCC) @@ -48522,29 +48435,103 @@ (portRef D1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) (portRef D0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) )) - (net un2_transfer_counter_3_m_1 (joined - (portRef Z (instanceRef transfer_counter_RNO_0)) - (portRef D (instanceRef transfer_counter_0)) + (net un2_transfer_counter_3_m_rep1_1 (joined + (portRef Z (instanceRef transfer_counter_0_rep1_RNO)) + (portRef D (instanceRef transfer_counter_0_rep1)) + )) + (net MED_IO_PACKET_NUM_OUT_21_rep1 (joined + (portRef Q (instanceRef transfer_counter_0_rep1)) + (portRef A (instanceRef transfer_counter_0_rep1_RNO)) + (portRef A (instanceRef current_ACK_word_2_sqmuxa_RNI5AP52)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1)) + (portRef A (instanceRef current_output_data_buffer_3_0_o2_0)) + (portRef C (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef B (instanceRef current_ACK_word_2_sqmuxa)) + (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + )) + (net un2_transfer_counter_3_m_2 (joined + (portRef Z (instanceRef transfer_counter_RNO_1)) + (portRef D (instanceRef transfer_counter_1)) + )) + (net un2_transfer_counter_3_m_fast_2 (joined + (portRef Z (instanceRef transfer_counter_fast_RNO_1)) + (portRef D (instanceRef transfer_counter_fast_1)) + )) + (net transfer_counter_fast_1 (joined + (portRef Q (instanceRef transfer_counter_fast_1)) + (portRef C (instanceRef transfer_counter_fast_RNO_1)) + (portRef B (instanceRef transfer_counter_2_rep1_RNIU6UL)) + (portRef B (instanceRef transfer_counter_fast_RNIPO2R_0)) + (portRef B (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) )) (net un2_transfer_counter_3_m_3 (joined (portRef Z (instanceRef transfer_counter_RNO_2)) (portRef D (instanceRef transfer_counter_2)) )) + (net un2_transfer_counter_3_m_fast_3 (joined + (portRef Z (instanceRef transfer_counter_fast_RNO_2)) + (portRef D (instanceRef transfer_counter_fast_2)) + )) + (net MED_IO_PACKET_NUM_OUT_fast_23 (joined + (portRef Q (instanceRef transfer_counter_fast_2)) + (portRef A (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) + )) + (net un2_transfer_counter_3_m_rep1_3 (joined + (portRef Z (instanceRef transfer_counter_2_rep1_RNO)) + (portRef D (instanceRef transfer_counter_2_rep1)) + )) + (net MED_IO_PACKET_NUM_OUT_23_rep1 (joined + (portRef Q (instanceRef transfer_counter_2_rep1)) + (portRef A (instanceRef transfer_counter_2_rep1_RNIU6UL)) + (portRef C (instanceRef current_ACK_word_2_sqmuxa)) + (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + )) (net N_134_0 (joined (portRef Z (instanceRef sending_state_ns_i_0)) (portRef D (instanceRef sending_state_0)) )) + (net sending_state_0 (joined + (portRef Q (instanceRef sending_state_0)) + (portRef C (instanceRef sbuf_free_RNIVRN31)) + (portRef C (instanceRef sending_state_ns_i_0)) + (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef D (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef C (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef C (instanceRef sending_state_ns_i_a6_1_0)) + (portRef D (instanceRef current_ACK_word_2_sqmuxa)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + )) + (net N_134_0_fast (joined + (portRef Z (instanceRef sending_state_ns_i_fast_0)) + (portRef D (instanceRef sending_state_fast_0)) + )) + (net sending_state_ns_0_i_fast_1 (joined + (portRef Z (instanceRef sending_state_ns_0_i_fast_1)) + (portRef D (instanceRef sending_state_fast_1)) + )) (net sending_state_ns_0_i_1 (joined (portRef Z (instanceRef sending_state_ns_0_i_1)) (portRef D (instanceRef sending_state_1)) )) + (net sending_state_1 (joined + (portRef Q (instanceRef sending_state_1)) + (portRef B (instanceRef sbuf_free_RNIVRN31)) + (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) + (portRef D (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef D (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) + (portRef D (instanceRef current_output_data_buffer_3_0_o2_0)) + (portRef C (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) + (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + )) (net fifo_almostfull_i (joined (portRef fifo_almostfull_i) (portRef D (instanceRef sbuf_free)) )) (net un1_transfer_counter36_1 (joined (portRef Z (instanceRef un1_transfer_counter36)) - (portRef D (instanceRef transfer_counter_RNO_2)) (portRef SP (instanceRef saved_packet_type_2)) (portRef SP (instanceRef saved_packet_type_1)) (portRef SP (instanceRef saved_packet_type_0)) @@ -48553,6 +48540,14 @@ (portRef Z (instanceRef next_SEND_ACK_IN_2)) (portRef D (instanceRef reg_SEND_ACK_IN_2)) )) + (net un1_next_INT_READ_OUT_6_sqmuxa_0_i_fast (joined + (portRef Z (instanceRef reg_INT_READ_OUT_fast_RNO)) + (portRef D (instanceRef reg_INT_READ_OUT_fast)) + )) + (net reg_INT_READ_OUT_fast (joined + (portRef Q (instanceRef reg_INT_READ_OUT_fast)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1)) + )) (net un1_next_INT_READ_OUT_6_sqmuxa_0_i (joined (portRef Z (instanceRef reg_INT_READ_OUT_RNO)) (portRef D (instanceRef reg_INT_READ_OUT)) @@ -48619,9 +48614,20 @@ (portRef SP (instanceRef int_data_in_i_0)) (portRef SP (instanceRef int_packet_num_in_i_2)) )) + (net int_dataready_in_i_fast (joined + (portRef Q (instanceRef int_dataready_in_i_fast)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1)) + )) (net buf_api_stat_fifo_to_int_103 (joined (portRef (member buf_api_stat_fifo_to_int 0)) (portRef D (instanceRef int_dataready_in_i)) + (portRef D (instanceRef int_dataready_in_i_fast)) + )) + (net apl_to_buf_REPLY_READ_i_3_i_3 (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIFHUG)) + (portRef SP (instanceRef int_dataready_in_i)) + (portRef SP (instanceRef int_dataready_in_i_fast)) + (portRef (member apl_to_buf_reply_read_i_3_i 0)) )) (net current_b2_buffer_0 (joined (portRef (member current_b2_buffer 3)) @@ -48640,11 +48646,6 @@ (portRef (member current_b2_buffer 1)) (portRef D (instanceRef int_data_in_i_2)) )) - (net int_data_in_i_2 (joined - (portRef Q (instanceRef int_data_in_i_2)) - (portRef (member int_data_in_i 13) (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef current_output_data_buffer_2_2)) - )) (net current_b2_buffer_3 (joined (portRef (member current_b2_buffer 0)) (portRef D (instanceRef int_data_in_i_3)) @@ -48946,7 +48947,7 @@ (net REPLYOBUF_stat_buffer_16 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_0)) (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) - (portRef A (instanceRef current_output_data_buffer_bm_0)) + (portRef A (instanceRef current_output_data_buffer_3_0_1_0)) (portRef A (instanceRef gen1_un1_send_eob_1)) )) (net CURRENT_DATA_COUNT_s_1 (joined @@ -48956,13 +48957,19 @@ (net REPLYOBUF_stat_buffer_17 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_1)) (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef A (instanceRef current_output_data_buffer_3_0_1_1)) + (portRef A (instanceRef current_output_data_buffer_mb_1_1)) (portRef B (instanceRef gen1_un1_send_eob_1)) )) (net CURRENT_DATA_COUNT_s_2 (joined (portRef S1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) (portRef D (instanceRef CURRENT_DATA_COUNT_2)) )) + (net REPLYOBUF_stat_buffer_18 (joined + (portRef Q (instanceRef CURRENT_DATA_COUNT_2)) + (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) + (portRef A (instanceRef current_output_data_buffer_3_0_0_2)) + (portRef A (instanceRef gen1_un1_send_eob_2)) + )) (net CURRENT_DATA_COUNT_s_3 (joined (portRef S0 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) (portRef D (instanceRef CURRENT_DATA_COUNT_3)) @@ -49003,18 +49010,41 @@ (portRef B (instanceRef current_output_data_buffer_1_6)) (portRef C (instanceRef gen1_un1_send_eob_3)) )) + (net N_115_1 (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) + (portRef B (instanceRef transfer_counter_2_rep1_RNO)) + (portRef B (instanceRef transfer_counter_fast_RNO_2)) + (portRef B (instanceRef transfer_counter_RNO_2)) + (portRef C (instanceRef CURRENT_DATA_COUNTe_0_i)) + (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) + (portRef B (instanceRef reset_DATA_COUNT)) + (portRef D (instanceRef sending_state_ns_0_m6_1)) + (portRef A (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) + )) + (net N_351 (joined + (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_0)) + (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0)) + (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1)) + (portRef C (instanceRef sending_state_ns_0_2_1)) + (portRef B (instanceRef sending_state_ns_i_0_0)) + (portRef C (instanceRef sending_state_ns_0_0_1)) + (portRef D (instanceRef current_output_data_buffer_3_0_a2_0)) + )) + (net N_364 (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + )) (net N_111 (joined (portRef Z (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) (portRef B (instanceRef CURRENT_DATA_COUNTe_0_i)) + (portRef C (instanceRef current_output_data_buffer_3_0_o2_0)) )) (net reset_no_link_1 (joined (portRef reset_no_link_1) (portRef reset_no_link_1 (instanceRef GEN_CRC_CRC_gen)) - (portRef D (instanceRef transfer_counter_0_rep1_RNO)) - (portRef D (instanceRef transfer_counter_fast_RNO_0)) + (portRef A (instanceRef un1_transfer_counter36)) (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i)) - (portRef D (instanceRef transfer_counter_RNO_0)) - (portRef C (instanceRef un1_transfer_counter36)) + (portRef D (instanceRef transfer_counter_1_sqmuxa_i_s)) (portRef C (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) (portRef B (instanceRef transfer_counter_RNITR1M_0)) (portRef C (instanceRef TRANSMITTED_BUFFERS_0__fb)) @@ -49030,44 +49060,28 @@ (portRef A1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) )) - (net N_351 (joined - (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_0)) - (portRef C (instanceRef current_ACK_word_2_sqmuxa_RNIOJ311)) - (portRef B (instanceRef sending_state_ns_i_a2_0_o2_RNICC4A2_0)) - (portRef B (instanceRef sending_state_ns_0_1_1)) - (portRef B (instanceRef sending_state_ns_i_0_0)) - (portRef D (instanceRef current_output_data_buffer_3_0_a2_0)) - )) - (net N_112 (joined - (portRef Z (instanceRef sending_state_ns_i_o2_0_0)) - (portRef B (instanceRef sending_state_ns_i_a6_2_0)) - (portRef B (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - )) (net buf_STAT_REPLY_OBUF_DEBUG_3 (joined (portRef buf_STAT_REPLY_OBUF_DEBUG_3) (portRef A (instanceRef max_DATA_COUNT_minus_onec)) (portRef A (instanceRef max_DATA_COUNT_minus_one_0_2)) )) - (net N_371 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIAAJ91)) - (portRef B (instanceRef transfer_counter_0_rep1_RNI5VS03)) - (portRef C (instanceRef sending_state_ns_i_a2_0_o2_RNICC4A2_0)) - (portRef C (instanceRef current_output_data_buffer_bm_0)) - (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_o2_1)) - )) - (net N_131 (joined - (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_a2)) - (portRef B (instanceRef transfer_counter_0_rep1_RNO)) - (portRef B (instanceRef transfer_counter_fast_RNO_0)) - (portRef B (instanceRef transfer_counter_RNO_0)) - (portRef A (instanceRef un1_transfer_counter36)) + (net MED_IO_PACKET_NUM_OUT_22 (joined + (portRef Q (instanceRef transfer_counter_1)) + (portRef B (instanceRef transfer_counter_1_sqmuxa_i_s_RNIFDIR)) + (portRef B (instanceRef transfer_counter_RNO_1)) + (portRef B (instanceRef sending_state_ns_0_m6_1)) + (portRef A (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef A (instanceRef current_ACK_word_2_sqmuxa)) + (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef (member med_io_packet_num_out 1)) )) - (net apl_to_buf_REPLY_READ_i_3_i_3 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIFHUG)) - (portRef SP (instanceRef int_dataready_in_i)) - (portRef (member apl_to_buf_reply_read_i_3_i 0)) + (net N_33_1 (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + (portRef B (instanceRef current_output_data_buffer_3_0_o2_0)) )) (net reg_ack_reply_internal_Q (joined (portRef reg_ack_reply_internal_Q) @@ -49089,38 +49103,49 @@ )) (net N_90 (joined (portRef Z (instanceRef current_ACK_word_2_sqmuxa)) - (portRef B (instanceRef current_ACK_word_2_sqmuxa_RNIOJ311)) + (portRef B (instanceRef current_ACK_word_2_sqmuxa_RNI5AP52)) + (portRef C (instanceRef current_output_data_buffer_sn_2)) + (portRef C (instanceRef current_output_data_buffer_3_0_1_0)) )) - (net N_139 (joined - (portRef Z (instanceRef sending_state_ns_0_m6_1)) - (portRef A (instanceRef sending_state_ns_0_1_1_1)) - (portRef A (instanceRef sending_state_ns_i_1_0)) - )) - (net CO0 (joined - (portRef Z (instanceRef transfer_counter_RNO_0_2)) - (portRef C (instanceRef transfer_counter_RNO_2)) + (net N_88 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef B (instanceRef current_output_data_buffer_3_0_1_0)) + (portRef B (instanceRef current_output_data_buffer_3_0_0_2)) )) (net reset_DATA_COUNT_0_sqmuxa_1 (joined (portRef Z (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) (portRef D (instanceRef reset_DATA_COUNT)) )) - (net un1_send_eob (joined - (portRef Z (instanceRef gen1_un1_send_eob)) - (portRef A (instanceRef sending_state_ns_i_a2_0_0)) - (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef B (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (net N_350 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_o2_0)) + (portRef C (instanceRef current_output_data_buffer_1_0_0)) + (portRef C (instanceRef current_output_data_buffer_3_0_o2_1)) )) - (net current_output_data_buffer_3_0_0_1 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef current_output_data_buffer_1)) + (net comb_dataready_0 (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIEDMK1_0)) + (portRef B (instanceRef un1_transfer_counter36)) + (portRef C (instanceRef transfer_counter_1_sqmuxa_i_s)) )) - (net current_output_data_buffer_3_0_1_1 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef D (instanceRef current_output_data_buffer_1)) + (net N_40 (joined + (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_s)) + (portRef B (instanceRef transfer_counter_fast_RNO_1)) + (portRef B (instanceRef transfer_counter_0_rep1_RNO)) + (portRef B (instanceRef transfer_counter_fast_RNO_0)) + (portRef D (instanceRef transfer_counter_1_sqmuxa_i_s_RNIFDIR)) + (portRef C (instanceRef transfer_counter_RNO_1)) + (portRef B (instanceRef transfer_counter_RNO_0)) )) (net next_INT_READ_OUT_7_sqmuxa_1_1 (joined (portRef Z (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + )) + (net current_output_data_buffer_3_0_0_2 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_0_2)) + (portRef B (instanceRef current_output_data_buffer_mb_2)) + )) + (net current_output_data_buffer_3_0_0_1 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef C (instanceRef current_output_data_buffer_mb_1_1)) )) (net un1_IOBUF_1_16 (joined (portRef (member un1_iobuf_1 15)) @@ -49128,7 +49153,18 @@ )) (net N_176 (joined (portRef Z (instanceRef current_output_data_buffer_1_0)) - (portRef B (instanceRef current_output_data_buffer_am_0)) + (portRef A (instanceRef current_output_data_buffer_1_0_0)) + )) + (net N_139 (joined + (portRef Z (instanceRef sending_state_ns_0_m6_1)) + (portRef A (instanceRef sending_state_ns_i_fast_0)) + (portRef A (instanceRef sending_state_ns_i_0)) + (portRef A (instanceRef sending_state_ns_0_2_1)) + )) + (net N_363 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef C (instanceRef current_output_data_buffer_mb_1)) + (portRef A (instanceRef current_output_data_buffer_mb_2)) )) (net fifo_almostfull (joined (portRef fifo_almostfull) @@ -49136,19 +49172,21 @@ )) (net next_INT_READ_OUT_1_sqmuxa_1 (joined (portRef Z (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) + (portRef A (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef A (instanceRef reg_INT_READ_OUT_RNO)) )) - (net sending_state_ns_i_0_0 (joined - (portRef Z (instanceRef sending_state_ns_i_0_0)) - (portRef D (instanceRef sending_state_ns_i_1_0)) + (net current_output_data_buffer_3_0_1_0 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_1_0)) + (portRef D (instanceRef current_output_data_buffer_1_0_0)) )) - (net CRC_2 (joined - (portRef (member crc 13) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_2_2)) + (net sending_state_ns_0_0_1 (joined + (portRef Z (instanceRef sending_state_ns_0_0_1)) + (portRef B (instanceRef sending_state_ns_0_i_fast_1)) + (portRef B (instanceRef sending_state_ns_0_i_1)) )) - (net N_196 (joined - (portRef Z (instanceRef current_output_data_buffer_2_2)) - (portRef B (instanceRef current_output_data_buffer_2)) + (net sending_state_ns_i_0_0 (joined + (portRef Z (instanceRef sending_state_ns_i_0_0)) + (portRef C (instanceRef sending_state_ns_i_2_0)) )) (net CRC_0 (joined (portRef (member crc 15) (instanceRef GEN_CRC_CRC_gen)) @@ -49156,44 +49194,28 @@ )) (net N_194 (joined (portRef Z (instanceRef current_output_data_buffer_2_0)) - (portRef C (instanceRef current_output_data_buffer_am_0)) + (portRef B (instanceRef current_output_data_buffer_0)) )) (net next_INT_READ_OUT_1_sqmuxa_2 (joined (portRef Z (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef B (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef B (instanceRef reg_INT_READ_OUT_RNO)) )) (net un1_next_INT_READ_OUT_6_sqmuxa_1_tz (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef D (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef D (instanceRef reg_INT_READ_OUT_RNO)) )) (net un1_next_INT_READ_OUT_6_sqmuxa_0_0_tz_tz (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) + (portRef C (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef C (instanceRef reg_INT_READ_OUT_RNO)) )) - (net N_160 (joined - (portRef Z (instanceRef sending_state_ns_i_a2_0_0)) - (portRef B (instanceRef sending_state_ns_i_0)) - (portRef D (instanceRef sending_state_ns_i_a6_2_0)) - (portRef C (instanceRef sending_state_ns_0_a6_1)) - )) - (net N_151 (joined - (portRef Z (instanceRef sending_state_ns_0_a6_1)) - (portRef B (instanceRef sending_state_ns_0_i_1)) - )) - (net N_149 (joined - (portRef Z (instanceRef sending_state_ns_i_a6_2_0)) - (portRef A (instanceRef sending_state_ns_0_i_1)) - (portRef A (instanceRef sending_state_ns_i_0)) - )) - (net sending_state_ns_i_1_0 (joined - (portRef Z (instanceRef sending_state_ns_i_1_0)) - (portRef C (instanceRef sending_state_ns_i_0)) - )) (net un1_IOBUF_1_29 (joined (portRef (member un1_iobuf_1 2)) (portRef D (instanceRef current_output_data_buffer_4_am_13)) )) - (net current_output_data_buffer_4_am_13 (joined + (net current_output_data_buffer_4_am_0_13 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_13)) (portRef A (instanceRef current_output_data_buffer_13)) )) @@ -49201,7 +49223,7 @@ (portRef (member crc 2) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_13)) )) - (net current_output_data_buffer_4_bm_13 (joined + (net current_output_data_buffer_4_bm_0_13 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_13)) (portRef B (instanceRef current_output_data_buffer_13)) )) @@ -49209,7 +49231,7 @@ (portRef (member un1_iobuf_1 1)) (portRef D (instanceRef current_output_data_buffer_4_am_14)) )) - (net current_output_data_buffer_4_am_14 (joined + (net current_output_data_buffer_4_am_0_14 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_14)) (portRef A (instanceRef current_output_data_buffer_14)) )) @@ -49217,7 +49239,7 @@ (portRef (member crc 1) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_14)) )) - (net current_output_data_buffer_4_bm_14 (joined + (net current_output_data_buffer_4_bm_0_14 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_14)) (portRef B (instanceRef current_output_data_buffer_14)) )) @@ -49225,7 +49247,7 @@ (portRef (member un1_iobuf_1 0)) (portRef D (instanceRef current_output_data_buffer_4_am_15)) )) - (net current_output_data_buffer_4_am_15 (joined + (net current_output_data_buffer_4_am_0_15 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_15)) (portRef A (instanceRef current_output_data_buffer_15)) )) @@ -49233,7 +49255,7 @@ (portRef (member crc 0) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_15)) )) - (net current_output_data_buffer_4_bm_15 (joined + (net current_output_data_buffer_4_bm_0_15 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_15)) (portRef B (instanceRef current_output_data_buffer_15)) )) @@ -49241,7 +49263,7 @@ (portRef (member un1_iobuf_1 12)) (portRef D (instanceRef current_output_data_buffer_4_am_3)) )) - (net current_output_data_buffer_4_am_3 (joined + (net current_output_data_buffer_4_am_0_3 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_3)) (portRef A (instanceRef current_output_data_buffer_1_3)) )) @@ -49249,7 +49271,7 @@ (portRef (member crc 12) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_3)) )) - (net current_output_data_buffer_4_bm_3 (joined + (net current_output_data_buffer_4_bm_0_3 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_3)) (portRef A (instanceRef current_output_data_buffer_3)) )) @@ -49257,7 +49279,7 @@ (portRef (member un1_iobuf_1 11)) (portRef D (instanceRef current_output_data_buffer_4_am_4)) )) - (net current_output_data_buffer_4_am_4 (joined + (net current_output_data_buffer_4_am_0_4 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_4)) (portRef A (instanceRef current_output_data_buffer_1_4)) )) @@ -49265,7 +49287,7 @@ (portRef (member crc 11) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_4)) )) - (net current_output_data_buffer_4_bm_4 (joined + (net current_output_data_buffer_4_bm_0_4 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_4)) (portRef A (instanceRef current_output_data_buffer_4)) )) @@ -49273,7 +49295,7 @@ (portRef (member un1_iobuf_1 10)) (portRef D (instanceRef current_output_data_buffer_4_am_5)) )) - (net current_output_data_buffer_4_am_5 (joined + (net current_output_data_buffer_4_am_0_5 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_5)) (portRef A (instanceRef current_output_data_buffer_1_5)) )) @@ -49281,7 +49303,7 @@ (portRef (member crc 10) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_5)) )) - (net current_output_data_buffer_4_bm_5 (joined + (net current_output_data_buffer_4_bm_0_5 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_5)) (portRef A (instanceRef current_output_data_buffer_5)) )) @@ -49289,7 +49311,7 @@ (portRef (member un1_iobuf_1 9)) (portRef D (instanceRef current_output_data_buffer_4_am_6)) )) - (net current_output_data_buffer_4_am_6 (joined + (net current_output_data_buffer_4_am_0_6 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_6)) (portRef A (instanceRef current_output_data_buffer_1_6)) )) @@ -49297,7 +49319,7 @@ (portRef (member crc 9) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_6)) )) - (net current_output_data_buffer_4_bm_6 (joined + (net current_output_data_buffer_4_bm_0_6 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_6)) (portRef A (instanceRef current_output_data_buffer_6)) )) @@ -49305,7 +49327,7 @@ (portRef (member un1_iobuf_1 8)) (portRef D (instanceRef current_output_data_buffer_4_am_7)) )) - (net current_output_data_buffer_4_am_7 (joined + (net current_output_data_buffer_4_am_0_7 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_7)) (portRef A (instanceRef current_output_data_buffer_7)) )) @@ -49313,15 +49335,31 @@ (portRef (member crc 8) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_7)) )) - (net current_output_data_buffer_4_bm_7 (joined + (net current_output_data_buffer_4_bm_0_7 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_7)) (portRef B (instanceRef current_output_data_buffer_7)) )) + (net un1_IOBUF_1_24 (joined + (portRef (member un1_iobuf_1 7)) + (portRef D (instanceRef current_output_data_buffer_4_am_8)) + )) + (net current_output_data_buffer_4_am_0_8 (joined + (portRef Z (instanceRef current_output_data_buffer_4_am_8)) + (portRef A (instanceRef current_output_data_buffer_8)) + )) + (net CRC_8 (joined + (portRef (member crc 7) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_4_bm_8)) + )) + (net current_output_data_buffer_4_bm_0_8 (joined + (portRef Z (instanceRef current_output_data_buffer_4_bm_8)) + (portRef B (instanceRef current_output_data_buffer_8)) + )) (net un1_IOBUF_1_25 (joined (portRef (member un1_iobuf_1 6)) (portRef D (instanceRef current_output_data_buffer_4_am_9)) )) - (net current_output_data_buffer_4_am_9 (joined + (net current_output_data_buffer_4_am_0_9 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_9)) (portRef A (instanceRef current_output_data_buffer_9)) )) @@ -49329,7 +49367,7 @@ (portRef (member crc 6) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_9)) )) - (net current_output_data_buffer_4_bm_9 (joined + (net current_output_data_buffer_4_bm_0_9 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_9)) (portRef B (instanceRef current_output_data_buffer_9)) )) @@ -49337,7 +49375,7 @@ (portRef (member un1_iobuf_1 5)) (portRef D (instanceRef current_output_data_buffer_4_am_10)) )) - (net current_output_data_buffer_4_am_10 (joined + (net current_output_data_buffer_4_am_0_10 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_10)) (portRef A (instanceRef current_output_data_buffer_10)) )) @@ -49345,7 +49383,7 @@ (portRef (member crc 5) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_10)) )) - (net current_output_data_buffer_4_bm_10 (joined + (net current_output_data_buffer_4_bm_0_10 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_10)) (portRef B (instanceRef current_output_data_buffer_10)) )) @@ -49353,7 +49391,7 @@ (portRef (member un1_iobuf_1 4)) (portRef D (instanceRef current_output_data_buffer_4_am_11)) )) - (net current_output_data_buffer_4_am_11 (joined + (net current_output_data_buffer_4_am_0_11 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_11)) (portRef A (instanceRef current_output_data_buffer_11)) )) @@ -49361,7 +49399,7 @@ (portRef (member crc 4) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_11)) )) - (net current_output_data_buffer_4_bm_11 (joined + (net current_output_data_buffer_4_bm_0_11 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_11)) (portRef B (instanceRef current_output_data_buffer_11)) )) @@ -49369,7 +49407,7 @@ (portRef (member un1_iobuf_1 3)) (portRef D (instanceRef current_output_data_buffer_4_am_12)) )) - (net current_output_data_buffer_4_am_12 (joined + (net current_output_data_buffer_4_am_0_12 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_12)) (portRef A (instanceRef current_output_data_buffer_12)) )) @@ -49377,65 +49415,92 @@ (portRef (member crc 3) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_12)) )) - (net current_output_data_buffer_4_bm_12 (joined + (net current_output_data_buffer_4_bm_0_12 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_12)) (portRef B (instanceRef current_output_data_buffer_12)) )) - (net un1_IOBUF_1_24 (joined - (portRef (member un1_iobuf_1 7)) - (portRef D (instanceRef current_output_data_buffer_4_am_8)) + (net un2_transfer_counter_3_3 (joined + (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_s_RNIFDIR)) + (portRef D (instanceRef transfer_counter_2_rep1_RNO)) + (portRef D (instanceRef transfer_counter_fast_RNO_2)) + (portRef D (instanceRef transfer_counter_RNO_2)) )) - (net current_output_data_buffer_4_am_8 (joined - (portRef Z (instanceRef current_output_data_buffer_4_am_8)) - (portRef A (instanceRef current_output_data_buffer_8)) + (net sending_state_ns_0_2_1 (joined + (portRef Z (instanceRef sending_state_ns_0_2_1)) + (portRef C (instanceRef sending_state_ns_0_i_fast_1)) + (portRef C (instanceRef sending_state_ns_0_i_1)) )) - (net CRC_8 (joined - (portRef (member crc 7) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_4_bm_8)) + (net sending_state_ns_i_2_0 (joined + (portRef Z (instanceRef sending_state_ns_i_2_0)) + (portRef D (instanceRef sending_state_ns_i_fast_0)) + (portRef D (instanceRef sending_state_ns_i_0)) )) - (net current_output_data_buffer_4_bm_8 (joined - (portRef Z (instanceRef current_output_data_buffer_4_bm_8)) - (portRef B (instanceRef current_output_data_buffer_8)) + (net current_output_data_buffer_sn_m4_i_1 (joined + (portRef Z (instanceRef transfer_counter_2_rep1_RNIU6UL)) + (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0)) + (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1)) )) - (net current_output_data_buffer_am_0 (joined - (portRef Z (instanceRef current_output_data_buffer_am_0)) - (portRef BLUT (instanceRef current_output_data_buffer_0)) + (net current_output_data_buffer_sn_2 (joined + (portRef Z (instanceRef current_output_data_buffer_sn_2)) + (portRef D (instanceRef current_output_data_buffer_mb_2)) )) - (net current_output_data_buffer_bm_0 (joined - (portRef Z (instanceRef current_output_data_buffer_bm_0)) - (portRef ALUT (instanceRef current_output_data_buffer_0)) + (net N_237 (joined + (portRef Z (instanceRef current_ACK_word_2_sqmuxa_RNI5AP52)) + (portRef D (instanceRef current_output_data_buffer_13)) + (portRef D (instanceRef current_output_data_buffer_14)) + (portRef D (instanceRef current_output_data_buffer_15)) + (portRef D (instanceRef current_output_data_buffer_7)) + (portRef D (instanceRef current_output_data_buffer_8)) + (portRef D (instanceRef current_output_data_buffer_9)) + (portRef D (instanceRef current_output_data_buffer_10)) + (portRef D (instanceRef current_output_data_buffer_11)) + (portRef D (instanceRef current_output_data_buffer_12)) + (portRef C (instanceRef current_output_data_buffer_3)) + (portRef C (instanceRef current_output_data_buffer_1_3)) + (portRef C (instanceRef current_output_data_buffer_5)) + (portRef C (instanceRef current_output_data_buffer_1_5)) + (portRef C (instanceRef current_output_data_buffer_4)) + (portRef C (instanceRef current_output_data_buffer_1_4)) + (portRef C (instanceRef current_output_data_buffer_6)) + (portRef C (instanceRef current_output_data_buffer_1_6)) + (portRef B (instanceRef current_output_data_buffer_mb_1)) + (portRef C (instanceRef current_output_data_buffer_0)) + (portRef B (instanceRef current_output_data_buffer_1_0_0)) + )) + (net current_output_data_buffer_1_0 (joined + (portRef Z (instanceRef current_output_data_buffer_1_0_0)) + (portRef D (instanceRef current_output_data_buffer_0)) )) (net current_output_data_buffer_0 (joined (portRef Z (instanceRef current_output_data_buffer_0)) (portRef D (instanceRef saved_packet_type_0)) - (portRef (member current_output_data_buffer 3)) + (portRef current_output_data_buffer_0) )) - (net current_output_data_buffer_1 (joined - (portRef Z (instanceRef current_output_data_buffer_1)) - (portRef D (instanceRef saved_packet_type_1)) - (portRef (member current_output_data_buffer 2)) + (net current_output_data_buffer_sn_m6_mb_1 (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0)) + (portRef D (instanceRef current_ACK_word_2_sqmuxa_RNI5AP52)) )) - (net sending_state_ns_0_1_1 (joined - (portRef Z (instanceRef sending_state_ns_0_1_1)) - (portRef C (instanceRef sending_state_ns_0_i_1)) + (net current_output_data_buffer_mb_2 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_2)) + (portRef D (instanceRef saved_packet_type_2)) + (portRef (member current_output_data_buffer_mb 0)) )) - (net sending_state_ns_0_1_1_1 (joined - (portRef Z (instanceRef sending_state_ns_0_1_1_1)) - (portRef D (instanceRef sending_state_ns_0_1_1)) + (net current_output_data_buffer_mb_1_1 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_1_1)) + (portRef D (instanceRef current_output_data_buffer_mb_1)) )) - (net current_output_data_buffer_sn_m4_i_1 (joined - (portRef Z (instanceRef transfer_counter_RNI152D_1)) - (portRef D (instanceRef current_ACK_word_2_sqmuxa_RNIOJ311)) - (portRef D (instanceRef sending_state_ns_i_a2_0_o2_RNICC4A2_0)) + (net current_output_data_buffer_mb_1 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_1)) + (portRef D (instanceRef saved_packet_type_1)) + (portRef (member current_output_data_buffer_mb 1)) )) - (net current_output_data_buffer_2 (joined - (portRef Z (instanceRef current_output_data_buffer_2)) - (portRef D (instanceRef saved_packet_type_2)) - (portRef (member current_output_data_buffer 1)) + (net current_output_data_buffer_1_6 (joined + (portRef Z (instanceRef current_output_data_buffer_1_6)) + (portRef D (instanceRef current_output_data_buffer_6)) )) - (net current_output_data_buffer_sn_m6_mb_1 (joined - (portRef Z (instanceRef current_ACK_word_2_sqmuxa_RNIOJ311)) - (portRef D (instanceRef transfer_counter_0_rep1_RNI5VS03)) + (net MED_IO_DATA_OUT_118 (joined + (portRef Z (instanceRef current_output_data_buffer_6)) + (portRef (member med_io_data_out 9)) )) (net current_output_data_buffer_1_4 (joined (portRef Z (instanceRef current_output_data_buffer_1_4)) @@ -49445,14 +49510,6 @@ (portRef Z (instanceRef current_output_data_buffer_4)) (portRef (member med_io_data_out 11)) )) - (net current_output_data_buffer_1_3 (joined - (portRef Z (instanceRef current_output_data_buffer_1_3)) - (portRef D (instanceRef current_output_data_buffer_3)) - )) - (net current_output_data_buffer_3 (joined - (portRef Z (instanceRef current_output_data_buffer_3)) - (portRef (member current_output_data_buffer 0)) - )) (net current_output_data_buffer_1_5 (joined (portRef Z (instanceRef current_output_data_buffer_1_5)) (portRef D (instanceRef current_output_data_buffer_5)) @@ -49461,13 +49518,13 @@ (portRef Z (instanceRef current_output_data_buffer_5)) (portRef (member med_io_data_out 10)) )) - (net current_output_data_buffer_1_6 (joined - (portRef Z (instanceRef current_output_data_buffer_1_6)) - (portRef D (instanceRef current_output_data_buffer_6)) + (net current_output_data_buffer_1_3 (joined + (portRef Z (instanceRef current_output_data_buffer_1_3)) + (portRef D (instanceRef current_output_data_buffer_3)) )) - (net MED_IO_DATA_OUT_118 (joined - (portRef Z (instanceRef current_output_data_buffer_6)) - (portRef (member med_io_data_out 9)) + (net current_output_data_buffer_3 (joined + (portRef Z (instanceRef current_output_data_buffer_3)) + (portRef current_output_data_buffer_3) )) (net MED_IO_DATA_OUT_124 (joined (portRef Z (instanceRef current_output_data_buffer_12)) @@ -50485,7 +50542,7 @@ (portRef Z (instanceRef crc_active_fb)) (portRef D (instanceRef crc_active)) )) - (net N_5133_i (joined + (net N_5086_i (joined (portRef Z (instanceRef reg_med_dataready_in_RNITEFR)) (portRef A (instanceRef current_error_state_0__fb)) )) @@ -51146,12 +51203,12 @@ (portRef Z (instanceRef un20_fifo_long_packet_num_out_1)) (portRef D (instanceRef CRC_enable)) )) - (net crc_out_4 (joined - (portRef (member crc_out 11) (instanceRef gen_crc_THE_CRC)) + (net crc_out_6 (joined + (portRef (member crc_out 9) (instanceRef gen_crc_THE_CRC)) (portRef A (instanceRef tmp_INT_DATA_OUT_2_0_3)) )) - (net crc_out_5 (joined - (portRef (member crc_out 10) (instanceRef gen_crc_THE_CRC)) + (net crc_out_7 (joined + (portRef (member crc_out 8) (instanceRef gen_crc_THE_CRC)) (portRef B (instanceRef tmp_INT_DATA_OUT_2_0_3)) )) (net tmp_INT_DATA_OUT_2_0_0_3 (joined @@ -51308,12 +51365,12 @@ (portRef (member current_buffer_state 0) (instanceRef gen_init_sbuf_SBUF_INIT)) (portRef D (instanceRef fifo_valid_readc)) )) - (net crc_out_6 (joined - (portRef (member crc_out 9) (instanceRef gen_crc_THE_CRC)) + (net crc_out_4 (joined + (portRef (member crc_out 11) (instanceRef gen_crc_THE_CRC)) (portRef A (instanceRef tmp_INT_DATA_OUT_2_12_3)) )) - (net crc_out_7 (joined - (portRef (member crc_out 8) (instanceRef gen_crc_THE_CRC)) + (net crc_out_5 (joined + (portRef (member crc_out 10) (instanceRef gen_crc_THE_CRC)) (portRef B (instanceRef tmp_INT_DATA_OUT_2_12_3)) )) (net tmp_INT_DATA_OUT_2_12_3 (joined @@ -51699,18 +51756,18 @@ (cell trb_net16_obuf_1_1_7_genREPLYOBUF1_REPLYOBUF_0 (cellType GENERIC) (view netlist (viewType NETLIST) (interface + (port (array (rename un1_iobuf_1 "un1_IOBUF_1(31:16)") 16) (direction INPUT)) (port (array (rename apl_to_buf_reply_data "apl_to_buf_REPLY_DATA(31:16)") 16) (direction INPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(1:1)") 1) (direction OUTPUT)) (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(39:38)") 2) (direction INPUT)) (port (array (rename buf_stat_init_buffer_i "buf_STAT_INIT_BUFFER_i(37:37)") 1) (direction INPUT)) (port (array (rename timer_ticks "timer_ticks(1:1)") 1) (direction INPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(63:48)") 16) (direction OUTPUT)) - (port (array (rename un1_iobuf_1 "un1_IOBUF_1(31:16)") 16) (direction INPUT)) - (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(11:9)") 3) (direction OUTPUT)) (port buf_STAT_INIT_BUFFER_2 (direction INPUT)) (port buf_STAT_INIT_BUFFER_0 (direction INPUT)) (port buf_STAT_INIT_BUFFER_7 (direction INPUT)) (port buf_STAT_INIT_BUFFER_6 (direction INPUT)) + (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(11:9)") 3) (direction OUTPUT)) (port reset_no_link_5 (direction INPUT)) (port reset_no_link_4 (direction INPUT)) (port fifo_almostfull (direction INPUT)) @@ -51719,87 +51776,58 @@ (port reset_no_link (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port comb_dataready_0_i (direction OUTPUT)) - (port GND (direction INPUT)) + (port reset_no_link_1 (direction INPUT)) (port int_dataready_in_i (direction OUTPUT)) (port reg_INT_READ_OUT (direction OUTPUT)) - (port reset_no_link_1 (direction INPUT)) + (port GND (direction INPUT)) ) (contents - (instance gen1_un1_send_eob_RNI5TFL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance transfer_counter_fast_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B !A+B A))")) ) - (instance transfer_counter_RNIM1R53_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) + (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B !A+B A))")) ) (instance reg_SEND_ACK_IN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C !A)+D !A)")) ) - (instance reg_INT_READ_OUT_RNIAOM32 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance gen1_un1_send_eob_RNI5TFL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) - (instance reg_INT_READ_OUT_RNIHSUV (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_i_a2_1_0_a2_RNIHSUV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) (instance int_packet_num_in_i_RNINCIV_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(B+A)))")) ) - (instance reg_SEND_ACK_IN_2_RNI9TT01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) (instance send_ACK_RNI9L101 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (!C (B !A)+C !A))")) ) - (instance reg_INT_READ_OUT_RNIFCII1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (!C (B A)))")) - ) - (instance transfer_counter_RNIMLTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (instance transfer_counter_RNILOH41_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) (instance sbuf_free_RNI4DMK1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C (!B !A)))")) + (property lut_function (string "(!D (!C !B+C (!B !A))+D (!B !A))")) + ) + (instance reg_INT_READ_OUT_RNINV8T (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) (instance TRANSMITTED_BUFFERS_0__fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A+C (!B A+B !A))+D (!C (!B A+B !A)+C !A))")) ) - (instance sbuf_free_RNI4DMK1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) - ) - (instance current_output_data_buffer_4_mb_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_4_mb_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance current_output_data_buffer_4_mb_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance current_output_data_buffer_4_mb_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_4_mb_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance current_output_data_buffer_4_mb_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C B))")) - ) - (instance current_output_data_buffer_am_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_am_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance current_output_data_buffer_am_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C B))")) + (instance current_output_data_buffer_5_sqmuxa_i_0_o2_RNI87S31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B A))")) ) (instance max_DATA_COUNT_minus_one_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance transfer_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance transfer_counter_fast_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance transfer_counter_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance transfer_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance transfer_counter_fast_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance transfer_counter_fast_2 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + (instance transfer_counter_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance transfer_counter_2 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) @@ -51807,10 +51835,10 @@ ) (instance sending_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance sending_state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance sending_state_fast_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance sending_state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance sbuf_free (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance saved_packet_type_0 (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT))) @@ -51823,6 +51851,8 @@ ) (instance reg_SEND_ACK_IN (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance reg_INT_READ_OUT_fast (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance reg_INT_READ_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance proc_reg_setting_timer_tick (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -51857,6 +51887,8 @@ ) (instance int_packet_num_in_i_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance int_dataready_in_i_fast (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance int_dataready_in_i (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance int_data_in_i_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) @@ -51941,29 +51973,32 @@ ) (instance CURRENT_DATA_COUNT_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance GENERATE_WORDS_un10_transfer_counter_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) (instance reg_INT_READ_OUT_RNIBHUG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance transfer_counter_RNIRR1M_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance GENERATE_WORDS_un10_transfer_counter_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) (instance sending_state_ns_i_a2_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance transfer_counter_RNIRR1M_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance current_output_data_buffer_5_sqmuxa_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance transfer_counter_fast_RNILO2R_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_i_o2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance max_DATA_COUNT_minus_onec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance sending_state_fast_RNI84SQ_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_INT_READ_OUT_fast_RNIAVL21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) - (instance sbuf_free_RNIPRN31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A)+C !A)")) + (instance transfer_counter_1_sqmuxa_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) ) (instance reg_INT_READ_OUT_RNIBHUG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) @@ -51980,12 +52015,12 @@ (instance next_SEND_ACK_IN_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C B)")) ) - (instance gen1_un3_send_eob (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) (instance TRANSMITTED_BUFFERS_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) ) + (instance gen1_un3_send_eob (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) (instance send_ACK (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) @@ -51998,68 +52033,77 @@ (instance gen1_un1_send_eob_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (C (!B !A)))")) ) - (instance current_output_data_buffer_3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (C (!B !A)))")) + (instance current_output_data_buffer_3_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(!B A)))")) ) (instance current_EOB_word_3_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) ) + (instance current_output_data_buffer_3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (C (!B !A)))")) + ) (instance sending_state_ns_0_m6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (B+A))+D (C+(!B+!A)))")) ) - (instance current_output_data_buffer_3_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B A)))")) - ) - (instance sending_state_ns_i_a2_0_o2_RNIS3I72_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B !A)))")) - ) - (instance sending_state_ns_i_a6_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance transfer_counter_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) (instance current_output_data_buffer_5_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !C+D (!C+(B !A)))")) ) - (instance reset_DATA_COUNT_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_i_a6_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance transfer_counter_1_sqmuxa_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) - ) (instance gen1_un1_send_eob (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance next_INT_READ_OUT_7_sqmuxa_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) - ) (instance current_output_data_buffer_3_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+A)+C A))")) + (property lut_function (string "(!D (!C (B A))+D (!C B))")) ) - (instance current_output_data_buffer_3_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) + (instance next_INT_READ_OUT_7_sqmuxa_2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance sending_state_ns_0_a6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (!C (B A)))")) + ) + (instance next_INT_READ_OUT_6_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) + ) + (instance current_output_data_buffer_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) + ) + (instance current_output_data_buffer_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) + ) + (instance current_output_data_buffer_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) + ) + (instance un1_transfer_counter36 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B A))")) ) (instance reset_DATA_COUNT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A)))")) + (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)+C !A))")) ) (instance transfer_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A+B A)")) - ) - (instance current_output_data_buffer_3_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B A)))")) + (property lut_function (string "(!D (!C (!B !A+B A)+C A)+D A)")) ) - (instance sending_state_RNI8E273_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) ) (instance next_INT_READ_OUT_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) ) - (instance current_output_data_buffer_3_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A))+D C)")) - ) (instance sending_state_ns_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !C+D (!C (B+A)))")) ) - (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A)+C B)")) + (instance current_output_data_buffer_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance current_output_data_buffer_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance current_output_data_buffer_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance next_INT_READ_OUT_1_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A))")) @@ -52067,8 +52111,8 @@ (instance un1_next_INT_READ_OUT_6_sqmuxa_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (!C+B))")) ) - (instance un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C (!B A)))")) + (instance next_INT_READ_OUT_6_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) (instance sending_state_ns_i_a6_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) @@ -52167,8 +52211,8 @@ (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance current_output_data_buffer_4_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance transfer_counter_1_sqmuxa_i_s_RNI7DIR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) + (instance transfer_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (!B A+B !A)))")) ) (instance CURRENT_DATA_COUNTe_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B !A)))")) @@ -52203,12 +52247,27 @@ (instance sending_state_ns_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !A)+D (C (!B !A)))")) ) - (instance transfer_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !A+C (!B+!A)))")) + (instance current_output_data_buffer_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance current_output_data_buffer_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) + (instance current_output_data_buffer_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance current_output_data_buffer_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance current_output_data_buffer_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+A)))")) + ) + (instance current_output_data_buffer_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance current_output_data_buffer_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance current_output_data_buffer_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + ) + (instance current_output_data_buffer_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance current_output_data_buffer_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B)+D (!C B+C A))")) ) @@ -52227,8 +52286,11 @@ (instance sending_state_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+A)+D (!C+(B+A)))")) ) + (instance un1_next_INT_READ_OUT_6_sqmuxa_1_tz_RNIE6D31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B !A))")) + ) (instance reg_INT_READ_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (!C (B A)))")) + (property lut_function (string "(!D C+D (C+(B A)))")) ) (instance sending_state_ns_0_1_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C !A)")) @@ -52236,20 +52298,8 @@ (instance sending_state_ns_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C+(B+!A)))")) ) - (instance current_output_data_buffer_sn_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance current_output_data_buffer_1_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C (!B !A))")) - ) - (instance current_output_data_buffer_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C B+C A))")) - ) (instance transfer_counter_fast_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A+B A)")) - ) - (instance transfer_counter_fast_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+!A))")) + (property lut_function (string "(!D (!C (!B !A)+C (B+A))+D C)")) ) (instance sending_state_ns_0_i_fast_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+A)+D (!C+(B+A)))")) @@ -52257,14 +52307,17 @@ (instance sending_state_ns_i_fast_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !A)+D (C (!B !A)))")) ) - (instance transfer_counter_fast_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !A+C (!B+!A)))")) + (instance reg_INT_READ_OUT_fast_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(B A)))")) ) - (instance current_output_data_buffer_mb_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D A)")) + (instance transfer_counter_fast_RNIPC2K_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance current_output_data_buffer_mb_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D A)")) + (instance transfer_counter_fast_RNIUUBF2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) + ) + (instance reset_DATA_COUNT_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) (instance CURRENT_DATA_COUNT_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -52346,290 +52399,44 @@ ) (instance GEN_CRC_CRC_gen (viewRef netlist (cellRef trb_net_CRC_GEN_IBUF_THE_IBUF_gen_crc_THE_CRC_0_1)) ) - (net un1_send_eob (joined - (portRef Z (instanceRef gen1_un1_send_eob)) - (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef B (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) - (portRef A (instanceRef gen1_un1_send_eob_RNI5TFL)) - )) - (net reg_SEND_ACK_IN_2 (joined - (portRef Q (instanceRef reg_SEND_ACK_IN_2)) - (portRef C (instanceRef send_ACK)) - (portRef C (instanceRef next_SEND_ACK_IN_2)) - (portRef B (instanceRef reg_SEND_ACK_IN_2_RNI9TT01)) - (portRef B (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef B (instanceRef gen1_un1_send_eob_RNI5TFL)) - )) - (net reg_SEND_ACK_IN (joined - (portRef Q (instanceRef reg_SEND_ACK_IN)) - (portRef B (instanceRef send_ACK)) - (portRef B (instanceRef next_SEND_ACK_IN_2)) - (portRef C (instanceRef reg_SEND_ACK_IN_2_RNI9TT01)) - (portRef C (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef C (instanceRef gen1_un1_send_eob_RNI5TFL)) - )) - (net N_160 (joined - (portRef Z (instanceRef gen1_un1_send_eob_RNI5TFL)) - (portRef B (instanceRef sending_state_ns_i_fast_0)) - (portRef B (instanceRef sending_state_ns_0_i_fast_1)) - (portRef B (instanceRef sending_state_ns_0_i_1)) - (portRef B (instanceRef sending_state_ns_i_0)) - (portRef D (instanceRef sending_state_ns_i_a6_2_0)) + (net transfer_counter_fast_1 (joined + (portRef Q (instanceRef transfer_counter_fast_1)) + (portRef D (instanceRef transfer_counter_fast_RNIUUBF2_1)) + (portRef B (instanceRef sending_state_ns_i_o2_0_0)) + (portRef A (instanceRef transfer_counter_fast_RNO_1)) )) (net comb_dataready_0 (joined (portRef Z (instanceRef sbuf_free_RNI4DMK1)) - (portRef C (instanceRef transfer_counter_1_sqmuxa_i_s)) - (portRef B (instanceRef transfer_counter_RNIM1R53_2)) - )) - (net N_112 (joined - (portRef Z (instanceRef transfer_counter_fast_RNILO2R_0)) - (portRef A (instanceRef transfer_counter_fast_RNO_2)) - (portRef A (instanceRef transfer_counter_RNO_2)) - (portRef B (instanceRef sending_state_ns_i_a6_2_0)) - (portRef B (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef B (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef B (instanceRef transfer_counter_1_sqmuxa_i_s)) - (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef B (instanceRef sending_state_ns_i_a2_0_o2_RNIS3I72_0)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIFCII1)) - (portRef C (instanceRef transfer_counter_RNIM1R53_2)) - )) - (net un1_transfer_counter36_1 (joined - (portRef Z (instanceRef transfer_counter_RNIM1R53_2)) - (portRef SP (instanceRef saved_packet_type_2)) - (portRef SP (instanceRef saved_packet_type_1)) - (portRef SP (instanceRef saved_packet_type_0)) - )) - (net next_SEND_ACK_IN_0_sqmuxa_1 (joined - (portRef Z (instanceRef sending_state_ns_i_a6_1_0)) - (portRef C (instanceRef sending_state_ns_i_0_0)) - (portRef A (instanceRef reg_SEND_ACK_IN_RNO)) - )) - (net buf_STAT_INIT_BUFFER_6 (joined - (portRef buf_STAT_INIT_BUFFER_6) - (portRef A (instanceRef send_ACK)) - (portRef A (instanceRef next_SEND_ACK_IN_2)) - (portRef D (instanceRef reg_SEND_ACK_IN_2_RNI9TT01)) - (portRef D (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef D (instanceRef gen1_un1_send_eob_RNI5TFL)) - )) - (net reg_SEND_ACK_IN_2_0 (joined - (portRef Z (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef D (instanceRef reg_SEND_ACK_IN)) - )) - (net N_352 (joined - (portRef Z (instanceRef sbuf_free_RNIPRN31)) - (portRef C (instanceRef transfer_counter_fast_RNO_2)) - (portRef C (instanceRef transfer_counter_RNO_2)) - (portRef A (instanceRef sbuf_free_RNI4DMK1_0)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIAOM32)) - )) - (net un14_transfer_counter (joined - (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef A (instanceRef current_output_data_buffer_1_0_0)) - (portRef A (instanceRef current_output_data_buffer_sn_1)) - (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef A (instanceRef sending_state_RNI8E273_0)) - (portRef A (instanceRef reset_DATA_COUNT)) - (portRef A (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef A (instanceRef sending_state_ns_i_a6_1_0)) - (portRef A (instanceRef reg_SEND_ACK_IN_2_RNI9TT01)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIHSUV)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIAOM32)) - )) - (net sending_state_ns_0_a6_1_1 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIAOM32)) - (portRef D (instanceRef sending_state_ns_0_i_fast_1)) - (portRef D (instanceRef sending_state_ns_0_i_1)) - )) - (net reg_INT_READ_OUT (joined - (portRef Q (instanceRef reg_INT_READ_OUT)) - (portRef B (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIBHUG)) - (portRef B (instanceRef sending_state_fast_RNI84SQ_0)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) - (portRef C (instanceRef reg_INT_READ_OUT_RNIFCII1)) - (portRef C (instanceRef send_ACK_RNI9L101)) - (portRef C (instanceRef int_packet_num_in_i_RNINCIV_2)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIHSUV)) - (portRef C (instanceRef reg_INT_READ_OUT_RNIAOM32)) - (portRef reg_INT_READ_OUT) - )) - (net int_dataready_in_i (joined - (portRef Q (instanceRef int_dataready_in_i)) - (portRef A (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIBHUG)) - (portRef A (instanceRef sending_state_fast_RNI84SQ_0)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) - (portRef D (instanceRef reg_INT_READ_OUT_RNIFCII1)) - (portRef D (instanceRef send_ACK_RNI9L101)) - (portRef D (instanceRef int_packet_num_in_i_RNINCIV_2)) - (portRef C (instanceRef reg_INT_READ_OUT_RNIHSUV)) - (portRef D (instanceRef reg_INT_READ_OUT_RNIAOM32)) - (portRef int_dataready_in_i) - )) - (net sending_state_ns_i_a6_3_0_0 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIHSUV)) - (portRef D (instanceRef sending_state_ns_i_fast_0)) - (portRef D (instanceRef sending_state_ns_i_0)) - )) - (net buf_STAT_REPLY_OBUF_DEBUG_53 (joined - (portRef Q (instanceRef TRANSMITTED_BUFFERS_0)) - (portRef B (instanceRef TRANSMITTED_BUFFERS_RNO_1)) - (portRef A (instanceRef TRANSMITTED_BUFFERS_0__fb)) - (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO)) - )) - (net saved_packet_type_2 (joined - (portRef Q (instanceRef saved_packet_type_2)) - (portRef C (instanceRef gen1_un3_send_eob)) - (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO)) - )) - (net saved_packet_type_1 (joined - (portRef Q (instanceRef saved_packet_type_1)) - (portRef B (instanceRef gen1_un3_send_eob)) - (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO)) - )) - (net saved_packet_type_0 (joined - (portRef Q (instanceRef saved_packet_type_0)) - (portRef A (instanceRef gen1_un3_send_eob)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO)) - )) - (net next_INT_READ_OUT_6_sqmuxa_2_0 (joined - (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO)) - (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - )) - (net int_packet_num_in_i_2 (joined - (portRef Q (instanceRef int_packet_num_in_i_2)) - (portRef A (instanceRef int_packet_num_in_i_RNINCIV_2)) - )) - (net un3_send_eob (joined - (portRef Z (instanceRef gen1_un3_send_eob)) - (portRef C (instanceRef reset_DATA_COUNT)) - (portRef B (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef D (instanceRef gen1_un1_send_eob)) - (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef B (instanceRef int_packet_num_in_i_RNINCIV_2)) - )) - (net N_55 (joined - (portRef Z (instanceRef int_packet_num_in_i_RNINCIV_2)) - (portRef N_55 (instanceRef GEN_CRC_CRC_gen)) - )) - (net next_INT_READ_OUT_1_sqmuxa (joined - (portRef Z (instanceRef reg_SEND_ACK_IN_2_RNI9TT01)) - (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - (portRef C (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - )) - (net send_ACK_0 (joined - (portRef Z (instanceRef send_ACK)) - (portRef C (instanceRef sending_state_ns_0_1_1)) - (portRef D (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) - (portRef A (instanceRef send_ACK_RNI9L101)) - )) - (net MED_IO_PACKET_NUM_OUT_11 (joined - (portRef Q (instanceRef transfer_counter_2)) - (portRef A (instanceRef sending_state_ns_0_1_1)) - (portRef C (instanceRef transfer_counter_1_sqmuxa_i_s_RNI7DIR)) - (portRef A (instanceRef sending_state_ns_i_a6_2_0)) - (portRef A (instanceRef sending_state_ns_i_0_0)) - (portRef A (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef B (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef A (instanceRef transfer_counter_1_sqmuxa_i_s)) - (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef A (instanceRef sending_state_ns_i_a2_0_o2_RNIS3I72_0)) - (portRef C (instanceRef sending_state_ns_0_m6_1)) - (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2)) - (portRef C (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef C (instanceRef transfer_counter_RNIMLTV_1)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIFCII1)) - (portRef B (instanceRef send_ACK_RNI9L101)) - (portRef D (instanceRef transfer_counter_RNIM1R53_2)) - (portRef (member med_io_packet_num_out 0)) - )) - (net N_140_i (joined - (portRef Z (instanceRef send_ACK_RNI9L101)) - (portRef B (instanceRef sending_state_ns_0_1_1_1)) - (portRef B (instanceRef sending_state_ns_i_1_0)) - )) - (net current_NOP_word_4_sqmuxa (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIFCII1)) - (portRef A (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) - (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) - )) - (net sending_state_1 (joined - (portRef Q (instanceRef sending_state_1)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef D (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef C (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef D (instanceRef current_output_data_buffer_3_0_o2_0)) - (portRef D (instanceRef current_EOB_word_3_sqmuxa_0_a2)) - (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef C (instanceRef sbuf_free_RNIPRN31)) - (portRef B (instanceRef sbuf_free_RNI4DMK1)) - (portRef B (instanceRef transfer_counter_RNIMLTV_1)) - )) - (net current_EOB_word_2_sqmuxa (joined - (portRef Z (instanceRef transfer_counter_RNIMLTV_1)) - (portRef D (instanceRef current_output_data_buffer_6)) - (portRef D (instanceRef current_output_data_buffer_5)) - (portRef D (instanceRef current_output_data_buffer_4)) - (portRef D (instanceRef current_output_data_buffer_3)) - )) - (net N_115_1 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) - (portRef B (instanceRef transfer_counter_fast_RNO_2)) - (portRef B (instanceRef transfer_counter_RNO_2)) - (portRef C (instanceRef CURRENT_DATA_COUNTe_0_i)) - (portRef C (instanceRef sending_state_ns_i_a6_2_0)) - (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - (portRef B (instanceRef reset_DATA_COUNT)) - (portRef A (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef D (instanceRef sending_state_ns_0_m6_1)) - (portRef B (instanceRef sbuf_free_RNI4DMK1_0)) - (portRef A (instanceRef sbuf_free_RNI4DMK1)) - )) - (net sending_state_0 (joined - (portRef Q (instanceRef sending_state_0)) - (portRef C (instanceRef current_output_data_buffer_1_0_0)) - (portRef D (instanceRef current_output_data_buffer_sn_1)) - (portRef C (instanceRef sending_state_ns_i_1_0)) - (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) - (portRef D (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef D (instanceRef sending_state_RNI8E273_0)) - (portRef D (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef C (instanceRef sending_state_ns_i_a6_1_0)) - (portRef B (instanceRef sbuf_free_RNIPRN31)) - (portRef C (instanceRef sbuf_free_RNI4DMK1)) - )) - (net sbuf_free (joined - (portRef Q (instanceRef sbuf_free)) - (portRef C (instanceRef sending_state_ns_0_1_1_1)) - (portRef D (instanceRef sending_state_ns_i_0_0)) - (portRef B (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef B (instanceRef sending_state_ns_i_a6_1_0)) - (portRef A (instanceRef sbuf_free_RNIPRN31)) - (portRef D (instanceRef sbuf_free_RNI4DMK1)) - )) - (net reset_no_link_1 (joined - (portRef reset_no_link_1) - (portRef reset_no_link_1 (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i)) - (portRef D (instanceRef transfer_counter_1_sqmuxa_i_s)) - (portRef C (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) - (portRef B (instanceRef transfer_counter_RNIRR1M_0)) - (portRef B (instanceRef TRANSMITTED_BUFFERS_0__fb)) - (portRef A (instanceRef transfer_counter_RNIM1R53_2)) + (portRef B (instanceRef transfer_counter_fast_RNO_0)) + (portRef C (instanceRef transfer_counter_RNO_0)) + (portRef B (instanceRef un1_transfer_counter36)) + (portRef B (instanceRef transfer_counter_RNO_0_2)) + (portRef B (instanceRef transfer_counter_RNO_1)) + (portRef B (instanceRef transfer_counter_fast_RNO_1)) )) - (net CRC_RESET_1_sqmuxa (joined - (portRef Z (instanceRef reset_DATA_COUNT)) - (portRef CRC_RESET_1_sqmuxa (instanceRef GEN_CRC_CRC_gen)) - (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i)) - (portRef C (instanceRef TRANSMITTED_BUFFERS_0__fb)) + (net un2_transfer_counter_3_m_fast_2 (joined + (portRef Z (instanceRef transfer_counter_fast_RNO_1)) + (portRef D (instanceRef transfer_counter_fast_1)) )) - (net fb (joined - (portRef Z (instanceRef TRANSMITTED_BUFFERS_0__fb)) - (portRef D (instanceRef TRANSMITTED_BUFFERS_0)) + (net MED_IO_PACKET_NUM_OUT_9 (joined + (portRef Q (instanceRef transfer_counter_0)) + (portRef A (instanceRef CURRENT_DATA_COUNTe_0_i)) + (portRef A (instanceRef transfer_counter_RNO_0)) + (portRef A (instanceRef current_output_data_buffer_1_2)) + (portRef A (instanceRef current_output_data_buffer_1_1)) + (portRef A (instanceRef current_output_data_buffer_1_0)) + (portRef A (instanceRef transfer_counter_RNO_0_2)) + (portRef A (instanceRef sending_state_ns_0_m6_1)) + (portRef A (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2)) + (portRef A (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef A (instanceRef transfer_counter_1_sqmuxa_i_a2)) + (portRef A (instanceRef transfer_counter_RNIRR1M_0)) + (portRef D (instanceRef transfer_counter_RNILOH41_1)) + (portRef C (instanceRef transfer_counter_RNO_1)) + (portRef C (instanceRef transfer_counter_fast_RNO_1)) + (portRef (member med_io_packet_num_out 2)) )) (net GND (joined (portRef GND) @@ -52677,199 +52484,257 @@ (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_0)) (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) )) - (net comb_dataready_0_i (joined - (portRef Z (instanceRef sbuf_free_RNI4DMK1_0)) - (portRef comb_dataready_0_i) + (net un2_transfer_counter_3_m_2 (joined + (portRef Z (instanceRef transfer_counter_RNO_1)) + (portRef D (instanceRef transfer_counter_1)) )) - (net current_output_data_buffer_4_mb_bm_1 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_bm_1)) - (portRef ALUT (instanceRef current_output_data_buffer_4_mb_1)) + (net next_SEND_ACK_IN_0_sqmuxa_1 (joined + (portRef Z (instanceRef sending_state_ns_i_a6_1_0)) + (portRef C (instanceRef sending_state_ns_i_0_0)) + (portRef A (instanceRef reg_SEND_ACK_IN_RNO)) )) - (net current_output_data_buffer_4_mb_am_1 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_am_1)) - (portRef BLUT (instanceRef current_output_data_buffer_4_mb_1)) + (net reg_SEND_ACK_IN_2 (joined + (portRef Q (instanceRef reg_SEND_ACK_IN_2)) + (portRef C (instanceRef send_ACK)) + (portRef C (instanceRef next_SEND_ACK_IN_2)) + (portRef B (instanceRef gen1_un1_send_eob_RNI5TFL)) + (portRef B (instanceRef reg_SEND_ACK_IN_RNO)) )) - (net N_35 (joined - (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_RNIS3I72_0)) - (portRef B (instanceRef current_output_data_buffer_1_0_0)) - (portRef B (instanceRef current_output_data_buffer_sn_1)) - (portRef C0 (instanceRef current_output_data_buffer_4_12)) - (portRef C0 (instanceRef current_output_data_buffer_4_11)) - (portRef C0 (instanceRef current_output_data_buffer_4_10)) - (portRef C0 (instanceRef current_output_data_buffer_4_9)) - (portRef C0 (instanceRef current_output_data_buffer_4_8)) - (portRef C0 (instanceRef current_output_data_buffer_4_7)) - (portRef C0 (instanceRef current_output_data_buffer_4_6)) - (portRef C0 (instanceRef current_output_data_buffer_4_5)) - (portRef C0 (instanceRef current_output_data_buffer_4_4)) - (portRef C0 (instanceRef current_output_data_buffer_4_3)) - (portRef C0 (instanceRef current_output_data_buffer_4_15)) - (portRef C0 (instanceRef current_output_data_buffer_4_14)) - (portRef C0 (instanceRef current_output_data_buffer_4_13)) - (portRef B (instanceRef sending_state_RNI8E273_0)) - (portRef C0 (instanceRef current_output_data_buffer_am_0)) - (portRef C0 (instanceRef current_output_data_buffer_4_mb_2)) - (portRef C0 (instanceRef current_output_data_buffer_4_mb_1)) + (net reg_SEND_ACK_IN (joined + (portRef Q (instanceRef reg_SEND_ACK_IN)) + (portRef B (instanceRef send_ACK)) + (portRef B (instanceRef next_SEND_ACK_IN_2)) + (portRef C (instanceRef gen1_un1_send_eob_RNI5TFL)) + (portRef C (instanceRef reg_SEND_ACK_IN_RNO)) )) - (net N_222 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_1)) - (portRef A (instanceRef current_output_data_buffer_mb_1)) + (net reg_SEND_ACK_IN_2_0 (joined + (portRef Z (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef D (instanceRef reg_SEND_ACK_IN)) )) - (net int_data_in_i_1 (joined - (portRef Q (instanceRef int_data_in_i_1)) - (portRef (member int_data_in_i 14) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_4_mb_bm_1)) + (net un1_send_eob (joined + (portRef Z (instanceRef gen1_un1_send_eob)) + (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef B (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef A (instanceRef gen1_un1_send_eob_RNI5TFL)) )) - (net N_33 (joined - (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef B (instanceRef current_output_data_buffer_4_bm_12)) - (portRef B (instanceRef current_output_data_buffer_4_bm_11)) - (portRef B (instanceRef current_output_data_buffer_4_bm_10)) - (portRef B (instanceRef current_output_data_buffer_4_bm_9)) - (portRef B (instanceRef current_output_data_buffer_4_bm_8)) - (portRef B (instanceRef current_output_data_buffer_4_bm_7)) - (portRef B (instanceRef current_output_data_buffer_4_bm_6)) - (portRef B (instanceRef current_output_data_buffer_4_bm_5)) - (portRef B (instanceRef current_output_data_buffer_4_bm_4)) - (portRef B (instanceRef current_output_data_buffer_4_bm_3)) - (portRef B (instanceRef current_output_data_buffer_4_bm_15)) - (portRef B (instanceRef current_output_data_buffer_4_bm_14)) - (portRef B (instanceRef current_output_data_buffer_4_bm_13)) - (portRef B (instanceRef current_output_data_buffer_am_bm_0)) - (portRef B (instanceRef current_output_data_buffer_4_mb_bm_2)) - (portRef B (instanceRef current_output_data_buffer_4_mb_bm_1)) + (net buf_STAT_INIT_BUFFER_6 (joined + (portRef buf_STAT_INIT_BUFFER_6) + (portRef A (instanceRef send_ACK)) + (portRef A (instanceRef next_SEND_ACK_IN_2)) + (portRef D (instanceRef gen1_un1_send_eob_RNI5TFL)) + (portRef D (instanceRef reg_SEND_ACK_IN_RNO)) )) - (net CRC_1 (joined - (portRef (member crc 14) (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef current_output_data_buffer_4_mb_bm_1)) + (net N_160 (joined + (portRef Z (instanceRef gen1_un1_send_eob_RNI5TFL)) + (portRef B (instanceRef sending_state_ns_i_fast_0)) + (portRef B (instanceRef sending_state_ns_0_i_fast_1)) + (portRef B (instanceRef sending_state_ns_0_i_1)) + (portRef B (instanceRef sending_state_ns_i_0)) + (portRef D (instanceRef sending_state_ns_i_a6_2_0)) )) - (net un1_IOBUF_1_17 (joined - (portRef (member un1_iobuf_1 14)) - (portRef A (instanceRef current_output_data_buffer_4_mb_am_1)) + (net un14_transfer_counter (joined + (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef A (instanceRef next_INT_READ_OUT_6_sqmuxa)) + (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0)) + (portRef A (instanceRef reset_DATA_COUNT)) + (portRef A (instanceRef sending_state_ns_0_a6_1_0_1)) + (portRef A (instanceRef next_INT_READ_OUT_7_sqmuxa_2_0_1)) + (portRef A (instanceRef sending_state_ns_i_a6_1_0)) + (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_RNIHSUV_0)) )) - (net current_EOB_word_3_sqmuxa (joined - (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2)) - (portRef C (instanceRef current_output_data_buffer_0)) - (portRef C (instanceRef current_output_data_buffer_sn_1)) - (portRef B (instanceRef current_output_data_buffer_4_am_12)) - (portRef B (instanceRef current_output_data_buffer_4_am_11)) - (portRef B (instanceRef current_output_data_buffer_4_am_10)) - (portRef B (instanceRef current_output_data_buffer_4_am_9)) - (portRef B (instanceRef current_output_data_buffer_4_am_8)) - (portRef B (instanceRef current_output_data_buffer_4_am_7)) - (portRef B (instanceRef current_output_data_buffer_4_am_6)) - (portRef B (instanceRef current_output_data_buffer_4_am_5)) - (portRef B (instanceRef current_output_data_buffer_4_am_4)) - (portRef B (instanceRef current_output_data_buffer_4_am_3)) - (portRef B (instanceRef current_output_data_buffer_4_am_15)) - (portRef B (instanceRef current_output_data_buffer_4_am_14)) - (portRef B (instanceRef current_output_data_buffer_4_am_13)) - (portRef C (instanceRef sending_state_RNI8E273_0)) - (portRef B (instanceRef current_output_data_buffer_4_mb_am_1)) + (net sending_state_ns_i_a6_3_0_0 (joined + (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_RNIHSUV_0)) + (portRef D (instanceRef sending_state_ns_i_fast_0)) + (portRef D (instanceRef sending_state_ns_i_0)) )) - (net buffer_number_1 (joined - (portRef Q (instanceRef buffer_number_1)) - (portRef C0 (instanceRef buffer_number_cry_0_1)) - (portRef C (instanceRef current_output_data_buffer_4_mb_am_1)) + (net int_packet_num_in_i_2 (joined + (portRef Q (instanceRef int_packet_num_in_i_2)) + (portRef A (instanceRef int_packet_num_in_i_RNINCIV_2)) )) - (net current_output_data_buffer_4_mb_bm_2 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_bm_2)) - (portRef ALUT (instanceRef current_output_data_buffer_4_mb_2)) + (net un3_send_eob (joined + (portRef Z (instanceRef gen1_un3_send_eob)) + (portRef A (instanceRef reset_DATA_COUNT_0_sqmuxa_0)) + (portRef B (instanceRef next_INT_READ_OUT_6_sqmuxa_2)) + (portRef B (instanceRef next_INT_READ_OUT_7_sqmuxa_2_0_1)) + (portRef D (instanceRef gen1_un1_send_eob)) + (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + (portRef B (instanceRef int_packet_num_in_i_RNINCIV_2)) )) - (net current_output_data_buffer_4_mb_am_2 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_am_2)) - (portRef BLUT (instanceRef current_output_data_buffer_4_mb_2)) + (net reg_INT_READ_OUT (joined + (portRef Q (instanceRef reg_INT_READ_OUT)) + (portRef B (instanceRef reset_DATA_COUNT_0_sqmuxa_0)) + (portRef B (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIBHUG)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) + (portRef B (instanceRef reg_INT_READ_OUT_RNINV8T)) + (portRef C (instanceRef send_ACK_RNI9L101)) + (portRef C (instanceRef int_packet_num_in_i_RNINCIV_2)) + (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_RNIHSUV_0)) + (portRef reg_INT_READ_OUT) )) - (net N_223 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_2)) - (portRef A (instanceRef current_output_data_buffer_mb_2)) + (net int_dataready_in_i (joined + (portRef Q (instanceRef int_dataready_in_i)) + (portRef C (instanceRef reset_DATA_COUNT_0_sqmuxa_0)) + (portRef A (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIBHUG)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) + (portRef C (instanceRef reg_INT_READ_OUT_RNINV8T)) + (portRef D (instanceRef send_ACK_RNI9L101)) + (portRef D (instanceRef int_packet_num_in_i_RNINCIV_2)) + (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_RNIHSUV_0)) + (portRef int_dataready_in_i) )) - (net int_data_in_i_2 (joined - (portRef Q (instanceRef int_data_in_i_2)) - (portRef (member int_data_in_i 13) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_4_mb_bm_2)) + (net N_55 (joined + (portRef Z (instanceRef int_packet_num_in_i_RNINCIV_2)) + (portRef N_55 (instanceRef GEN_CRC_CRC_gen)) )) - (net CRC_2 (joined - (portRef (member crc 13) (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef current_output_data_buffer_4_mb_bm_2)) + (net send_ACK_0 (joined + (portRef Z (instanceRef send_ACK)) + (portRef C (instanceRef sending_state_ns_0_1_1)) + (portRef D (instanceRef next_INT_READ_OUT_6_sqmuxa)) + (portRef D (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) + (portRef D (instanceRef next_INT_READ_OUT_7_sqmuxa_2_0_1)) + (portRef A (instanceRef send_ACK_RNI9L101)) )) - (net un1_IOBUF_1_18 (joined - (portRef (member un1_iobuf_1 13)) - (portRef A (instanceRef current_output_data_buffer_4_mb_am_2)) + (net N_140_i (joined + (portRef Z (instanceRef send_ACK_RNI9L101)) + (portRef B (instanceRef sending_state_ns_0_1_1_1)) + (portRef B (instanceRef sending_state_ns_i_1_0)) )) - (net buffer_number_2 (joined - (portRef Q (instanceRef buffer_number_2)) - (portRef C1 (instanceRef buffer_number_cry_0_1)) - (portRef B (instanceRef current_output_data_buffer_4_mb_am_2)) + (net N_115_1 (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) + (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz_RNIE6D31)) + (portRef C (instanceRef CURRENT_DATA_COUNTe_0_i)) + (portRef C (instanceRef sending_state_ns_i_a6_2_0)) + (portRef B (instanceRef sending_state_ns_0_a6_1_0_1)) + (portRef D (instanceRef sending_state_ns_0_m6_1)) + (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2_RNI87S31)) + (portRef B (instanceRef sbuf_free_RNI4DMK1)) + (portRef A (instanceRef transfer_counter_RNILOH41_1)) )) - (net N_373 (joined - (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef D (instanceRef current_output_data_buffer_bm_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_0_2)) - (portRef D (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef current_output_data_buffer_am_am_0)) - (portRef C (instanceRef current_output_data_buffer_4_mb_am_2)) + (net MED_IO_PACKET_NUM_OUT_11 (joined + (portRef Q (instanceRef transfer_counter_2)) + (portRef A (instanceRef transfer_counter_fast_RNIPC2K_0)) + (portRef A (instanceRef sending_state_ns_0_1_1)) + (portRef B (instanceRef transfer_counter_RNO_2)) + (portRef A (instanceRef sending_state_ns_i_a6_2_0)) + (portRef A (instanceRef sending_state_ns_i_0_0)) + (portRef A (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + (portRef C (instanceRef sending_state_ns_0_m6_1)) + (portRef C (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2)) + (portRef B (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef C (instanceRef transfer_counter_1_sqmuxa_i_a2)) + (portRef B (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) + (portRef B (instanceRef transfer_counter_RNILOH41_1)) + (portRef B (instanceRef send_ACK_RNI9L101)) + (portRef (member med_io_packet_num_out 0)) )) - (net MED_IO_PACKET_NUM_OUT_9 (joined - (portRef Q (instanceRef transfer_counter_0)) - (portRef A (instanceRef transfer_counter_fast_RNO_1)) - (portRef A (instanceRef CURRENT_DATA_COUNTe_0_i)) - (portRef A (instanceRef transfer_counter_1_sqmuxa_i_s_RNI7DIR)) + (net MED_IO_PACKET_NUM_OUT_10 (joined + (portRef Q (instanceRef transfer_counter_1)) + (portRef A (instanceRef transfer_counter_RNO_2)) + (portRef B (instanceRef sending_state_ns_0_m6_1)) + (portRef B (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2)) + (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef B (instanceRef transfer_counter_1_sqmuxa_i_a2)) + (portRef A (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) + (portRef C (instanceRef transfer_counter_RNILOH41_1)) (portRef A (instanceRef transfer_counter_RNO_1)) - (portRef A (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef A (instanceRef transfer_counter_RNO_0)) - (portRef A (instanceRef current_output_data_buffer_3_0_o2_0)) - (portRef A (instanceRef sending_state_ns_0_m6_1)) - (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2)) - (portRef A (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef A (instanceRef transfer_counter_RNIRR1M_0)) - (portRef D (instanceRef current_output_data_buffer_am_am_0)) - (portRef D (instanceRef current_output_data_buffer_4_mb_am_2)) - (portRef A (instanceRef transfer_counter_RNIMLTV_1)) - (portRef (member med_io_packet_num_out 2)) + (portRef (member med_io_packet_num_out 1)) )) - (net current_output_data_buffer_am_bm_0 (joined - (portRef Z (instanceRef current_output_data_buffer_am_bm_0)) - (portRef ALUT (instanceRef current_output_data_buffer_am_0)) + (net current_NOP_word_4_sqmuxa (joined + (portRef Z (instanceRef transfer_counter_RNILOH41_1)) + (portRef A (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) )) - (net current_output_data_buffer_am_am_0 (joined - (portRef Z (instanceRef current_output_data_buffer_am_am_0)) - (portRef BLUT (instanceRef current_output_data_buffer_am_0)) + (net sbuf_free (joined + (portRef Q (instanceRef sbuf_free)) + (portRef C (instanceRef sending_state_ns_0_1_1_1)) + (portRef D (instanceRef sending_state_ns_i_0_0)) + (portRef C (instanceRef reset_DATA_COUNT)) + (portRef D (instanceRef sending_state_ns_0_a6_1_0_1)) + (portRef B (instanceRef sending_state_ns_i_a6_1_0)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2_RNI87S31)) + (portRef A (instanceRef sbuf_free_RNI4DMK1)) )) - (net current_output_data_buffer_am_0_0 (joined - (portRef Z (instanceRef current_output_data_buffer_am_0)) - (portRef A (instanceRef current_output_data_buffer_0)) + (net sending_state_1 (joined + (portRef Q (instanceRef sending_state_1)) + (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz_RNIE6D31)) + (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef D (instanceRef reset_DATA_COUNT)) + (portRef D (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef D (instanceRef current_EOB_word_3_sqmuxa_0_a2)) + (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + (portRef A (instanceRef reg_INT_READ_OUT_RNINV8T)) + (portRef C (instanceRef sbuf_free_RNI4DMK1)) )) - (net int_data_in_i_0 (joined - (portRef Q (instanceRef int_data_in_i_0)) - (portRef (member int_data_in_i 15) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_am_bm_0)) + (net sending_state_0 (joined + (portRef Q (instanceRef sending_state_0)) + (portRef C (instanceRef sending_state_ns_i_1_0)) + (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef D (instanceRef sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0)) + (portRef D (instanceRef next_INT_READ_OUT_6_sqmuxa_2)) + (portRef C (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef C (instanceRef sending_state_ns_i_a6_1_0)) + (portRef D (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + (portRef D (instanceRef sbuf_free_RNI4DMK1)) )) - (net CRC_0 (joined - (portRef (member crc 15) (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef current_output_data_buffer_am_bm_0)) + (net next_INT_READ_OUT_0_sqmuxa (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNINV8T)) + (portRef C (instanceRef next_INT_READ_OUT_6_sqmuxa_2)) + (portRef C (instanceRef next_INT_READ_OUT_7_sqmuxa_2_0_1)) )) - (net un1_IOBUF_1_16 (joined - (portRef (member un1_iobuf_1 15)) - (portRef A (instanceRef current_output_data_buffer_am_am_0)) + (net buf_STAT_REPLY_OBUF_DEBUG_53 (joined + (portRef Q (instanceRef TRANSMITTED_BUFFERS_0)) + (portRef A (instanceRef next_INT_READ_OUT_6_sqmuxa_2)) + (portRef B (instanceRef TRANSMITTED_BUFFERS_RNO_1)) + (portRef A (instanceRef TRANSMITTED_BUFFERS_0__fb)) )) - (net buffer_number_0 (joined - (portRef Q (instanceRef buffer_number_0)) - (portRef C1 (instanceRef buffer_number_cry_0_0)) - (portRef B (instanceRef current_output_data_buffer_am_am_0)) + (net CRC_RESET_1_sqmuxa (joined + (portRef Z (instanceRef reset_DATA_COUNT)) + (portRef CRC_RESET_1_sqmuxa (instanceRef GEN_CRC_CRC_gen)) + (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i)) + (portRef C (instanceRef TRANSMITTED_BUFFERS_0__fb)) )) - (net N_263473_0 (joined + (net fb (joined + (portRef Z (instanceRef TRANSMITTED_BUFFERS_0__fb)) + (portRef D (instanceRef TRANSMITTED_BUFFERS_0)) + )) + (net N_364 (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + (portRef C (instanceRef sending_state_ns_0_a6_1_0_1)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2_RNI87S31)) + )) + (net comb_dataready_0_i (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2_RNI87S31)) + (portRef comb_dataready_0_i) + )) + (net N_263747_0 (joined (portRef Z (instanceRef max_DATA_COUNT_minus_one_0_2)) (portRef D (instanceRef max_DATA_COUNT_minus_one_2)) )) - (net un2_transfer_counter_3_m_1 (joined - (portRef Z (instanceRef transfer_counter_RNO_0)) - (portRef D (instanceRef transfer_counter_0)) - )) (net un2_transfer_counter_3_m_fast_1 (joined (portRef Z (instanceRef transfer_counter_fast_RNO_0)) (portRef D (instanceRef transfer_counter_fast_0)) )) + (net transfer_counter_fast_0 (joined + (portRef Q (instanceRef transfer_counter_fast_0)) + (portRef B (instanceRef transfer_counter_fast_RNIPC2K_0)) + (portRef C (instanceRef transfer_counter_fast_RNO_0)) + (portRef A (instanceRef sending_state_ns_i_o2_0_0)) + )) + (net un2_transfer_counter_3_m_1 (joined + (portRef Z (instanceRef transfer_counter_RNO_0)) + (portRef D (instanceRef transfer_counter_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef GEN_CRC_CRC_gen)) @@ -52915,6 +52780,7 @@ (portRef CK (instanceRef int_data_in_i_1)) (portRef CK (instanceRef int_data_in_i_0)) (portRef CK (instanceRef int_dataready_in_i)) + (portRef CK (instanceRef int_dataready_in_i_fast)) (portRef CK (instanceRef int_packet_num_in_i_2)) (portRef CK (instanceRef max_DATA_COUNT_minus_one_5)) (portRef CK (instanceRef max_DATA_COUNT_minus_one_2)) @@ -52932,51 +52798,46 @@ (portRef CK (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) (portRef CK (instanceRef proc_reg_setting_timer_tick)) (portRef CK (instanceRef reg_INT_READ_OUT)) + (portRef CK (instanceRef reg_INT_READ_OUT_fast)) (portRef CK (instanceRef reg_SEND_ACK_IN)) (portRef CK (instanceRef reg_SEND_ACK_IN_2)) (portRef CK (instanceRef saved_packet_type_2)) (portRef CK (instanceRef saved_packet_type_1)) (portRef CK (instanceRef saved_packet_type_0)) (portRef CK (instanceRef sbuf_free)) - (portRef CK (instanceRef sending_state_fast_1)) (portRef CK (instanceRef sending_state_1)) + (portRef CK (instanceRef sending_state_fast_1)) (portRef CK (instanceRef sending_state_0)) (portRef CK (instanceRef sending_state_fast_0)) (portRef CK (instanceRef transfer_counter_2)) - (portRef CK (instanceRef transfer_counter_fast_2)) - (portRef CK (instanceRef transfer_counter_fast_1)) (portRef CK (instanceRef transfer_counter_1)) - (portRef CK (instanceRef transfer_counter_fast_0)) + (portRef CK (instanceRef transfer_counter_fast_1)) (portRef CK (instanceRef transfer_counter_0)) + (portRef CK (instanceRef transfer_counter_fast_0)) )) (net reset_no_link (joined (portRef reset_no_link) (portRef CD (instanceRef TRANSMITTED_BUFFERS_1)) (portRef CD (instanceRef TRANSMITTED_BUFFERS_0)) (portRef CD (instanceRef int_dataready_in_i)) + (portRef CD (instanceRef int_dataready_in_i_fast)) (portRef CD (instanceRef reg_INT_READ_OUT)) + (portRef CD (instanceRef reg_INT_READ_OUT_fast)) (portRef CD (instanceRef reg_SEND_ACK_IN)) (portRef CD (instanceRef reg_SEND_ACK_IN_2)) (portRef PD (instanceRef saved_packet_type_2)) (portRef PD (instanceRef saved_packet_type_1)) (portRef PD (instanceRef saved_packet_type_0)) (portRef CD (instanceRef sbuf_free)) - (portRef CD (instanceRef sending_state_fast_1)) (portRef CD (instanceRef sending_state_1)) + (portRef CD (instanceRef sending_state_fast_1)) (portRef CD (instanceRef sending_state_0)) (portRef CD (instanceRef sending_state_fast_0)) (portRef PD (instanceRef transfer_counter_2)) - (portRef PD (instanceRef transfer_counter_fast_2)) - (portRef CD (instanceRef transfer_counter_fast_1)) (portRef CD (instanceRef transfer_counter_1)) - (portRef CD (instanceRef transfer_counter_fast_0)) + (portRef CD (instanceRef transfer_counter_fast_1)) (portRef CD (instanceRef transfer_counter_0)) - )) - (net transfer_counter_fast_0 (joined - (portRef Q (instanceRef transfer_counter_fast_0)) - (portRef B (instanceRef transfer_counter_fast_RNO_0)) - (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef A (instanceRef transfer_counter_fast_RNILO2R_0)) + (portRef CD (instanceRef transfer_counter_fast_0)) )) (net VCC (joined (portRef VCC) @@ -53008,41 +52869,6 @@ (portRef D1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) (portRef D0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) )) - (net un2_transfer_counter_3_m_2 (joined - (portRef Z (instanceRef transfer_counter_RNO_1)) - (portRef D (instanceRef transfer_counter_1)) - )) - (net MED_IO_PACKET_NUM_OUT_10 (joined - (portRef Q (instanceRef transfer_counter_1)) - (portRef B (instanceRef transfer_counter_1_sqmuxa_i_s_RNI7DIR)) - (portRef B (instanceRef transfer_counter_RNO_1)) - (portRef B (instanceRef sending_state_ns_0_m6_1)) - (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2)) - (portRef B (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef D (instanceRef transfer_counter_RNIMLTV_1)) - (portRef (member med_io_packet_num_out 1)) - )) - (net un2_transfer_counter_3_m_fast_2 (joined - (portRef Z (instanceRef transfer_counter_fast_RNO_1)) - (portRef D (instanceRef transfer_counter_fast_1)) - )) - (net transfer_counter_fast_1 (joined - (portRef Q (instanceRef transfer_counter_fast_1)) - (portRef C (instanceRef transfer_counter_fast_RNO_1)) - (portRef B (instanceRef transfer_counter_fast_RNILO2R_0)) - (portRef A (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) - )) - (net un2_transfer_counter_3_m_fast_3 (joined - (portRef Z (instanceRef transfer_counter_fast_RNO_2)) - (portRef D (instanceRef transfer_counter_fast_2)) - )) - (net transfer_counter_fast_2 (joined - (portRef Q (instanceRef transfer_counter_fast_2)) - (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef B (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) - )) (net un2_transfer_counter_3_m_3 (joined (portRef Z (instanceRef transfer_counter_RNO_2)) (portRef D (instanceRef transfer_counter_2)) @@ -53053,34 +52879,61 @@ )) (net sending_state_fast_0 (joined (portRef Q (instanceRef sending_state_fast_0)) - (portRef C (instanceRef sending_state_fast_RNI84SQ_0)) + (portRef C (instanceRef reg_INT_READ_OUT_fast_RNIAVL21)) (portRef A (instanceRef sending_state_ns_i_a2_0_o2_0)) )) (net N_134_0 (joined (portRef Z (instanceRef sending_state_ns_i_0)) (portRef D (instanceRef sending_state_0)) )) - (net sending_state_ns_0_i_1 (joined - (portRef Z (instanceRef sending_state_ns_0_i_1)) - (portRef D (instanceRef sending_state_1)) - )) (net sending_state_ns_0_i_fast_1 (joined (portRef Z (instanceRef sending_state_ns_0_i_fast_1)) (portRef D (instanceRef sending_state_fast_1)) )) (net sending_state_fast_1 (joined (portRef Q (instanceRef sending_state_fast_1)) - (portRef D (instanceRef sending_state_fast_RNI84SQ_0)) + (portRef D (instanceRef reg_INT_READ_OUT_fast_RNIAVL21)) (portRef B (instanceRef sending_state_ns_i_a2_0_o2_0)) )) + (net sending_state_ns_0_i_1 (joined + (portRef Z (instanceRef sending_state_ns_0_i_1)) + (portRef D (instanceRef sending_state_1)) + )) (net fifo_almostfull_i (joined (portRef fifo_almostfull_i) (portRef D (instanceRef sbuf_free)) )) + (net un1_transfer_counter36_1 (joined + (portRef Z (instanceRef un1_transfer_counter36)) + (portRef D (instanceRef transfer_counter_RNO_2)) + (portRef SP (instanceRef saved_packet_type_2)) + (portRef SP (instanceRef saved_packet_type_1)) + (portRef SP (instanceRef saved_packet_type_0)) + )) + (net saved_packet_type_0 (joined + (portRef Q (instanceRef saved_packet_type_0)) + (portRef A (instanceRef gen1_un3_send_eob)) + )) + (net saved_packet_type_1 (joined + (portRef Q (instanceRef saved_packet_type_1)) + (portRef B (instanceRef gen1_un3_send_eob)) + )) + (net saved_packet_type_2 (joined + (portRef Q (instanceRef saved_packet_type_2)) + (portRef C (instanceRef gen1_un3_send_eob)) + )) (net next_SEND_ACK_IN_2 (joined (portRef Z (instanceRef next_SEND_ACK_IN_2)) (portRef D (instanceRef reg_SEND_ACK_IN_2)) )) + (net un1_next_INT_READ_OUT_6_sqmuxa_0_i_fast (joined + (portRef Z (instanceRef reg_INT_READ_OUT_fast_RNO)) + (portRef D (instanceRef reg_INT_READ_OUT_fast)) + )) + (net reg_INT_READ_OUT_fast (joined + (portRef Q (instanceRef reg_INT_READ_OUT_fast)) + (portRef B (instanceRef reg_INT_READ_OUT_fast_RNIAVL21)) + )) (net un1_next_INT_READ_OUT_6_sqmuxa_0_i (joined (portRef Z (instanceRef reg_INT_READ_OUT_RNO)) (portRef D (instanceRef reg_INT_READ_OUT)) @@ -53147,22 +53000,48 @@ (portRef SP (instanceRef int_data_in_i_0)) (portRef SP (instanceRef int_packet_num_in_i_2)) )) + (net int_dataready_in_i_fast (joined + (portRef Q (instanceRef int_dataready_in_i_fast)) + (portRef A (instanceRef reg_INT_READ_OUT_fast_RNIAVL21)) + )) (net buf_api_stat_fifo_to_int_39 (joined (portRef (member buf_api_stat_fifo_to_int 0)) (portRef D (instanceRef int_dataready_in_i)) + (portRef D (instanceRef int_dataready_in_i_fast)) + )) + (net apl_to_buf_REPLY_READ_i_3_i_1 (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIBHUG)) + (portRef SP (instanceRef int_dataready_in_i)) + (portRef SP (instanceRef int_dataready_in_i_fast)) + (portRef (member apl_to_buf_reply_read_i_3_i 0)) )) (net apl_to_buf_REPLY_DATA_16 (joined (portRef (member apl_to_buf_reply_data 15)) (portRef D (instanceRef int_data_in_i_0)) )) + (net int_data_in_i_0 (joined + (portRef Q (instanceRef int_data_in_i_0)) + (portRef (member int_data_in_i 15) (instanceRef GEN_CRC_CRC_gen)) + (portRef C (instanceRef current_output_data_buffer_2_0)) + )) (net apl_to_buf_REPLY_DATA_17 (joined (portRef (member apl_to_buf_reply_data 14)) (portRef D (instanceRef int_data_in_i_1)) )) + (net int_data_in_i_1 (joined + (portRef Q (instanceRef int_data_in_i_1)) + (portRef (member int_data_in_i 14) (instanceRef GEN_CRC_CRC_gen)) + (portRef C (instanceRef current_output_data_buffer_2_1)) + )) (net apl_to_buf_REPLY_DATA_18 (joined (portRef (member apl_to_buf_reply_data 13)) (portRef D (instanceRef int_data_in_i_2)) )) + (net int_data_in_i_2 (joined + (portRef Q (instanceRef int_data_in_i_2)) + (portRef (member int_data_in_i 13) (instanceRef GEN_CRC_CRC_gen)) + (portRef C (instanceRef current_output_data_buffer_2_2)) + )) (net apl_to_buf_REPLY_DATA_19 (joined (portRef (member apl_to_buf_reply_data 12)) (portRef D (instanceRef int_data_in_i_3)) @@ -53304,14 +53183,29 @@ (portRef SP (instanceRef buffer_number_1)) (portRef SP (instanceRef buffer_number_0)) )) + (net buffer_number_0 (joined + (portRef Q (instanceRef buffer_number_0)) + (portRef C1 (instanceRef buffer_number_cry_0_0)) + (portRef C (instanceRef current_output_data_buffer_1_0)) + )) (net buffer_number_s_1 (joined (portRef S0 (instanceRef buffer_number_cry_0_1)) (portRef D (instanceRef buffer_number_1)) )) + (net buffer_number_1 (joined + (portRef Q (instanceRef buffer_number_1)) + (portRef C0 (instanceRef buffer_number_cry_0_1)) + (portRef C (instanceRef current_output_data_buffer_1_1)) + )) (net buffer_number_s_2 (joined (portRef S1 (instanceRef buffer_number_cry_0_1)) (portRef D (instanceRef buffer_number_2)) )) + (net buffer_number_2 (joined + (portRef Q (instanceRef buffer_number_2)) + (portRef C1 (instanceRef buffer_number_cry_0_1)) + (portRef C (instanceRef current_output_data_buffer_1_2)) + )) (net buffer_number_s_3 (joined (portRef S0 (instanceRef buffer_number_cry_0_3)) (portRef D (instanceRef buffer_number_3)) @@ -53469,7 +53363,7 @@ (net REPLYOBUF_stat_buffer_17 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_1)) (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef A (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef A (instanceRef current_output_data_buffer_bm_1)) (portRef B (instanceRef gen1_un1_send_eob_1)) )) (net CURRENT_DATA_COUNT_s_2 (joined @@ -53479,7 +53373,7 @@ (net REPLYOBUF_stat_buffer_18 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_2)) (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef A (instanceRef current_output_data_buffer_3_0_0_2)) + (portRef A (instanceRef current_output_data_buffer_bm_2)) (portRef A (instanceRef gen1_un1_send_eob_2)) )) (net CURRENT_DATA_COUNT_s_3 (joined @@ -53522,20 +53416,18 @@ (portRef A (instanceRef current_output_data_buffer_6)) (portRef C (instanceRef gen1_un1_send_eob_3)) )) - (net N_111 (joined - (portRef Z (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) - (portRef B (instanceRef CURRENT_DATA_COUNTe_0_i)) - (portRef B (instanceRef current_output_data_buffer_3_0_o2_0)) - )) - (net N_351 (joined - (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_0)) - (portRef B (instanceRef sending_state_ns_0_1_1)) - (portRef B (instanceRef sending_state_ns_i_0_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef sending_state_ns_i_a2_0_o2_RNIS3I72_0)) - (portRef D (instanceRef current_output_data_buffer_3_0_a2_0)) + (net reset_no_link_1 (joined + (portRef reset_no_link_1) + (portRef reset_no_link_1 (instanceRef GEN_CRC_CRC_gen)) + (portRef D (instanceRef transfer_counter_fast_RNO_0)) + (portRef C (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i)) + (portRef D (instanceRef transfer_counter_RNO_0)) + (portRef C (instanceRef un1_transfer_counter36)) + (portRef C (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) + (portRef B (instanceRef transfer_counter_RNIRR1M_0)) + (portRef B (instanceRef TRANSMITTED_BUFFERS_0__fb)) )) - (net CURRENT_DATA_COUNT (joined + (net N_321 (joined (portRef Z (instanceRef transfer_counter_RNIRR1M_0)) (portRef A1 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) (portRef A0 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) @@ -53546,21 +53438,53 @@ (portRef A1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) )) + (net N_111 (joined + (portRef Z (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) + (portRef B (instanceRef CURRENT_DATA_COUNTe_0_i)) + )) + (net N_351 (joined + (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_0)) + (portRef A (instanceRef transfer_counter_fast_RNIUUBF2_1)) + (portRef B (instanceRef sending_state_ns_0_1_1)) + (portRef B (instanceRef sending_state_ns_i_0_0)) + (portRef D (instanceRef current_output_data_buffer_3_0_a2_0)) + )) + (net N_112 (joined + (portRef Z (instanceRef sending_state_ns_i_o2_0_0)) + (portRef B (instanceRef sending_state_ns_i_a6_2_0)) + (portRef B (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + )) (net buf_STAT_INIT_BUFFER_0 (joined (portRef buf_STAT_INIT_BUFFER_0) (portRef A (instanceRef max_DATA_COUNT_minus_onec)) (portRef A (instanceRef max_DATA_COUNT_minus_one_0_2)) )) (net N_371 (joined - (portRef Z (instanceRef sending_state_fast_RNI84SQ_0)) + (portRef Z (instanceRef reg_INT_READ_OUT_fast_RNIAVL21)) + (portRef B (instanceRef transfer_counter_fast_RNIUUBF2_1)) + (portRef C (instanceRef current_output_data_buffer_bm_0)) (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef D (instanceRef sending_state_ns_i_a2_0_o2_RNIS3I72_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_o2_0)) + (portRef C (instanceRef current_output_data_buffer_3_0_o2_1)) )) - (net apl_to_buf_REPLY_READ_i_3_i_1 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIBHUG)) - (portRef SP (instanceRef int_dataready_in_i)) - (portRef (member apl_to_buf_reply_read_i_3_i 0)) + (net N_131 (joined + (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_a2)) + (portRef A (instanceRef transfer_counter_fast_RNO_0)) + (portRef B (instanceRef transfer_counter_RNO_0)) + (portRef A (instanceRef un1_transfer_counter36)) + )) + (net N_373 (joined + (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef D (instanceRef current_output_data_buffer_6)) + (portRef D (instanceRef current_output_data_buffer_5)) + (portRef D (instanceRef current_output_data_buffer_4)) + (portRef D (instanceRef current_output_data_buffer_3)) + (portRef D (instanceRef current_output_data_buffer_bm_2)) + (portRef C (instanceRef current_output_data_buffer_bm_1)) + (portRef D (instanceRef current_output_data_buffer_bm_0)) + (portRef B (instanceRef current_output_data_buffer_1_2)) + (portRef B (instanceRef current_output_data_buffer_1_1)) + (portRef B (instanceRef current_output_data_buffer_1_0)) )) (net buf_STAT_INIT_BUFFER_7 (joined (portRef buf_STAT_INIT_BUFFER_7) @@ -53580,56 +53504,135 @@ (portRef Z (instanceRef gen1_un1_send_eob_3)) (portRef C (instanceRef gen1_un1_send_eob)) )) + (net N_363 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef C (instanceRef current_output_data_buffer_bm_2)) + (portRef B (instanceRef current_output_data_buffer_bm_1)) + )) + (net current_EOB_word_3_sqmuxa (joined + (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2)) + (portRef B (instanceRef current_output_data_buffer_4_am_12)) + (portRef B (instanceRef current_output_data_buffer_4_am_11)) + (portRef B (instanceRef current_output_data_buffer_4_am_10)) + (portRef B (instanceRef current_output_data_buffer_4_am_9)) + (portRef B (instanceRef current_output_data_buffer_4_am_8)) + (portRef B (instanceRef current_output_data_buffer_4_am_7)) + (portRef B (instanceRef current_output_data_buffer_4_am_6)) + (portRef B (instanceRef current_output_data_buffer_4_am_5)) + (portRef B (instanceRef current_output_data_buffer_4_am_4)) + (portRef B (instanceRef current_output_data_buffer_4_am_3)) + (portRef B (instanceRef current_output_data_buffer_4_am_15)) + (portRef B (instanceRef current_output_data_buffer_4_am_14)) + (portRef B (instanceRef current_output_data_buffer_4_am_13)) + (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0)) + )) (net N_88 (joined (portRef Z (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef B (instanceRef current_output_data_buffer_bm_2)) (portRef B (instanceRef current_output_data_buffer_bm_0)) - (portRef B (instanceRef current_output_data_buffer_3_0_0_2)) )) (net N_139 (joined (portRef Z (instanceRef sending_state_ns_0_m6_1)) (portRef A (instanceRef sending_state_ns_0_1_1_1)) (portRef A (instanceRef sending_state_ns_i_1_0)) )) - (net N_350 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_o2_0)) - (portRef C (instanceRef current_output_data_buffer_bm_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_o2_1)) + (net CO0 (joined + (portRef Z (instanceRef transfer_counter_RNO_0_2)) + (portRef C (instanceRef transfer_counter_RNO_2)) )) - (net reset_DATA_COUNT_0_sqmuxa_1 (joined - (portRef Z (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef D (instanceRef reset_DATA_COUNT)) + (net N_33 (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + (portRef B (instanceRef current_output_data_buffer_4_bm_12)) + (portRef B (instanceRef current_output_data_buffer_4_bm_11)) + (portRef B (instanceRef current_output_data_buffer_4_bm_10)) + (portRef B (instanceRef current_output_data_buffer_4_bm_9)) + (portRef B (instanceRef current_output_data_buffer_4_bm_8)) + (portRef B (instanceRef current_output_data_buffer_4_bm_7)) + (portRef B (instanceRef current_output_data_buffer_4_bm_6)) + (portRef B (instanceRef current_output_data_buffer_4_bm_5)) + (portRef B (instanceRef current_output_data_buffer_4_bm_4)) + (portRef B (instanceRef current_output_data_buffer_4_bm_3)) + (portRef B (instanceRef current_output_data_buffer_4_bm_15)) + (portRef B (instanceRef current_output_data_buffer_4_bm_14)) + (portRef B (instanceRef current_output_data_buffer_4_bm_13)) + (portRef B (instanceRef current_output_data_buffer_2_2)) + (portRef B (instanceRef current_output_data_buffer_2_1)) + (portRef B (instanceRef current_output_data_buffer_2_0)) )) - (net N_40 (joined - (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_s)) - (portRef B (instanceRef transfer_counter_fast_RNO_1)) - (portRef A (instanceRef transfer_counter_fast_RNO_0)) - (portRef D (instanceRef transfer_counter_1_sqmuxa_i_s_RNI7DIR)) - (portRef C (instanceRef transfer_counter_RNO_1)) - (portRef B (instanceRef transfer_counter_RNO_0)) + (net current_output_data_buffer_3_0_0_1 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef D (instanceRef current_output_data_buffer_bm_1)) )) - (net next_INT_READ_OUT_7_sqmuxa_1_1 (joined - (portRef Z (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) + (net next_INT_READ_OUT_7_sqmuxa_2_0_1 (joined + (portRef Z (instanceRef next_INT_READ_OUT_7_sqmuxa_2_0_1)) (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) )) - (net current_output_data_buffer_3_0_0_1 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef current_output_data_buffer_3_0_1_1)) + (net sending_state_ns_0_a6_1_1 (joined + (portRef Z (instanceRef sending_state_ns_0_a6_1_0_1)) + (portRef D (instanceRef sending_state_ns_0_i_fast_1)) + (portRef D (instanceRef sending_state_ns_0_i_1)) )) - (net current_output_data_buffer_3_0_0_2 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_0_2)) - (portRef C (instanceRef current_output_data_buffer_mb_2)) + (net next_INT_READ_OUT_6_sqmuxa_2 (joined + (portRef Z (instanceRef next_INT_READ_OUT_6_sqmuxa_2)) + (portRef C (instanceRef next_INT_READ_OUT_6_sqmuxa)) )) - (net N_363 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef B (instanceRef current_output_data_buffer_mb_1)) - (portRef B (instanceRef current_output_data_buffer_mb_2)) + (net un1_IOBUF_1_16 (joined + (portRef (member un1_iobuf_1 15)) + (portRef D (instanceRef current_output_data_buffer_1_0)) + )) + (net N_176 (joined + (portRef Z (instanceRef current_output_data_buffer_1_0)) + (portRef B (instanceRef current_output_data_buffer_am_0)) + )) + (net un1_IOBUF_1_17 (joined + (portRef (member un1_iobuf_1 14)) + (portRef D (instanceRef current_output_data_buffer_1_1)) + )) + (net N_177 (joined + (portRef Z (instanceRef current_output_data_buffer_1_1)) + (portRef B (instanceRef current_output_data_buffer_am_1)) + )) + (net un1_IOBUF_1_18 (joined + (portRef (member un1_iobuf_1 13)) + (portRef D (instanceRef current_output_data_buffer_1_2)) + )) + (net N_178 (joined + (portRef Z (instanceRef current_output_data_buffer_1_2)) + (portRef B (instanceRef current_output_data_buffer_am_2)) + )) + (net reset_DATA_COUNT_0_sqmuxa_0 (joined + (portRef Z (instanceRef reset_DATA_COUNT_0_sqmuxa_0)) + (portRef B (instanceRef reset_DATA_COUNT)) + )) + (net N_35 (joined + (portRef Z (instanceRef transfer_counter_fast_RNIUUBF2_1)) + (portRef A (instanceRef current_output_data_buffer_am_2)) + (portRef A (instanceRef current_output_data_buffer_am_1)) + (portRef A (instanceRef current_output_data_buffer_am_0)) + (portRef C0 (instanceRef current_output_data_buffer_4_12)) + (portRef C0 (instanceRef current_output_data_buffer_4_11)) + (portRef C0 (instanceRef current_output_data_buffer_4_10)) + (portRef C0 (instanceRef current_output_data_buffer_4_9)) + (portRef C0 (instanceRef current_output_data_buffer_4_8)) + (portRef C0 (instanceRef current_output_data_buffer_4_7)) + (portRef C0 (instanceRef current_output_data_buffer_4_6)) + (portRef C0 (instanceRef current_output_data_buffer_4_5)) + (portRef C0 (instanceRef current_output_data_buffer_4_4)) + (portRef C0 (instanceRef current_output_data_buffer_4_3)) + (portRef C0 (instanceRef current_output_data_buffer_4_15)) + (portRef C0 (instanceRef current_output_data_buffer_4_14)) + (portRef C0 (instanceRef current_output_data_buffer_4_13)) + (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0)) )) (net N_237 (joined - (portRef Z (instanceRef sending_state_RNI8E273_0)) + (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0)) (portRef C (instanceRef current_output_data_buffer_6)) (portRef C (instanceRef current_output_data_buffer_5)) (portRef C (instanceRef current_output_data_buffer_4)) (portRef C (instanceRef current_output_data_buffer_3)) + (portRef C0 (instanceRef current_output_data_buffer_2)) + (portRef C0 (instanceRef current_output_data_buffer_1)) + (portRef C0 (instanceRef current_output_data_buffer_0)) (portRef B (instanceRef current_output_data_buffer_12)) (portRef B (instanceRef current_output_data_buffer_11)) (portRef B (instanceRef current_output_data_buffer_10)) @@ -53646,26 +53649,50 @@ )) (net next_INT_READ_OUT_1_sqmuxa_1 (joined (portRef Z (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) + (portRef A (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef A (instanceRef reg_INT_READ_OUT_RNO)) - )) - (net current_output_data_buffer_3_0_1_1 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef C (instanceRef current_output_data_buffer_mb_1)) + (portRef B (instanceRef next_INT_READ_OUT_6_sqmuxa)) )) (net sending_state_ns_i_0_0 (joined (portRef Z (instanceRef sending_state_ns_i_0_0)) (portRef D (instanceRef sending_state_ns_i_1_0)) )) + (net CRC_0 (joined + (portRef (member crc 15) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_2_0)) + )) + (net N_194 (joined + (portRef Z (instanceRef current_output_data_buffer_2_0)) + (portRef C (instanceRef current_output_data_buffer_am_0)) + )) + (net CRC_1 (joined + (portRef (member crc 14) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_2_1)) + )) + (net N_195 (joined + (portRef Z (instanceRef current_output_data_buffer_2_1)) + (portRef C (instanceRef current_output_data_buffer_am_1)) + )) + (net CRC_2 (joined + (portRef (member crc 13) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_2_2)) + )) + (net N_196 (joined + (portRef Z (instanceRef current_output_data_buffer_2_2)) + (portRef C (instanceRef current_output_data_buffer_am_2)) + )) (net next_INT_READ_OUT_1_sqmuxa_2 (joined (portRef Z (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef B (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef B (instanceRef reg_INT_READ_OUT_RNO)) )) (net un1_next_INT_READ_OUT_6_sqmuxa_1_tz (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef D (instanceRef reg_INT_READ_OUT_RNO)) + (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz_RNIE6D31)) )) - (net un1_next_INT_READ_OUT_6_sqmuxa_0_0_tz_tz (joined - (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) + (net next_INT_READ_OUT_6_sqmuxa (joined + (portRef Z (instanceRef next_INT_READ_OUT_6_sqmuxa)) + (portRef C (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef C (instanceRef reg_INT_READ_OUT_RNO)) )) (net N_149 (joined @@ -53684,7 +53711,7 @@ (portRef (member un1_iobuf_1 2)) (portRef C (instanceRef current_output_data_buffer_4_am_13)) )) - (net current_output_data_buffer_4_am_0_13 (joined + (net current_output_data_buffer_4_am_13 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_13)) (portRef BLUT (instanceRef current_output_data_buffer_4_13)) )) @@ -53692,7 +53719,7 @@ (portRef (member crc 2) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_13)) )) - (net current_output_data_buffer_4_bm_0_13 (joined + (net current_output_data_buffer_4_bm_13 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_13)) (portRef ALUT (instanceRef current_output_data_buffer_4_13)) )) @@ -53704,7 +53731,7 @@ (portRef (member un1_iobuf_1 1)) (portRef C (instanceRef current_output_data_buffer_4_am_14)) )) - (net current_output_data_buffer_4_am_0_14 (joined + (net current_output_data_buffer_4_am_14 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_14)) (portRef BLUT (instanceRef current_output_data_buffer_4_14)) )) @@ -53712,7 +53739,7 @@ (portRef (member crc 1) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_14)) )) - (net current_output_data_buffer_4_bm_0_14 (joined + (net current_output_data_buffer_4_bm_14 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_14)) (portRef ALUT (instanceRef current_output_data_buffer_4_14)) )) @@ -53724,7 +53751,7 @@ (portRef (member un1_iobuf_1 0)) (portRef C (instanceRef current_output_data_buffer_4_am_15)) )) - (net current_output_data_buffer_4_am_0_15 (joined + (net current_output_data_buffer_4_am_15 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_15)) (portRef BLUT (instanceRef current_output_data_buffer_4_15)) )) @@ -53732,7 +53759,7 @@ (portRef (member crc 0) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_15)) )) - (net current_output_data_buffer_4_bm_0_15 (joined + (net current_output_data_buffer_4_bm_15 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_15)) (portRef ALUT (instanceRef current_output_data_buffer_4_15)) )) @@ -53744,7 +53771,7 @@ (portRef (member un1_iobuf_1 12)) (portRef C (instanceRef current_output_data_buffer_4_am_3)) )) - (net current_output_data_buffer_4_am_0_3 (joined + (net current_output_data_buffer_4_am_3 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_3)) (portRef BLUT (instanceRef current_output_data_buffer_4_3)) )) @@ -53752,7 +53779,7 @@ (portRef (member crc 12) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_3)) )) - (net current_output_data_buffer_4_bm_0_3 (joined + (net current_output_data_buffer_4_bm_3 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_3)) (portRef ALUT (instanceRef current_output_data_buffer_4_3)) )) @@ -53764,7 +53791,7 @@ (portRef (member un1_iobuf_1 11)) (portRef C (instanceRef current_output_data_buffer_4_am_4)) )) - (net current_output_data_buffer_4_am_0_4 (joined + (net current_output_data_buffer_4_am_4 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_4)) (portRef BLUT (instanceRef current_output_data_buffer_4_4)) )) @@ -53772,7 +53799,7 @@ (portRef (member crc 11) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_4)) )) - (net current_output_data_buffer_4_bm_0_4 (joined + (net current_output_data_buffer_4_bm_4 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_4)) (portRef ALUT (instanceRef current_output_data_buffer_4_4)) )) @@ -53784,7 +53811,7 @@ (portRef (member un1_iobuf_1 10)) (portRef C (instanceRef current_output_data_buffer_4_am_5)) )) - (net current_output_data_buffer_4_am_0_5 (joined + (net current_output_data_buffer_4_am_5 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_5)) (portRef BLUT (instanceRef current_output_data_buffer_4_5)) )) @@ -53792,7 +53819,7 @@ (portRef (member crc 10) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_5)) )) - (net current_output_data_buffer_4_bm_0_5 (joined + (net current_output_data_buffer_4_bm_5 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_5)) (portRef ALUT (instanceRef current_output_data_buffer_4_5)) )) @@ -53804,7 +53831,7 @@ (portRef (member un1_iobuf_1 9)) (portRef C (instanceRef current_output_data_buffer_4_am_6)) )) - (net current_output_data_buffer_4_am_0_6 (joined + (net current_output_data_buffer_4_am_6 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_6)) (portRef BLUT (instanceRef current_output_data_buffer_4_6)) )) @@ -53812,7 +53839,7 @@ (portRef (member crc 9) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_6)) )) - (net current_output_data_buffer_4_bm_0_6 (joined + (net current_output_data_buffer_4_bm_6 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_6)) (portRef ALUT (instanceRef current_output_data_buffer_4_6)) )) @@ -53824,7 +53851,7 @@ (portRef (member un1_iobuf_1 8)) (portRef C (instanceRef current_output_data_buffer_4_am_7)) )) - (net current_output_data_buffer_4_am_0_7 (joined + (net current_output_data_buffer_4_am_7 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_7)) (portRef BLUT (instanceRef current_output_data_buffer_4_7)) )) @@ -53832,7 +53859,7 @@ (portRef (member crc 8) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_7)) )) - (net current_output_data_buffer_4_bm_0_7 (joined + (net current_output_data_buffer_4_bm_7 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_7)) (portRef ALUT (instanceRef current_output_data_buffer_4_7)) )) @@ -53844,7 +53871,7 @@ (portRef (member un1_iobuf_1 7)) (portRef C (instanceRef current_output_data_buffer_4_am_8)) )) - (net current_output_data_buffer_4_am_0_8 (joined + (net current_output_data_buffer_4_am_8 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_8)) (portRef BLUT (instanceRef current_output_data_buffer_4_8)) )) @@ -53852,7 +53879,7 @@ (portRef (member crc 7) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_8)) )) - (net current_output_data_buffer_4_bm_0_8 (joined + (net current_output_data_buffer_4_bm_8 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_8)) (portRef ALUT (instanceRef current_output_data_buffer_4_8)) )) @@ -53864,7 +53891,7 @@ (portRef (member un1_iobuf_1 6)) (portRef C (instanceRef current_output_data_buffer_4_am_9)) )) - (net current_output_data_buffer_4_am_0_9 (joined + (net current_output_data_buffer_4_am_9 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_9)) (portRef BLUT (instanceRef current_output_data_buffer_4_9)) )) @@ -53872,7 +53899,7 @@ (portRef (member crc 6) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_9)) )) - (net current_output_data_buffer_4_bm_0_9 (joined + (net current_output_data_buffer_4_bm_9 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_9)) (portRef ALUT (instanceRef current_output_data_buffer_4_9)) )) @@ -53884,7 +53911,7 @@ (portRef (member un1_iobuf_1 5)) (portRef C (instanceRef current_output_data_buffer_4_am_10)) )) - (net current_output_data_buffer_4_am_0_10 (joined + (net current_output_data_buffer_4_am_10 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_10)) (portRef BLUT (instanceRef current_output_data_buffer_4_10)) )) @@ -53892,7 +53919,7 @@ (portRef (member crc 5) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_10)) )) - (net current_output_data_buffer_4_bm_0_10 (joined + (net current_output_data_buffer_4_bm_10 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_10)) (portRef ALUT (instanceRef current_output_data_buffer_4_10)) )) @@ -53904,7 +53931,7 @@ (portRef (member un1_iobuf_1 4)) (portRef C (instanceRef current_output_data_buffer_4_am_11)) )) - (net current_output_data_buffer_4_am_0_11 (joined + (net current_output_data_buffer_4_am_11 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_11)) (portRef BLUT (instanceRef current_output_data_buffer_4_11)) )) @@ -53912,7 +53939,7 @@ (portRef (member crc 4) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_11)) )) - (net current_output_data_buffer_4_bm_0_11 (joined + (net current_output_data_buffer_4_bm_11 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_11)) (portRef ALUT (instanceRef current_output_data_buffer_4_11)) )) @@ -53924,7 +53951,7 @@ (portRef (member un1_iobuf_1 3)) (portRef C (instanceRef current_output_data_buffer_4_am_12)) )) - (net current_output_data_buffer_4_am_0_12 (joined + (net current_output_data_buffer_4_am_12 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_12)) (portRef BLUT (instanceRef current_output_data_buffer_4_12)) )) @@ -53932,7 +53959,7 @@ (portRef (member crc 3) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_12)) )) - (net current_output_data_buffer_4_bm_0_12 (joined + (net current_output_data_buffer_4_bm_12 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_12)) (portRef ALUT (instanceRef current_output_data_buffer_4_12)) )) @@ -53940,11 +53967,6 @@ (portRef Z (instanceRef current_output_data_buffer_4_12)) (portRef A (instanceRef current_output_data_buffer_12)) )) - (net un2_transfer_counter_3_3 (joined - (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_s_RNI7DIR)) - (portRef D (instanceRef transfer_counter_fast_RNO_2)) - (portRef D (instanceRef transfer_counter_RNO_2)) - )) (net MED_IO_DATA_OUT_61 (joined (portRef Z (instanceRef current_output_data_buffer_13)) (portRef (member med_io_data_out 2)) @@ -53981,9 +54003,44 @@ (portRef Z (instanceRef current_output_data_buffer_12)) (portRef (member med_io_data_out 3)) )) - (net current_output_data_buffer_bm_0_0 (joined + (net current_output_data_buffer_am_0 (joined + (portRef Z (instanceRef current_output_data_buffer_am_0)) + (portRef BLUT (instanceRef current_output_data_buffer_0)) + )) + (net current_output_data_buffer_bm_0 (joined (portRef Z (instanceRef current_output_data_buffer_bm_0)) - (portRef B (instanceRef current_output_data_buffer_0)) + (portRef ALUT (instanceRef current_output_data_buffer_0)) + )) + (net MED_IO_DATA_OUT_48 (joined + (portRef Z (instanceRef current_output_data_buffer_0)) + (portRef D (instanceRef saved_packet_type_0)) + (portRef (member med_io_data_out 15)) + )) + (net current_output_data_buffer_am_1 (joined + (portRef Z (instanceRef current_output_data_buffer_am_1)) + (portRef BLUT (instanceRef current_output_data_buffer_1)) + )) + (net current_output_data_buffer_bm_1 (joined + (portRef Z (instanceRef current_output_data_buffer_bm_1)) + (portRef ALUT (instanceRef current_output_data_buffer_1)) + )) + (net MED_IO_DATA_OUT_49 (joined + (portRef Z (instanceRef current_output_data_buffer_1)) + (portRef D (instanceRef saved_packet_type_1)) + (portRef (member med_io_data_out 14)) + )) + (net current_output_data_buffer_am_2 (joined + (portRef Z (instanceRef current_output_data_buffer_am_2)) + (portRef BLUT (instanceRef current_output_data_buffer_2)) + )) + (net current_output_data_buffer_bm_2 (joined + (portRef Z (instanceRef current_output_data_buffer_bm_2)) + (portRef ALUT (instanceRef current_output_data_buffer_2)) + )) + (net MED_IO_DATA_OUT_50 (joined + (portRef Z (instanceRef current_output_data_buffer_2)) + (portRef D (instanceRef saved_packet_type_2)) + (portRef (member med_io_data_out 13)) )) (net MED_IO_DATA_OUT_51 (joined (portRef Z (instanceRef current_output_data_buffer_3)) @@ -54006,33 +54063,18 @@ (portRef C (instanceRef sending_state_ns_0_i_fast_1)) (portRef C (instanceRef sending_state_ns_0_i_1)) )) + (net un1_next_INT_READ_OUT_6_sqmuxa_0_i_1 (joined + (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz_RNIE6D31)) + (portRef D (instanceRef reg_INT_READ_OUT_fast_RNO)) + (portRef D (instanceRef reg_INT_READ_OUT_RNO)) + )) (net sending_state_ns_0_1_1_1 (joined (portRef Z (instanceRef sending_state_ns_0_1_1_1)) (portRef D (instanceRef sending_state_ns_0_1_1)) )) - (net current_output_data_buffer_sn_1 (joined - (portRef Z (instanceRef current_output_data_buffer_sn_1)) - (portRef D (instanceRef current_output_data_buffer_mb_1)) - (portRef D (instanceRef current_output_data_buffer_mb_2)) - )) - (net current_output_data_buffer_1_0 (joined - (portRef Z (instanceRef current_output_data_buffer_1_0_0)) - (portRef D (instanceRef current_output_data_buffer_0)) - )) - (net MED_IO_DATA_OUT_48 (joined - (portRef Z (instanceRef current_output_data_buffer_0)) - (portRef D (instanceRef saved_packet_type_0)) - (portRef (member med_io_data_out 15)) - )) - (net MED_IO_DATA_OUT_50 (joined - (portRef Z (instanceRef current_output_data_buffer_mb_2)) - (portRef D (instanceRef saved_packet_type_2)) - (portRef (member med_io_data_out 13)) - )) - (net MED_IO_DATA_OUT_49 (joined - (portRef Z (instanceRef current_output_data_buffer_mb_1)) - (portRef D (instanceRef saved_packet_type_1)) - (portRef (member med_io_data_out 14)) + (net current_output_data_buffer_sn_m4_i_1 (joined + (portRef Z (instanceRef transfer_counter_fast_RNIPC2K_0)) + (portRef C (instanceRef transfer_counter_fast_RNIUUBF2_1)) )) (net CURRENT_DATA_COUNT_cry_0 (joined (portRef COUT (instanceRef CURRENT_DATA_COUNT_cry_0_0)) @@ -54054,6 +54096,7 @@ )) (net reset_no_link_4 (joined (portRef reset_no_link_4) + (portRef A1 (instanceRef buffer_number_cry_0_11)) (portRef A0 (instanceRef buffer_number_cry_0_11)) (portRef A1 (instanceRef buffer_number_cry_0_0)) (portRef B0 (instanceRef buffer_number_cry_0_0)) @@ -54070,7 +54113,6 @@ (portRef A0 (instanceRef buffer_number_s_0_15)) (portRef A1 (instanceRef buffer_number_cry_0_13)) (portRef A0 (instanceRef buffer_number_cry_0_13)) - (portRef A1 (instanceRef buffer_number_cry_0_11)) (portRef A1 (instanceRef buffer_number_cry_0_9)) (portRef A0 (instanceRef buffer_number_cry_0_9)) (portRef A1 (instanceRef buffer_number_cry_0_7)) @@ -54277,12 +54319,12 @@ (instance buf_MED_DATA_OUT_7_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)))")) ) - (instance buf_MED_PACKET_NUM_OUTc_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) (instance buf_MED_PACKET_NUM_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A)))")) ) + (instance buf_MED_PACKET_NUM_OUTc_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) + ) (instance buf_MED_DATA_OUT_7_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (!B A)))")) ) @@ -54296,8 +54338,8 @@ (portRef Z (instanceRef transfer_counter_4_2)) (portRef A (instanceRef buf_MED_DATA_OUT_7_0_i_2)) (portRef A (instanceRef buf_MED_DATA_OUT_7_0_i_0)) - (portRef A (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef A (instanceRef buf_MED_PACKET_NUM_OUTc_4)) + (portRef A (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef A (instanceRef buf_MED_DATA_OUT_7_i_1)) (portRef A (instanceRef transfer_counter_RNO_2)) )) @@ -54319,8 +54361,8 @@ (portRef Z (instanceRef transfer_counter_RNIJC1N_1)) (portRef C (instanceRef buf_MED_DATA_OUT_7_0_i_2)) (portRef C (instanceRef buf_MED_DATA_OUT_7_0_i_0)) - (portRef C (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef C (instanceRef buf_MED_PACKET_NUM_OUTc_4)) + (portRef C (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef C (instanceRef buf_MED_DATA_OUT_7_i_1)) (portRef C (instanceRef buf_MED_PACKET_NUM_OUT_RNO_2)) (portRef C (instanceRef buf_MED_PACKET_NUM_OUT_RNO_1)) @@ -54374,8 +54416,8 @@ (portRef Z (instanceRef un7_clk_en_RNI3QH01)) (portRef B (instanceRef buf_MED_DATA_OUT_7_0_i_2)) (portRef B (instanceRef buf_MED_DATA_OUT_7_0_i_0)) - (portRef B (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef B (instanceRef buf_MED_PACKET_NUM_OUTc_4)) + (portRef B (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef B (instanceRef buf_MED_DATA_OUT_7_i_1)) (portRef B (instanceRef buf_MED_PACKET_NUM_OUT_RNO_2)) (portRef B (instanceRef buf_MED_PACKET_NUM_OUT_RNO_1)) @@ -54548,8 +54590,8 @@ (portRef A (instanceRef reg_SEND_ACK_IN_3)) (portRef D (instanceRef buf_MED_DATA_OUT_7_0_i_2)) (portRef D (instanceRef buf_MED_DATA_OUT_7_0_i_0)) - (portRef D (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef D (instanceRef buf_MED_PACKET_NUM_OUTc_4)) + (portRef D (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef D (instanceRef buf_MED_DATA_OUT_7_i_1)) (portRef C (instanceRef buf_MED_DATAREADY_OUT_6_f1_0_i)) (portRef D (instanceRef reg_SEND_ACK_IN_2_4)) @@ -54622,9 +54664,6 @@ ) (contents (instance current_rec_buffer_size_out_RNIKFK1_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance saved_fifo_packet_type_RNITUJS_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (!B+!A))+D (C+(B+!A)))")) - ) (instance crc_active_fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (!B+A))")) ) @@ -54843,15 +54882,6 @@ (instance current_fifo_packet_type_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance current_fifo_packet_type_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance current_fifo_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) (instance reg_med_dataready_in_RNILEFR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B !A)))")) ) @@ -54864,29 +54894,38 @@ (instance tmp_INT_DATA_OUT_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance gen_crc_un13_current_fifo_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance gen_crc_un17_current_fifo_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (B !A))")) ) - (instance un4_fifo_data_valid (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(!B+A)))")) + (instance gen_crc_un13_current_fifo_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (B A))")) ) (instance gen_crc_PROC_SAVE_CRC_USED_un8_reset (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A))")) ) + (instance tmp_INT_INIT_DATAREADY_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A))+D (C+(!B+!A)))")) + ) (instance tmp_INT_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C (B+A)+C (!B A)))")) ) (instance gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (property lut_function (string "(D (C (B A)))")) ) (instance gen_ack1_proc_reg_eob_out_reg_eob_init_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) + (property lut_function (string "(D (C (B !A)))")) ) (instance CRC_enable (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!B A))")) + (property lut_function (string "(!D (!C (!B A))+D (!B A))")) ) (instance PROC_word_waiting_un11_tmp_int_init_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C !A))")) + (property lut_function (string "(B !A)")) + ) + (instance gen_crc_un13_current_fifo_packet_type_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance gen_crc_un13_current_fifo_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D A)")) ) (instance crc_active_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (B+A))")) @@ -54959,43 +54998,6 @@ (portRef Z (instanceRef current_rec_buffer_size_out_RNIKFK1_1)) (portRef (member buf_stat_init_buffer_i 0)) )) - (net current_fifo_packet_type_1 (joined - (portRef Z (instanceRef current_fifo_packet_type_1)) - (portRef B (instanceRef un4_fifo_data_valid)) - (portRef B (instanceRef gen_crc_un13_current_fifo_packet_type)) - (portRef A (instanceRef saved_fifo_packet_type_RNITUJS_2)) - )) - (net un20_fifo_long_packet_num_out (joined - (portRef Z (instanceRef un20_fifo_long_packet_num_out)) - (portRef C (instanceRef current_fifo_packet_type_0)) - (portRef C (instanceRef current_fifo_packet_type_1)) - (portRef C (instanceRef current_fifo_packet_type_2)) - (portRef C (instanceRef current_fifo_packet_type_3)) - (portRef SP (instanceRef saved_fifo_packet_type_3)) - (portRef SP (instanceRef saved_fifo_packet_type_2)) - (portRef SP (instanceRef saved_fifo_packet_type_1)) - (portRef SP (instanceRef saved_fifo_packet_type_0)) - (portRef B (instanceRef saved_fifo_packet_type_RNITUJS_2)) - )) - (net saved_fifo_packet_type_2 (joined - (portRef Q (instanceRef saved_fifo_packet_type_2)) - (portRef B (instanceRef current_fifo_packet_type_2)) - (portRef C (instanceRef saved_fifo_packet_type_RNITUJS_2)) - )) - (net fifo_data_out_2 (joined - (portRef Q (instanceRef fifo_data_out_2)) - (portRef fifo_data_out_2 (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef (member fifo_data_out 13) (instanceRef gen_crc_THE_CRC)) - (portRef A (instanceRef current_fifo_packet_type_2)) - (portRef D (instanceRef saved_fifo_packet_type_2)) - (portRef D (instanceRef saved_fifo_packet_type_RNITUJS_2)) - )) - (net un14_current_fifo_packet_type_0 (joined - (portRef Z (instanceRef saved_fifo_packet_type_RNITUJS_2)) - (portRef C (instanceRef CRC_enable)) - (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) - (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) - )) (net crc_active (joined (portRef Q (instanceRef crc_active)) (portRef A (instanceRef un4_use_checksum_2)) @@ -55017,7 +55019,7 @@ (portRef Z (instanceRef crc_active_fb)) (portRef D (instanceRef crc_active)) )) - (net N_5143_i (joined + (net N_5096_i (joined (portRef Z (instanceRef reg_med_dataready_in_RNILEFR)) (portRef A (instanceRef current_error_state_0__fb)) )) @@ -55054,15 +55056,27 @@ (net sbuf_init_free (joined (portRef Q (instanceRef sbuf_init_free)) (portRef sbuf_init_free (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef C (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) + (portRef A (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) )) (net fifo_data_out_0 (joined (portRef Q (instanceRef fifo_data_out_0)) (portRef fifo_data_out_0 (instanceRef gen_init_sbuf_SBUF_INIT)) (portRef (member fifo_data_out 15) (instanceRef gen_crc_THE_CRC)) - (portRef A (instanceRef current_fifo_packet_type_0)) + (portRef A (instanceRef gen_crc_un13_current_fifo_packet_type)) + (portRef A (instanceRef gen_crc_un17_current_fifo_packet_type)) (portRef D (instanceRef saved_fifo_packet_type_0)) )) + (net un20_fifo_long_packet_num_out (joined + (portRef Z (instanceRef un20_fifo_long_packet_num_out)) + (portRef D (instanceRef gen_crc_un13_current_fifo_packet_type_0)) + (portRef D (instanceRef gen_crc_un13_current_fifo_packet_type)) + (portRef D (instanceRef gen_crc_un17_current_fifo_packet_type)) + (portRef C (instanceRef current_fifo_packet_type_3)) + (portRef SP (instanceRef saved_fifo_packet_type_3)) + (portRef SP (instanceRef saved_fifo_packet_type_2)) + (portRef SP (instanceRef saved_fifo_packet_type_1)) + (portRef SP (instanceRef saved_fifo_packet_type_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef gen_init_sbuf_SBUF_INIT)) @@ -55147,7 +55161,8 @@ )) (net saved_fifo_packet_type_0 (joined (portRef Q (instanceRef saved_fifo_packet_type_0)) - (portRef B (instanceRef current_fifo_packet_type_0)) + (portRef C (instanceRef gen_crc_un13_current_fifo_packet_type)) + (portRef C (instanceRef gen_crc_un17_current_fifo_packet_type)) )) (net VCC (joined (portRef VCC) @@ -55193,7 +55208,7 @@ (portRef Q (instanceRef fifo_data_out_1)) (portRef fifo_data_out_1 (instanceRef gen_init_sbuf_SBUF_INIT)) (portRef (member fifo_data_out 14) (instanceRef gen_crc_THE_CRC)) - (portRef A (instanceRef current_fifo_packet_type_1)) + (portRef A (instanceRef gen_crc_un13_current_fifo_packet_type_0_1)) (portRef D (instanceRef saved_fifo_packet_type_1)) )) (net reset_no_link (joined @@ -55222,7 +55237,18 @@ )) (net saved_fifo_packet_type_1 (joined (portRef Q (instanceRef saved_fifo_packet_type_1)) - (portRef B (instanceRef current_fifo_packet_type_1)) + (portRef B (instanceRef gen_crc_un13_current_fifo_packet_type_0)) + )) + (net fifo_data_out_2 (joined + (portRef Q (instanceRef fifo_data_out_2)) + (portRef fifo_data_out_2 (instanceRef gen_init_sbuf_SBUF_INIT)) + (portRef (member fifo_data_out 13) (instanceRef gen_crc_THE_CRC)) + (portRef B (instanceRef gen_crc_un13_current_fifo_packet_type_0_1)) + (portRef D (instanceRef saved_fifo_packet_type_2)) + )) + (net saved_fifo_packet_type_2 (joined + (portRef Q (instanceRef saved_fifo_packet_type_2)) + (portRef C (instanceRef gen_crc_un13_current_fifo_packet_type_0)) )) (net fifo_data_out_3 (joined (portRef Q (instanceRef fifo_data_out_3)) @@ -55372,7 +55398,7 @@ (net init_word_waiting (joined (portRef Q (instanceRef init_word_waiting)) (portRef init_word_waiting (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef B (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) + (portRef D (instanceRef tmp_INT_INIT_DATAREADY_OUT)) )) (net fifo_valid_readc (joined (portRef Z (instanceRef fifo_valid_readc)) @@ -55442,10 +55468,11 @@ )) (net fifo_data_valid (joined (portRef Q (instanceRef fifo_data_valid)) + (portRef fifo_data_valid (instanceRef gen_init_sbuf_SBUF_INIT)) (portRef A (instanceRef CRC_enable)) (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) - (portRef D (instanceRef un4_fifo_data_valid)) + (portRef B (instanceRef tmp_INT_INIT_DATAREADY_OUT)) (portRef A (instanceRef un20_fifo_long_packet_num_out)) )) (net comb_fifo_data_out_0 (joined @@ -55855,19 +55882,9 @@ (net current_fifo_packet_type_3 (joined (portRef Z (instanceRef current_fifo_packet_type_3)) (portRef (member current_fifo_packet_type 0) (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef A (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) (portRef A (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) (portRef A (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) - )) - (net current_fifo_packet_type_2 (joined - (portRef Z (instanceRef current_fifo_packet_type_2)) - (portRef C (instanceRef un4_fifo_data_valid)) - (portRef C (instanceRef gen_crc_un13_current_fifo_packet_type)) - )) - (net current_fifo_packet_type_0 (joined - (portRef Z (instanceRef current_fifo_packet_type_0)) - (portRef A (instanceRef un4_fifo_data_valid)) - (portRef A (instanceRef gen_crc_un13_current_fifo_packet_type)) + (portRef A (instanceRef tmp_INT_INIT_DATAREADY_OUT)) )) (net fifo_full (joined (portRef fifo_full (instanceRef THE_FIFO)) @@ -55877,10 +55894,18 @@ (portRef Z (instanceRef tmp_INT_DATA_OUT_2_3)) (portRef C (instanceRef tmp_INT_DATA_OUT_3)) )) - (net un4_fifo_data_valid (joined - (portRef Z (instanceRef un4_fifo_data_valid)) - (portRef un4_fifo_data_valid (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef D (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) + (net un17_current_fifo_packet_type_0 (joined + (portRef Z (instanceRef gen_crc_un13_current_fifo_packet_type_0)) + (portRef C (instanceRef CRC_enable)) + (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) + (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (portRef B (instanceRef gen_crc_un13_current_fifo_packet_type)) + (portRef B (instanceRef gen_crc_un17_current_fifo_packet_type)) + )) + (net un17_current_fifo_packet_type (joined + (portRef Z (instanceRef gen_crc_un17_current_fifo_packet_type)) + (portRef un17_current_fifo_packet_type (instanceRef gen_init_sbuf_SBUF_INIT)) + (portRef C (instanceRef tmp_INT_INIT_DATAREADY_OUT)) )) (net reset_no_link_1 (joined (portRef reset_no_link_1) @@ -55892,10 +55917,18 @@ (portRef Z (instanceRef gen_crc_PROC_SAVE_CRC_USED_un8_reset)) (portRef (member stat_ibuf_buffer_0 0) (instanceRef gen_crc_THE_CRC)) )) + (net tmp_INT_INIT_DATAREADY_OUT (joined + (portRef Z (instanceRef tmp_INT_INIT_DATAREADY_OUT)) + (portRef B (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) + )) (net tmp_INT_DATA_OUT_3 (joined (portRef Z (instanceRef tmp_INT_DATA_OUT_3)) (portRef (member tmp_int_data_out 0) (instanceRef gen_init_sbuf_SBUF_INIT)) )) + (net un13_current_fifo_packet_type_0_1 (joined + (portRef Z (instanceRef gen_crc_un13_current_fifo_packet_type_0_1)) + (portRef A (instanceRef gen_crc_un13_current_fifo_packet_type_0)) + )) (net reset_no_link_4 (joined (portRef reset_no_link_4) (portRef reset_no_link_4 (instanceRef THE_FIFO)) @@ -56264,12 +56297,12 @@ (instance sending_state_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance saved_packet_type_RNIDM9K_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(B+A)))")) - ) (instance sbuf_free_RNILLIE1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !A+D (C+(B+!A)))")) ) + (instance saved_packet_type_RNIDM9K_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(B+A)))")) + ) (instance max_DATA_COUNT_minus_one_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) @@ -56426,9 +56459,6 @@ (instance TRANSMITTED_BUFFERS_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_output_data_buffer_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) (instance sending_state_ns_i_i_i_a4_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) @@ -56438,17 +56468,17 @@ (instance transfer_counter_RNI77JJ_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B !A))")) ) - (instance current_output_data_buffer_4_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+!A))")) ) + (instance sending_state_ns_i_i_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C !A)")) + ) (instance GEN2_REG_reg_SEND_ACK_IN_2_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) - (instance sending_state_ns_i_i_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A)+C !A)")) + (instance current_output_data_buffer_4_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) (instance int_dataready_in_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) @@ -56486,17 +56516,23 @@ (instance reg_SEND_ACK_IN_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C B)")) ) - (instance current_output_data_buffer_4_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) (instance current_output_data_buffer_4_a2_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)))")) ) + (instance current_output_data_buffer_4_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A)))")) + ) (instance current_output_data_buffer_4_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) ) + (instance current_output_data_buffer_0_a4_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) (instance current_output_data_buffer_0_a4_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance current_output_data_buffer_0_a4_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A))+D !C)")) ) (instance un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))")) @@ -56504,23 +56540,23 @@ (instance TRANSMITTED_BUFFERS_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A)))")) ) - (instance current_output_data_buffer_0_o2_RNI2DC21_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sbuf_free_RNI2DC21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance current_output_data_buffer_4_a4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D (C A))")) + (instance current_output_data_buffer_0_a4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance current_output_data_buffer_0_a4_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance current_output_data_buffer_0_a4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance current_output_data_buffer_0_a4_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance current_output_data_buffer_0_a4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance current_output_data_buffer_0_a4_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance current_output_data_buffer_0_a4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance current_output_data_buffer_0_a4_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance current_output_data_buffer_4_a4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D (C A))")) ) (instance sending_state_ns_i_i_i_a4_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B !A)))")) @@ -56531,54 +56567,54 @@ (instance sending_state_ns_0_i_s_i_o3_RNIKQUD1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B !A)))")) ) - (instance current_output_data_buffer_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (B+A)+C A))")) - ) (instance reg_SEND_ACK_IN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C B+C (B A)))")) ) (instance un1_transfer_counter34_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) - ) - (instance current_output_data_buffer_0_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) (instance current_output_data_buffer_0_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) (instance current_output_data_buffer_0_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance current_output_data_buffer_0_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) + (instance current_output_data_buffer_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) (instance current_output_data_buffer_0_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) @@ -56609,37 +56645,34 @@ (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+!A))")) ) - (instance current_output_data_buffer_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_0_i_s_i_o3_RNIU2NH3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) + ) + (instance current_output_data_buffer_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) (instance current_output_data_buffer_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance sending_state_ns_0_i_s_i_o3_RNIU2NH3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) - ) - (instance sending_state_ns_i_i_i_o2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C+(B+A)))")) - ) - (instance current_output_data_buffer_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) (instance current_output_data_buffer_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -56654,18 +56687,24 @@ (instance current_output_data_buffer_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) + (instance sending_state_ns_i_i_i_o2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C+(B+A)))")) + ) (instance current_output_data_buffer_0_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) (instance TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)+C A)+D (C+!A))")) ) - (instance current_output_data_buffer_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) - ) (instance current_output_data_buffer_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B A)))")) ) + (instance current_output_data_buffer_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance current_output_data_buffer_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+A)))")) + ) (instance sending_state_ns_0_i_s_i_m2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))")) ) @@ -56690,12 +56729,6 @@ (instance sending_state_ns_i_i_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(C+(B+A)))")) ) - (instance current_output_data_buffer_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C (B !A))+D !A)")) - ) - (instance current_output_data_buffer_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+A))+D (C+A))")) - ) (instance sending_state_ns_i_i_i_o3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) ) @@ -56792,7 +56825,7 @@ )) (net N_248 (joined (portRef Z (instanceRef transfer_counter_RNI77JJ_2)) - (portRef A (instanceRef current_output_data_buffer_0_1_1)) + (portRef B (instanceRef current_output_data_buffer_0_1_1)) (portRef B (instanceRef un1_transfer_counter34_0_0)) (portRef A (instanceRef current_output_data_buffer_4_a4_0)) (portRef B (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o3_RNI64NF1)) @@ -56812,6 +56845,7 @@ )) (net reg_INT_READ_OUT (joined (portRef Q (instanceRef reg_INT_READ_OUT)) + (portRef B (instanceRef current_output_data_buffer_0_a4_0_1_1)) (portRef B (instanceRef reg_INT_READ_OUT_RNINAF01)) (portRef B (instanceRef int_dataready_in_i_RNO)) (portRef B (instanceRef current_output_data_buffer_4_a2_0_0)) @@ -56822,6 +56856,7 @@ )) (net int_dataready_in_i (joined (portRef Q (instanceRef int_dataready_in_i)) + (portRef A (instanceRef current_output_data_buffer_0_a4_0_1_1)) (portRef A (instanceRef reg_INT_READ_OUT_RNINAF01)) (portRef A (instanceRef int_dataready_in_i_RNO)) (portRef A (instanceRef current_output_data_buffer_4_a2_0_0)) @@ -56836,7 +56871,6 @@ )) (net N_545 (joined (portRef Z (instanceRef sending_state_ns_0_i_s_i_o3_1)) - (portRef B (instanceRef current_output_data_buffer_0_1_1)) (portRef C (instanceRef sending_state_ns_i_i_i_1_0)) (portRef C (instanceRef sending_state_ns_0_i_s_i_m2_1)) (portRef C (instanceRef sending_state_ns_i_i_i_o2_1_0)) @@ -56844,8 +56878,65 @@ (portRef A (instanceRef sending_state_ns_i_i_i_a4_1_0)) (portRef B (instanceRef current_output_data_buffer_4_a4_0)) (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0)) - (portRef A (instanceRef sbuf_free_RNILLIE1)) (portRef A (instanceRef saved_packet_type_RNIDM9K_0)) + (portRef A (instanceRef sbuf_free_RNILLIE1)) + )) + (net sending_state_1 (joined + (portRef Q (instanceRef sending_state_1)) + (portRef D (instanceRef sending_state_ns_0_i_s_i_a4_1)) + (portRef D (instanceRef current_output_data_buffer_4_a4_0)) + (portRef D (instanceRef current_output_data_buffer_0_a4_3)) + (portRef D (instanceRef current_output_data_buffer_0_a4_4)) + (portRef D (instanceRef current_output_data_buffer_0_a4_5)) + (portRef D (instanceRef current_output_data_buffer_0_a4_6)) + (portRef D (instanceRef sbuf_free_RNI2DC21)) + (portRef D (instanceRef current_output_data_buffer_0_a4_0_1_1)) + (portRef C (instanceRef current_output_data_buffer_0_a4_1_2)) + (portRef C (instanceRef current_output_data_buffer_0_a4_3_1)) + (portRef D (instanceRef current_output_data_buffer_4_a2_0)) + (portRef D (instanceRef current_output_data_buffer_4_a2_1_0)) + (portRef D (instanceRef current_output_data_buffer_4_a2_2_0)) + (portRef C (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0)) + (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0_0)) + (portRef D (instanceRef current_output_data_buffer_4_a2_0_0)) + (portRef C (instanceRef sending_state_ns_i_i_i_o3_0)) + (portRef B (instanceRef sbuf_free_RNILLIE1)) + )) + (net sending_state_0 (joined + (portRef Q (instanceRef sending_state_0)) + (portRef C (instanceRef sending_state_ns_0_i_s_i_a4_1)) + (portRef D (instanceRef sending_state_ns_i_i_i_a4_0)) + (portRef D (instanceRef sending_state_ns_0_i_s_i_0_1)) + (portRef D (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef C (instanceRef current_output_data_buffer_4_a4_0)) + (portRef C (instanceRef current_output_data_buffer_0_a4_0_1_1)) + (portRef B (instanceRef current_output_data_buffer_0_a4_1_2)) + (portRef B (instanceRef current_output_data_buffer_0_a4_3_1)) + (portRef C (instanceRef current_output_data_buffer_4_a2_1_0)) + (portRef D (instanceRef current_output_data_buffer_0_a4_0_1)) + (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0_0)) + (portRef C (instanceRef current_output_data_buffer_4_a2_0_0)) + (portRef B (instanceRef sending_state_ns_i_i_i_o3_0)) + (portRef B (instanceRef sending_state_ns_i_i_i_a4_0_0_0)) + (portRef C (instanceRef sbuf_free_RNILLIE1)) + )) + (net sbuf_free (joined + (portRef Q (instanceRef sbuf_free)) + (portRef C (instanceRef sending_state_ns_0_i_s_i_0_1)) + (portRef C (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef C (instanceRef sbuf_free_RNI2DC21)) + (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0)) + (portRef A (instanceRef sending_state_ns_i_i_i_o3_0)) + (portRef A (instanceRef sending_state_ns_i_i_i_a4_0_0_0)) + (portRef D (instanceRef sbuf_free_RNILLIE1)) + )) + (net MED_IO_DATAREADY_OUT_1 (joined + (portRef Z (instanceRef sbuf_free_RNILLIE1)) + (portRef A (instanceRef transfer_counter_RNO_1)) + (portRef A (instanceRef transfer_counter_RNO_2)) + (portRef A (instanceRef un1_transfer_counter34_0_0)) + (portRef B (instanceRef transfer_counter_RNO_0)) + (portRef (member med_io_dataready_out 0)) )) (net saved_packet_type_2 (joined (portRef Q (instanceRef saved_packet_type_2)) @@ -56872,59 +56963,6 @@ (portRef B (instanceRef sending_state_ns_0_i_s_i_o3_RNIU2NH3_1)) (portRef A (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2_RNII8CH2)) )) - (net sending_state_1 (joined - (portRef Q (instanceRef sending_state_1)) - (portRef D (instanceRef current_output_data_buffer_0_1_1)) - (portRef D (instanceRef sending_state_ns_0_i_s_i_a4_1)) - (portRef D (instanceRef current_output_data_buffer_0_a4_0_3)) - (portRef D (instanceRef current_output_data_buffer_0_a4_0_4)) - (portRef D (instanceRef current_output_data_buffer_0_a4_0_5)) - (portRef D (instanceRef current_output_data_buffer_0_a4_0_6)) - (portRef D (instanceRef current_output_data_buffer_4_a4_0)) - (portRef D (instanceRef current_output_data_buffer_0_o2_RNI2DC21_1)) - (portRef D (instanceRef current_output_data_buffer_4_a2_0)) - (portRef D (instanceRef current_output_data_buffer_4_a2_2_0)) - (portRef C (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0_0)) - (portRef C (instanceRef sending_state_ns_i_i_i_o3_0)) - (portRef D (instanceRef current_output_data_buffer_4_a2_0_0)) - (portRef B (instanceRef current_output_data_buffer_0_a2_1)) - (portRef B (instanceRef sbuf_free_RNILLIE1)) - )) - (net sending_state_0 (joined - (portRef Q (instanceRef sending_state_0)) - (portRef D (instanceRef current_output_data_buffer_0_1)) - (portRef C (instanceRef sending_state_ns_0_i_s_i_a4_1)) - (portRef D (instanceRef sending_state_ns_i_i_i_a4_0)) - (portRef D (instanceRef sending_state_ns_0_i_s_i_0_1)) - (portRef D (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef C (instanceRef current_output_data_buffer_4_a4_0)) - (portRef D (instanceRef current_output_data_buffer_0_a4_0_1)) - (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0_0)) - (portRef B (instanceRef sending_state_ns_i_i_i_o3_0)) - (portRef C (instanceRef current_output_data_buffer_4_a2_0_0)) - (portRef B (instanceRef sending_state_ns_i_i_i_a4_0_0_0)) - (portRef A (instanceRef current_output_data_buffer_0_a2_1)) - (portRef C (instanceRef sbuf_free_RNILLIE1)) - )) - (net sbuf_free (joined - (portRef Q (instanceRef sbuf_free)) - (portRef C (instanceRef sending_state_ns_0_i_s_i_0_1)) - (portRef C (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef C (instanceRef current_output_data_buffer_0_o2_RNI2DC21_1)) - (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0)) - (portRef A (instanceRef sending_state_ns_i_i_i_o3_0)) - (portRef A (instanceRef sending_state_ns_i_i_i_a4_0_0_0)) - (portRef D (instanceRef sbuf_free_RNILLIE1)) - )) - (net MED_IO_DATAREADY_OUT_1 (joined - (portRef Z (instanceRef sbuf_free_RNILLIE1)) - (portRef A (instanceRef transfer_counter_RNO_1)) - (portRef A (instanceRef transfer_counter_RNO_2)) - (portRef A (instanceRef un1_transfer_counter34_0_0)) - (portRef B (instanceRef transfer_counter_RNO_0)) - (portRef (member med_io_dataready_out 0)) - )) (net GND (joined (portRef GND) (portRef C1 (instanceRef buffer_number_s_0_15)) @@ -56970,7 +57008,7 @@ (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_0)) (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) )) - (net N_263477_0 (joined + (net N_263751_0 (joined (portRef Z (instanceRef max_DATA_COUNT_minus_one_0_2)) (portRef D (instanceRef max_DATA_COUNT_minus_one_2)) )) @@ -56979,14 +57017,8 @@ (portRef B (instanceRef transfer_counter_RNO_1)) (portRef B (instanceRef transfer_counter_RNO_2)) (portRef A (instanceRef sending_state_ns_0_i_s_i_o3_RNIKQUD1_1)) - (portRef A (instanceRef current_output_data_buffer_0_a4_0_3)) - (portRef A (instanceRef current_output_data_buffer_0_a4_0_4)) - (portRef A (instanceRef current_output_data_buffer_0_a4_0_5)) - (portRef A (instanceRef current_output_data_buffer_0_a4_0_6)) - (portRef A (instanceRef current_output_data_buffer_0_a4_1_2)) (portRef A (instanceRef current_output_data_buffer_4_a2_0)) (portRef A (instanceRef current_output_data_buffer_4_a2_2_0)) - (portRef A (instanceRef current_output_data_buffer_4_a2_1_0)) (portRef A (instanceRef current_output_data_buffer_0_a4_0_1)) (portRef A (instanceRef transfer_counter_RNIQR1M_0)) (portRef A (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2)) @@ -57097,11 +57129,15 @@ (portRef C (instanceRef transfer_counter_RNO_2)) (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3)) (portRef A (instanceRef sending_state_ns_0_i_s_i_0_1)) - (portRef A (instanceRef current_output_data_buffer_0_o2_RNI2DC21_1)) + (portRef A (instanceRef current_output_data_buffer_0_a4_3)) + (portRef A (instanceRef current_output_data_buffer_0_a4_4)) + (portRef A (instanceRef current_output_data_buffer_0_a4_5)) + (portRef A (instanceRef current_output_data_buffer_0_a4_6)) + (portRef A (instanceRef sbuf_free_RNI2DC21)) (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0)) (portRef B (instanceRef current_output_data_buffer_4_a2_0)) + (portRef A (instanceRef current_output_data_buffer_4_a2_1_0)) (portRef B (instanceRef current_output_data_buffer_4_a2_2_0)) - (portRef B (instanceRef current_output_data_buffer_4_a2_1_0)) (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_1_0)) (portRef B (instanceRef current_output_data_buffer_0_a4_0_1)) (portRef B (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2)) @@ -57146,10 +57182,8 @@ (net MED_IO_PACKET_NUM_OUT_5 (joined (portRef Q (instanceRef transfer_counter_2)) (portRef D (instanceRef transfer_counter_RNO_2)) - (portRef B (instanceRef current_output_data_buffer_0_a4_1_2)) (portRef C (instanceRef current_output_data_buffer_4_a2_0)) (portRef C (instanceRef current_output_data_buffer_4_a2_2_0)) - (portRef C (instanceRef current_output_data_buffer_4_a2_1_0)) (portRef C (instanceRef current_output_data_buffer_0_a4_0_1)) (portRef C (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2)) (portRef C (instanceRef transfer_counter_RNI77JJ_2)) @@ -57240,7 +57274,7 @@ (portRef (member apl_to_buf_reply_dataready 0)) (portRef D (instanceRef int_dataready_in_i)) )) - (net apl_to_buf_REPLY_READ_i_1_i_0 (joined + (net apl_to_buf_REPLY_READ_i_0_i_0 (joined (portRef Z (instanceRef int_dataready_in_i_RNO)) (portRef SP (instanceRef int_dataready_in_i)) )) @@ -57277,7 +57311,7 @@ )) (net int_data_in_i_1 (joined (portRef Q (instanceRef int_data_in_i_1)) - (portRef C (instanceRef current_output_data_buffer_0_1_1)) + (portRef D (instanceRef current_output_data_buffer_0_1_1)) )) (net apl_to_buf_REPLY_DATA_2 (joined (portRef (member apl_to_buf_reply_data 13)) @@ -57293,7 +57327,7 @@ )) (net int_data_in_i_3 (joined (portRef Q (instanceRef int_data_in_i_3)) - (portRef D (instanceRef current_output_data_buffer_0_3)) + (portRef D (instanceRef current_output_data_buffer_0_0_3)) )) (net apl_to_buf_REPLY_DATA_4 (joined (portRef (member apl_to_buf_reply_data 11)) @@ -57301,7 +57335,7 @@ )) (net int_data_in_i_4 (joined (portRef Q (instanceRef int_data_in_i_4)) - (portRef D (instanceRef current_output_data_buffer_0_4)) + (portRef D (instanceRef current_output_data_buffer_0_0_4)) )) (net apl_to_buf_REPLY_DATA_5 (joined (portRef (member apl_to_buf_reply_data 10)) @@ -57309,7 +57343,7 @@ )) (net int_data_in_i_5 (joined (portRef Q (instanceRef int_data_in_i_5)) - (portRef D (instanceRef current_output_data_buffer_0_5)) + (portRef D (instanceRef current_output_data_buffer_0_0_5)) )) (net apl_to_buf_REPLY_DATA_6 (joined (portRef (member apl_to_buf_reply_data 9)) @@ -57317,7 +57351,7 @@ )) (net int_data_in_i_6 (joined (portRef Q (instanceRef int_data_in_i_6)) - (portRef D (instanceRef current_output_data_buffer_0_6)) + (portRef D (instanceRef current_output_data_buffer_0_0_6)) )) (net apl_to_buf_REPLY_DATA_7 (joined (portRef (member apl_to_buf_reply_data 8)) @@ -57444,7 +57478,7 @@ (net buffer_number_3 (joined (portRef Q (instanceRef buffer_number_3)) (portRef C0 (instanceRef buffer_number_cry_0_3)) - (portRef C (instanceRef current_output_data_buffer_0_1_3)) + (portRef C (instanceRef current_output_data_buffer_0_a4_3)) )) (net buffer_number_s_4 (joined (portRef S1 (instanceRef buffer_number_cry_0_3)) @@ -57453,7 +57487,7 @@ (net buffer_number_4 (joined (portRef Q (instanceRef buffer_number_4)) (portRef C1 (instanceRef buffer_number_cry_0_3)) - (portRef C (instanceRef current_output_data_buffer_0_1_4)) + (portRef C (instanceRef current_output_data_buffer_0_a4_4)) )) (net buffer_number_s_5 (joined (portRef S0 (instanceRef buffer_number_cry_0_5)) @@ -57462,7 +57496,7 @@ (net buffer_number_5 (joined (portRef Q (instanceRef buffer_number_5)) (portRef C0 (instanceRef buffer_number_cry_0_5)) - (portRef C (instanceRef current_output_data_buffer_0_1_5)) + (portRef C (instanceRef current_output_data_buffer_0_a4_5)) )) (net buffer_number_s_6 (joined (portRef S1 (instanceRef buffer_number_cry_0_5)) @@ -57471,7 +57505,7 @@ (net buffer_number_6 (joined (portRef Q (instanceRef buffer_number_6)) (portRef C1 (instanceRef buffer_number_cry_0_5)) - (portRef C (instanceRef current_output_data_buffer_0_1_6)) + (portRef C (instanceRef current_output_data_buffer_0_a4_6)) )) (net buffer_number_s_7 (joined (portRef S0 (instanceRef buffer_number_cry_0_7)) @@ -57626,7 +57660,7 @@ (net REPLYOBUF_stat_buffer_19 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_3)) (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) - (portRef B (instanceRef current_output_data_buffer_0_a4_0_3)) + (portRef A (instanceRef current_output_data_buffer_0_0_3)) (portRef B (instanceRef sending_state_ns_i_i_i_o2_3_0)) )) (net CURRENT_DATA_COUNT_s_4 (joined @@ -57636,7 +57670,7 @@ (net REPLYOBUF_stat_buffer_20 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_4)) (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) - (portRef B (instanceRef current_output_data_buffer_0_a4_0_4)) + (portRef A (instanceRef current_output_data_buffer_0_0_4)) (portRef C (instanceRef sending_state_ns_i_i_i_o2_3_0)) )) (net CURRENT_DATA_COUNT_s_5 (joined @@ -57646,7 +57680,7 @@ (net CURRENT_DATA_COUNT_5 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_5)) (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) - (portRef B (instanceRef current_output_data_buffer_0_a4_0_5)) + (portRef A (instanceRef current_output_data_buffer_0_0_5)) (portRef A (instanceRef sending_state_ns_i_i_i_o2_1_0_0)) )) (net CURRENT_DATA_COUNT_s_6 (joined @@ -57656,37 +57690,33 @@ (net CURRENT_DATA_COUNT_6 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_6)) (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) - (portRef B (instanceRef current_output_data_buffer_0_a4_0_6)) + (portRef A (instanceRef current_output_data_buffer_0_0_6)) (portRef B (instanceRef sending_state_ns_i_i_i_o2_1_0_0)) )) (net N_246 (joined (portRef Z (instanceRef transfer_counter_RNIR42D_2)) (portRef D (instanceRef sending_state_ns_0_i_s_i_o3_RNIU2NH3_1)) (portRef B (instanceRef sending_state_ns_0_i_s_i_o3_RNIKQUD1_1)) - (portRef C (instanceRef current_output_data_buffer_0_a4_0_3)) - (portRef C (instanceRef current_output_data_buffer_0_a4_0_4)) - (portRef C (instanceRef current_output_data_buffer_0_a4_0_5)) - (portRef C (instanceRef current_output_data_buffer_0_a4_0_6)) )) (net N_546 (joined (portRef Z (instanceRef current_output_data_buffer_0_o2_1)) (portRef C (instanceRef sending_state_ns_i_i_i_a4_0)) (portRef D (instanceRef sending_state_ns_0_i_s_i_m2_1)) - (portRef C (instanceRef current_output_data_buffer_0_0_1)) - (portRef B (instanceRef current_output_data_buffer_0_o2_RNI2DC21_1)) + (portRef B (instanceRef current_output_data_buffer_0_a4_3)) + (portRef B (instanceRef current_output_data_buffer_0_a4_4)) + (portRef B (instanceRef current_output_data_buffer_0_a4_5)) + (portRef B (instanceRef current_output_data_buffer_0_a4_6)) + (portRef B (instanceRef sbuf_free_RNI2DC21)) (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0)) + (portRef A (instanceRef current_output_data_buffer_0_a4_1_2)) + (portRef A (instanceRef current_output_data_buffer_0_a4_3_1)) + (portRef B (instanceRef current_output_data_buffer_4_a2_1_0)) )) (net N_112 (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_o2_0)) (portRef A (instanceRef sending_state_ns_i_i_i_o2_1_0)) (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0)) )) - (net N_241 (joined - (portRef Z (instanceRef current_output_data_buffer_0_a2_1)) - (portRef B (instanceRef current_output_data_buffer_0_0_1)) - (portRef C (instanceRef current_output_data_buffer_0_a4_1_2)) - (portRef D (instanceRef current_output_data_buffer_4_a2_1_0)) - )) (net sending_state_ns_i_i_i_a4_2_0_0 (joined (portRef Z (instanceRef sending_state_ns_i_i_i_a4_0_0_0)) (portRef D (instanceRef sending_state_ns_i_i_i_1_0)) @@ -57696,28 +57726,29 @@ (portRef A (instanceRef max_DATA_COUNT_minus_onec)) (portRef A (instanceRef max_DATA_COUNT_minus_one_0_2)) )) + (net N_556 (joined + (portRef Z (instanceRef sending_state_ns_i_i_i_o3_0)) + (portRef D (instanceRef sending_state_ns_i_i_i_a4_1_0)) + )) (net N_242 (joined (portRef Z (instanceRef current_output_data_buffer_4_a2_0_0)) - (portRef B (instanceRef current_output_data_buffer_0_6)) - (portRef B (instanceRef current_output_data_buffer_0_5)) - (portRef B (instanceRef current_output_data_buffer_0_4)) - (portRef B (instanceRef current_output_data_buffer_0_3)) (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_s_0)) (portRef B (instanceRef current_output_data_buffer_4_0_0)) (portRef C (instanceRef current_output_data_buffer_0_1_2)) - (portRef A (instanceRef current_output_data_buffer_0_0_10)) + (portRef A (instanceRef current_output_data_buffer_0_1_1)) + (portRef B (instanceRef current_output_data_buffer_0_0_3)) + (portRef B (instanceRef current_output_data_buffer_0_0_4)) + (portRef B (instanceRef current_output_data_buffer_0_0_5)) + (portRef B (instanceRef current_output_data_buffer_0_0_6)) + (portRef A (instanceRef current_output_data_buffer_0_0_14)) + (portRef A (instanceRef current_output_data_buffer_0_0_9)) (portRef A (instanceRef current_output_data_buffer_0_0_11)) - (portRef A (instanceRef current_output_data_buffer_0_0_7)) + (portRef A (instanceRef current_output_data_buffer_0_0_10)) + (portRef A (instanceRef current_output_data_buffer_0_0_15)) (portRef A (instanceRef current_output_data_buffer_0_0_13)) - (portRef A (instanceRef current_output_data_buffer_0_0_8)) (portRef A (instanceRef current_output_data_buffer_0_0_12)) - (portRef A (instanceRef current_output_data_buffer_0_0_9)) - (portRef A (instanceRef current_output_data_buffer_0_0_14)) - (portRef A (instanceRef current_output_data_buffer_0_0_15)) - )) - (net N_556 (joined - (portRef Z (instanceRef sending_state_ns_i_i_i_o3_0)) - (portRef D (instanceRef sending_state_ns_i_i_i_a4_1_0)) + (portRef A (instanceRef current_output_data_buffer_0_0_7)) + (portRef A (instanceRef current_output_data_buffer_0_0_8)) )) (net un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0 (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0_0)) @@ -57748,8 +57779,8 @@ )) (net N_155 (joined (portRef Z (instanceRef current_output_data_buffer_0_a4_0_1)) + (portRef A (instanceRef current_output_data_buffer_0_1)) (portRef A (instanceRef current_output_data_buffer_0_1_2)) - (portRef A (instanceRef current_output_data_buffer_0_0_1)) )) (net un3_send_eob (joined (portRef Z (instanceRef gen1_un3_send_eob)) @@ -57785,47 +57816,55 @@ (portRef A (instanceRef reg_SEND_ACK_IN_2_RNO)) (portRef A (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o3)) )) + (net N_249 (joined + (portRef Z (instanceRef current_output_data_buffer_4_a2_2_0)) + (portRef C (instanceRef current_output_data_buffer_0_2)) + (portRef B (instanceRef current_output_data_buffer_4_0)) + (portRef C (instanceRef current_output_data_buffer_0_3_1)) + (portRef C (instanceRef current_output_data_buffer_0_0_3)) + (portRef C (instanceRef current_output_data_buffer_0_0_4)) + (portRef C (instanceRef current_output_data_buffer_0_0_5)) + (portRef C (instanceRef current_output_data_buffer_0_0_6)) + )) (net N_243 (joined (portRef Z (instanceRef current_output_data_buffer_4_a2_1_0)) + (portRef B (instanceRef current_output_data_buffer_0_6)) + (portRef B (instanceRef current_output_data_buffer_0_5)) + (portRef B (instanceRef current_output_data_buffer_0_4)) + (portRef B (instanceRef current_output_data_buffer_0_3)) (portRef B (instanceRef current_output_data_buffer_4_2_0)) - (portRef B (instanceRef current_output_data_buffer_0_1_5)) - (portRef B (instanceRef current_output_data_buffer_0_1_4)) - (portRef B (instanceRef current_output_data_buffer_0_1_3)) - (portRef B (instanceRef current_output_data_buffer_0_1_6)) - (portRef B (instanceRef current_output_data_buffer_0_0_10)) + (portRef B (instanceRef current_output_data_buffer_0_0_14)) + (portRef B (instanceRef current_output_data_buffer_0_0_9)) (portRef B (instanceRef current_output_data_buffer_0_0_11)) - (portRef B (instanceRef current_output_data_buffer_0_0_7)) + (portRef B (instanceRef current_output_data_buffer_0_0_10)) + (portRef B (instanceRef current_output_data_buffer_0_0_15)) (portRef B (instanceRef current_output_data_buffer_0_0_13)) - (portRef B (instanceRef current_output_data_buffer_0_0_8)) (portRef B (instanceRef current_output_data_buffer_0_0_12)) - (portRef B (instanceRef current_output_data_buffer_0_0_9)) - (portRef B (instanceRef current_output_data_buffer_0_0_14)) - (portRef B (instanceRef current_output_data_buffer_0_0_15)) - )) - (net N_249 (joined - (portRef Z (instanceRef current_output_data_buffer_4_a2_2_0)) - (portRef B (instanceRef current_output_data_buffer_4_0)) - (portRef C (instanceRef current_output_data_buffer_0_2)) - (portRef C (instanceRef current_output_data_buffer_0_3_1)) + (portRef B (instanceRef current_output_data_buffer_0_0_7)) + (portRef B (instanceRef current_output_data_buffer_0_0_8)) )) (net N_240 (joined (portRef Z (instanceRef current_output_data_buffer_4_a2_0)) (portRef A (instanceRef current_output_data_buffer_0_3_2)) + (portRef A (instanceRef current_output_data_buffer_0_15)) + (portRef A (instanceRef current_output_data_buffer_0_14)) (portRef A (instanceRef current_output_data_buffer_0_13)) + (portRef A (instanceRef current_output_data_buffer_0_12)) (portRef A (instanceRef current_output_data_buffer_0_11)) + (portRef A (instanceRef current_output_data_buffer_0_10)) (portRef A (instanceRef current_output_data_buffer_0_9)) (portRef A (instanceRef current_output_data_buffer_0_8)) (portRef A (instanceRef current_output_data_buffer_0_7)) - (portRef A (instanceRef current_output_data_buffer_0_10)) - (portRef A (instanceRef current_output_data_buffer_0_12)) - (portRef A (instanceRef current_output_data_buffer_0_14)) - (portRef A (instanceRef current_output_data_buffer_0_15)) (portRef A (instanceRef current_output_data_buffer_4_2_0)) (portRef B (instanceRef current_output_data_buffer_0_3_1)) - (portRef A (instanceRef current_output_data_buffer_0_1_5)) - (portRef A (instanceRef current_output_data_buffer_0_1_4)) - (portRef A (instanceRef current_output_data_buffer_0_1_3)) - (portRef A (instanceRef current_output_data_buffer_0_1_6)) + )) + (net un1_IOBUF_17 (joined + (portRef (member un1_iobuf 14)) + (portRef D (instanceRef current_output_data_buffer_0_a4_3_1)) + )) + (net N_158 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_3_1)) + (portRef B (instanceRef current_output_data_buffer_0_1)) )) (net un1_IOBUF_18 (joined (portRef (member un1_iobuf 13)) @@ -57835,6 +57874,10 @@ (portRef Z (instanceRef current_output_data_buffer_0_a4_1_2)) (portRef B (instanceRef current_output_data_buffer_0_1_2)) )) + (net current_output_data_buffer_0_a4_0_1 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_0_1_1)) + (portRef C (instanceRef current_output_data_buffer_0_1_1)) + )) (net N_135 (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0)) (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_s_0)) @@ -57846,33 +57889,33 @@ (portRef A (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0)) )) (net N_214 (joined - (portRef Z (instanceRef current_output_data_buffer_0_o2_RNI2DC21_1)) + (portRef Z (instanceRef sbuf_free_RNI2DC21)) (portRef A (instanceRef sending_state_RNO_1)) (portRef C (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_m3)) (portRef C (instanceRef sending_state_ns_0_i_s_i_o3_RNIU2NH3_1)) (portRef B (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2_RNII8CH2)) )) - (net N_149 (joined - (portRef Z (instanceRef current_output_data_buffer_4_a4_0)) - (portRef B (instanceRef current_output_data_buffer_0_2)) - (portRef A (instanceRef current_output_data_buffer_4_0_0)) - )) - (net N_177 (joined - (portRef Z (instanceRef current_output_data_buffer_0_a4_0_6)) + (net N_176 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_6)) (portRef A (instanceRef current_output_data_buffer_0_6)) )) - (net N_173 (joined - (portRef Z (instanceRef current_output_data_buffer_0_a4_0_5)) + (net N_172 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_5)) (portRef A (instanceRef current_output_data_buffer_0_5)) )) - (net N_169 (joined - (portRef Z (instanceRef current_output_data_buffer_0_a4_0_4)) + (net N_168 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_4)) (portRef A (instanceRef current_output_data_buffer_0_4)) )) - (net N_165 (joined - (portRef Z (instanceRef current_output_data_buffer_0_a4_0_3)) + (net N_164 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_3)) (portRef A (instanceRef current_output_data_buffer_0_3)) )) + (net N_149 (joined + (portRef Z (instanceRef current_output_data_buffer_4_a4_0)) + (portRef B (instanceRef current_output_data_buffer_0_2)) + (portRef A (instanceRef current_output_data_buffer_4_0_0)) + )) (net N_223 (joined (portRef Z (instanceRef sending_state_ns_i_i_i_a4_1_0)) (portRef C (instanceRef sending_state_ns_i_i_i_0)) @@ -57886,37 +57929,21 @@ (portRef Z (instanceRef sending_state_ns_0_i_s_i_o3_RNIKQUD1_1)) (portRef A (instanceRef sending_state_ns_0_i_s_i_o3_RNIU2NH3_1)) )) - (net un1_IOBUF_17 (joined - (portRef (member un1_iobuf 14)) - (portRef D (instanceRef current_output_data_buffer_0_0_1)) - )) - (net current_output_data_buffer_0_0_1 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_1)) - (portRef A (instanceRef current_output_data_buffer_0_1)) - )) - (net un1_IOBUF_31 (joined - (portRef (member un1_iobuf 0)) - (portRef D (instanceRef current_output_data_buffer_0_0_15)) - )) - (net current_output_data_buffer_0_0_15 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_15)) - (portRef C (instanceRef current_output_data_buffer_0_15)) - )) - (net un1_IOBUF_30 (joined - (portRef (member un1_iobuf 1)) - (portRef D (instanceRef current_output_data_buffer_0_0_14)) + (net un1_IOBUF_24 (joined + (portRef (member un1_iobuf 7)) + (portRef D (instanceRef current_output_data_buffer_0_0_8)) )) - (net current_output_data_buffer_0_0_14 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_14)) - (portRef C (instanceRef current_output_data_buffer_0_14)) + (net current_output_data_buffer_0_0_8 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_8)) + (portRef C (instanceRef current_output_data_buffer_0_8)) )) - (net un1_IOBUF_25 (joined - (portRef (member un1_iobuf 6)) - (portRef D (instanceRef current_output_data_buffer_0_0_9)) + (net un1_IOBUF_23 (joined + (portRef (member un1_iobuf 8)) + (portRef D (instanceRef current_output_data_buffer_0_0_7)) )) - (net current_output_data_buffer_0_0_9 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_9)) - (portRef C (instanceRef current_output_data_buffer_0_9)) + (net current_output_data_buffer_0_0_7 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_7)) + (portRef C (instanceRef current_output_data_buffer_0_7)) )) (net un1_IOBUF_28 (joined (portRef (member un1_iobuf 3)) @@ -57926,14 +57953,6 @@ (portRef Z (instanceRef current_output_data_buffer_0_0_12)) (portRef C (instanceRef current_output_data_buffer_0_12)) )) - (net un1_IOBUF_24 (joined - (portRef (member un1_iobuf 7)) - (portRef D (instanceRef current_output_data_buffer_0_0_8)) - )) - (net current_output_data_buffer_0_0_8 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_8)) - (portRef C (instanceRef current_output_data_buffer_0_8)) - )) (net un1_IOBUF_29 (joined (portRef (member un1_iobuf 2)) (portRef D (instanceRef current_output_data_buffer_0_0_13)) @@ -57942,13 +57961,21 @@ (portRef Z (instanceRef current_output_data_buffer_0_0_13)) (portRef C (instanceRef current_output_data_buffer_0_13)) )) - (net un1_IOBUF_23 (joined - (portRef (member un1_iobuf 8)) - (portRef D (instanceRef current_output_data_buffer_0_0_7)) + (net un1_IOBUF_31 (joined + (portRef (member un1_iobuf 0)) + (portRef D (instanceRef current_output_data_buffer_0_0_15)) )) - (net current_output_data_buffer_0_0_7 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_7)) - (portRef C (instanceRef current_output_data_buffer_0_7)) + (net current_output_data_buffer_0_0_15 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_15)) + (portRef C (instanceRef current_output_data_buffer_0_15)) + )) + (net un1_IOBUF_26 (joined + (portRef (member un1_iobuf 5)) + (portRef D (instanceRef current_output_data_buffer_0_0_10)) + )) + (net current_output_data_buffer_0_0_10 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_10)) + (portRef C (instanceRef current_output_data_buffer_0_10)) )) (net un1_IOBUF_27 (joined (portRef (member un1_iobuf 4)) @@ -57958,49 +57985,45 @@ (portRef Z (instanceRef current_output_data_buffer_0_0_11)) (portRef C (instanceRef current_output_data_buffer_0_11)) )) - (net un1_IOBUF_26 (joined - (portRef (member un1_iobuf 5)) - (portRef D (instanceRef current_output_data_buffer_0_0_10)) - )) - (net current_output_data_buffer_0_0_10 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_10)) - (portRef C (instanceRef current_output_data_buffer_0_10)) + (net un1_IOBUF_25 (joined + (portRef (member un1_iobuf 6)) + (portRef D (instanceRef current_output_data_buffer_0_0_9)) )) - (net un1_IOBUF_22 (joined - (portRef (member un1_iobuf 9)) - (portRef D (instanceRef current_output_data_buffer_0_1_6)) + (net current_output_data_buffer_0_0_9 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_9)) + (portRef C (instanceRef current_output_data_buffer_0_9)) )) - (net current_output_data_buffer_0_1_6 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1_6)) - (portRef C (instanceRef current_output_data_buffer_0_6)) + (net un1_IOBUF_30 (joined + (portRef (member un1_iobuf 1)) + (portRef D (instanceRef current_output_data_buffer_0_0_14)) )) - (net un1_IOBUF_19 (joined - (portRef (member un1_iobuf 12)) - (portRef D (instanceRef current_output_data_buffer_0_1_3)) + (net current_output_data_buffer_0_0_14 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_14)) + (portRef C (instanceRef current_output_data_buffer_0_14)) )) - (net current_output_data_buffer_0_1_3 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1_3)) - (portRef C (instanceRef current_output_data_buffer_0_3)) + (net current_output_data_buffer_0_0_6 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_6)) + (portRef C (instanceRef current_output_data_buffer_0_6)) )) - (net un1_IOBUF_20 (joined - (portRef (member un1_iobuf 11)) - (portRef D (instanceRef current_output_data_buffer_0_1_4)) + (net current_output_data_buffer_0_0_5 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_5)) + (portRef C (instanceRef current_output_data_buffer_0_5)) )) - (net current_output_data_buffer_0_1_4 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1_4)) + (net current_output_data_buffer_0_0_4 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_4)) (portRef C (instanceRef current_output_data_buffer_0_4)) )) - (net un1_IOBUF_21 (joined - (portRef (member un1_iobuf 10)) - (portRef D (instanceRef current_output_data_buffer_0_1_5)) + (net current_output_data_buffer_0_0_3 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_3)) + (portRef C (instanceRef current_output_data_buffer_0_3)) )) - (net current_output_data_buffer_0_1_5 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1_5)) - (portRef C (instanceRef current_output_data_buffer_0_5)) + (net current_output_data_buffer_0_1_1 (joined + (portRef Z (instanceRef current_output_data_buffer_0_1_1)) + (portRef C (instanceRef current_output_data_buffer_0_1)) )) (net current_output_data_buffer_0_3_1 (joined (portRef Z (instanceRef current_output_data_buffer_0_3_1)) - (portRef C (instanceRef current_output_data_buffer_0_1)) + (portRef D (instanceRef current_output_data_buffer_0_1)) )) (net current_output_data_buffer_0_1_2 (joined (portRef Z (instanceRef current_output_data_buffer_0_1_2)) @@ -58030,37 +58053,10 @@ (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_s_0)) (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_s)) )) - (net MED_IO_DATA_OUT_31 (joined - (portRef Z (instanceRef current_output_data_buffer_0_15)) - (portRef (member med_io_data_out 0)) - )) - (net MED_IO_DATA_OUT_30 (joined - (portRef Z (instanceRef current_output_data_buffer_0_14)) - (portRef (member med_io_data_out 1)) - )) - (net MED_IO_DATA_OUT_28 (joined - (portRef Z (instanceRef current_output_data_buffer_0_12)) - (portRef (member med_io_data_out 3)) - )) - (net MED_IO_DATA_OUT_26 (joined - (portRef Z (instanceRef current_output_data_buffer_0_10)) - (portRef (member med_io_data_out 5)) - )) (net MED_IO_DATA_OUT_23 (joined (portRef Z (instanceRef current_output_data_buffer_0_7)) (portRef (member med_io_data_out 8)) )) - (net N_119 (joined - (portRef Z (instanceRef sending_state_ns_i_i_i_o3_0_0)) - (portRef B (instanceRef sending_state_ns_i_i_i_1_0)) - (portRef A (instanceRef sending_state_ns_0_i_s_i_a4_1)) - (portRef B (instanceRef sending_state_ns_i_i_i_o2_1_0)) - )) - (net N_267 (joined - (portRef Z (instanceRef sending_state_ns_i_i_i_o2_1_0)) - (portRef B (instanceRef sending_state_ns_i_i_i_a4_0)) - (portRef B (instanceRef sending_state_ns_0_i_s_i_m2_1)) - )) (net MED_IO_DATA_OUT_24 (joined (portRef Z (instanceRef current_output_data_buffer_0_8)) (portRef (member med_io_data_out 7)) @@ -58069,30 +58065,73 @@ (portRef Z (instanceRef current_output_data_buffer_0_9)) (portRef (member med_io_data_out 6)) )) + (net MED_IO_DATA_OUT_26 (joined + (portRef Z (instanceRef current_output_data_buffer_0_10)) + (portRef (member med_io_data_out 5)) + )) (net MED_IO_DATA_OUT_27 (joined (portRef Z (instanceRef current_output_data_buffer_0_11)) (portRef (member med_io_data_out 4)) )) + (net MED_IO_DATA_OUT_28 (joined + (portRef Z (instanceRef current_output_data_buffer_0_12)) + (portRef (member med_io_data_out 3)) + )) (net MED_IO_DATA_OUT_29 (joined (portRef Z (instanceRef current_output_data_buffer_0_13)) (portRef (member med_io_data_out 2)) )) + (net MED_IO_DATA_OUT_30 (joined + (portRef Z (instanceRef current_output_data_buffer_0_14)) + (portRef (member med_io_data_out 1)) + )) + (net MED_IO_DATA_OUT_31 (joined + (portRef Z (instanceRef current_output_data_buffer_0_15)) + (portRef (member med_io_data_out 0)) + )) + (net un1_IOBUF_19 (joined + (portRef (member un1_iobuf 12)) + (portRef D (instanceRef current_output_data_buffer_0_3)) + )) (net MED_IO_DATA_OUT_19 (joined (portRef Z (instanceRef current_output_data_buffer_0_3)) (portRef (member med_io_data_out 12)) )) + (net un1_IOBUF_20 (joined + (portRef (member un1_iobuf 11)) + (portRef D (instanceRef current_output_data_buffer_0_4)) + )) (net MED_IO_DATA_OUT_20 (joined (portRef Z (instanceRef current_output_data_buffer_0_4)) (portRef (member med_io_data_out 11)) )) + (net un1_IOBUF_21 (joined + (portRef (member un1_iobuf 10)) + (portRef D (instanceRef current_output_data_buffer_0_5)) + )) (net MED_IO_DATA_OUT_21 (joined (portRef Z (instanceRef current_output_data_buffer_0_5)) (portRef (member med_io_data_out 10)) )) + (net un1_IOBUF_22 (joined + (portRef (member un1_iobuf 9)) + (portRef D (instanceRef current_output_data_buffer_0_6)) + )) (net MED_IO_DATA_OUT_22 (joined (portRef Z (instanceRef current_output_data_buffer_0_6)) (portRef (member med_io_data_out 9)) )) + (net N_119 (joined + (portRef Z (instanceRef sending_state_ns_i_i_i_o3_0_0)) + (portRef B (instanceRef sending_state_ns_i_i_i_1_0)) + (portRef A (instanceRef sending_state_ns_0_i_s_i_a4_1)) + (portRef B (instanceRef sending_state_ns_i_i_i_o2_1_0)) + )) + (net N_267 (joined + (portRef Z (instanceRef sending_state_ns_i_i_i_o2_1_0)) + (portRef B (instanceRef sending_state_ns_i_i_i_a4_0)) + (portRef B (instanceRef sending_state_ns_0_i_s_i_m2_1)) + )) (net current_output_data_buffer_0_3_2 (joined (portRef Z (instanceRef current_output_data_buffer_0_3_2)) (portRef D (instanceRef current_output_data_buffer_0_2)) @@ -58101,16 +58140,21 @@ (portRef Z (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_m3)) (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0_RNI04FL1)) )) - (net MED_IO_DATA_OUT_18 (joined - (portRef Z (instanceRef current_output_data_buffer_0_2)) - (portRef D (instanceRef saved_packet_type_2)) - (portRef (member med_io_data_out 13)) - )) (net MED_IO_DATA_OUT_16 (joined (portRef Z (instanceRef current_output_data_buffer_4_0)) (portRef D (instanceRef saved_packet_type_0)) (portRef (member med_io_data_out 15)) )) + (net MED_IO_DATA_OUT_17 (joined + (portRef Z (instanceRef current_output_data_buffer_0_1)) + (portRef D (instanceRef saved_packet_type_1)) + (portRef (member med_io_data_out 14)) + )) + (net MED_IO_DATA_OUT_18 (joined + (portRef Z (instanceRef current_output_data_buffer_0_2)) + (portRef D (instanceRef saved_packet_type_2)) + (portRef (member med_io_data_out 13)) + )) (net N_142 (joined (portRef Z (instanceRef sending_state_ns_0_i_s_i_m2_1)) (portRef B (instanceRef sending_state_ns_0_i_s_i_a4_1)) @@ -58127,15 +58171,6 @@ (portRef Z (instanceRef sending_state_ns_i_i_i_1_0)) (portRef D (instanceRef sending_state_ns_i_i_i_0)) )) - (net current_output_data_buffer_0_1_1 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1_1)) - (portRef B (instanceRef current_output_data_buffer_0_1)) - )) - (net MED_IO_DATA_OUT_17 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1)) - (portRef D (instanceRef saved_packet_type_1)) - (portRef (member med_io_data_out 14)) - )) (net CURRENT_DATA_COUNT_cry_0 (joined (portRef COUT (instanceRef CURRENT_DATA_COUNT_cry_0_0)) (portRef CIN (instanceRef CURRENT_DATA_COUNT_cry_0_1)) @@ -58916,16 +58951,16 @@ (instance fifo_valid_readc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance current_fifo_packet_type_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_packet_type_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_packet_type_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_packet_type_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance fifo_write_i_a2_RNIHEFR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -58934,14 +58969,14 @@ (instance next_rec_buffer_size_out_0_sqmuxa_0_a4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) ) - (instance gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance un4_fifo_data_valid (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(!B+A)))")) ) (instance gen_ack1_proc_reg_eob_out_reg_eob_init_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) ) - (instance un4_fifo_data_valid (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(!B+A)))")) + (instance gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) (instance PROC_word_waiting_un11_tmp_int_init_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B)+D (!C !A))")) @@ -59087,10 +59122,10 @@ )) (net un20_fifo_long_packet_num_out (joined (portRef Z (instanceRef un20_fifo_long_packet_num_out)) - (portRef C (instanceRef current_fifo_packet_type_0)) - (portRef C (instanceRef current_fifo_packet_type_1)) - (portRef C (instanceRef current_fifo_packet_type_2)) (portRef C (instanceRef current_fifo_packet_type_3)) + (portRef C (instanceRef current_fifo_packet_type_2)) + (portRef C (instanceRef current_fifo_packet_type_1)) + (portRef C (instanceRef current_fifo_packet_type_0)) (portRef SP (instanceRef saved_fifo_packet_type_3)) (portRef SP (instanceRef saved_fifo_packet_type_2)) (portRef SP (instanceRef saved_fifo_packet_type_1)) @@ -59735,8 +59770,8 @@ ) (net got_eob_init_out_0_sqmuxa_2 (joined (portRef Z (instanceRef got_eob_init_out_0_sqmuxa_2)) - (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) )) (net fifo_empty (joined (portRef fifo_empty (instanceRef THE_FIFO)) @@ -59751,28 +59786,28 @@ (portRef (member current_buffer_state 0) (instanceRef gen_init_sbuf_SBUF_INIT)) (portRef D (instanceRef fifo_valid_readc)) )) - (net current_fifo_packet_type_3 (joined - (portRef Z (instanceRef current_fifo_packet_type_3)) - (portRef (member current_fifo_packet_type 0) (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef A (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) - (portRef C (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) - (portRef C (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) - )) - (net current_fifo_packet_type_2 (joined - (portRef Z (instanceRef current_fifo_packet_type_2)) - (portRef C (instanceRef un4_fifo_data_valid)) - (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) - (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (net current_fifo_packet_type_0 (joined + (portRef Z (instanceRef current_fifo_packet_type_0)) + (portRef A (instanceRef un4_fifo_data_valid)) )) (net current_fifo_packet_type_1 (joined (portRef Z (instanceRef current_fifo_packet_type_1)) - (portRef B (instanceRef un4_fifo_data_valid)) - (portRef A (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) (portRef A (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (portRef A (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) + (portRef B (instanceRef un4_fifo_data_valid)) )) - (net current_fifo_packet_type_0 (joined - (portRef Z (instanceRef current_fifo_packet_type_0)) - (portRef A (instanceRef un4_fifo_data_valid)) + (net current_fifo_packet_type_2 (joined + (portRef Z (instanceRef current_fifo_packet_type_2)) + (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) + (portRef C (instanceRef un4_fifo_data_valid)) + )) + (net current_fifo_packet_type_3 (joined + (portRef Z (instanceRef current_fifo_packet_type_3)) + (portRef (member current_fifo_packet_type 0) (instanceRef gen_init_sbuf_SBUF_INIT)) + (portRef A (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) + (portRef C (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (portRef C (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) )) (net fifo_full (joined (portRef fifo_full (instanceRef THE_FIFO)) @@ -60123,7 +60158,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_51 (direction INPUT)) + (port reset_tdc_12 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -60326,8 +60361,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -60453,7 +60488,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_12 (direction INPUT)) + (port reset_tdc_57 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -60656,8 +60691,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -60783,7 +60818,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_6 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -60986,8 +61021,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -61113,7 +61148,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_64 (direction INPUT)) + (port reset_tdc_27 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -61316,8 +61351,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -61443,7 +61478,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_18 (direction INPUT)) + (port reset_tdc_70 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -61646,8 +61681,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -62103,7 +62138,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_70 (direction INPUT)) + (port reset_tdc_18 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -62306,8 +62341,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -62433,7 +62468,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_24 (direction INPUT)) + (port reset_tdc_64 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -62636,8 +62671,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -62763,7 +62798,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_61 (direction INPUT)) + (port reset_tdc_24 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -62966,8 +63001,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -63093,7 +63128,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_15 (direction INPUT)) + (port reset_tdc_54 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -63296,8 +63331,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -63423,7 +63458,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_30 (direction INPUT)) + (port reset_tdc_9 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -63626,8 +63661,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_30 (joined - (portRef reset_tdc_30) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -63753,7 +63788,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_61 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -63956,8 +63991,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -64083,7 +64118,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_21 (direction INPUT)) + (port reset_tdc_51 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -64286,8 +64321,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -64413,7 +64448,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_30 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -64616,8 +64651,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) + (net reset_tdc_30 (joined + (portRef reset_tdc_30) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -64743,7 +64778,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_57 (direction INPUT)) + (port reset_tdc_15 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -64946,8 +64981,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -65073,7 +65108,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_21 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -65276,8 +65311,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -65403,7 +65438,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_54 (direction INPUT)) + (port reset_tdc_67 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -65606,8 +65641,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -65733,7 +65768,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_79 (direction INPUT)) + (port reset_tdc_36 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -65936,8 +65971,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_79 (joined - (portRef reset_tdc_79) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -66063,7 +66098,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_94 (direction INPUT)) + (port reset_tdc_48 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -66266,8 +66301,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -66393,7 +66428,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_48 (direction INPUT)) + (port reset_tdc_94 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -66596,8 +66631,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -66723,7 +66758,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_79 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -66926,8 +66961,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) + (net reset_tdc_79 (joined + (portRef reset_tdc_79) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -67053,7 +67088,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_39 (direction INPUT)) + (port reset_tdc_85 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -67256,8 +67291,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -67383,7 +67418,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_76 (direction INPUT)) + (port reset_tdc_88 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -67586,8 +67621,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -67713,7 +67748,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_91 (direction INPUT)) + (port reset_tdc_73 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -67916,8 +67951,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -68043,7 +68078,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_45 (direction INPUT)) + (port reset_tdc_97 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -68246,8 +68281,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) + (net reset_tdc_97 (joined + (portRef reset_tdc_97) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -68703,7 +68738,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_97 (direction INPUT)) + (port reset_tdc_45 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -68906,8 +68941,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_97 (joined - (portRef reset_tdc_97) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -69033,7 +69068,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_36 (direction INPUT)) + (port reset_tdc_91 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -69236,8 +69271,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -69363,7 +69398,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_88 (direction INPUT)) + (port reset_tdc_76 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -69566,8 +69601,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -69693,7 +69728,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_42 (direction INPUT)) + (port reset_tdc_39 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -69896,8 +69931,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -70023,7 +70058,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_73 (direction INPUT)) + (port reset_tdc_42 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -70226,8 +70261,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -70678,73 +70713,71 @@ (cell handler_ipu (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename dat_data_read_out_0_rnipo254 "DAT_DATA_READ_OUT_0_RNIPO254(0:0)") 1) (direction OUTPUT)) + (port (array (rename ipu_data_empty "ipu_data_empty(0:0)") 1) (direction INPUT)) (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) (port (array (rename ipu_data_length "ipu_data_length(15:0)") 16) (direction INPUT)) - (port (array (rename dat_data_read_out_0 "DAT_DATA_READ_OUT_0(0:0)") 1) (direction OUTPUT)) (port (array (rename ipu_length_i "ipu_length_i(15:0)") 16) (direction OUTPUT)) (port (array (rename ipu_data "ipu_data(31:8)") 24) (direction INPUT)) + (port (array (rename dat_fifo_select "dat_fifo_select(0:0)") 1) (direction OUTPUT)) (port (array (rename ipu_error_pattern_i "ipu_error_pattern_i(27:27)") 1) (direction OUTPUT)) (port (array (rename ipu_data_i "ipu_data_i(31:0)") 32) (direction OUTPUT)) - (port (array (rename ipu_data_empty "ipu_data_empty(0:0)") 1) (direction INPUT)) (port (array (rename ipu_header "ipu_header(30:0)") 31) (direction INPUT)) + (port (array (rename common_ctrl_reg "common_ctrl_reg(2:2)") 1) (direction INPUT)) + (port (array (rename dat_fifo_finished "dat_fifo_finished(0:0)") 1) (direction OUTPUT)) + (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(46:46)") 1) (direction INPUT)) (port current_state_4 (direction OUTPUT)) (port current_state_0 (direction OUTPUT)) (port current_state_1 (direction OUTPUT)) - (port (array (rename common_ctrl_reg "common_ctrl_reg(2:2)") 1) (direction INPUT)) - (port (array (rename buf_apl_dataready_in "buf_APL_DATAREADY_IN(1:1)") 1) (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port m129_2_03_4_i_4 (direction OUTPUT)) - (port waiting_word_RNIIPH92 (direction INPUT)) + (port last_second_word_waiting_RNIKQVT1 (direction INPUT)) + (port reset_ipu_i_0_i_1 (direction INPUT)) + (port d_N_6 (direction OUTPUT)) (port m6_6_03_1_1 (direction INPUT)) - (port m1_6_03_1_0_0 (direction INPUT)) - (port m1_6_03 (direction INPUT)) - (port reset_i_fast_r8 (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) - (port N_4877 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) + (port reset_i_fast_r10 (direction INPUT)) (port ipu_dataready_i (direction OUTPUT)) - (port m169_2_03_4_i_4 (direction INPUT)) - (port m168_2_03_4_i_4 (direction INPUT)) (port m171_2_03_4_i_4 (direction INPUT)) (port m170_2_03_4_i_4 (direction INPUT)) - (port waiting_word (direction INPUT)) + (port m169_2_03_4_i_4 (direction INPUT)) + (port m168_2_03_4_i_4 (direction INPUT)) + (port first_fifo_read_RNIS8RB (direction OUTPUT)) (port ipu_start_readout_i (direction INPUT)) - (port m177_2_03_2_i_4 (direction INPUT)) (port m178_2_03_2_i_4 (direction INPUT)) (port m179_2_03_2_i_4 (direction INPUT)) (port m180_2_03_1_i_4 (direction INPUT)) - (port m182_2_03_1_i_4 (direction INPUT)) - (port m183_2_03_1_i_4 (direction INPUT)) (port m181_2_03_1_i_4 (direction INPUT)) + (port m183_2_03_1_i_4 (direction INPUT)) + (port m182_2_03_1_i_4 (direction INPUT)) + (port m177_2_03_2_i_4 (direction INPUT)) (port m176_2_03_2_i_4 (direction INPUT)) + (port DAT_DATA_READ_OUT_0_m3_0_a2_0 (direction OUTPUT)) + (port N_132 (direction OUTPUT)) (port m173_2_03_3_i_4 (direction OUTPUT)) - (port first_fifo_read (direction OUTPUT)) - (port reset_ipu_i_0_i_iso (direction INPUT)) - (port m166_2_03_4_i_4 (direction OUTPUT)) (port ipu_readout_finished_i (direction OUTPUT)) - (port reset_ipu_i_0 (direction INPUT)) + (port reset_ipu_i_0_i_1_i (direction INPUT)) (port ipu_header_empty (direction INPUT)) (port ipu_header_read (direction OUTPUT)) - (port suppress_output_fast (direction OUTPUT)) + (port suppress_output (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port GND (direction INPUT)) - (port m165_2_03_4_i_4 (direction OUTPUT)) (port m174_2_03_3_i_4 (direction OUTPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port GND (direction INPUT)) (port m161_2_03 (direction OUTPUT)) - (port reset_i_fast_r5 (direction INPUT)) - (port last_second_word_waiting (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) + (port m165_2_03_4_i_4 (direction OUTPUT)) + (port m166_2_03_4_i_4 (direction OUTPUT)) ) (contents - (instance current_state_srsts_i_0_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C (!B A))+D !B)")) + (instance ipu_dataready_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !C+D (!C (!B !A)))")) ) - (instance current_state_srsts_i_0_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!B A))")) + (instance ipu_dataready_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) + ) + (instance current_state_srsts_0_a2_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A)))")) ) - (instance current_state_RNI8SU71_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_RNI5CU71_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance error_sync_fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -70785,13 +70818,11 @@ ) (instance total_length_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance suppress_output_fast (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) (instance suppress_output (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance next_hdr_fifo_valid_read (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance next_dat_fifo_valid_read (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance next_dat_fifo_valid_read (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance last_hdr_fifo_valid_read (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) @@ -70865,7 +70896,7 @@ ) (instance hdr_fifo_valid_read (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance hdr_fifo_read (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance hdr_fifo_read (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance first_fifo_read (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) @@ -70915,19 +70946,28 @@ ) (instance current_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance current_state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_state_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance current_state_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_state_2 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance current_state_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance current_state_4 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + (instance current_state_4 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) + (instance ipu_data_i_RNO_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance ipu_data_i_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance IPU_LENGTH_OUT_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance current_state_nss_0_i_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance ipu_data_i_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance ipu_data_i_RNO_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance IPU_LENGTH_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) @@ -70947,6 +70987,9 @@ (instance IPU_LENGTH_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) + (instance IPU_LENGTH_OUT_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance IPU_LENGTH_OUT_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) @@ -70974,87 +71017,54 @@ (instance IPU_LENGTH_OUT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance ipu_data_i_RNO_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance ipu_data_i_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance un1_current_state_2_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance ipu_data_i_RNO_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_srsts_i_1_0_tz_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance DAT_DATA_READ_OUT_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance DAT_DATA_READ_OUT_0_m3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance current_state_RNIHMBK_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance current_state_srsts_i_1_tz_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_ipu_dataready_i_iv_a0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) - (instance next_ipu_data_i_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance PROC_DAT_FIFO_SELECT_un32_next_state_0_a2_m1_e_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) (instance next_ipu_data_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_ipu_data_i_0_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) - ) - (instance next_ipu_data_i_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_ipu_data_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_ipu_data_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) - ) - (instance next_ipu_data_i_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance next_ipu_data_i_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_ipu_data_i_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_ipu_data_i_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_ipu_data_i_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_ipu_data_i_0_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_ipu_data_i_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_ipu_data_i_0_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) (instance next_ipu_data_i_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_ipu_data_i_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_ipu_data_i_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_ipu_data_i_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_ipu_data_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_ipu_data_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_ipu_data_i_0_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_ipu_data_i_0_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) (instance next_ipu_data_i_0_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_ipu_data_i_0_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) - ) (instance next_ipu_data_i_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) (instance next_ipu_data_i_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_ipu_data_i_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) - ) (instance next_ipu_data_i_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -71064,9 +71074,21 @@ (instance next_ipu_data_i_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) + (instance next_ipu_data_i_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance next_ipu_data_i_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance next_ipu_data_i_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) (instance next_ipu_data_i_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) + (instance next_ipu_data_i_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) (instance next_ipu_data_i_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -71076,6 +71098,24 @@ (instance next_ipu_data_i_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) + (instance next_ipu_data_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance next_ipu_data_i_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance next_ipu_data_i_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_ipu_data_i_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_ipu_data_i_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_ipu_data_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) (instance dat_fifo_read_length_0_lm_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) @@ -71124,20 +71164,17 @@ (instance dat_fifo_read_length_0_lm_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_state_0_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance current_state_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_nss_0_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B+A))")) ) - (instance current_state_srsts_i_a0_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance first_fifo_read_RNIS8RB (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance current_state_srsts_i_a0_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_srsts_0_a2_1_tz_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B !A))")) ) - (instance ipu_dataready_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance DAT_DATA_READ_OUT_0_m3_0_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) ) (instance gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) @@ -71157,47 +71194,41 @@ (instance PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B A))+D (!C (B !A)+C (B A)))")) ) - (instance next_dat_fifo_valid_readc_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+!A))")) + (instance next_ipu_dataready_i_iv_a1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) ) (instance IPU_DATAREADY_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B+!A))")) ) - (instance next_ipu_dataready_i_iv_m6_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C (!B !A)+C (!B+A)))")) - ) (instance dat_fifo_read_length_0e_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) ) (instance un1_next_suppress_output_0_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)+C A)+D (!C B+C (B+A)))")) ) - (instance PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C A))")) - ) - (instance DAT_DATA_READ_OUT_0_RNIOA5M_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)))")) - ) - (instance current_state_srsts_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C (B+A)))")) + (instance current_state_srsts_i_i_a3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))")) ) (instance gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance current_state_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance current_statec_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A+C (!B !A)))")) ) - (instance next_ipu_dataready_i_iv_m6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A))+D (C+B))")) + (instance current_state_srsts_0_a2_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)))")) ) - (instance PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A))+D (C+B))")) + (instance current_state_RNI2UQ91_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (C (B+!A)))")) ) - (instance current_state_srsts_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) + (instance current_state_nss_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B !A)))")) ) - (instance next_dat_fifo_valid_readc_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)+C B))")) + (instance current_state_srsts_i_a0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance DAT_DATA_READ_OUT_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C+(!B+A)))")) ) (instance error_missing_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) @@ -71205,29 +71236,35 @@ (instance error_lvl1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) ) - (instance DAT_DATA_READ_OUT_0_RNIPO254_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+A))+D (!B+A))")) + (instance next_first_fifo_read_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance next_dat_fifo_valid_readc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A))+D C)")) + (instance current_state_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A)))")) ) - (instance next_first_fifo_read_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance current_state_srsts_i_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A))+D (!C !A))")) ) - (instance current_state_srsts_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + (instance current_state_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)))")) ) - (instance DAT_DATA_READ_OUT_0_RNI35LF2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C (B A)+C B))")) + (instance current_state_srsts_0_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A))+D (C (B !A)))")) + ) + (instance dat_fifo_select_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D (!C (B !A)+C (B+A)))")) ) (instance ipu_dataready_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C (B !A))+D (B !A))")) + (property lut_function (string "(!D (!C !B+C (!B !A))+D (!B !A))")) ) - (instance PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (!C (B A)+C (B+!A)))")) + (instance PROC_DAT_FIFO_VALID_READ_next_dat_fifo_valid_read_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance current_state_srsts_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (!B A))+D (!C+!B))")) + (instance next_dat_fifo_valid_read_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C (B A)+C B))")) + ) + (instance hdr_fifo_readd (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) ) (instance suppress_outputce (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B !A)))")) @@ -71286,48 +71323,105 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef A (instanceRef current_state_srsts_i_0_RNO_2)) - )) - (net current_state_srsts_i_0_1_2 (joined - (portRef Z (instanceRef current_state_srsts_i_0_RNO_2)) - (portRef B (instanceRef current_state_srsts_i_0_2)) - )) - (net current_state_srsts_i_a1_1_0 (joined - (portRef Z (instanceRef current_state_srsts_i_a0_1_0)) - (portRef C (instanceRef current_state_srsts_i_0_0)) - (portRef A (instanceRef current_state_srsts_i_0_0_RNO_0)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0) - (portRef A (instanceRef current_state_srsts_i_0_0)) - (portRef A (instanceRef next_dat_fifo_valid_readc_2)) - (portRef B (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i)) - (portRef B (instanceRef next_ipu_dataready_i_iv_m6_i)) - (portRef B (instanceRef current_state_srsts_i_0_0_RNO_0)) - (portRef B (instanceRef current_state_srsts_i_0_RNO_2)) + (net next_ipu_dataready_i_iv_0_0 (joined + (portRef Z (instanceRef ipu_dataready_i_RNO_0)) + (portRef B (instanceRef ipu_dataready_i_RNO)) )) - (net last_second_word_waiting (joined - (portRef last_second_word_waiting) - (portRef C (instanceRef current_state_srsts_i_0_0_RNO_0)) - (portRef C (instanceRef current_state_srsts_i_0_RNO_2)) + (net current_state_1 (joined + (portRef Q (instanceRef current_state_1)) + (portRef B (instanceRef dat_fifo_select_RNO_0)) + (portRef B (instanceRef current_state_srsts_0_a2_0_1)) + (portRef A (instanceRef current_state_srsts_0_a2_0_0_1)) + (portRef B (instanceRef current_statec_0_0_0)) + (portRef A (instanceRef next_ipu_data_i_0_2)) + (portRef A (instanceRef next_ipu_data_i_0_3)) + (portRef A (instanceRef next_ipu_data_i_0_4)) + (portRef A (instanceRef next_ipu_data_i_0_5)) + (portRef A (instanceRef next_ipu_data_i_0_8)) + (portRef A (instanceRef next_ipu_data_i_0_9)) + (portRef A (instanceRef next_ipu_data_i_0_10)) + (portRef A (instanceRef next_ipu_data_i_0_11)) + (portRef A (instanceRef next_ipu_data_i_0_12)) + (portRef A (instanceRef next_ipu_data_i_0_13)) + (portRef A (instanceRef next_ipu_data_i_0_14)) + (portRef A (instanceRef next_ipu_data_i_0_15)) + (portRef A (instanceRef next_ipu_data_i_0_16)) + (portRef A (instanceRef next_ipu_data_i_0_17)) + (portRef A (instanceRef next_ipu_data_i_0_18)) + (portRef A (instanceRef next_ipu_data_i_0_19)) + (portRef A (instanceRef next_ipu_data_i_0_20)) + (portRef A (instanceRef next_ipu_data_i_0_22)) + (portRef A (instanceRef next_ipu_data_i_0_23)) + (portRef A (instanceRef next_ipu_data_i_0_25)) + (portRef A (instanceRef next_ipu_data_i_0_26)) + (portRef A (instanceRef next_ipu_data_i_0_7)) + (portRef A (instanceRef next_ipu_data_i_0_27)) + (portRef A (instanceRef next_ipu_data_i_0_24)) + (portRef A (instanceRef next_ipu_data_i_0_21)) + (portRef A (instanceRef next_ipu_data_i_0_6)) + (portRef A (instanceRef next_ipu_data_i_0_1)) + (portRef A (instanceRef next_ipu_data_i_0_0)) + (portRef B (instanceRef un1_current_state_2_i_a2_0)) + (portRef A (instanceRef ipu_data_i_RNO_31)) + (portRef A (instanceRef ipu_data_i_RNO_30)) + (portRef A (instanceRef current_state_nss_0_i_RNO_0_0)) + (portRef A (instanceRef ipu_data_i_RNO_28)) + (portRef A (instanceRef ipu_data_i_RNO_29)) + (portRef D (instanceRef current_state_RNI5CU71_2)) + (portRef A (instanceRef ipu_dataready_i_RNO_1)) + (portRef D (instanceRef ipu_dataready_i_RNO_0)) + (portRef current_state_1) )) - (net buf_APL_DATAREADY_IN_1 (joined - (portRef (member buf_apl_dataready_in 0)) - (portRef D (instanceRef current_state_srsts_i_0_0_RNO_0)) - (portRef D (instanceRef current_state_srsts_i_0_RNO_2)) + (net m166_2_03_4_i_4 (joined + (portRef Q (instanceRef ipu_dataready_i)) + (portRef D (instanceRef current_state_srsts_i_a0_2)) + (portRef C (instanceRef IPU_DATAREADY_OUT)) + (portRef C (instanceRef next_ipu_dataready_i_iv_a1_1)) + (portRef C (instanceRef current_state_srsts_0_a2_1_tz_1)) + (portRef B (instanceRef next_ipu_dataready_i_iv_a0_0)) + (portRef B (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0)) + (portRef A (instanceRef current_state_srsts_0_a2_0_RNO_1)) + (portRef B (instanceRef ipu_dataready_i_RNO_1)) + (portRef A (instanceRef ipu_dataready_i_RNO_0)) + (portRef m166_2_03_4_i_4) + )) + (net next_ipu_dataready_i_iv_a0_0 (joined + (portRef Z (instanceRef ipu_dataready_i_RNO_1)) + (portRef C (instanceRef ipu_dataready_i_RNO)) )) - (net current_state_srsts_i_0_0_RNO_0 (joined - (portRef Z (instanceRef current_state_srsts_i_0_0_RNO_0)) - (portRef A (instanceRef current_state_srsts_i_0_0_0)) + (net m161_2_03 (joined + (portRef Q (instanceRef current_state_2)) + (portRef D (instanceRef current_state_srsts_i_1_2)) + (portRef B (instanceRef current_state_RNI2UQ91_2)) + (portRef B (instanceRef IPU_DATAREADY_OUT)) + (portRef B (instanceRef current_state_srsts_0_a2_1_tz_1)) + (portRef B (instanceRef current_state_nss_0_i_RNO_0_0)) + (portRef C (instanceRef current_state_RNI5CU71_2)) + (portRef B (instanceRef current_state_srsts_0_a2_0_RNO_1)) + (portRef C (instanceRef ipu_dataready_i_RNO_0)) + (portRef m161_2_03) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef A (instanceRef current_state_RNI8SU71_2)) + (net buf_api_stat_fifo_to_int_46 (joined + (portRef (member buf_api_stat_fifo_to_int 0)) + (portRef A (instanceRef current_state_srsts_i_a0_2)) + (portRef A (instanceRef next_ipu_dataready_i_iv_a1_1)) + (portRef A (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0_0)) + (portRef A (instanceRef current_state_srsts_0_a2_1_tz_1)) + (portRef A (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_a2_m1_e_0)) + (portRef A (instanceRef next_ipu_dataready_i_iv_a0_0)) + (portRef D (instanceRef current_state_srsts_0_a2_0_RNO_1)) + (portRef C (instanceRef ipu_dataready_i_RNO_1)) + )) + (net current_state_srsts_0_a2_a0_0_0_1 (joined + (portRef Z (instanceRef current_state_srsts_0_a2_0_RNO_1)) + (portRef D (instanceRef current_state_srsts_0_a2_0_1)) + )) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef A (instanceRef current_state_RNI5CU71_2)) )) - (net current_state_RNI8SU71_2 (joined - (portRef Z (instanceRef current_state_RNI8SU71_2)) + (net current_state_RNI5CU71_2 (joined + (portRef Z (instanceRef current_state_RNI5CU71_2)) (portRef CD (instanceRef ipu_data_i_27)) (portRef CD (instanceRef ipu_data_i_26)) (portRef CD (instanceRef ipu_data_i_25)) @@ -71357,6 +71451,13 @@ (portRef CD (instanceRef ipu_data_i_1)) (portRef CD (instanceRef ipu_data_i_0)) )) + (net m165_2_03_4_i_4 (joined + (portRef Q (instanceRef dat_fifo_valid_read)) + (portRef B (instanceRef next_ipu_dataready_i_iv_a1_1)) + (portRef B (instanceRef error_sync_fb)) + (portRef B (instanceRef ipu_dataready_i_RNO_0)) + (portRef m165_2_03_4_i_4) + )) (net un23_dat_fifo_valid_read_NE_1 (joined (portRef Z (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1)) (portRef C (instanceRef error_sync_fb)) @@ -71371,11 +71472,14 @@ )) (net dat_fifo_finished_0 (joined (portRef Q (instanceRef dat_fifo_finished_0)) - (portRef B (instanceRef next_first_fifo_read_0_a2)) - (portRef B (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0)) - (portRef C (instanceRef current_state_srsts_i_a0_1_0)) - (portRef A (instanceRef DAT_DATA_READ_OUT_0_0)) + (portRef A (instanceRef PROC_DAT_FIFO_VALID_READ_next_dat_fifo_valid_read_1)) + (portRef C (instanceRef next_first_fifo_read_0_a2)) + (portRef B (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0_0)) + (portRef A (instanceRef first_fifo_read_RNIS8RB)) + (portRef B (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_a2_m1_e_0)) (portRef A (instanceRef dat_fifo_finished_0__fb)) + (portRef C (instanceRef current_state_srsts_0_a2_0_RNO_1)) + (portRef (member dat_fifo_finished 0)) )) (net un1_dat_fifo_read_length_0 (joined (portRef Z (instanceRef gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0)) @@ -71420,9 +71524,9 @@ (net last_hdr_fifo_valid_read (joined (portRef Q (instanceRef last_hdr_fifo_valid_read)) (portRef C (instanceRef suppress_outputce)) - (portRef D (instanceRef current_state_srsts_i_3)) + (portRef C (instanceRef current_state_RNO_3)) (portRef C (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) - (portRef B (instanceRef current_state_srsts_i_1_tz_2)) + (portRef B (instanceRef current_state_srsts_i_1_0_tz_2)) (portRef SP (instanceRef total_length_15)) (portRef SP (instanceRef total_length_14)) (portRef SP (instanceRef total_length_13)) @@ -71520,7 +71624,6 @@ (portRef CK (instanceRef next_dat_fifo_valid_read)) (portRef CK (instanceRef next_hdr_fifo_valid_read)) (portRef CK (instanceRef suppress_output)) - (portRef CK (instanceRef suppress_output_fast)) (portRef CK (instanceRef total_length_15)) (portRef CK (instanceRef total_length_14)) (portRef CK (instanceRef total_length_13)) @@ -71719,47 +71822,22 @@ (portRef Q (instanceRef total_length_15)) (portRef B (instanceRef IPU_LENGTH_OUT_15)) )) - (net suppress_outputce (joined - (portRef Z (instanceRef suppress_outputce)) - (portRef SP (instanceRef suppress_output)) - (portRef SP (instanceRef suppress_output_fast)) - )) (net ipu_header_28 (joined (portRef (member ipu_header 2)) (portRef D (instanceRef suppress_output)) - (portRef D (instanceRef suppress_output_fast)) )) - (net suppress_output (joined - (portRef Q (instanceRef suppress_output)) - (portRef A (instanceRef IPU_LENGTH_OUT_0)) - (portRef A (instanceRef IPU_LENGTH_OUT_1)) - (portRef A (instanceRef IPU_LENGTH_OUT_2)) - (portRef A (instanceRef IPU_LENGTH_OUT_3)) - (portRef A (instanceRef IPU_LENGTH_OUT_4)) - (portRef A (instanceRef IPU_LENGTH_OUT_5)) - (portRef A (instanceRef IPU_LENGTH_OUT_6)) - (portRef A (instanceRef IPU_LENGTH_OUT_7)) - (portRef A (instanceRef IPU_LENGTH_OUT_8)) - (portRef A (instanceRef IPU_LENGTH_OUT_10)) - (portRef A (instanceRef IPU_LENGTH_OUT_11)) - (portRef A (instanceRef IPU_LENGTH_OUT_12)) - (portRef A (instanceRef IPU_LENGTH_OUT_13)) - (portRef A (instanceRef IPU_LENGTH_OUT_14)) - (portRef A (instanceRef IPU_LENGTH_OUT_15)) - (portRef A (instanceRef IPU_LENGTH_OUT_9)) + (net suppress_outputce (joined + (portRef Z (instanceRef suppress_outputce)) + (portRef SP (instanceRef suppress_output)) )) (net next_hdr_fifo_valid_read (joined (portRef Q (instanceRef next_hdr_fifo_valid_read)) (portRef D (instanceRef hdr_fifo_valid_read)) )) - (net next_dat_fifo_valid_readc (joined - (portRef Z (instanceRef next_dat_fifo_valid_readc)) + (net next_dat_fifo_valid_read_1 (joined + (portRef Z (instanceRef next_dat_fifo_valid_read_RNO)) (portRef D (instanceRef next_dat_fifo_valid_read)) )) - (net ipu_data_empty_0 (joined - (portRef (member ipu_data_empty 0)) - (portRef CD (instanceRef next_dat_fifo_valid_read)) - )) (net next_dat_fifo_valid_read (joined (portRef Q (instanceRef next_dat_fifo_valid_read)) (portRef C (instanceRef dat_fifo_read_length_0e_0_i)) @@ -71795,8 +71873,8 @@ (portRef Z (instanceRef ipu_dataready_i_RNO)) (portRef D (instanceRef ipu_dataready_i)) )) - (net reset_ipu_i_0 (joined - (portRef reset_ipu_i_0) + (net reset_ipu_i_0_i_1_i (joined + (portRef reset_ipu_i_0_i_1_i) (portRef SP (instanceRef dat_fifo_select_0)) (portRef SP (instanceRef error_lvl1)) (portRef SP (instanceRef error_missing)) @@ -71900,7 +71978,7 @@ (portRef Q (instanceRef ipu_data_i_7)) (portRef (member ipu_data_i 24)) )) - (net N_4397 (joined + (net N_4405 (joined (portRef Z (instanceRef next_ipu_data_i_0_8)) (portRef D (instanceRef ipu_data_i_8)) )) @@ -71908,7 +71986,7 @@ (portRef Q (instanceRef ipu_data_i_8)) (portRef (member ipu_data_i 23)) )) - (net N_4398 (joined + (net N_4406 (joined (portRef Z (instanceRef next_ipu_data_i_0_9)) (portRef D (instanceRef ipu_data_i_9)) )) @@ -71916,7 +71994,7 @@ (portRef Q (instanceRef ipu_data_i_9)) (portRef (member ipu_data_i 22)) )) - (net N_4399 (joined + (net N_4407 (joined (portRef Z (instanceRef next_ipu_data_i_0_10)) (portRef D (instanceRef ipu_data_i_10)) )) @@ -71924,7 +72002,7 @@ (portRef Q (instanceRef ipu_data_i_10)) (portRef (member ipu_data_i 21)) )) - (net N_4400 (joined + (net N_4408 (joined (portRef Z (instanceRef next_ipu_data_i_0_11)) (portRef D (instanceRef ipu_data_i_11)) )) @@ -71932,7 +72010,7 @@ (portRef Q (instanceRef ipu_data_i_11)) (portRef (member ipu_data_i 20)) )) - (net N_4401 (joined + (net N_4409 (joined (portRef Z (instanceRef next_ipu_data_i_0_12)) (portRef D (instanceRef ipu_data_i_12)) )) @@ -71940,7 +72018,7 @@ (portRef Q (instanceRef ipu_data_i_12)) (portRef (member ipu_data_i 19)) )) - (net N_4402 (joined + (net N_4410 (joined (portRef Z (instanceRef next_ipu_data_i_0_13)) (portRef D (instanceRef ipu_data_i_13)) )) @@ -71948,7 +72026,7 @@ (portRef Q (instanceRef ipu_data_i_13)) (portRef (member ipu_data_i 18)) )) - (net N_4403 (joined + (net N_4411 (joined (portRef Z (instanceRef next_ipu_data_i_0_14)) (portRef D (instanceRef ipu_data_i_14)) )) @@ -71956,7 +72034,7 @@ (portRef Q (instanceRef ipu_data_i_14)) (portRef (member ipu_data_i 17)) )) - (net N_4404 (joined + (net N_4412 (joined (portRef Z (instanceRef next_ipu_data_i_0_15)) (portRef D (instanceRef ipu_data_i_15)) )) @@ -71964,7 +72042,7 @@ (portRef Q (instanceRef ipu_data_i_15)) (portRef (member ipu_data_i 16)) )) - (net N_5765 (joined + (net N_4413 (joined (portRef Z (instanceRef next_ipu_data_i_0_16)) (portRef D (instanceRef ipu_data_i_16)) )) @@ -71972,7 +72050,7 @@ (portRef Q (instanceRef ipu_data_i_16)) (portRef (member ipu_data_i 15)) )) - (net N_5766 (joined + (net N_4414 (joined (portRef Z (instanceRef next_ipu_data_i_0_17)) (portRef D (instanceRef ipu_data_i_17)) )) @@ -71980,7 +72058,7 @@ (portRef Q (instanceRef ipu_data_i_17)) (portRef (member ipu_data_i 14)) )) - (net N_4407 (joined + (net N_4415 (joined (portRef Z (instanceRef next_ipu_data_i_0_18)) (portRef D (instanceRef ipu_data_i_18)) )) @@ -71988,7 +72066,7 @@ (portRef Q (instanceRef ipu_data_i_18)) (portRef (member ipu_data_i 13)) )) - (net N_4408 (joined + (net N_4416 (joined (portRef Z (instanceRef next_ipu_data_i_0_19)) (portRef D (instanceRef ipu_data_i_19)) )) @@ -71996,7 +72074,7 @@ (portRef Q (instanceRef ipu_data_i_19)) (portRef (member ipu_data_i 12)) )) - (net N_4409 (joined + (net N_4417 (joined (portRef Z (instanceRef next_ipu_data_i_0_20)) (portRef D (instanceRef ipu_data_i_20)) )) @@ -72004,7 +72082,7 @@ (portRef Q (instanceRef ipu_data_i_20)) (portRef (member ipu_data_i 11)) )) - (net N_4410 (joined + (net N_4418 (joined (portRef Z (instanceRef next_ipu_data_i_0_21)) (portRef D (instanceRef ipu_data_i_21)) )) @@ -72012,7 +72090,7 @@ (portRef Q (instanceRef ipu_data_i_21)) (portRef (member ipu_data_i 10)) )) - (net N_4411 (joined + (net N_4419 (joined (portRef Z (instanceRef next_ipu_data_i_0_22)) (portRef D (instanceRef ipu_data_i_22)) )) @@ -72020,7 +72098,7 @@ (portRef Q (instanceRef ipu_data_i_22)) (portRef (member ipu_data_i 9)) )) - (net N_4412 (joined + (net N_4420 (joined (portRef Z (instanceRef next_ipu_data_i_0_23)) (portRef D (instanceRef ipu_data_i_23)) )) @@ -72028,7 +72106,7 @@ (portRef Q (instanceRef ipu_data_i_23)) (portRef (member ipu_data_i 8)) )) - (net N_4413 (joined + (net N_4421 (joined (portRef Z (instanceRef next_ipu_data_i_0_24)) (portRef D (instanceRef ipu_data_i_24)) )) @@ -72036,7 +72114,7 @@ (portRef Q (instanceRef ipu_data_i_24)) (portRef (member ipu_data_i 7)) )) - (net N_4414 (joined + (net N_4422 (joined (portRef Z (instanceRef next_ipu_data_i_0_25)) (portRef D (instanceRef ipu_data_i_25)) )) @@ -72044,7 +72122,7 @@ (portRef Q (instanceRef ipu_data_i_25)) (portRef (member ipu_data_i 6)) )) - (net N_4415 (joined + (net N_4423 (joined (portRef Z (instanceRef next_ipu_data_i_0_26)) (portRef D (instanceRef ipu_data_i_26)) )) @@ -72052,7 +72130,7 @@ (portRef Q (instanceRef ipu_data_i_26)) (portRef (member ipu_data_i 5)) )) - (net N_4416 (joined + (net N_4424 (joined (portRef Z (instanceRef next_ipu_data_i_0_27)) (portRef D (instanceRef ipu_data_i_27)) )) @@ -72092,9 +72170,8 @@ (portRef Q (instanceRef ipu_data_i_31)) (portRef (member ipu_data_i 0)) )) - (net N_127_0 (joined - (portRef Z (instanceRef next_state_0_sqmuxa_0_o2)) - (portRef A (instanceRef current_state_srsts_i_3)) + (net hdr_fifo_readd_0 (joined + (portRef Z (instanceRef hdr_fifo_readd)) (portRef D (instanceRef hdr_fifo_read)) )) (net ipu_header_read (joined @@ -72106,6 +72183,12 @@ (portRef Z (instanceRef next_first_fifo_read_0_a2)) (portRef D (instanceRef first_fifo_read)) )) + (net first_fifo_read (joined + (portRef Q (instanceRef first_fifo_read)) + (portRef C (instanceRef next_dat_fifo_valid_read_RNO)) + (portRef C (instanceRef first_fifo_read_RNIS8RB)) + (portRef A (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0)) + )) (net m174_2_03_3_i_4 (joined (portRef Q (instanceRef error_sync)) (portRef A (instanceRef error_sync_fb)) @@ -72119,23 +72202,10 @@ (portRef Z (instanceRef error_lvl1_RNO)) (portRef D (instanceRef error_lvl1)) )) - (net m165_2_03_4_i_4 (joined - (portRef Q (instanceRef dat_fifo_valid_read)) - (portRef C (instanceRef next_ipu_dataready_i_iv_m6_i_0)) - (portRef B (instanceRef ipu_dataready_i_RNO_0)) - (portRef B (instanceRef error_sync_fb)) - (portRef m165_2_03_4_i_4) - )) (net un32_next_state_0_i (joined - (portRef Z (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831)) - (portRef D (instanceRef current_state_1)) + (portRef Z (instanceRef dat_fifo_select_RNO_0)) (portRef D (instanceRef dat_fifo_select_0)) )) - (net dat_fifo_select_0 (joined - (portRef Q (instanceRef dat_fifo_select_0)) - (portRef A (instanceRef dat_fifo_read_length_0e_0_i)) - (portRef B (instanceRef DAT_DATA_READ_OUT_0_0)) - )) (net dat_fifo_read_length_0_lm_0 (joined (portRef Z (instanceRef dat_fifo_read_length_0_lm_0_0)) (portRef D (instanceRef dat_fifo_read_length_0_0)) @@ -72219,123 +72289,101 @@ (portRef Z (instanceRef dat_fifo_read_length_0_lm_0_15)) (portRef D (instanceRef dat_fifo_read_length_0_15)) )) - (net N_110_0 (joined - (portRef Z (instanceRef current_state_srsts_i_0_0)) + (net current_statec_0_i (joined + (portRef Z (instanceRef current_state_RNO_0)) (portRef D (instanceRef current_state_0)) )) - (net reset_ipu_i_0_i_iso (joined - (portRef reset_ipu_i_0_i_iso) + (net common_ctrl_reg_2 (joined + (portRef (member common_ctrl_reg 0)) + (portRef B (instanceRef suppress_outputce)) + (portRef C (instanceRef current_state_srsts_0_a2_0_0_1)) (portRef CD (instanceRef current_state_3)) - (portRef CD (instanceRef current_state_2)) - (portRef CD (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef CD (instanceRef hdr_fifo_read)) + (portRef B (instanceRef current_state_RNI5CU71_2)) )) (net current_state_0 (joined (portRef Q (instanceRef current_state_0)) - (portRef B (instanceRef current_state_srsts_i_0_0_0)) - (portRef A (instanceRef current_state_RNO_0_4)) + (portRef B (instanceRef current_state_RNO_0)) + (portRef A (instanceRef current_statec_0_0_0)) + (portRef A (instanceRef current_state_nss_0_i_RNO_0)) + (portRef A (instanceRef un1_current_state_2_i_a2_0)) (portRef D (instanceRef ipu_finished_i)) (portRef current_state_0) )) - (net current_state_1 (joined - (portRef Q (instanceRef current_state_1)) - (portRef B (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831)) - (portRef C (instanceRef current_state_srsts_i_0_0_0)) - (portRef A (instanceRef next_ipu_dataready_i_iv_m6_i_0)) - (portRef B (instanceRef current_state_srsts_i_a0_1_0)) - (portRef A (instanceRef next_ipu_data_i_0_10)) - (portRef A (instanceRef next_ipu_data_i_0_11)) - (portRef A (instanceRef next_ipu_data_i_0_12)) - (portRef A (instanceRef next_ipu_data_i_0_14)) - (portRef A (instanceRef next_ipu_data_i_0_18)) - (portRef A (instanceRef next_ipu_data_i_0_19)) - (portRef A (instanceRef next_ipu_data_i_0_20)) - (portRef A (instanceRef next_ipu_data_i_0_21)) - (portRef A (instanceRef next_ipu_data_i_0_22)) - (portRef A (instanceRef next_ipu_data_i_0_23)) - (portRef A (instanceRef next_ipu_data_i_0_24)) - (portRef A (instanceRef next_ipu_data_i_0_25)) - (portRef A (instanceRef next_ipu_data_i_0_27)) - (portRef A (instanceRef next_ipu_data_i_0_1)) - (portRef A (instanceRef next_ipu_data_i_0_2)) - (portRef A (instanceRef next_ipu_data_i_0_3)) - (portRef A (instanceRef next_ipu_data_i_0_4)) - (portRef A (instanceRef next_ipu_data_i_0_6)) - (portRef A (instanceRef next_ipu_data_i_0_7)) - (portRef A (instanceRef next_ipu_data_i_0_17)) - (portRef A (instanceRef next_ipu_data_i_0_16)) - (portRef A (instanceRef next_ipu_data_i_0_15)) - (portRef A (instanceRef next_ipu_data_i_0_13)) - (portRef A (instanceRef next_ipu_data_i_0_9)) - (portRef A (instanceRef next_ipu_data_i_0_5)) - (portRef A (instanceRef next_ipu_data_i_0_26)) - (portRef A (instanceRef next_ipu_data_i_0_0)) - (portRef A (instanceRef next_ipu_data_i_0_8)) - (portRef A (instanceRef current_state_RNIHMBK_2)) - (portRef A (instanceRef ipu_data_i_RNO_31)) - (portRef A (instanceRef ipu_data_i_RNO_30)) - (portRef A (instanceRef ipu_data_i_RNO_29)) - (portRef A (instanceRef ipu_data_i_RNO_28)) - (portRef D (instanceRef current_state_RNI8SU71_2)) - (portRef current_state_1) + (net current_state_nss_3 (joined + (portRef Z (instanceRef current_state_srsts_0_a2_0_1)) + (portRef D (instanceRef current_state_1)) )) (net N_113_0 (joined - (portRef Z (instanceRef current_state_srsts_i_0_2)) + (portRef Z (instanceRef current_state_srsts_i_1_2)) (portRef D (instanceRef current_state_2)) )) - (net m161_2_03 (joined - (portRef Q (instanceRef current_state_2)) - (portRef D (instanceRef current_state_srsts_i_0_2)) - (portRef C (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831)) - (portRef C (instanceRef next_first_fifo_read_0_a2)) - (portRef D (instanceRef DAT_DATA_READ_OUT_0_RNIOA5M_0)) - (portRef C (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0)) - (portRef B (instanceRef next_ipu_dataready_i_iv_m6_i_0)) - (portRef B (instanceRef IPU_DATAREADY_OUT)) - (portRef A (instanceRef ipu_dataready_i_RNO_0)) - (portRef B (instanceRef current_state_RNIHMBK_2)) - (portRef C (instanceRef current_state_RNI8SU71_2)) - (portRef m161_2_03) - )) - (net N_115_0 (joined - (portRef Z (instanceRef current_state_srsts_i_3)) + (net current_statec_i (joined + (portRef Z (instanceRef current_state_RNO_3)) (portRef D (instanceRef current_state_3)) )) (net current_state_3 (joined (portRef Q (instanceRef current_state_3)) (portRef D (instanceRef suppress_outputce)) - (portRef B (instanceRef current_state_RNO_4)) - (portRef B (instanceRef current_state_srsts_i_3)) + (portRef C (instanceRef current_state_srsts_i_a0_2)) + (portRef B (instanceRef current_state_nss_0_i_0)) + (portRef A (instanceRef current_state_srsts_i_i_a3_3)) (portRef A (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) - (portRef B (instanceRef current_state_srsts_i_a0_1_2)) - (portRef A (instanceRef current_state_srsts_i_1_tz_2)) + (portRef A (instanceRef current_state_srsts_i_1_0_tz_2)) )) - (net common_ctrl_reg_2 (joined - (portRef (member common_ctrl_reg 0)) - (portRef B (instanceRef suppress_outputce)) + (net current_state_nss_0_i_0 (joined + (portRef Z (instanceRef current_state_nss_0_i_0)) (portRef D (instanceRef current_state_4)) - (portRef B (instanceRef current_state_RNI8SU71_2)) - )) - (net current_states_i (joined - (portRef Z (instanceRef current_state_RNO_4)) - (portRef PD (instanceRef current_state_4)) )) (net current_state_4 (joined (portRef Q (instanceRef current_state_4)) - (portRef C (instanceRef current_state_srsts_i_3)) + (portRef A (instanceRef hdr_fifo_readd)) + (portRef B (instanceRef current_state_RNO_3)) + (portRef B (instanceRef current_state_srsts_i_i_a3_3)) (portRef B (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) - (portRef A (instanceRef next_state_0_sqmuxa_0_o2)) (portRef CD (instanceRef error_sync)) (portRef current_state_4) )) + (net ipu_data_29 (joined + (portRef (member ipu_data 2)) + (portRef B (instanceRef ipu_data_i_RNO_29)) + )) (net ipu_data_28 (joined (portRef (member ipu_data 3)) (portRef B (instanceRef ipu_data_i_RNO_28)) )) - (net ipu_length_i_9 (joined - (portRef Z (instanceRef IPU_LENGTH_OUT_9)) - (portRef (member ipu_length_i 6)) + (net next_ipu_data_i_sn_N_2 (joined + (portRef Z (instanceRef current_state_nss_0_i_RNO_0_0)) + (portRef C (instanceRef current_state_nss_0_i_0)) + )) + (net ipu_data_30 (joined + (portRef (member ipu_data 1)) + (portRef B (instanceRef ipu_data_i_RNO_30)) + )) + (net ipu_data_31 (joined + (portRef (member ipu_data 0)) + (portRef B (instanceRef ipu_data_i_RNO_31)) + )) + (net suppress_output (joined + (portRef Q (instanceRef suppress_output)) + (portRef A (instanceRef IPU_DATAREADY_OUT)) + (portRef A (instanceRef IPU_LENGTH_OUT_0)) + (portRef A (instanceRef IPU_LENGTH_OUT_1)) + (portRef A (instanceRef IPU_LENGTH_OUT_2)) + (portRef A (instanceRef IPU_LENGTH_OUT_3)) + (portRef A (instanceRef IPU_LENGTH_OUT_4)) + (portRef A (instanceRef IPU_LENGTH_OUT_5)) + (portRef A (instanceRef IPU_LENGTH_OUT_6)) + (portRef A (instanceRef IPU_LENGTH_OUT_7)) + (portRef A (instanceRef IPU_LENGTH_OUT_8)) + (portRef A (instanceRef IPU_LENGTH_OUT_9)) + (portRef A (instanceRef IPU_LENGTH_OUT_10)) + (portRef A (instanceRef IPU_LENGTH_OUT_11)) + (portRef A (instanceRef IPU_LENGTH_OUT_12)) + (portRef A (instanceRef IPU_LENGTH_OUT_13)) + (portRef A (instanceRef IPU_LENGTH_OUT_14)) + (portRef A (instanceRef IPU_LENGTH_OUT_15)) + (portRef suppress_output) )) (net ipu_length_i_15 (joined (portRef Z (instanceRef IPU_LENGTH_OUT_15)) @@ -72361,6 +72409,10 @@ (portRef Z (instanceRef IPU_LENGTH_OUT_10)) (portRef (member ipu_length_i 5)) )) + (net ipu_length_i_9 (joined + (portRef Z (instanceRef IPU_LENGTH_OUT_9)) + (portRef (member ipu_length_i 6)) + )) (net ipu_length_i_8 (joined (portRef Z (instanceRef IPU_LENGTH_OUT_8)) (portRef (member ipu_length_i 7)) @@ -72397,104 +72449,32 @@ (portRef Z (instanceRef IPU_LENGTH_OUT_0)) (portRef (member ipu_length_i 15)) )) - (net ipu_data_29 (joined - (portRef (member ipu_data 2)) - (portRef B (instanceRef ipu_data_i_RNO_29)) - )) - (net ipu_data_30 (joined - (portRef (member ipu_data 1)) - (portRef B (instanceRef ipu_data_i_RNO_30)) - )) - (net ipu_data_31 (joined - (portRef (member ipu_data 0)) - (portRef B (instanceRef ipu_data_i_RNO_31)) - )) - (net d_N_5_1 (joined - (portRef Z (instanceRef current_state_RNIHMBK_2)) - (portRef C (instanceRef ipu_dataready_i_RNO)) - (portRef C (instanceRef current_state_RNO_4)) + (net N_132 (joined + (portRef Z (instanceRef un1_current_state_2_i_a2_0)) + (portRef N_132) )) (net N_98_0 (joined - (portRef Z (instanceRef current_state_srsts_i_1_tz_2)) - (portRef A (instanceRef current_state_srsts_i_0_2)) + (portRef Z (instanceRef current_state_srsts_i_1_0_tz_2)) + (portRef B (instanceRef current_state_srsts_i_1_2)) (portRef A (instanceRef error_lvl1_RNO)) (portRef A (instanceRef error_missing_RNO)) )) - (net ipu_data_8 (joined - (portRef (member ipu_data 23)) - (portRef B (instanceRef next_ipu_data_i_0_8)) + (net un27_status_ipu_handler_i_m2_0_a2_0 (joined + (portRef Z (instanceRef next_ipu_dataready_i_iv_a0_0)) + (portRef D (instanceRef next_dat_fifo_valid_read_RNO)) )) - (net ipu_header_8 (joined - (portRef (member ipu_header 22)) - (portRef C (instanceRef next_ipu_data_i_0_8)) + (net current_state_srsts_0_a2_a0_0_1 (joined + (portRef Z (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_a2_m1_e_0)) + (portRef D (instanceRef dat_fifo_select_RNO_0)) + (portRef C (instanceRef current_statec_0_0_0)) )) (net m176_2_03_2_i_4 (joined (portRef m176_2_03_2_i_4) (portRef C (instanceRef next_ipu_data_i_0_0)) )) - (net ipu_data_26 (joined - (portRef (member ipu_data 5)) - (portRef B (instanceRef next_ipu_data_i_0_26)) - )) - (net ipu_header_26 (joined - (portRef (member ipu_header 4)) - (portRef C (instanceRef next_ipu_data_i_0_26)) - )) - (net ipu_header_5 (joined - (portRef (member ipu_header 25)) - (portRef B (instanceRef next_ipu_data_i_0_5)) - )) - (net m181_2_03_1_i_4 (joined - (portRef m181_2_03_1_i_4) - (portRef C (instanceRef next_ipu_data_i_0_5)) - )) - (net ipu_data_9 (joined - (portRef (member ipu_data 22)) - (portRef B (instanceRef next_ipu_data_i_0_9)) - )) - (net ipu_header_9 (joined - (portRef (member ipu_header 21)) - (portRef C (instanceRef next_ipu_data_i_0_9)) - )) - (net ipu_data_13 (joined - (portRef (member ipu_data 18)) - (portRef B (instanceRef next_ipu_data_i_0_13)) - )) - (net ipu_header_13 (joined - (portRef (member ipu_header 17)) - (portRef C (instanceRef next_ipu_data_i_0_13)) - )) - (net ipu_data_15 (joined - (portRef (member ipu_data 16)) - (portRef B (instanceRef next_ipu_data_i_0_15)) - )) - (net ipu_header_15 (joined - (portRef (member ipu_header 15)) - (portRef C (instanceRef next_ipu_data_i_0_15)) - )) - (net ipu_data_16 (joined - (portRef (member ipu_data 15)) - (portRef B (instanceRef next_ipu_data_i_0_16)) - )) - (net ipu_header_16 (joined - (portRef (member ipu_header 14)) - (portRef C (instanceRef next_ipu_data_i_0_16)) - )) - (net ipu_data_17 (joined - (portRef (member ipu_data 14)) - (portRef B (instanceRef next_ipu_data_i_0_17)) - )) - (net ipu_header_17 (joined - (portRef (member ipu_header 13)) - (portRef C (instanceRef next_ipu_data_i_0_17)) - )) - (net ipu_header_7 (joined - (portRef (member ipu_header 23)) - (portRef B (instanceRef next_ipu_data_i_0_7)) - )) - (net m183_2_03_1_i_4 (joined - (portRef m183_2_03_1_i_4) - (portRef C (instanceRef next_ipu_data_i_0_7)) + (net m177_2_03_2_i_4 (joined + (portRef m177_2_03_2_i_4) + (portRef C (instanceRef next_ipu_data_i_0_1)) )) (net ipu_header_6 (joined (portRef (member ipu_header 24)) @@ -72504,25 +72484,21 @@ (portRef m182_2_03_1_i_4) (portRef C (instanceRef next_ipu_data_i_0_6)) )) - (net ipu_header_4 (joined - (portRef (member ipu_header 26)) - (portRef B (instanceRef next_ipu_data_i_0_4)) - )) - (net m180_2_03_1_i_4 (joined - (portRef m180_2_03_1_i_4) - (portRef C (instanceRef next_ipu_data_i_0_4)) + (net ipu_data_21 (joined + (portRef (member ipu_data 10)) + (portRef B (instanceRef next_ipu_data_i_0_21)) )) - (net m179_2_03_2_i_4 (joined - (portRef m179_2_03_2_i_4) - (portRef C (instanceRef next_ipu_data_i_0_3)) + (net ipu_header_21 (joined + (portRef (member ipu_header 9)) + (portRef C (instanceRef next_ipu_data_i_0_21)) )) - (net m178_2_03_2_i_4 (joined - (portRef m178_2_03_2_i_4) - (portRef C (instanceRef next_ipu_data_i_0_2)) + (net ipu_data_24 (joined + (portRef (member ipu_data 7)) + (portRef B (instanceRef next_ipu_data_i_0_24)) )) - (net m177_2_03_2_i_4 (joined - (portRef m177_2_03_2_i_4) - (portRef C (instanceRef next_ipu_data_i_0_1)) + (net ipu_header_24 (joined + (portRef (member ipu_header 6)) + (portRef C (instanceRef next_ipu_data_i_0_24)) )) (net ipu_data_27 (joined (portRef (member ipu_data 4)) @@ -72532,6 +72508,22 @@ (portRef (member ipu_header 3)) (portRef C (instanceRef next_ipu_data_i_0_27)) )) + (net ipu_header_7 (joined + (portRef (member ipu_header 23)) + (portRef B (instanceRef next_ipu_data_i_0_7)) + )) + (net m183_2_03_1_i_4 (joined + (portRef m183_2_03_1_i_4) + (portRef C (instanceRef next_ipu_data_i_0_7)) + )) + (net ipu_data_26 (joined + (portRef (member ipu_data 5)) + (portRef B (instanceRef next_ipu_data_i_0_26)) + )) + (net ipu_header_26 (joined + (portRef (member ipu_header 4)) + (portRef C (instanceRef next_ipu_data_i_0_26)) + )) (net ipu_data_25 (joined (portRef (member ipu_data 6)) (portRef B (instanceRef next_ipu_data_i_0_25)) @@ -72540,14 +72532,6 @@ (portRef (member ipu_header 5)) (portRef C (instanceRef next_ipu_data_i_0_25)) )) - (net ipu_data_24 (joined - (portRef (member ipu_data 7)) - (portRef B (instanceRef next_ipu_data_i_0_24)) - )) - (net ipu_header_24 (joined - (portRef (member ipu_header 6)) - (portRef C (instanceRef next_ipu_data_i_0_24)) - )) (net ipu_data_23 (joined (portRef (member ipu_data 8)) (portRef B (instanceRef next_ipu_data_i_0_23)) @@ -72564,14 +72548,6 @@ (portRef (member ipu_header 8)) (portRef C (instanceRef next_ipu_data_i_0_22)) )) - (net ipu_data_21 (joined - (portRef (member ipu_data 10)) - (portRef B (instanceRef next_ipu_data_i_0_21)) - )) - (net ipu_header_21 (joined - (portRef (member ipu_header 9)) - (portRef C (instanceRef next_ipu_data_i_0_21)) - )) (net ipu_data_20 (joined (portRef (member ipu_data 11)) (portRef B (instanceRef next_ipu_data_i_0_20)) @@ -72596,6 +72572,30 @@ (portRef (member ipu_header 12)) (portRef C (instanceRef next_ipu_data_i_0_18)) )) + (net ipu_data_17 (joined + (portRef (member ipu_data 14)) + (portRef B (instanceRef next_ipu_data_i_0_17)) + )) + (net ipu_header_17 (joined + (portRef (member ipu_header 13)) + (portRef C (instanceRef next_ipu_data_i_0_17)) + )) + (net ipu_data_16 (joined + (portRef (member ipu_data 15)) + (portRef B (instanceRef next_ipu_data_i_0_16)) + )) + (net ipu_header_16 (joined + (portRef (member ipu_header 14)) + (portRef C (instanceRef next_ipu_data_i_0_16)) + )) + (net ipu_data_15 (joined + (portRef (member ipu_data 16)) + (portRef B (instanceRef next_ipu_data_i_0_15)) + )) + (net ipu_header_15 (joined + (portRef (member ipu_header 15)) + (portRef C (instanceRef next_ipu_data_i_0_15)) + )) (net ipu_data_14 (joined (portRef (member ipu_data 17)) (portRef B (instanceRef next_ipu_data_i_0_14)) @@ -72604,6 +72604,14 @@ (portRef (member ipu_header 16)) (portRef C (instanceRef next_ipu_data_i_0_14)) )) + (net ipu_data_13 (joined + (portRef (member ipu_data 18)) + (portRef B (instanceRef next_ipu_data_i_0_13)) + )) + (net ipu_header_13 (joined + (portRef (member ipu_header 17)) + (portRef C (instanceRef next_ipu_data_i_0_13)) + )) (net ipu_data_12 (joined (portRef (member ipu_data 19)) (portRef B (instanceRef next_ipu_data_i_0_12)) @@ -72628,6 +72636,46 @@ (portRef (member ipu_header 20)) (portRef C (instanceRef next_ipu_data_i_0_10)) )) + (net ipu_data_9 (joined + (portRef (member ipu_data 22)) + (portRef B (instanceRef next_ipu_data_i_0_9)) + )) + (net ipu_header_9 (joined + (portRef (member ipu_header 21)) + (portRef C (instanceRef next_ipu_data_i_0_9)) + )) + (net ipu_data_8 (joined + (portRef (member ipu_data 23)) + (portRef B (instanceRef next_ipu_data_i_0_8)) + )) + (net ipu_header_8 (joined + (portRef (member ipu_header 22)) + (portRef C (instanceRef next_ipu_data_i_0_8)) + )) + (net ipu_header_5 (joined + (portRef (member ipu_header 25)) + (portRef B (instanceRef next_ipu_data_i_0_5)) + )) + (net m181_2_03_1_i_4 (joined + (portRef m181_2_03_1_i_4) + (portRef C (instanceRef next_ipu_data_i_0_5)) + )) + (net ipu_header_4 (joined + (portRef (member ipu_header 26)) + (portRef B (instanceRef next_ipu_data_i_0_4)) + )) + (net m180_2_03_1_i_4 (joined + (portRef m180_2_03_1_i_4) + (portRef C (instanceRef next_ipu_data_i_0_4)) + )) + (net m179_2_03_2_i_4 (joined + (portRef m179_2_03_2_i_4) + (portRef C (instanceRef next_ipu_data_i_0_3)) + )) + (net m178_2_03_2_i_4 (joined + (portRef m178_2_03_2_i_4) + (portRef C (instanceRef next_ipu_data_i_0_2)) + )) (net dat_fifo_read_length_0_s_0 (joined (portRef S1 (instanceRef dat_fifo_read_length_0_cry_0_0)) (portRef A (instanceRef dat_fifo_read_length_0_lm_0_0)) @@ -72758,49 +72806,32 @@ )) (net ipu_header_empty (joined (portRef ipu_header_empty) - (portRef B (instanceRef current_state_RNO_0_4)) - (portRef B (instanceRef next_state_0_sqmuxa_0_o2)) + (portRef B (instanceRef hdr_fifo_readd)) + (portRef C (instanceRef current_state_srsts_i_i_a3_3)) + (portRef B (instanceRef current_state_nss_0_i_RNO_0)) (portRef CD (instanceRef next_hdr_fifo_valid_read)) )) - (net ipu_start_readout_i (joined - (portRef ipu_start_readout_i) - (portRef D (instanceRef current_state_srsts_i_0_0_0)) - (portRef D (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) - (portRef C (instanceRef current_state_RNO_0_4)) - (portRef C (instanceRef next_state_0_sqmuxa_0_o2)) - )) - (net N_5726_tz_tz (joined - (portRef Z (instanceRef current_state_RNO_0_4)) - (portRef A (instanceRef current_state_RNO_4)) - )) - (net m166_2_03_4_i_4 (joined - (portRef Q (instanceRef ipu_dataready_i)) - (portRef D (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831)) - (portRef D (instanceRef DAT_DATA_READ_OUT_0_RNI35LF2_0)) - (portRef D (instanceRef next_first_fifo_read_0_a2)) - (portRef D (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0)) - (portRef D (instanceRef next_ipu_dataready_i_iv_m6_i_0)) - (portRef C (instanceRef IPU_DATAREADY_OUT)) - (portRef C (instanceRef next_dat_fifo_valid_readc_0)) - (portRef C (instanceRef ipu_dataready_i_RNO_0)) - (portRef C (instanceRef current_state_srsts_i_a0_1_2)) - (portRef m166_2_03_4_i_4) + (net N_5707_tz_tz (joined + (portRef Z (instanceRef current_state_nss_0_i_RNO_0)) + (portRef A (instanceRef current_state_nss_0_i_0)) )) - (net current_state_srsts_i_a1_1_2 (joined - (portRef Z (instanceRef current_state_srsts_i_a0_1_2)) - (portRef C (instanceRef current_state_srsts_i_0_2)) + (net dat_fifo_select_0 (joined + (portRef Q (instanceRef dat_fifo_select_0)) + (portRef B (instanceRef PROC_DAT_FIFO_VALID_READ_next_dat_fifo_valid_read_1)) + (portRef A (instanceRef dat_fifo_read_length_0e_0_i)) + (portRef C (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0_0)) + (portRef B (instanceRef first_fifo_read_RNIS8RB)) + (portRef (member dat_fifo_select 0)) )) - (net waiting_word (joined - (portRef waiting_word) - (portRef B (instanceRef ipu_dataready_i_RNO)) - (portRef A (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0)) - (portRef A (instanceRef next_dat_fifo_valid_readc_0)) - (portRef A (instanceRef current_state_srsts_i_a0_1_0)) - (portRef A (instanceRef current_state_srsts_i_a0_1_2)) + (net current_state_srsts_0_a2_0_tz_1 (joined + (portRef Z (instanceRef current_state_srsts_0_a2_1_tz_1)) + (portRef C (instanceRef dat_fifo_select_RNO_0)) + (portRef B (instanceRef next_first_fifo_read_0_a2)) + (portRef B (instanceRef current_state_srsts_0_a2_0_0_1)) )) - (net d_N_6_1 (joined - (portRef Z (instanceRef ipu_dataready_i_RNO_0)) - (portRef D (instanceRef ipu_dataready_i_RNO)) + (net DAT_DATA_READ_OUT_0_m3_0_a2_0_0 (joined + (portRef Z (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0_0)) + (portRef C (instanceRef DAT_DATA_READ_OUT_1_0)) )) (net un1_dat_fifo_read_length_0_8 (joined (portRef Z (instanceRef gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0_8)) @@ -72818,117 +72849,116 @@ (portRef Z (instanceRef gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0_11)) (portRef D (instanceRef gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0)) )) - (net ipu_header_2 (joined - (portRef (member ipu_header 28)) + (net ipu_header_0 (joined + (portRef (member ipu_header 30)) (portRef A (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_0)) - (portRef B (instanceRef next_ipu_data_i_0_2)) + (portRef B (instanceRef next_ipu_data_i_0_0)) )) - (net ipu_header_3 (joined - (portRef (member ipu_header 27)) + (net ipu_header_1 (joined + (portRef (member ipu_header 29)) (portRef B (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_0)) - (portRef B (instanceRef next_ipu_data_i_0_3)) + (portRef B (instanceRef next_ipu_data_i_0_1)) )) - (net m170_2_03_4_i_4 (joined - (portRef m170_2_03_4_i_4) + (net m168_2_03_4_i_4 (joined + (portRef m168_2_03_4_i_4) (portRef C (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_0)) )) - (net m171_2_03_4_i_4 (joined - (portRef m171_2_03_4_i_4) + (net m169_2_03_4_i_4 (joined + (portRef m169_2_03_4_i_4) (portRef D (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_0)) )) - (net ipu_header_0 (joined - (portRef (member ipu_header 30)) + (net ipu_header_2 (joined + (portRef (member ipu_header 28)) (portRef A (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1)) - (portRef B (instanceRef next_ipu_data_i_0_0)) + (portRef B (instanceRef next_ipu_data_i_0_2)) )) - (net ipu_header_1 (joined - (portRef (member ipu_header 29)) + (net ipu_header_3 (joined + (portRef (member ipu_header 27)) (portRef B (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1)) - (portRef B (instanceRef next_ipu_data_i_0_1)) + (portRef B (instanceRef next_ipu_data_i_0_3)) )) - (net m168_2_03_4_i_4 (joined - (portRef m168_2_03_4_i_4) + (net m170_2_03_4_i_4 (joined + (portRef m170_2_03_4_i_4) (portRef C (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1)) )) - (net m169_2_03_4_i_4 (joined - (portRef m169_2_03_4_i_4) + (net m171_2_03_4_i_4 (joined + (portRef m171_2_03_4_i_4) (portRef D (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1)) )) - (net first_fifo_read (joined - (portRef Q (instanceRef first_fifo_read)) - (portRef C (instanceRef DAT_DATA_READ_OUT_0_RNI35LF2_0)) - (portRef B (instanceRef next_dat_fifo_valid_readc)) - (portRef C (instanceRef next_dat_fifo_valid_readc_2)) - (portRef B (instanceRef DAT_DATA_READ_OUT_0_RNIOA5M_0)) - (portRef B (instanceRef next_dat_fifo_valid_readc_0)) - (portRef first_fifo_read) - )) - (net next_dat_fifo_valid_readc_0 (joined - (portRef Z (instanceRef next_dat_fifo_valid_readc_0)) - (portRef D (instanceRef next_dat_fifo_valid_readc_2)) - )) - (net suppress_output_fast (joined - (portRef Q (instanceRef suppress_output_fast)) - (portRef A (instanceRef IPU_DATAREADY_OUT)) - (portRef suppress_output_fast) + (net next_ipu_dataready_i_iv_a1_1 (joined + (portRef Z (instanceRef next_ipu_dataready_i_iv_a1_1)) + (portRef D (instanceRef ipu_dataready_i_RNO)) )) (net ipu_dataready_i (joined (portRef Z (instanceRef IPU_DATAREADY_OUT)) (portRef ipu_dataready_i) )) - (net next_ipu_dataready_i_iv_m6_i_0 (joined - (portRef Z (instanceRef next_ipu_dataready_i_iv_m6_i_0)) - (portRef C (instanceRef next_ipu_dataready_i_iv_m6_i)) + (net ipu_start_readout_i (joined + (portRef ipu_start_readout_i) + (portRef D (instanceRef hdr_fifo_readd)) + (portRef D (instanceRef current_statec_0_0_0)) + (portRef D (instanceRef current_state_srsts_i_i_a3_3)) + (portRef D (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) + (portRef C (instanceRef current_state_nss_0_i_RNO_0)) )) (net un1_next_suppress_output_0_sqmuxa_1_0 (joined (portRef Z (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) (portRef B (instanceRef error_lvl1_RNO)) (portRef B (instanceRef error_missing_RNO)) )) - (net un32_next_state_0_o2_m6_i_0 (joined - (portRef Z (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0)) - (portRef C (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i)) + (net N_6569 (joined + (portRef Z (instanceRef current_state_srsts_i_i_a3_3)) + (portRef A (instanceRef current_state_RNO_3)) + )) + (net current_statec_0_0_0 (joined + (portRef Z (instanceRef current_statec_0_0_0)) + (portRef C (instanceRef current_state_RNO_0)) )) - (net DAT_DATA_READ_OUT_0_0 (joined - (portRef Z (instanceRef DAT_DATA_READ_OUT_0_0)) - (portRef B (instanceRef DAT_DATA_READ_OUT_0_RNI35LF2_0)) - (portRef B (instanceRef next_dat_fifo_valid_readc_2)) - (portRef A (instanceRef DAT_DATA_READ_OUT_0_RNIOA5M_0)) - (portRef (member dat_data_read_out_0 0)) + (net current_state_srsts_0_a2_0_0_1 (joined + (portRef Z (instanceRef current_state_srsts_0_a2_0_0_1)) + (portRef C (instanceRef current_state_srsts_0_a2_0_1)) )) (net port_select_int_0 (joined (portRef (member port_select_int 0)) - (portRef C (instanceRef DAT_DATA_READ_OUT_0_RNIOA5M_0)) + (portRef A (instanceRef current_state_RNI2UQ91_2)) )) - (net DAT_DATA_READ_OUT_0_RNIOA5M_0 (joined - (portRef Z (instanceRef DAT_DATA_READ_OUT_0_RNIOA5M_0)) - (portRef D (instanceRef DAT_DATA_READ_OUT_0_RNIPO254_0)) + (net m6_6_03_1_1 (joined + (portRef m6_6_03_1_1) + (portRef C (instanceRef current_state_RNI2UQ91_2)) )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef D (instanceRef next_ipu_dataready_i_iv_m6_i)) + (net first_fifo_read_RNIS8RB (joined + (portRef Z (instanceRef first_fifo_read_RNIS8RB)) + (portRef D (instanceRef DAT_DATA_READ_OUT_1_0)) + (portRef D (instanceRef current_state_RNI2UQ91_2)) + (portRef first_fifo_read_RNIS8RB) )) - (net next_ipu_dataready_i_iv_m6_i (joined - (portRef Z (instanceRef next_ipu_dataready_i_iv_m6_i)) - (portRef A (instanceRef ipu_dataready_i_RNO)) + (net d_N_6 (joined + (portRef Z (instanceRef current_state_RNI2UQ91_2)) + (portRef d_N_6) )) - (net N_4877 (joined - (portRef N_4877) - (portRef A (instanceRef next_dat_fifo_valid_readc)) - (portRef A (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i)) - (portRef A (instanceRef next_ipu_dataready_i_iv_m6_i)) + (net current_state_srsts_i_a0_2 (joined + (portRef Z (instanceRef current_state_srsts_i_a0_2)) + (portRef A (instanceRef current_state_srsts_i_1_2)) )) - (net un32_next_state_0_o2_N_7 (joined - (portRef Z (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i)) - (portRef A (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831)) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef last_second_word_waiting_RNIKQVT1) + (portRef A (instanceRef next_dat_fifo_valid_read_RNO)) + (portRef A (instanceRef ipu_dataready_i_RNO)) + (portRef A (instanceRef dat_fifo_select_RNO_0)) + (portRef A (instanceRef current_state_srsts_0_a2_0_1)) + (portRef A (instanceRef current_state_RNO_0)) + (portRef A (instanceRef next_first_fifo_read_0_a2)) + (portRef A (instanceRef DAT_DATA_READ_OUT_1_0)) + (portRef B (instanceRef current_state_srsts_i_a0_2)) )) - (net current_state_srsts_i_0_0_0 (joined - (portRef Z (instanceRef current_state_srsts_i_0_0_0)) - (portRef B (instanceRef current_state_srsts_i_0_0)) + (net DAT_DATA_READ_OUT_0_m3_0_a2_0 (joined + (portRef Z (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0)) + (portRef B (instanceRef DAT_DATA_READ_OUT_1_0)) + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0) )) - (net next_dat_fifo_valid_readc_2 (joined - (portRef Z (instanceRef next_dat_fifo_valid_readc_2)) - (portRef C (instanceRef next_dat_fifo_valid_readc)) + (net m129_2_03_4_i_4 (joined + (portRef Z (instanceRef DAT_DATA_READ_OUT_1_0)) + (portRef m129_2_03_4_i_4) )) (net ipu_header_30 (joined (portRef (member ipu_header 0)) @@ -72948,41 +72978,29 @@ (portRef D (instanceRef error_lvl1_RNO)) (portRef (member ipu_error_pattern_i 0)) )) - (net m1_6_03 (joined - (portRef m1_6_03) - (portRef A (instanceRef DAT_DATA_READ_OUT_0_RNIPO254_0)) - )) - (net m1_6_03_1_0_0 (joined - (portRef m1_6_03_1_0_0) - (portRef B (instanceRef DAT_DATA_READ_OUT_0_RNIPO254_0)) - )) - (net m6_6_03_1_1 (joined - (portRef m6_6_03_1_1) - (portRef C (instanceRef DAT_DATA_READ_OUT_0_RNIPO254_0)) - )) - (net DAT_DATA_READ_OUT_0_RNIPO254_0 (joined - (portRef Z (instanceRef DAT_DATA_READ_OUT_0_RNIPO254_0)) - (portRef (member dat_data_read_out_0_rnipo254 0)) + (net reset_ipu_i_0_i_1 (joined + (portRef reset_ipu_i_0_i_1) + (portRef C (instanceRef hdr_fifo_readd)) + (portRef C (instanceRef current_state_srsts_i_1_2)) + (portRef D (instanceRef current_state_nss_0_i_0)) )) (net reset_i_rep2 (joined (portRef reset_i_rep2) - (portRef D (instanceRef current_state_srsts_i_0_0)) - (portRef D (instanceRef next_dat_fifo_valid_readc)) - (portRef D (instanceRef current_state_RNO_4)) + (portRef D (instanceRef current_state_RNO_0)) + (portRef D (instanceRef current_state_RNO_3)) )) - (net waiting_word_RNIIPH92 (joined - (portRef waiting_word_RNIIPH92) - (portRef A (instanceRef DAT_DATA_READ_OUT_0_RNI35LF2_0)) - (portRef A (instanceRef next_first_fifo_read_0_a2)) + (net ipu_data_empty_0 (joined + (portRef (member ipu_data_empty 0)) + (portRef C (instanceRef PROC_DAT_FIFO_VALID_READ_next_dat_fifo_valid_read_1)) )) - (net m129_2_03_4_i_4 (joined - (portRef Z (instanceRef DAT_DATA_READ_OUT_0_RNI35LF2_0)) - (portRef m129_2_03_4_i_4) + (net next_dat_fifo_valid_read_1_0 (joined + (portRef Z (instanceRef PROC_DAT_FIFO_VALID_READ_next_dat_fifo_valid_read_1)) + (portRef B (instanceRef next_dat_fifo_valid_read_RNO)) )) - (net reset_i_fast_r8 (joined - (portRef reset_i_fast_r8) + (net reset_i_fast_r10 (joined + (portRef reset_i_fast_r10) (portRef A (instanceRef suppress_outputce)) - (portRef D (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i)) + (portRef D (instanceRef current_state_srsts_0_a2_0_0_1)) )) (net dat_fifo_read_length_0_cry_0 (joined (portRef COUT (instanceRef dat_fifo_read_length_0_cry_0_0)) @@ -73097,41 +73115,46 @@ (port m178_2_03_2_i_4 (direction OUTPUT)) (port m177_2_03_2_i_4 (direction OUTPUT)) (port m176_2_03_2_i_4 (direction OUTPUT)) - (port reset_ipu_i_0_i (direction INPUT)) - (port reset_ipu_i_0_i_2 (direction INPUT)) - (port reset_ipu_i_0_i_1 (direction INPUT)) + (port reset_ipu_i_0_i_1_2 (direction INPUT)) + (port reset_ipu_i_0_i_1_1 (direction INPUT)) (port m129_2_03_4_i_4 (direction INPUT)) - (port reset_i_fast_r7 (direction INPUT)) - (port trg_spurious_trg_i (direction INPUT)) - (port int_lvl1_long_trg (direction INPUT)) - (port lvl1_valid_i_0 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) - (port trg_timeout_detected_i (direction INPUT)) + (port reset_i_fast_r10 (direction INPUT)) + (port N_6492 (direction INPUT)) + (port reset_ipu_i_0_i_1 (direction INPUT)) (port trg_spike_detected_i (direction INPUT)) - (port trg_multiple_trg_i (direction INPUT)) (port trg_missing_tmg_trg_i (direction INPUT)) + (port int_lvl1_long_trg (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port trg_data_valid_i (direction INPUT)) - (port m128_0_03_1_1 (direction OUTPUT)) + (port fee_data_finished_i (direction INPUT)) + (port N_277 (direction OUTPUT)) (port fee_data_write_i (direction INPUT)) (port ipu_header_empty (direction OUTPUT)) (port m142_4_03_1_i_4 (direction OUTPUT)) (port m141_2_03 (direction OUTPUT)) (port m140_2_03 (direction OUTPUT)) - (port reset_ipu_i_0_i_iso (direction INPUT)) + (port dat_lvl1_release (direction OUTPUT)) (port m138_2_03_2_i_4 (direction OUTPUT)) (port m137_2_03_2_i_4 (direction OUTPUT)) (port m136_2_03_2_i_4 (direction OUTPUT)) - (port reset_ipu_i_0 (direction INPUT)) + (port reset_ipu_i_0_i_1_i (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) - (port fee_data_finished_i (direction INPUT)) - (port dat_lvl1_release (direction OUTPUT)) + (port reset_i_fast_r7 (direction INPUT)) + (port trg_multiple_trg_i (direction INPUT)) + (port trg_spurious_trg_i (direction INPUT)) + (port trg_timeout_detected_i (direction INPUT)) + (port trg_notiming_valid_i (direction INPUT)) + (port trg_timing_valid_i (direction INPUT)) + (port trg_invalid_i (direction INPUT)) ) (contents - (instance current_buffer_state_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C B+C (B+!A)))")) + (instance current_buffer_state_0_srsts_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance tmg_trg_error_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(B+A)))")) ) (instance lvl1_busy_release_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) @@ -73226,13 +73249,13 @@ ) (instance data_counter_0_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance current_lvl1_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_lvl1_state_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance current_lvl1_state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance current_buffer_state_0_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_buffer_state_0_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance current_buffer_state_0_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_buffer_state_0_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance buffer_state_bits_0_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) @@ -73324,41 +73347,50 @@ ) (instance STAT_DATA_BUFFER_LEVEL_1_27 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance data_counter_0_0_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) + (instance current_buffer_state_0_ns_0_i_s_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance current_buffer_state_0_s0_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance data_counter_0_0_sqmuxa_i_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance current_buffer_state_0_s0_0_a2_0_a2_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_buffer_state_0_ns_0_a3_1_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_buffer_state_0_ns_0_a3_1_a3_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance current_lvl1_state_s0_0_a2_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_lvl1_state_s0_0_a2_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance proc_data_handler_busy_got_busy_release_5_i_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance current_lvl1_state_ns_0_0_0_a3_0_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance current_lvl1_state_ns_0_a2_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance current_lvl1_state_4_sqmuxa_0_a2_i_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance proc_data_handler_busy_got_busy_release_5_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) - (instance tmg_trg_error_i_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tmg_trg_error_isr_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance header_buffer_write_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance current_lvl1_statec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(!B+!A)))")) + ) + (instance current_lvl1_state_ns_0_0_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (B+A)))")) ) (instance data_counter_0e_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (C !A))")) + (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) ) - (instance current_buffer_state_0_ns_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)+C B)+D (!C (!B !A)))")) + (instance current_buffer_state_0_srsts_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C B))")) ) - (instance tmg_trg_error_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B+A)))")) + (instance current_buffer_state_0_srsts_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance current_lvl1_state_ns_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A))+D (!C A))")) + (instance current_lvl1_state_srsts_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)))")) ) (instance gen_filllevel_flags_0_proc_filllevel_flag_op_ge_un1_data_buffer_filllevel_1lto12_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) @@ -73367,12 +73399,12 @@ (property lut_function (string "(!D (C B)+D (C (B A)))")) ) (instance got_busy_released_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) + (property lut_function (string "(!C (!B A)+C !B)")) ) (instance got_busy_released_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C !A)")) ) - (instance proc_data_handler_busy_un4_reset_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_data_handler_busy_un4_reset_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+A))+D (B+A))")) ) (instance data_counter_0_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -73437,7 +73469,6 @@ ) (net data_counter_0 (joined (portRef Q (instanceRef current_buffer_state_0_0)) - (portRef data_counter_0 (instanceRef gen_fifos_0_THE_DAT_FIFO)) (portRef A0 (instanceRef data_counter_0_s_0_15)) (portRef A1 (instanceRef data_counter_0_cry_0_13)) (portRef A0 (instanceRef data_counter_0_cry_0_13)) @@ -73455,28 +73486,52 @@ (portRef A0 (instanceRef data_counter_0_cry_0_1)) (portRef A1 (instanceRef data_counter_0_cry_0_0)) (portRef B0 (instanceRef data_counter_0_cry_0_0)) - (portRef B (instanceRef current_buffer_state_0_ns_0_i_s_0)) (portRef B (instanceRef data_counter_0e_0_i)) - (portRef A (instanceRef current_buffer_state_0_ns_0_a3_1_a3_1)) - (portRef B (instanceRef current_buffer_state_0_s0_0_a2_0_a2)) - (portRef A (instanceRef data_counter_0_0_sqmuxa_i)) + (portRef A (instanceRef current_buffer_state_0_ns_0_a3_1_a3_0_a3_1)) + (portRef B (instanceRef current_buffer_state_0_s0_0_a2_0_a2_0_a3)) + (portRef A (instanceRef data_counter_0_0_sqmuxa_i_i_a3)) + (portRef B (instanceRef current_buffer_state_0_ns_0_i_s_0_a2_1)) (portRef D (instanceRef buffer_state_bits_0_1)) - (portRef B (instanceRef current_buffer_state_0_RNO_1)) + (portRef A (instanceRef current_buffer_state_0_srsts_RNO_0)) )) - (net current_buffer_state_0_1 (joined - (portRef Q (instanceRef current_buffer_state_0_1)) - (portRef A (instanceRef current_buffer_state_0_ns_0_i_s_0)) - (portRef A (instanceRef data_counter_0e_0_i)) - (portRef A (instanceRef current_buffer_state_0_s0_0_a2_0_a2)) - (portRef D (instanceRef buffer_state_bits_0_2)) - (portRef C (instanceRef current_buffer_state_0_RNO_1)) + (net trg_invalid_i (joined + (portRef trg_invalid_i) + (portRef B (instanceRef current_buffer_state_0_srsts_RNO_0)) )) - (net N_20 (joined - (portRef Z (instanceRef current_buffer_state_0_RNO_1)) - (portRef D (instanceRef current_buffer_state_0_1)) + (net trg_timing_valid_i (joined + (portRef trg_timing_valid_i) + (portRef C (instanceRef current_buffer_state_0_srsts_RNO_0)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) + (net trg_notiming_valid_i (joined + (portRef trg_notiming_valid_i) + (portRef D (instanceRef current_buffer_state_0_srsts_RNO_0)) + )) + (net N_6546 (joined + (portRef Z (instanceRef current_buffer_state_0_srsts_RNO_0)) + (portRef A (instanceRef current_buffer_state_0_srsts_0)) + )) + (net trg_timeout_detected_i (joined + (portRef trg_timeout_detected_i) + (portRef A (instanceRef tmg_trg_error_i_RNO)) + )) + (net trg_spurious_trg_i (joined + (portRef trg_spurious_trg_i) + (portRef B (instanceRef tmg_trg_error_i_RNO)) + )) + (net trg_multiple_trg_i (joined + (portRef trg_multiple_trg_i) + (portRef C (instanceRef tmg_trg_error_i_RNO)) + )) + (net tmg_trg_error_isr_2 (joined + (portRef Z (instanceRef tmg_trg_error_isr_2)) + (portRef D (instanceRef tmg_trg_error_i_RNO)) + )) + (net N_263711_0 (joined + (portRef Z (instanceRef tmg_trg_error_i_RNO)) + (portRef D (instanceRef tmg_trg_error_i)) + )) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) (portRef A (instanceRef lvl1_busy_release_i_RNO)) )) (net GND (joined @@ -73507,14 +73562,10 @@ (portRef C0 (instanceRef data_counter_0_cry_0_0)) (portRef A0 (instanceRef data_counter_0_cry_0_0)) )) - (net reset_ipu_i_0_i_0 (joined + (net reset_ipu_i_0_i_1_i_i (joined (portRef Z (instanceRef lvl1_busy_release_i_RNO)) (portRef CD (instanceRef lvl1_busy_release_i)) )) - (net tmg_trg_error_i_1_0_i (joined - (portRef Z (instanceRef tmg_trg_error_i_RNO)) - (portRef D (instanceRef tmg_trg_error_i)) - )) (net header_buffer_write (joined (portRef Q (instanceRef header_buffer_write)) (portRef header_buffer_write (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) @@ -73525,10 +73576,10 @@ (net tmg_trg_error_i (joined (portRef Q (instanceRef tmg_trg_error_i)) (portRef tmg_trg_error_i (instanceRef THE_HDR_FIFO)) - (portRef A (instanceRef tmg_trg_error_i_RNO)) + (portRef A (instanceRef tmg_trg_error_isr_2)) )) (net current_lvl1_state_d_2 (joined - (portRef Z (instanceRef current_lvl1_state_s0_0_a2_0_a3)) + (portRef Z (instanceRef current_lvl1_state_s0_0_a2_0_a3_0_a3)) (portRef D (instanceRef lvl1_state_bits_0)) )) (net clk_100_i_c (joined @@ -73657,15 +73708,15 @@ )) (net current_lvl1_state_0 (joined (portRef Q (instanceRef current_lvl1_state_0)) - (portRef D (instanceRef proc_data_handler_busy_un4_reset_0_i_s)) - (portRef A (instanceRef current_lvl1_state_ns_0_i_0)) - (portRef A (instanceRef current_lvl1_state_ns_0_a2_0_a3_1)) - (portRef A (instanceRef current_lvl1_state_s0_0_a2_0_a3)) + (portRef D (instanceRef proc_data_handler_busy_un4_reset_0_i_s_0)) + (portRef B (instanceRef current_lvl1_state_srsts_0)) + (portRef A (instanceRef current_lvl1_state_ns_0_0_0_0_0)) + (portRef A (instanceRef current_lvl1_state_s0_0_a2_0_a3_0_a3)) + (portRef D (instanceRef current_lvl1_state_1)) (portRef D (instanceRef lvl1_state_bits_1)) )) - (net reset_ipu_i_0 (joined - (portRef reset_ipu_i_0) - (portRef C (instanceRef data_counter_0e_0_i)) + (net reset_ipu_i_0_i_1_i (joined + (portRef reset_ipu_i_0_i_1_i) (portRef SP (instanceRef buffer_state_bits_0_2)) (portRef SP (instanceRef buffer_state_bits_0_1)) (portRef SP (instanceRef buffer_state_bits_0_0)) @@ -73694,20 +73745,17 @@ )) (net current_lvl1_state_1 (joined (portRef Q (instanceRef current_lvl1_state_1)) - (portRef C (instanceRef proc_data_handler_busy_un4_reset_0_i_s)) - (portRef B (instanceRef current_lvl1_state_ns_0_i_0)) - (portRef A (instanceRef header_buffer_write_RNO)) - (portRef B (instanceRef current_lvl1_state_s0_0_a2_0_a3)) + (portRef C (instanceRef proc_data_handler_busy_un4_reset_0_i_s_0)) + (portRef B (instanceRef current_lvl1_state_ns_0_0_0_0_0)) + (portRef A (instanceRef current_lvl1_state_4_sqmuxa_0_a2_i_i_a3)) + (portRef B (instanceRef current_lvl1_state_s0_0_a2_0_a3_0_a3)) (portRef D (instanceRef lvl1_busy_release_i)) (portRef D (instanceRef lvl1_state_bits_2)) )) - (net dat_lvl1_release (joined - (portRef Q (instanceRef lvl1_busy_release_i)) - (portRef A (instanceRef current_buffer_state_0_RNO_1)) - (portRef dat_lvl1_release) - )) (net N_88_0 (joined - (portRef Z (instanceRef current_buffer_state_0_ns_0_a3_1_a3_1)) + (portRef Z (instanceRef current_buffer_state_0_ns_0_a3_1_a3_0_a3_1)) + (portRef C (instanceRef current_buffer_state_0_srsts_0)) + (portRef B (instanceRef current_buffer_state_0_srsts_1)) (portRef D (instanceRef length_buffer_write_0)) )) (net length_buffer_write_0 (joined @@ -73866,8 +73914,8 @@ (portRef Q (instanceRef length_buffer_data_in_17)) (portRef (member length_buffer_data_in 0) (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) )) - (net N_10_i (joined - (portRef Z (instanceRef header_buffer_write_RNO)) + (net current_lvl1_state_4_sqmuxa_0_a2_i_i_a3 (joined + (portRef Z (instanceRef current_lvl1_state_4_sqmuxa_0_a2_i_i_a3)) (portRef D (instanceRef header_buffer_write)) )) (net got_busy_released_0_0 (joined @@ -73877,8 +73925,9 @@ (net got_busy_release_0 (joined (portRef Q (instanceRef got_busy_release_0)) (portRef B (instanceRef got_busy_released_0)) - (portRef B (instanceRef current_lvl1_state_ns_0_a2_0_a3_1)) - (portRef A (instanceRef proc_data_handler_busy_got_busy_release_5_i_a3_1)) + (portRef A (instanceRef current_lvl1_statec)) + (portRef A (instanceRef proc_data_handler_busy_got_busy_release_5_i_0_a3_1)) + (portRef A (instanceRef current_lvl1_state_ns_0_0_0_a3_0_1_0)) )) (net got_busy_released_0_1 (joined (portRef Z (instanceRef got_busy_released_1)) @@ -73887,7 +73936,8 @@ (net got_busy_release_1 (joined (portRef Q (instanceRef got_busy_release_1)) (portRef C (instanceRef got_busy_released_1)) - (portRef C (instanceRef current_lvl1_state_ns_0_a2_0_a3_1)) + (portRef D (instanceRef current_lvl1_state_ns_0_0_0_0_0)) + (portRef B (instanceRef current_lvl1_statec)) )) (net data_buffer_filllevel_12 (joined (portRef (member data_buffer_filllevel 1) (instanceRef gen_fifos_0_THE_DAT_FIFO)) @@ -73996,28 +74046,31 @@ (portRef S0 (instanceRef data_counter_0_s_0_15)) (portRef D (instanceRef data_counter_0_15)) )) - (net current_lvl1_state_ns_0_i_0 (joined - (portRef Z (instanceRef current_lvl1_state_ns_0_i_0)) + (net current_lvl1_state_nss_0 (joined + (portRef Z (instanceRef current_lvl1_state_srsts_0)) (portRef D (instanceRef current_lvl1_state_0)) )) - (net current_lvl1_state_ns_1 (joined - (portRef Z (instanceRef current_lvl1_state_ns_0_a2_0_a3_1)) - (portRef C (instanceRef current_lvl1_state_ns_0_i_0)) - (portRef D (instanceRef current_lvl1_state_1)) - )) - (net reset_ipu_i_0_i_iso (joined - (portRef reset_ipu_i_0_i_iso) - (portRef CD (instanceRef current_buffer_state_0_1)) - (portRef CD (instanceRef current_buffer_state_0_0)) + (net current_lvl1_statec_i (joined + (portRef Z (instanceRef current_lvl1_statec)) (portRef CD (instanceRef current_lvl1_state_1)) - (portRef CD (instanceRef current_lvl1_state_0)) )) - (net N_18 (joined - (portRef Z (instanceRef current_buffer_state_0_ns_0_i_s_0)) + (net current_buffer_state_0_nss_0 (joined + (portRef Z (instanceRef current_buffer_state_0_srsts_0)) (portRef D (instanceRef current_buffer_state_0_0)) )) + (net current_buffer_state_0_nss_1 (joined + (portRef Z (instanceRef current_buffer_state_0_srsts_1)) + (portRef D (instanceRef current_buffer_state_0_1)) + )) + (net current_buffer_state_0_1 (joined + (portRef Q (instanceRef current_buffer_state_0_1)) + (portRef A (instanceRef data_counter_0e_0_i)) + (portRef A (instanceRef current_buffer_state_0_s0_0_a2_0_a2_0_a3)) + (portRef A (instanceRef current_buffer_state_0_ns_0_i_s_0_a2_1)) + (portRef D (instanceRef buffer_state_bits_0_2)) + )) (net current_buffer_state_0_d_2 (joined - (portRef Z (instanceRef current_buffer_state_0_s0_0_a2_0_a2)) + (portRef Z (instanceRef current_buffer_state_0_s0_0_a2_0_a2_0_a3)) (portRef D (instanceRef buffer_state_bits_0_0)) )) (net header_buffer_filllevel_0 (joined @@ -74106,7 +74159,7 @@ )) (net header_buffer_almost_full (joined (portRef header_buffer_almost_full (instanceRef THE_HDR_FIFO)) - (portRef B (instanceRef proc_data_handler_busy_got_busy_release_5_i_a3_1)) + (portRef B (instanceRef proc_data_handler_busy_got_busy_release_5_i_0_a3_1)) (portRef D (instanceRef STAT_HEADER_BUFFER_LEVEL_1_17)) )) (net stat_header_buffer_level_17 (joined @@ -74268,7 +74321,7 @@ )) (net fee_almost_full_i (joined (portRef fee_almost_full_i (instanceRef gen_fifos_0_THE_DAT_FIFO)) - (portRef D (instanceRef proc_data_handler_busy_got_busy_release_5_i_a3_1)) + (portRef D (instanceRef proc_data_handler_busy_got_busy_release_5_i_0_a3_1)) (portRef D (instanceRef STAT_DATA_BUFFER_LEVEL_1_17)) )) (net stat_data_buffer_level_17 (joined @@ -74324,7 +74377,7 @@ )) (net length_buffer_almost_full_0 (joined (portRef (member length_buffer_almost_full 0) (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) - (portRef C (instanceRef proc_data_handler_busy_got_busy_release_5_i_a3_1)) + (portRef C (instanceRef proc_data_handler_busy_got_busy_release_5_i_0_a3_1)) (portRef D (instanceRef STAT_DATA_BUFFER_LEVEL_1_25)) )) (net stat_data_buffer_level_25 (joined @@ -74343,87 +74396,92 @@ (portRef Q (instanceRef STAT_DATA_BUFFER_LEVEL_1_27)) (portRef stat_data_buffer_level_27) )) + (net N_6639 (joined + (portRef Z (instanceRef current_buffer_state_0_ns_0_i_s_0_a2_1)) + (portRef B (instanceRef current_buffer_state_0_srsts_0)) + (portRef A (instanceRef current_buffer_state_0_srsts_1)) + )) (net fee_data_write_i (joined (portRef fee_data_write_i) - (portRef fee_data_write_i (instanceRef gen_fifos_0_THE_DAT_FIFO)) (portRef D (instanceRef data_counter_0e_0_i)) - (portRef B (instanceRef data_counter_0_0_sqmuxa_i)) + (portRef B (instanceRef data_counter_0_0_sqmuxa_i_i_a3)) (portRef D (instanceRef STAT_DATA_BUFFER_LEVEL_1_19)) )) - (net m128_0_03_1_1 (joined - (portRef Z (instanceRef data_counter_0_0_sqmuxa_i)) - (portRef m128_0_03_1_1) + (net current_lvl1_state_ns_0_0_0_a3_0_1_0 (joined + (portRef Z (instanceRef current_lvl1_state_ns_0_0_0_a3_0_1_0)) + (portRef C (instanceRef current_lvl1_state_ns_0_0_0_0_0)) )) - (net fee_data_finished_i (joined - (portRef fee_data_finished_i) - (portRef C (instanceRef got_busy_released_0)) - (portRef D (instanceRef current_buffer_state_0_ns_0_i_s_0)) - (portRef B (instanceRef current_buffer_state_0_ns_0_a3_1_a3_1)) - (portRef D (instanceRef current_buffer_state_0_RNO_1)) + (net common_ctrl_reg_2 (joined + (portRef (member common_ctrl_reg 0)) + (portRef B (instanceRef proc_data_handler_busy_un4_reset_0_i_s_0)) + (portRef B (instanceRef current_lvl1_state_4_sqmuxa_0_a2_i_i_a3)) + (portRef B (instanceRef lvl1_busy_release_i_RNO)) )) - (net N_26 (joined - (portRef Z (instanceRef proc_data_handler_busy_got_busy_release_5_i_a3_1)) - (portRef B (instanceRef got_busy_released_1)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef C (instanceRef current_lvl1_state_4_sqmuxa_0_a2_i_i_a3)) )) - (net trg_data_valid_i (joined - (portRef trg_data_valid_i) - (portRef D (instanceRef current_lvl1_state_ns_0_a2_0_a3_1)) + (net N_6543 (joined + (portRef Z (instanceRef proc_data_handler_busy_got_busy_release_5_i_0_a3_1)) + (portRef A (instanceRef got_busy_released_1)) + )) + (net int_lvl1_long_trg (joined + (portRef int_lvl1_long_trg) + (portRef B (instanceRef tmg_trg_error_isr_2)) )) (net trg_missing_tmg_trg_i (joined (portRef trg_missing_tmg_trg_i) - (portRef A (instanceRef tmg_trg_error_i_1_3)) - )) - (net trg_multiple_trg_i (joined - (portRef trg_multiple_trg_i) - (portRef B (instanceRef tmg_trg_error_i_1_3)) + (portRef C (instanceRef tmg_trg_error_isr_2)) )) (net trg_spike_detected_i (joined (portRef trg_spike_detected_i) - (portRef C (instanceRef tmg_trg_error_i_1_3)) - )) - (net trg_timeout_detected_i (joined - (portRef trg_timeout_detected_i) - (portRef D (instanceRef tmg_trg_error_i_1_3)) - )) - (net tmg_trg_error_i_1_3 (joined - (portRef Z (instanceRef tmg_trg_error_i_1_3)) - (portRef B (instanceRef tmg_trg_error_i_RNO)) + (portRef D (instanceRef tmg_trg_error_isr_2)) )) - (net common_ctrl_reg_2 (joined - (portRef (member common_ctrl_reg 0)) - (portRef B (instanceRef proc_data_handler_busy_un4_reset_0_i_s)) - (portRef B (instanceRef header_buffer_write_RNO)) - (portRef B (instanceRef lvl1_busy_release_i_RNO)) + (net trg_data_valid_i (joined + (portRef trg_data_valid_i) + (portRef D (instanceRef current_lvl1_statec)) + (portRef B (instanceRef current_lvl1_state_ns_0_0_0_a3_0_1_0)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef C (instanceRef header_buffer_write_RNO)) + (net current_lvl1_state_ns_0_0_0_0_0 (joined + (portRef Z (instanceRef current_lvl1_state_ns_0_0_0_0_0)) + (portRef C (instanceRef current_lvl1_state_srsts_0)) )) - (net int_lvl1_long_trg (joined - (portRef int_lvl1_long_trg) - (portRef C (instanceRef tmg_trg_error_i_RNO)) + (net reset_ipu_i_0_i_1 (joined + (portRef reset_ipu_i_0_i_1) + (portRef reset_ipu_i_0_i_1 (instanceRef THE_HDR_FIFO)) + (portRef reset_ipu_i_0_i_1 (instanceRef gen_fifos_0_THE_DAT_FIFO)) + (portRef D (instanceRef current_lvl1_state_srsts_0)) + (portRef D (instanceRef current_buffer_state_0_srsts_0)) + (portRef D (instanceRef current_buffer_state_0_srsts_1)) + (portRef C (instanceRef data_counter_0e_0_i)) + (portRef C (instanceRef current_lvl1_statec)) )) - (net trg_spurious_trg_i (joined - (portRef trg_spurious_trg_i) - (portRef D (instanceRef tmg_trg_error_i_RNO)) + (net dat_lvl1_release (joined + (portRef Q (instanceRef lvl1_busy_release_i)) + (portRef C (instanceRef current_buffer_state_0_srsts_1)) + (portRef dat_lvl1_release) )) - (net lvl1_valid_i_0 (joined - (portRef lvl1_valid_i_0) - (portRef D (instanceRef current_lvl1_state_ns_0_i_0)) - (portRef C (instanceRef current_buffer_state_0_ns_0_i_s_0)) + (net N_6492 (joined + (portRef N_6492) + (portRef A (instanceRef current_lvl1_state_srsts_0)) )) (net un1_data_buffer_filllevel_1lto12_1 (joined (portRef Z (instanceRef gen_filllevel_flags_0_proc_filllevel_flag_op_ge_un1_data_buffer_filllevel_1lto12_1)) (portRef D (instanceRef gen_filllevel_flags_0_proc_filllevel_flag_op_ge_un1_data_buffer_filllevel_1lto12)) )) (net N_12 (joined - (portRef Z (instanceRef proc_data_handler_busy_un4_reset_0_i_s)) + (portRef Z (instanceRef proc_data_handler_busy_un4_reset_0_i_s_0)) (portRef A (instanceRef got_busy_released_0)) - (portRef A (instanceRef got_busy_released_1)) + (portRef B (instanceRef got_busy_released_1)) )) - (net reset_i_fast_r7 (joined - (portRef reset_i_fast_r7) - (portRef A (instanceRef proc_data_handler_busy_un4_reset_0_i_s)) + (net fee_data_finished_i (joined + (portRef fee_data_finished_i) + (portRef C (instanceRef got_busy_released_0)) + (portRef B (instanceRef current_buffer_state_0_ns_0_a3_1_a3_0_a3_1)) + )) + (net reset_i_fast_r10 (joined + (portRef reset_i_fast_r10) + (portRef A (instanceRef proc_data_handler_busy_un4_reset_0_i_s_0)) )) (net data_counter_0_cry_0 (joined (portRef COUT (instanceRef data_counter_0_cry_0_0)) @@ -74707,13 +74765,18 @@ (portRef (member ipu_data 0) (instanceRef gen_fifos_0_THE_DAT_FIFO)) (portRef (member ipu_data 0)) )) + (net N_277 (joined + (portRef Z (instanceRef data_counter_0_0_sqmuxa_i_i_a3)) + (portRef N_277 (instanceRef gen_fifos_0_THE_DAT_FIFO)) + (portRef N_277) + )) (net m129_2_03_4_i_4 (joined (portRef m129_2_03_4_i_4) (portRef m129_2_03_4_i_4 (instanceRef gen_fifos_0_THE_DAT_FIFO)) )) - (net reset_ipu_i_0_i_1 (joined - (portRef reset_ipu_i_0_i_1) - (portRef reset_ipu_i_0_i_1 (instanceRef gen_fifos_0_THE_DAT_FIFO)) + (net reset_ipu_i_0_i_1_1 (joined + (portRef reset_ipu_i_0_i_1_1) + (portRef reset_ipu_i_0_i_1_1 (instanceRef gen_fifos_0_THE_DAT_FIFO)) )) (net m176_2_03_2_i_4 (joined (portRef m176_2_03_2_i_4 (instanceRef gen_fifos_0_THE_DAT_FIFO)) @@ -75002,11 +75065,6 @@ (portRef D (instanceRef STAT_HEADER_BUFFER_LEVEL_1_16)) (portRef ipu_header_empty) )) - (net reset_ipu_i_0_i (joined - (portRef reset_ipu_i_0_i) - (portRef reset_ipu_i_0_i (instanceRef THE_HDR_FIFO)) - (portRef reset_ipu_i_0_i (instanceRef gen_fifos_0_THE_DAT_FIFO)) - )) (net ipu_data_length_0 (joined (portRef (member ipu_data_length 15) (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) (portRef (member ipu_data_length 15)) @@ -75076,10 +75134,10 @@ (portRef ipu_header_read (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) (portRef ipu_header_read (instanceRef THE_HDR_FIFO)) )) - (net reset_ipu_i_0_i_2 (joined - (portRef reset_ipu_i_0_i_2) - (portRef reset_ipu_i_0_i_2 (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) - (portRef reset_ipu_i_0_i_2 (instanceRef gen_fifos_0_THE_DAT_FIFO)) + (net reset_ipu_i_0_i_1_2 (joined + (portRef reset_ipu_i_0_i_1_2) + (portRef reset_ipu_i_0_i_1_2 (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) + (portRef reset_ipu_i_0_i_1_2 (instanceRef gen_fifos_0_THE_DAT_FIFO)) )) ) ) @@ -75090,6 +75148,8 @@ (port (array (rename trg_number_i "trg_number_i(15:0)") 16) (direction INPUT)) (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) (port (array (rename common_ctrl_reg_strobe "COMMON_CTRL_REG_STROBE(1:1)") 1) (direction INPUT)) + (port (array (rename trg_type_i "trg_type_i(3:3)") 1) (direction INPUT)) + (port (array (rename trg_information_i "trg_information_i(7:7)") 1) (direction INPUT)) (port stat_lvl1_handler_63 (direction OUTPUT)) (port stat_lvl1_handler_62 (direction OUTPUT)) (port stat_lvl1_handler_61 (direction OUTPUT)) @@ -75150,9 +75210,6 @@ (port buf_LVL1_ERROR_PATTERN_IN_4 (direction OUTPUT)) (port buf_LVL1_ERROR_PATTERN_IN_0 (direction OUTPUT)) (port (array (rename trg_int_number_i "trg_int_number_i(15:0)") 16) (direction OUTPUT)) - (port (array (rename trg_information_i "trg_information_i(7:7)") 1) (direction INPUT)) - (port (array (rename trg_type_i "trg_type_i(3:3)") 1) (direction INPUT)) - (port (array (rename stat_handler_i "stat_handler_i(1:0)") 2) (direction INPUT)) (port common_ctrl_reg_12 (direction INPUT)) (port common_ctrl_reg_43 (direction INPUT)) (port common_ctrl_reg_42 (direction INPUT)) @@ -75174,8 +75231,9 @@ (port common_ctrl_reg_1 (direction INPUT)) (port common_ctrl_reg_0 (direction INPUT)) (port common_ctrl_reg_91 (direction INPUT)) - (port d_m5_0_0 (direction OUTPUT)) - (port d_N_9 (direction INPUT)) + (port (array (rename stat_handler_i "stat_handler_i(1:0)") 2) (direction INPUT)) + (port d_N_6_0 (direction OUTPUT)) + (port first_fifo_read_RNIS8RB (direction INPUT)) (port m6_6_03_1_1 (direction INPUT)) (port signal_sync_1 (direction OUTPUT)) (port signal_sync_0 (direction OUTPUT)) @@ -75264,55 +75322,97 @@ (port un1_tmg_edge_found_i_axb_2 (direction OUTPUT)) (port un1_tmg_edge_found_i_axb_1 (direction OUTPUT)) (port un1_tmg_edge_found_i_axb_0 (direction OUTPUT)) - (port LVL1_TRG_RELEASE_OUT (direction INPUT)) + (port LVL1_TRG_RELEASE_OUT_0_a2 (direction INPUT)) (port trg_notiming_valid_i (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port trg_timeout_detected_i (direction OUTPUT)) (port int_lvl1_long_trg (direction OUTPUT)) (port trg_multiple_trg_i (direction OUTPUT)) - (port trg_invalid_i (direction OUTPUT)) (port trg_timing_valid_i (direction OUTPUT)) (port reset_trg_logic (direction INPUT)) + (port reg_TRG_RECEIVED_OUT_Q (direction INPUT)) + (port GND (direction INPUT)) + (port trg_invalid_i (direction OUTPUT)) (port buf_LVL1_TRG_RELEASE_IN (direction OUTPUT)) (port trg_data_valid_i (direction OUTPUT)) - (port GND (direction INPUT)) - (port reg_TRG_RECEIVED_OUT_Q (direction INPUT)) ) (contents + (instance buf_STATUS_OUT_RNO_2 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance buf_STATUS_OUT_RNO_12 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance error_pattern_RNO_16 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance buf_STATUS_OUT_RNO_2 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance missing_tmg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + (instance short_tmg_trg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)+C (!B A)))")) ) - (instance mult_trg_lock_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+!A)+C !A)")) + (instance trigger_length_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance trigger_length_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance trigger_length_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (B+A)+C A))")) + (instance trigger_length_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance short_tmg_trg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)+C (!B A)))")) + (instance trigger_length_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance next_toc_rst_2_sqmuxa_0_a2_RNI9AUO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C (!B A)))")) + (instance trigger_length_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance invalid_trg_RNIHLOF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance trigger_length_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance timing_trg_reg_RNINB801_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (B+A)+C A))")) + (instance trigger_length_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance un1_timing_trg_found_1_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance missing_tmg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C (!B A)))")) + (property lut_function (string "(!C (B A))")) + ) + (instance data_valid_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D (!C (B+A)+C B))")) + ) + (instance trigger_length_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (B+A)+C A))")) + ) + (instance invalid_trg_RNIHLOF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance CURRENT_STATE_RNIM5BO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) + (instance CURRENT_STATE_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+!A)+D (C+!A))")) + ) + (instance timing_trg_rising_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) (instance timing_trg_found_fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) @@ -75814,28 +75914,37 @@ ) (instance CURRENT_STATE_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance THE_TIMEOUT_CTR_PROC_un11_reset_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance THE_LATCH_PROC_un5_reset_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) (instance STAT_PROC_un6_val_trg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance STATE_TRANSFORM_un23_timing_trg_found_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance CURRENT_STATE_s3_i_o4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance STAT_CTR_PROC_un38_timing_trg_found_i_0_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CURRENT_STATE_ns_0_x2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A+B !A)")) + (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance STAT_CTR_PROC_un39_reset_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STAT_PROC_buf_STATUS_OUT_18_i_o3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance next_spurious_trg_0_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance CURRENT_STATE_ns_0_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance CURRENT_STATE_ns_0_i_x2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A+B !A)")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_val_ttl_trg_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) + ) + (instance STAT_CTR_PROC_un38_timing_trg_found_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) + ) + (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -75847,150 +75956,147 @@ (instance trg_rel_RNI95O3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance toc_rst_RNI01L3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance spurious_trg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance trg_rst_RNIVTP3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STAT_CTR_PROC_un39_reset_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance next_toc_ce_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_toc_ce_0_sqmuxa_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) - (instance next_toc_rst_2_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) - ) - (instance wrong_polarity_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance STAT_PROC_buf_STATUS_OUT_16_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance STAT_PROC_buf_STATUS_OUT_15_0_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance STAT_PROC_buf_STATUS_OUT_14_0_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance trg_rst_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance STAT_PROC_buf_STATUS_OUT_13_0_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance mult_trg_found_0_sqmuxa_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) ) - (instance CURRENT_STATE_tr3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance COUNT_EDGES_AND_LENGTH_PROC_trigger_length_3_i_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance STATE_MEM_toc_rst_2_0_a4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_ns_0_i_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)))")) ) - (instance MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance SHORT_TMG_TRG_PROC_un10_prev_trg_reg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance STAT_CTR_PROC_un25_prev_trg_reg_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un13_timing_trg_reg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance CURRENT_STATE_tr3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) (instance and_all_tmp_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) - ) - (instance WRONG_POLAR_PROC_op_gt_un5lto15_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance lvl1_int_trg_number_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (!C (!B !A)+C (!B+A)))")) ) - (instance STAT_CTR_PROC_un38_timing_trg_found (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (instance mult_trg_lock_en_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B+A))")) ) - (instance STAT_CTR_PROC_un37_timing_trg_found (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!C (!B+A)))")) + (instance WRONG_POLAR_PROC_op_gt_un5lto15_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (C+(B+A)))")) ) - (instance buf_STATUS_OUT_542 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance buf_STATUS_OUT_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A))")) ) - (instance STAT_CTR_PROC_un14_invalid_trg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+A))+D A)")) + (instance buf_STATUS_OUT_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C !B)")) ) - (instance MULTIPLE_TRG_FND_PROC_un15_timing_trg_rising (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance buf_STATUS_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C !B)")) ) - (instance WRONG_POLAR_PROC_op_gt_un5lto4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)))")) + (instance buf_STATUS_OUT_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C !B)")) + ) + (instance invalid_trgc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) (instance and_all_tmp_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance buf_STATUS_OUTc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !B)+D (!C (!B !A)+C !B))")) + (instance WRONG_POLAR_PROC_op_gt_un5lto15_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance data_valid_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+A))+D (!C A))")) + (instance buf_STATUS_OUTc_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C (B+!A)))")) + ) + (instance mult_trg_lock_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) + ) + (instance next_val_ttl_trg_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (C (B+A)))")) + ) + (instance un1_next_spurious_trg_0_sqmuxa_2_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (B+A)+C A))")) + ) + (instance un1_next_spurious_trg_0_sqmuxa_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C A))")) + ) + (instance SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B+!A))+D (!C+(B+!A)))")) + ) + (instance WRONG_POLAR_PROC_un32_reset_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D (!C+(B+A)))")) ) (instance timing_trg_reg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B+A))+D (!C A+C (!B+A)))")) ) - (instance CURRENT_STATE_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+A))+D (C+!A))")) - ) (instance and_all_tmp (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance STAT_CTR_PROC_un9_spurious_trg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (instance CURRENT_STATE_ns_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+!A)))")) ) - (instance WRONG_POLAR_PROC_op_gt_un5lto15_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance STATE_MEM_toc_rst_2_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+!A)))")) ) - (instance LVL1_LONG_TRG_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A))+D (!C+(B+A)))")) + (instance WRONG_POLAR_PROC_op_gt_un5lto15_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance short_tmg_trg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (!C+(B+A)))")) + (instance lvl1_int_trg_number_RNI4CID1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (B !A)+C B))")) ) (instance THE_TIMEOUT_CTR_PROC_un1_toc_ce (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance STATE_MEM_toc_rst_2_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) + (instance mult_trg_found_0_sqmuxa_i_o3_RNIRD1R (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance val_ttl_trg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C (B+A)))")) + (instance un1_spurious_trg_1_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance spurious_trg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C A))")) + (instance un1_prev_trg_reg_1_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)+C !B))")) ) - (instance CURRENT_STATE_ns_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)+C B))")) + (instance WRONG_POLAR_PROC_op_gt_un5lto15_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+A)))")) ) - (instance un1_invalid_trg_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance ctr_lock_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B !A)+D (!C (!B !A)+C !B))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_synced_timing_trg_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B+!A)))")) ) - (instance lvl1_int_trg_number_RNI6NOV2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B !A)))")) + (instance ctr_lock_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(B !A))+D !A)")) ) - (instance WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B+!A)))")) + (instance ctr_lock_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+!A)))")) ) - (instance ctr_lock_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance un1_next_spurious_trg_0_sqmuxa_1_0_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance mult_trg_found_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) (instance THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) @@ -76493,6 +76599,28 @@ ) (instance GEN_SYNC_THE_TIMING_TRG_SYNC (viewRef netlist (cellRef signal_sync_1_2)) ) + (net CURRENT_STATE_Q_1 (joined + (portRef Q (instanceRef CURRENT_STATE_1)) + (portRef A (instanceRef un1_next_spurious_trg_0_sqmuxa_1_0_i_a2)) + (portRef D (instanceRef un1_next_spurious_trg_0_sqmuxa_0_i_s)) + (portRef D (instanceRef un1_next_spurious_trg_0_sqmuxa_2_0_i_s)) + (portRef D (instanceRef invalid_trgc)) + (portRef C (instanceRef CURRENT_STATE_tr3_0_a3)) + (portRef D (instanceRef CURRENT_STATE_ns_0_i_a3_0_0)) + (portRef B (instanceRef trg_rst_RNO)) + (portRef C (instanceRef next_toc_ce_0_sqmuxa_0_a2_0_a2)) + (portRef B (instanceRef CURRENT_STATE_ns_0_i_x2_0)) + (portRef D (instanceRef buf_STATUS_OUT_1)) + (portRef PD (instanceRef buf_STATUS_OUT_0)) + (portRef B (instanceRef CURRENT_STATE_RNO_1)) + (portRef A (instanceRef CURRENT_STATE_RNIM5BO_0)) + (portRef C (instanceRef data_valid_RNO)) + (portRef A (instanceRef buf_STATUS_OUT_RNO_2)) + )) + (net un1_THE_ENDPOINT_i_13 (joined + (portRef Z (instanceRef buf_STATUS_OUT_RNO_2)) + (portRef CD (instanceRef buf_STATUS_OUT_2)) + )) (net trg_num_match (joined (portRef Q (instanceRef trg_num_match)) (portRef A (instanceRef buf_STATUS_OUT_RNO_12)) @@ -76510,303 +76638,236 @@ (portRef Z (instanceRef error_pattern_RNO_16)) (portRef D (instanceRef error_pattern_16)) )) - (net CURRENT_STATE_0 (joined - (portRef Q (instanceRef CURRENT_STATE_0)) - (portRef A (instanceRef CURRENT_STATE_ns_0_i_0)) - (portRef A (instanceRef spurious_trg_RNO)) - (portRef A (instanceRef CURRENT_STATE_ns_0_i_1)) - (portRef A (instanceRef data_valid_RNO)) - (portRef A (instanceRef STATE_MEM_toc_rst_2_0_a4)) - (portRef A (instanceRef CURRENT_STATE_tr3)) - (portRef A (instanceRef next_toc_ce_0_sqmuxa_0_a2)) - (portRef A (instanceRef CURRENT_STATE_s3_i_o4)) - (portRef PD (instanceRef buf_STATUS_OUT_0)) - (portRef B (instanceRef CURRENT_STATE_RNIM5BO_0)) - (portRef B (instanceRef next_toc_rst_2_sqmuxa_0_a2_RNI9AUO)) - (portRef D (instanceRef missing_tmg_RNO_0)) - (portRef A (instanceRef buf_STATUS_OUT_RNO_2)) - )) - (net CURRENT_STATE_i_0 (joined - (portRef Z (instanceRef buf_STATUS_OUT_RNO_2)) - (portRef CD (instanceRef buf_STATUS_OUT_2)) - )) - (net N_220 (joined - (portRef Z (instanceRef next_toc_rst_2_sqmuxa_0_a2_RNI9AUO)) - (portRef D (instanceRef invalid_trg)) - (portRef B (instanceRef missing_tmg_RNO_0)) - )) - (net CURRENT_STATE_Q_1 (joined - (portRef Q (instanceRef CURRENT_STATE_1)) - (portRef D (instanceRef spurious_trg_RNO)) - (portRef D (instanceRef CURRENT_STATE_ns_0_i_1)) - (portRef D (instanceRef data_valid_RNO)) - (portRef D (instanceRef STATE_MEM_toc_rst_2_0_a4)) - (portRef C (instanceRef CURRENT_STATE_tr3)) - (portRef C (instanceRef next_toc_rst_2_sqmuxa_0_a2)) - (portRef C (instanceRef next_toc_ce_0_sqmuxa_0_a2)) - (portRef B (instanceRef CURRENT_STATE_ns_0_x2_0)) - (portRef B (instanceRef CURRENT_STATE_s3_i_o4)) - (portRef D (instanceRef buf_STATUS_OUT_2)) - (portRef D (instanceRef buf_STATUS_OUT_1)) - (portRef D (instanceRef buf_STATUS_OUT_0)) - (portRef A (instanceRef CURRENT_STATE_RNIM5BO_0)) - (portRef C (instanceRef missing_tmg_RNO_0)) - )) - (net un1_next_spurious_trg_0_sqmuxa_2_0_i (joined - (portRef Z (instanceRef missing_tmg_RNO_0)) - (portRef SP (instanceRef missing_tmg)) - )) - (net un12_timing_trg_rising (joined - (portRef Z (instanceRef MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising)) - (portRef D (instanceRef mult_trg_found_0_sqmuxa)) - (portRef A (instanceRef MULTIPLE_TRG_FND_PROC_un15_timing_trg_rising)) - (portRef D (instanceRef timing_trg_rising)) - (portRef A (instanceRef mult_trg_lock_RNO)) - )) - (net timing_trg_rising_Q (joined - (portRef Q (instanceRef timing_trg_rising)) - (portRef B (instanceRef mult_trg_found_0_sqmuxa)) - (portRef C (instanceRef MULTIPLE_TRG_FND_PROC_un15_timing_trg_rising)) - (portRef B (instanceRef timing_trg_found_fb)) - (portRef B (instanceRef mult_trg_lock_RNO)) - )) - (net mult_trg_lock (joined - (portRef Q (instanceRef mult_trg_lock)) - (portRef C (instanceRef mult_trg_found_0_sqmuxa)) - (portRef B (instanceRef MULTIPLE_TRG_FND_PROC_un15_timing_trg_rising)) - (portRef C (instanceRef mult_trg_lock_RNO)) - )) - (net N_240_0_i (joined - (portRef Z (instanceRef mult_trg_lock_RNO)) - (portRef SP (instanceRef mult_trg_lock)) + (net N_6380_i (joined + (portRef Z (instanceRef short_tmg_trg_RNO)) + (portRef D (instanceRef short_tmg_trg)) )) - (net un26_prev_trg_reg (joined - (portRef Z (instanceRef STAT_CTR_PROC_un37_timing_trg_found)) - (portRef B (instanceRef STAT_CTR_PROC_un9_spurious_trg)) - (portRef A (instanceRef STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1)) + (net un1_synced_timing_trg_s_15_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_s_15_0)) + (portRef A (instanceRef trigger_length_RNO_15)) )) (net timing_trg_reg_1 (joined (portRef Q (instanceRef timing_trg_reg_1)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un13_timing_trg_reg)) - (portRef C (instanceRef SHORT_TMG_TRG_PROC_un10_prev_trg_reg)) - (portRef B (instanceRef MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising)) + (portRef C (instanceRef STAT_CTR_PROC_un25_prev_trg_reg_i_0_o3)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_trigger_length_3_i_a3_1)) + (portRef B (instanceRef mult_trg_found_0_sqmuxa_i_o3)) (portRef D (instanceRef timing_trg_reg_2)) - (portRef C (instanceRef timing_trg_reg_RNINB801_0)) + (portRef C (instanceRef timing_trg_rising_RNO)) (portRef C (instanceRef trigger_length_RNO_0)) - (portRef B (instanceRef STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1)) + (portRef C (instanceRef trigger_length_RNO_1)) + (portRef C (instanceRef trigger_length_RNO_2)) + (portRef C (instanceRef trigger_length_RNO_3)) + (portRef C (instanceRef trigger_length_RNO_4)) + (portRef C (instanceRef trigger_length_RNO_5)) + (portRef C (instanceRef trigger_length_RNO_6)) + (portRef C (instanceRef trigger_length_RNO_7)) + (portRef C (instanceRef trigger_length_RNO_8)) + (portRef C (instanceRef trigger_length_RNO_9)) + (portRef C (instanceRef trigger_length_RNO_10)) + (portRef C (instanceRef trigger_length_RNO_11)) + (portRef C (instanceRef trigger_length_RNO_12)) + (portRef C (instanceRef trigger_length_RNO_13)) + (portRef C (instanceRef trigger_length_RNO_14)) + (portRef C (instanceRef trigger_length_RNO_15)) )) (net timing_trg_reg_0 (joined (portRef Q (instanceRef timing_trg_reg_0)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un13_timing_trg_reg)) - (portRef B (instanceRef SHORT_TMG_TRG_PROC_un10_prev_trg_reg)) - (portRef A (instanceRef MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising)) + (portRef B (instanceRef STAT_CTR_PROC_un25_prev_trg_reg_i_0_o3)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_trigger_length_3_i_a3_1)) + (portRef A (instanceRef mult_trg_found_0_sqmuxa_i_o3)) (portRef D (instanceRef timing_trg_reg_1)) - (portRef D (instanceRef timing_trg_reg_RNINB801_0)) + (portRef D (instanceRef timing_trg_rising_RNO)) (portRef D (instanceRef trigger_length_RNO_0)) - (portRef C (instanceRef STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1)) + (portRef D (instanceRef trigger_length_RNO_1)) + (portRef D (instanceRef trigger_length_RNO_2)) + (portRef D (instanceRef trigger_length_RNO_3)) + (portRef D (instanceRef trigger_length_RNO_4)) + (portRef D (instanceRef trigger_length_RNO_5)) + (portRef D (instanceRef trigger_length_RNO_6)) + (portRef D (instanceRef trigger_length_RNO_7)) + (portRef D (instanceRef trigger_length_RNO_8)) + (portRef D (instanceRef trigger_length_RNO_9)) + (portRef D (instanceRef trigger_length_RNO_10)) + (portRef D (instanceRef trigger_length_RNO_11)) + (portRef D (instanceRef trigger_length_RNO_12)) + (portRef D (instanceRef trigger_length_RNO_13)) + (portRef D (instanceRef trigger_length_RNO_14)) + (portRef D (instanceRef trigger_length_RNO_15)) + )) + (net N_6353_i (joined + (portRef Z (instanceRef trigger_length_RNO_15)) + (portRef D (instanceRef trigger_length_15)) )) - (net prev_trg_reg_3 (joined - (portRef Q (instanceRef prev_trg_reg_3)) - (portRef A (instanceRef SHORT_TMG_TRG_PROC_un10_prev_trg_reg)) - (portRef D (instanceRef STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1)) + (net un1_synced_timing_trg_cry_13_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_13_0)) + (portRef A (instanceRef trigger_length_RNO_14)) )) - (net un25_prev_trg_reg (joined - (portRef Z (instanceRef STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1)) - (portRef B0 (instanceRef un1_prev_trg_reg_1_cry_0_0)) - (portRef B (instanceRef un1_invalid_trg_1)) + (net common_ctrl_reg_91 (joined + (portRef common_ctrl_reg_91) + (portRef C (instanceRef un1_synced_timing_trg_cry_0_0_RNO)) + (portRef C (instanceRef timing_trg_reg_RNO_0)) + (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_trigger_length_3_i_a3_1)) + (portRef B (instanceRef trigger_length_RNO_0)) + (portRef B (instanceRef trigger_length_RNO_1)) + (portRef B (instanceRef trigger_length_RNO_2)) + (portRef B (instanceRef trigger_length_RNO_3)) + (portRef B (instanceRef trigger_length_RNO_4)) + (portRef B (instanceRef trigger_length_RNO_5)) + (portRef B (instanceRef trigger_length_RNO_6)) + (portRef B (instanceRef trigger_length_RNO_7)) + (portRef B (instanceRef trigger_length_RNO_8)) + (portRef B (instanceRef trigger_length_RNO_9)) + (portRef B (instanceRef trigger_length_RNO_10)) + (portRef B (instanceRef trigger_length_RNO_11)) + (portRef B (instanceRef trigger_length_RNO_12)) + (portRef B (instanceRef trigger_length_RNO_13)) + (portRef B (instanceRef trigger_length_RNO_14)) + (portRef B (instanceRef trigger_length_RNO_15)) + )) + (net N_6352_i (joined + (portRef Z (instanceRef trigger_length_RNO_14)) + (portRef D (instanceRef trigger_length_14)) )) - (net un1_synced_timing_trg_cry_0_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_0_0)) - (portRef A (instanceRef trigger_length_RNO_0)) + (net un1_synced_timing_trg_cry_13_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_13_0)) + (portRef A (instanceRef trigger_length_RNO_13)) )) - (net trigger_length_3_0_i_0 (joined - (portRef Z (instanceRef trigger_length_RNO_0)) - (portRef D (instanceRef trigger_length_0)) + (net N_6351_i (joined + (portRef Z (instanceRef trigger_length_RNO_13)) + (portRef D (instanceRef trigger_length_13)) )) - (net un3_data_valid_i_0 (joined - (portRef Z (instanceRef short_tmg_trg_RNO)) - (portRef D (instanceRef short_tmg_trg)) + (net un1_synced_timing_trg_cry_11_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_11_0)) + (portRef A (instanceRef trigger_length_RNO_12)) )) - (net N_226 (joined - (portRef Z (instanceRef next_toc_rst_2_sqmuxa_0_a2)) - (portRef A (instanceRef val_ttl_trg_RNO)) - (portRef C (instanceRef STATE_MEM_toc_rst_2_0_i)) - (portRef A (instanceRef next_toc_rst_2_sqmuxa_0_a2_RNI9AUO)) + (net N_6350_i (joined + (portRef Z (instanceRef trigger_length_RNO_12)) + (portRef D (instanceRef trigger_length_12)) )) - (net un1_reset_2_i (joined - (portRef Z (instanceRef invalid_trg_RNIHLOF)) - (portRef SP (instanceRef buf_STATUS_OUT_7)) - (portRef SP (instanceRef buf_STATUS_OUT_6)) - (portRef SP (instanceRef buf_STATUS_OUT_5)) - (portRef SP (instanceRef buf_STATUS_OUT_4)) + (net un1_synced_timing_trg_cry_11_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_11_0)) + (portRef A (instanceRef trigger_length_RNO_11)) )) - (net reset_trg_logic (joined - (portRef reset_trg_logic) - (portRef reset_trg_logic (instanceRef GEN_SYNC_THE_TIMING_TRG_SYNC)) - (portRef reset_trg_logic (instanceRef THE_PULSE_STRETCH)) - (portRef B (instanceRef LVL1_LONG_TRG_OUT_RNO)) - (portRef B (instanceRef buf_STATUS_OUTc)) - (portRef A (instanceRef buf_STATUS_OUT_542)) - (portRef A (instanceRef wrong_polarity_RNO)) - (portRef B (instanceRef trg_rst_RNIVTP3)) - (portRef B (instanceRef toc_rst_RNI01L3)) - (portRef B (instanceRef trg_rel_RNI95O3)) - (portRef A (instanceRef STAT_CTR_PROC_un39_reset_i)) - (portRef CD (instanceRef CURRENT_STATE_1)) - (portRef CD (instanceRef CURRENT_STATE_0)) - (portRef CD (instanceRef data_valid)) - (portRef CD (instanceRef invalid_trg)) - (portRef CD (instanceRef lvl1_delay_10)) - (portRef CD (instanceRef lvl1_delay_9)) - (portRef CD (instanceRef lvl1_delay_8)) - (portRef CD (instanceRef lvl1_delay_7)) - (portRef CD (instanceRef lvl1_delay_6)) - (portRef CD (instanceRef lvl1_delay_5)) - (portRef CD (instanceRef lvl1_delay_4)) - (portRef CD (instanceRef lvl1_delay_3)) - (portRef CD (instanceRef lvl1_delay_2)) - (portRef CD (instanceRef lvl1_delay_1)) - (portRef CD (instanceRef lvl1_delay_0)) - (portRef CD (instanceRef lvl1_int_trg_number_15)) - (portRef CD (instanceRef lvl1_int_trg_number_14)) - (portRef CD (instanceRef lvl1_int_trg_number_13)) - (portRef CD (instanceRef lvl1_int_trg_number_12)) - (portRef CD (instanceRef lvl1_int_trg_number_11)) - (portRef CD (instanceRef lvl1_int_trg_number_10)) - (portRef CD (instanceRef lvl1_int_trg_number_9)) - (portRef CD (instanceRef lvl1_int_trg_number_8)) - (portRef CD (instanceRef lvl1_int_trg_number_7)) - (portRef CD (instanceRef lvl1_int_trg_number_6)) - (portRef CD (instanceRef lvl1_int_trg_number_5)) - (portRef CD (instanceRef lvl1_int_trg_number_4)) - (portRef CD (instanceRef lvl1_int_trg_number_3)) - (portRef CD (instanceRef lvl1_int_trg_number_2)) - (portRef CD (instanceRef lvl1_int_trg_number_1)) - (portRef CD (instanceRef lvl1_int_trg_number_0)) - (portRef CD (instanceRef missing_tmg)) - (portRef CD (instanceRef prev_trg_reg_3)) - (portRef CD (instanceRef spurious_trg)) - (portRef CD (instanceRef timing_trg_reg_3)) - (portRef CD (instanceRef timing_trg_reg_2)) - (portRef CD (instanceRef timing_trg_reg_1)) - (portRef CD (instanceRef timing_trg_reg_0)) - (portRef CD (instanceRef timing_trg_rising)) - (portRef CD (instanceRef toc_ce)) - (portRef PD (instanceRef toc_rst)) - (portRef CD (instanceRef toc_save)) - (portRef PD (instanceRef trg_num_match)) - (portRef CD (instanceRef trg_rel)) - (portRef CD (instanceRef trg_rst)) - (portRef CD (instanceRef trigger_edge_count_15)) - (portRef CD (instanceRef trigger_edge_count_14)) - (portRef CD (instanceRef trigger_edge_count_13)) - (portRef CD (instanceRef trigger_edge_count_12)) - (portRef CD (instanceRef trigger_edge_count_11)) - (portRef CD (instanceRef trigger_edge_count_10)) - (portRef CD (instanceRef trigger_edge_count_9)) - (portRef CD (instanceRef trigger_edge_count_8)) - (portRef CD (instanceRef trigger_edge_count_7)) - (portRef CD (instanceRef trigger_edge_count_6)) - (portRef CD (instanceRef trigger_edge_count_5)) - (portRef CD (instanceRef trigger_edge_count_4)) - (portRef CD (instanceRef trigger_edge_count_3)) - (portRef CD (instanceRef trigger_edge_count_2)) - (portRef CD (instanceRef trigger_edge_count_1)) - (portRef CD (instanceRef trigger_edge_count_0)) - (portRef CD (instanceRef trigger_length_0)) - (portRef CD (instanceRef val_trg)) - (portRef CD (instanceRef val_ttl_trg)) - (portRef A (instanceRef timing_trg_reg_RNINB801_0)) - (portRef B (instanceRef invalid_trg_RNIHLOF)) + (net N_6349_i (joined + (portRef Z (instanceRef trigger_length_RNO_11)) + (portRef D (instanceRef trigger_length_11)) )) - (net common_ctrl_reg_91 (joined - (portRef common_ctrl_reg_91) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg)) - (portRef C (instanceRef timing_trg_reg_RNO_0)) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un13_timing_trg_reg)) - (portRef B (instanceRef timing_trg_reg_RNINB801_0)) - (portRef B (instanceRef trigger_length_RNO_0)) + (net un1_synced_timing_trg_cry_9_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_9_0)) + (portRef A (instanceRef trigger_length_RNO_10)) )) - (net timing_trg_reg_RNINB801_0 (joined - (portRef Z (instanceRef timing_trg_reg_RNINB801_0)) - (portRef CD (instanceRef trigger_length_15)) - (portRef CD (instanceRef trigger_length_14)) - (portRef CD (instanceRef trigger_length_13)) - (portRef CD (instanceRef trigger_length_12)) - (portRef CD (instanceRef trigger_length_11)) - (portRef CD (instanceRef trigger_length_10)) - (portRef CD (instanceRef trigger_length_9)) - (portRef CD (instanceRef trigger_length_8)) - (portRef CD (instanceRef trigger_length_7)) - (portRef CD (instanceRef trigger_length_6)) - (portRef CD (instanceRef trigger_length_5)) - (portRef CD (instanceRef trigger_length_4)) - (portRef CD (instanceRef trigger_length_3)) - (portRef CD (instanceRef trigger_length_2)) - (portRef CD (instanceRef trigger_length_1)) + (net N_6348_i (joined + (portRef Z (instanceRef trigger_length_RNO_10)) + (portRef D (instanceRef trigger_length_10)) )) - (net reg_TRG_RECEIVED_OUT_Q (joined - (portRef reg_TRG_RECEIVED_OUT_Q) - (portRef C (instanceRef spurious_trg_RNO)) - (portRef C (instanceRef CURRENT_STATE_ns_0_i_1)) - (portRef C (instanceRef data_valid_RNO)) - (portRef B (instanceRef CURRENT_STATE_tr3)) - (portRef B (instanceRef next_toc_rst_2_sqmuxa_0_a2)) - (portRef B (instanceRef next_toc_ce_0_sqmuxa_0_a2)) - (portRef B (instanceRef next_spurious_trg_0_sqmuxa_i)) - (portRef A (instanceRef CURRENT_STATE_ns_0_x2_0)) - (portRef D (instanceRef data_valid)) - (portRef A (instanceRef missing_tmg_RNO)) - (portRef A (instanceRef missing_tmg_RNO_0)) + (net un1_synced_timing_trg_cry_9_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_9_0)) + (portRef A (instanceRef trigger_length_RNO_9)) )) - (net timing_trg_found (joined - (portRef Q (instanceRef timing_trg_found)) - (portRef A (instanceRef mult_trg_found_0_sqmuxa)) - (portRef B (instanceRef CURRENT_STATE_ns_0_i_1)) - (portRef B (instanceRef data_valid_RNO)) - (portRef B (instanceRef STAT_CTR_PROC_un14_invalid_trg)) - (portRef B (instanceRef STAT_CTR_PROC_un37_timing_trg_found)) - (portRef B (instanceRef STAT_CTR_PROC_un38_timing_trg_found)) - (portRef B (instanceRef STATE_MEM_toc_rst_2_0_a4)) - (portRef A (instanceRef next_toc_rst_2_sqmuxa_0_a2)) - (portRef D (instanceRef buf_STATUS_OUT_15)) - (portRef A (instanceRef timing_trg_found_fb)) - (portRef B (instanceRef missing_tmg_RNO)) + (net N_6347_i (joined + (portRef Z (instanceRef trigger_length_RNO_9)) + (portRef D (instanceRef trigger_length_9)) )) - (net trg_type_i_3 (joined - (portRef (member trg_type_i 0)) - (portRef D (instanceRef val_ttl_trg_RNO)) - (portRef B (instanceRef STATE_TRANSFORM_un23_timing_trg_found_i_0_o2)) - (portRef C (instanceRef missing_tmg_RNO)) - (portRef C (instanceRef next_toc_rst_2_sqmuxa_0_a2_RNI9AUO)) + (net un1_synced_timing_trg_cry_7_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_7_0)) + (portRef A (instanceRef trigger_length_RNO_8)) )) - (net trg_information_i_7 (joined - (portRef (member trg_information_i 0)) - (portRef B (instanceRef spurious_trg_RNO)) - (portRef C (instanceRef val_ttl_trg_RNO)) - (portRef A (instanceRef next_spurious_trg_0_sqmuxa_i)) - (portRef A (instanceRef STATE_TRANSFORM_un23_timing_trg_found_i_0_o2)) - (portRef D (instanceRef missing_tmg_RNO)) - (portRef D (instanceRef next_toc_rst_2_sqmuxa_0_a2_RNI9AUO)) + (net N_6346_i (joined + (portRef Z (instanceRef trigger_length_RNO_8)) + (portRef D (instanceRef trigger_length_8)) )) - (net N_200_0 (joined - (portRef Z (instanceRef missing_tmg_RNO)) - (portRef D (instanceRef missing_tmg)) + (net un1_synced_timing_trg_cry_7_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_7_0)) + (portRef A (instanceRef trigger_length_RNO_7)) )) - (net stat_handler_i_1 (joined - (portRef (member stat_handler_i 0)) - (portRef C (instanceRef CURRENT_STATE_RNIM5BO_0)) - (portRef C (instanceRef short_tmg_trg_RNO)) + (net N_6345_i (joined + (portRef Z (instanceRef trigger_length_RNO_7)) + (portRef D (instanceRef trigger_length_7)) )) - (net stat_handler_i_0 (joined - (portRef (member stat_handler_i 1)) - (portRef D (instanceRef CURRENT_STATE_RNIM5BO_0)) - (portRef D (instanceRef short_tmg_trg_RNO)) + (net un1_synced_timing_trg_cry_5_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_5_0)) + (portRef A (instanceRef trigger_length_RNO_6)) )) - (net next_trg_rel_0_sqmuxa (joined - (portRef Z (instanceRef CURRENT_STATE_RNIM5BO_0)) - (portRef D (instanceRef CURRENT_STATE_ns_0_i_0)) - (portRef D (instanceRef trg_rel)) + (net N_6344_i (joined + (portRef Z (instanceRef trigger_length_RNO_6)) + (portRef D (instanceRef trigger_length_6)) + )) + (net un1_synced_timing_trg_cry_5_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_5_0)) + (portRef A (instanceRef trigger_length_RNO_5)) + )) + (net N_6343_i (joined + (portRef Z (instanceRef trigger_length_RNO_5)) + (portRef D (instanceRef trigger_length_5)) + )) + (net un1_synced_timing_trg_cry_3_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_3_0)) + (portRef A (instanceRef trigger_length_RNO_4)) + )) + (net N_6342_i (joined + (portRef Z (instanceRef trigger_length_RNO_4)) + (portRef D (instanceRef trigger_length_4)) + )) + (net un1_synced_timing_trg_cry_3_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_3_0)) + (portRef A (instanceRef trigger_length_RNO_3)) + )) + (net N_6341_i (joined + (portRef Z (instanceRef trigger_length_RNO_3)) + (portRef D (instanceRef trigger_length_3)) + )) + (net un1_synced_timing_trg_cry_1_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_1_0)) + (portRef A (instanceRef trigger_length_RNO_2)) + )) + (net N_6340_i (joined + (portRef Z (instanceRef trigger_length_RNO_2)) + (portRef D (instanceRef trigger_length_2)) + )) + (net un1_synced_timing_trg_cry_1_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_1_0)) + (portRef A (instanceRef trigger_length_RNO_1)) + )) + (net N_6339_i (joined + (portRef Z (instanceRef trigger_length_RNO_1)) + (portRef D (instanceRef trigger_length_1)) + )) + (net N_6377 (joined + (portRef Z (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3)) + (portRef A (instanceRef ctr_lock_RNO_0)) + (portRef A (instanceRef ctr_lock_RNO)) + (portRef A (instanceRef un1_prev_trg_reg_1_cry_0_0_RNO)) + (portRef A (instanceRef un1_spurious_trg_1_cry_0_0_RNO)) + (portRef A (instanceRef un1_timing_trg_found_1_cry_0_0_RNO)) + )) + (net ctr_lock (joined + (portRef Q (instanceRef ctr_lock)) + (portRef A (instanceRef ctr_lock_RNO_1)) + (portRef C (instanceRef un1_prev_trg_reg_1_cry_0_0_RNO)) + (portRef A (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3_0)) + (portRef C (instanceRef un1_timing_trg_found_1_cry_0_0_RNO)) + )) + (net N_243_i (joined + (portRef Z (instanceRef un1_timing_trg_found_1_cry_0_0_RNO)) + (portRef B0 (instanceRef un1_timing_trg_found_1_cry_0_0)) + )) + (net N_6378 (joined + (portRef Z (instanceRef next_val_ttl_trg_0_i_o2)) + (portRef A (instanceRef STATE_MEM_toc_rst_2_0_i_s)) + (portRef A (instanceRef invalid_trgc)) + (portRef A (instanceRef missing_tmg_RNO)) + )) + (net timing_trg_found (joined + (portRef Q (instanceRef timing_trg_found)) + (portRef C (instanceRef un1_next_spurious_trg_0_sqmuxa_1_0_i_a2)) + (portRef B (instanceRef ctr_lock_RNO_1)) + (portRef C (instanceRef mult_trg_found_0_sqmuxa_i_o3_RNIRD1R)) + (portRef B (instanceRef CURRENT_STATE_ns_0_i_a3_0_0)) + (portRef A (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3)) + (portRef A (instanceRef CURRENT_STATE_ns_0_i_o2_1)) + (portRef D (instanceRef buf_STATUS_OUT_15)) + (portRef A (instanceRef timing_trg_found_fb)) + (portRef C (instanceRef missing_tmg_RNO)) )) (net GND (joined (portRef GND) @@ -77116,20 +77177,139 @@ (portRef B0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_0_0)) (portRef A0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_0_0)) )) + (net N_6493_i (joined + (portRef Z (instanceRef missing_tmg_RNO)) + (portRef D (instanceRef missing_tmg)) + )) + (net CURRENT_STATE_0 (joined + (portRef Q (instanceRef CURRENT_STATE_0)) + (portRef C (instanceRef CURRENT_STATE_ns_0_i_s_0)) + (portRef A (instanceRef un1_next_spurious_trg_0_sqmuxa_0_i_s)) + (portRef B (instanceRef un1_next_spurious_trg_0_sqmuxa_2_0_i_s)) + (portRef C (instanceRef invalid_trgc)) + (portRef A (instanceRef CURRENT_STATE_tr3_0_a3)) + (portRef A (instanceRef CURRENT_STATE_ns_0_i_a3_0_0)) + (portRef A (instanceRef trg_rst_RNO)) + (portRef A (instanceRef next_toc_ce_0_sqmuxa_0_a2_0_a2)) + (portRef D (instanceRef buf_STATUS_OUT_2)) + (portRef D (instanceRef buf_STATUS_OUT_0)) + (portRef D (instanceRef CURRENT_STATE_RNO_1)) + (portRef B (instanceRef CURRENT_STATE_RNIM5BO_0)) + (portRef A (instanceRef data_valid_RNO)) + )) + (net N_6641 (joined + (portRef Z (instanceRef un1_next_spurious_trg_0_sqmuxa_1_0_i_a2)) + (portRef C (instanceRef STATE_MEM_toc_rst_2_0_i_s)) + (portRef A (instanceRef next_val_ttl_trg_0_i_s)) + (portRef B (instanceRef data_valid_RNO)) + )) + (net reg_TRG_RECEIVED_OUT_Q (joined + (portRef reg_TRG_RECEIVED_OUT_Q) + (portRef B (instanceRef un1_next_spurious_trg_0_sqmuxa_1_0_i_a2)) + (portRef C (instanceRef un1_next_spurious_trg_0_sqmuxa_0_i_s)) + (portRef C (instanceRef un1_next_spurious_trg_0_sqmuxa_2_0_i_s)) + (portRef B (instanceRef CURRENT_STATE_tr3_0_a3)) + (portRef B (instanceRef next_toc_ce_0_sqmuxa_0_a2_0_a2)) + (portRef B (instanceRef spurious_trg_RNO)) + (portRef A (instanceRef CURRENT_STATE_ns_0_i_x2_0)) + (portRef B (instanceRef CURRENT_STATE_ns_0_i_o2_1)) + (portRef D (instanceRef data_valid)) + (portRef C (instanceRef CURRENT_STATE_RNO_1)) + (portRef D (instanceRef data_valid_RNO)) + (portRef B (instanceRef missing_tmg_RNO)) + )) + (net data_valid_RNO (joined + (portRef Z (instanceRef data_valid_RNO)) + (portRef SP (instanceRef data_valid)) + )) + (net un1_synced_timing_trg_cry_0_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_0_0)) + (portRef A (instanceRef trigger_length_RNO_0)) + )) + (net trigger_length_RNO_0 (joined + (portRef Z (instanceRef trigger_length_RNO_0)) + (portRef D (instanceRef trigger_length_0)) + )) + (net trg_invalid_i (joined + (portRef Q (instanceRef invalid_trg)) + (portRef B0 (instanceRef un1_invalid_trg_cry_0_0)) + (portRef D (instanceRef ctr_lock_RNO_0)) + (portRef D (instanceRef un1_prev_trg_reg_1_cry_0_0_RNO)) + (portRef B (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3_0)) + (portRef A (instanceRef STAT_PROC_un6_val_trg)) + (portRef D (instanceRef error_pattern_17)) + (portRef D (instanceRef invalid_trg_RNIHLOF)) + (portRef B (instanceRef un1_timing_trg_found_1_cry_0_0_RNO)) + (portRef trg_invalid_i) + )) + (net un1_reset_2_i (joined + (portRef Z (instanceRef invalid_trg_RNIHLOF)) + (portRef SP (instanceRef buf_STATUS_OUT_7)) + (portRef SP (instanceRef buf_STATUS_OUT_6)) + (portRef SP (instanceRef buf_STATUS_OUT_5)) + (portRef SP (instanceRef buf_STATUS_OUT_4)) + )) + (net stat_handler_i_1 (joined + (portRef (member stat_handler_i 0)) + (portRef C (instanceRef CURRENT_STATE_RNIM5BO_0)) + (portRef C (instanceRef short_tmg_trg_RNO)) + )) + (net stat_handler_i_0 (joined + (portRef (member stat_handler_i 1)) + (portRef D (instanceRef CURRENT_STATE_RNIM5BO_0)) + (portRef D (instanceRef short_tmg_trg_RNO)) + )) + (net next_trg_rel_0_sqmuxa (joined + (portRef Z (instanceRef CURRENT_STATE_RNIM5BO_0)) + (portRef D (instanceRef CURRENT_STATE_ns_0_i_s_0)) + (portRef D (instanceRef trg_rel)) + )) + (net N_6382 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_0_i_o2_1)) + (portRef B (instanceRef invalid_trgc)) + (portRef A (instanceRef CURRENT_STATE_RNO_1)) + )) + (net N_263713_0 (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_1)) + (portRef D (instanceRef CURRENT_STATE_1)) + )) + (net timing_trg_reg_3 (joined + (portRef Q (instanceRef timing_trg_reg_3)) + (portRef D (instanceRef mult_trg_found_0_sqmuxa_i_o3)) + (portRef D (instanceRef prev_trg_reg_3)) + (portRef A (instanceRef timing_trg_rising_RNO)) + )) + (net timing_trg_reg_2 (joined + (portRef Q (instanceRef timing_trg_reg_2)) + (portRef C (instanceRef mult_trg_found_0_sqmuxa_i_o3)) + (portRef D (instanceRef timing_trg_reg_3)) + (portRef B (instanceRef timing_trg_rising_RNO)) + )) + (net N_6411_i (joined + (portRef Z (instanceRef timing_trg_rising_RNO)) + (portRef D (instanceRef timing_trg_rising)) + )) + (net timing_trg_rising_Q (joined + (portRef Q (instanceRef timing_trg_rising)) + (portRef D (instanceRef mult_trg_found_0_sqmuxa_i_o3_RNIRD1R)) + (portRef C (instanceRef mult_trg_lock_RNO)) + (portRef C (instanceRef mult_trg_lock_en_i)) + (portRef B (instanceRef timing_trg_found_fb)) + )) (net fb_0 (joined (portRef Z (instanceRef timing_trg_found_fb)) (portRef D (instanceRef timing_trg_found)) )) - (net mult_trg_found_0_sqmuxa (joined - (portRef Z (instanceRef mult_trg_found_0_sqmuxa)) + (net N_245_i (joined + (portRef Z (instanceRef mult_trg_found_0_sqmuxa_i_o3_RNIRD1R)) (portRef A (instanceRef mult_trg_found_fb)) )) (net fb_0_0 (joined (portRef Z (instanceRef mult_trg_found_fb)) (portRef D (instanceRef mult_trg_found)) )) - (net un5_0_i (joined - (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161)) + (net N_231 (joined + (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i)) (portRef A (instanceRef wrong_polarity_fb)) (portRef A (instanceRef LVL1_LONG_TRG_OUT_fb)) )) @@ -77139,7 +77319,7 @@ )) (net wrong_polarity (joined (portRef Q (instanceRef wrong_polarity)) - (portRef A (instanceRef STAT_PROC_buf_STATUS_OUT_13_0_i_7)) + (portRef A (instanceRef buf_STATUS_OUT_RNO_7)) (portRef B (instanceRef wrong_polarity_fb)) )) (net fb_0_2 (joined @@ -77155,12 +77335,12 @@ (portRef Z (instanceRef timeout_found_fb)) (portRef D (instanceRef timeout_found)) )) - (net un23_reset_i_i (joined - (portRef Z (instanceRef wrong_polarity_RNO)) + (net buf_STATUS_OUT_18_i_o3_5 (joined + (portRef Z (instanceRef STAT_PROC_buf_STATUS_OUT_18_i_o3_5)) (portRef CD (instanceRef wrong_polarity)) )) - (net next_val_ttl_trg_0_i (joined - (portRef Z (instanceRef val_ttl_trg_RNO)) + (net next_val_ttl_trg_0_i_s (joined + (portRef Z (instanceRef next_val_ttl_trg_0_i_s)) (portRef D (instanceRef val_ttl_trg)) )) (net clk_100_i_c (joined @@ -77410,6 +77590,102 @@ (portRef CK (instanceRef val_ttl_trg)) (portRef CK (instanceRef wrong_polarity)) )) + (net reset_trg_logic (joined + (portRef reset_trg_logic) + (portRef reset_trg_logic (instanceRef GEN_SYNC_THE_TIMING_TRG_SYNC)) + (portRef reset_trg_logic (instanceRef THE_PULSE_STRETCH)) + (portRef B (instanceRef WRONG_POLAR_PROC_un32_reset_i)) + (portRef A (instanceRef STAT_CTR_PROC_un39_reset_0_i)) + (portRef B (instanceRef trg_rel_RNI95O3)) + (portRef A (instanceRef STAT_PROC_buf_STATUS_OUT_18_i_o3_5)) + (portRef B (instanceRef THE_LATCH_PROC_un5_reset_i)) + (portRef B (instanceRef THE_TIMEOUT_CTR_PROC_un11_reset_i)) + (portRef CD (instanceRef CURRENT_STATE_1)) + (portRef CD (instanceRef CURRENT_STATE_0)) + (portRef CD (instanceRef buf_STATUS_OUT_13)) + (portRef CD (instanceRef buf_STATUS_OUT_7)) + (portRef CD (instanceRef buf_STATUS_OUT_6)) + (portRef CD (instanceRef buf_STATUS_OUT_5)) + (portRef CD (instanceRef buf_STATUS_OUT_4)) + (portRef CD (instanceRef data_valid)) + (portRef CD (instanceRef invalid_trg)) + (portRef CD (instanceRef lvl1_delay_10)) + (portRef CD (instanceRef lvl1_delay_9)) + (portRef CD (instanceRef lvl1_delay_8)) + (portRef CD (instanceRef lvl1_delay_7)) + (portRef CD (instanceRef lvl1_delay_6)) + (portRef CD (instanceRef lvl1_delay_5)) + (portRef CD (instanceRef lvl1_delay_4)) + (portRef CD (instanceRef lvl1_delay_3)) + (portRef CD (instanceRef lvl1_delay_2)) + (portRef CD (instanceRef lvl1_delay_1)) + (portRef CD (instanceRef lvl1_delay_0)) + (portRef CD (instanceRef lvl1_int_trg_number_15)) + (portRef CD (instanceRef lvl1_int_trg_number_14)) + (portRef CD (instanceRef lvl1_int_trg_number_13)) + (portRef CD (instanceRef lvl1_int_trg_number_12)) + (portRef CD (instanceRef lvl1_int_trg_number_11)) + (portRef CD (instanceRef lvl1_int_trg_number_10)) + (portRef CD (instanceRef lvl1_int_trg_number_9)) + (portRef CD (instanceRef lvl1_int_trg_number_8)) + (portRef CD (instanceRef lvl1_int_trg_number_7)) + (portRef CD (instanceRef lvl1_int_trg_number_6)) + (portRef CD (instanceRef lvl1_int_trg_number_5)) + (portRef CD (instanceRef lvl1_int_trg_number_4)) + (portRef CD (instanceRef lvl1_int_trg_number_3)) + (portRef CD (instanceRef lvl1_int_trg_number_2)) + (portRef CD (instanceRef lvl1_int_trg_number_1)) + (portRef CD (instanceRef lvl1_int_trg_number_0)) + (portRef CD (instanceRef missing_tmg)) + (portRef CD (instanceRef prev_trg_reg_3)) + (portRef CD (instanceRef spurious_trg)) + (portRef CD (instanceRef timing_trg_reg_3)) + (portRef CD (instanceRef timing_trg_reg_2)) + (portRef CD (instanceRef timing_trg_reg_1)) + (portRef CD (instanceRef timing_trg_reg_0)) + (portRef CD (instanceRef timing_trg_rising)) + (portRef CD (instanceRef toc_ce)) + (portRef PD (instanceRef toc_rst)) + (portRef CD (instanceRef toc_save)) + (portRef PD (instanceRef trg_num_match)) + (portRef CD (instanceRef trg_rel)) + (portRef CD (instanceRef trg_rst)) + (portRef CD (instanceRef trigger_edge_count_15)) + (portRef CD (instanceRef trigger_edge_count_14)) + (portRef CD (instanceRef trigger_edge_count_13)) + (portRef CD (instanceRef trigger_edge_count_12)) + (portRef CD (instanceRef trigger_edge_count_11)) + (portRef CD (instanceRef trigger_edge_count_10)) + (portRef CD (instanceRef trigger_edge_count_9)) + (portRef CD (instanceRef trigger_edge_count_8)) + (portRef CD (instanceRef trigger_edge_count_7)) + (portRef CD (instanceRef trigger_edge_count_6)) + (portRef CD (instanceRef trigger_edge_count_5)) + (portRef CD (instanceRef trigger_edge_count_4)) + (portRef CD (instanceRef trigger_edge_count_3)) + (portRef CD (instanceRef trigger_edge_count_2)) + (portRef CD (instanceRef trigger_edge_count_1)) + (portRef CD (instanceRef trigger_edge_count_0)) + (portRef CD (instanceRef trigger_length_15)) + (portRef CD (instanceRef trigger_length_14)) + (portRef CD (instanceRef trigger_length_13)) + (portRef CD (instanceRef trigger_length_12)) + (portRef CD (instanceRef trigger_length_11)) + (portRef CD (instanceRef trigger_length_10)) + (portRef CD (instanceRef trigger_length_9)) + (portRef CD (instanceRef trigger_length_8)) + (portRef CD (instanceRef trigger_length_7)) + (portRef CD (instanceRef trigger_length_6)) + (portRef CD (instanceRef trigger_length_5)) + (portRef CD (instanceRef trigger_length_4)) + (portRef CD (instanceRef trigger_length_3)) + (portRef CD (instanceRef trigger_length_2)) + (portRef CD (instanceRef trigger_length_1)) + (portRef CD (instanceRef trigger_length_0)) + (portRef CD (instanceRef val_trg)) + (portRef CD (instanceRef val_ttl_trg)) + (portRef B (instanceRef invalid_trg_RNIHLOF)) + )) (net trg_notiming_valid_i (joined (portRef Q (instanceRef val_ttl_trg)) (portRef trg_notiming_valid_i) @@ -77578,10 +77854,10 @@ (portRef D0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_0_0)) (portRef D (instanceRef tmg_edge_async)) )) - (net N_223 (joined - (portRef Z (instanceRef STATE_MEM_toc_rst_2_0_a4)) - (portRef B (instanceRef CURRENT_STATE_ns_0_i_0)) - (portRef B (instanceRef STATE_MEM_toc_rst_2_0_i)) + (net N_6566 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_0_i_a3_0_0)) + (portRef B (instanceRef STATE_MEM_toc_rst_2_0_i_s)) + (portRef B (instanceRef CURRENT_STATE_ns_0_i_s_0)) (portRef D (instanceRef val_trg)) )) (net trg_timing_valid_i (joined @@ -77593,168 +77869,108 @@ (net trigger_length_0 (joined (portRef Q (instanceRef trigger_length_0)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_0_0)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8)) + (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6)) + (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_o2)) (portRef D (instanceRef buf_STATUS_OUT_48)) )) - (net un1_synced_timing_trg_cry_1_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_1_0)) - (portRef D (instanceRef trigger_length_1)) - )) (net trigger_length_1 (joined (portRef Q (instanceRef trigger_length_1)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_1_0)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8)) + (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6)) + (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_o2)) (portRef D (instanceRef buf_STATUS_OUT_49)) )) - (net un1_synced_timing_trg_cry_1_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_1_0)) - (portRef D (instanceRef trigger_length_2)) - )) (net trigger_length_2 (joined (portRef Q (instanceRef trigger_length_2)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_1_0)) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7)) - (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto4)) + (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_o2)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2)) (portRef D (instanceRef buf_STATUS_OUT_50)) )) - (net un1_synced_timing_trg_cry_3_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_3_0)) - (portRef D (instanceRef trigger_length_3)) - )) (net trigger_length_3 (joined (portRef Q (instanceRef trigger_length_3)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_3_0)) - (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7)) - (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto4)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_o2)) (portRef D (instanceRef buf_STATUS_OUT_51)) )) - (net un1_synced_timing_trg_cry_3_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_3_0)) - (portRef D (instanceRef trigger_length_4)) - )) (net trigger_length_4 (joined (portRef Q (instanceRef trigger_length_4)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_3_0)) - (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto4)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_o2)) (portRef D (instanceRef buf_STATUS_OUT_52)) )) - (net un1_synced_timing_trg_cry_5_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_5_0)) - (portRef D (instanceRef trigger_length_5)) - )) (net trigger_length_5 (joined (portRef Q (instanceRef trigger_length_5)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_5_0)) - (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0)) + (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2)) (portRef D (instanceRef buf_STATUS_OUT_53)) )) - (net un1_synced_timing_trg_cry_5_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_5_0)) - (portRef D (instanceRef trigger_length_6)) - )) (net trigger_length_6 (joined (portRef Q (instanceRef trigger_length_6)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_5_0)) - (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161)) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0)) + (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i)) + (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2)) (portRef D (instanceRef buf_STATUS_OUT_54)) )) - (net un1_synced_timing_trg_cry_7_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_7_0)) - (portRef D (instanceRef trigger_length_7)) - )) (net trigger_length_7 (joined (portRef Q (instanceRef trigger_length_7)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_7_0)) - (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3)) - (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0)) + (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_2)) + (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2)) (portRef D (instanceRef buf_STATUS_OUT_55)) )) - (net un1_synced_timing_trg_cry_7_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_7_0)) - (portRef D (instanceRef trigger_length_8)) - )) (net trigger_length_8 (joined (portRef Q (instanceRef trigger_length_8)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_7_0)) - (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_4)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5)) + (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_3)) (portRef D (instanceRef buf_STATUS_OUT_56)) )) - (net un1_synced_timing_trg_cry_9_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_9_0)) - (portRef D (instanceRef trigger_length_9)) - )) (net trigger_length_9 (joined (portRef Q (instanceRef trigger_length_9)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_9_0)) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_4)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5)) + (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_3)) (portRef D (instanceRef buf_STATUS_OUT_57)) )) - (net un1_synced_timing_trg_cry_9_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_9_0)) - (portRef D (instanceRef trigger_length_10)) - )) (net trigger_length_10 (joined (portRef Q (instanceRef trigger_length_10)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_9_0)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6)) - (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_1)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3)) (portRef D (instanceRef buf_STATUS_OUT_58)) )) - (net un1_synced_timing_trg_cry_11_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_11_0)) - (portRef D (instanceRef trigger_length_11)) - )) (net trigger_length_11 (joined (portRef Q (instanceRef trigger_length_11)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_11_0)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6)) - (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_1)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3)) (portRef D (instanceRef buf_STATUS_OUT_59)) )) - (net un1_synced_timing_trg_cry_11_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_11_0)) - (portRef D (instanceRef trigger_length_12)) - )) (net trigger_length_12 (joined (portRef Q (instanceRef trigger_length_12)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_11_0)) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0)) - (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_1)) + (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_2)) + (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7)) (portRef D (instanceRef buf_STATUS_OUT_60)) )) - (net un1_synced_timing_trg_cry_13_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_13_0)) - (portRef D (instanceRef trigger_length_13)) - )) (net trigger_length_13 (joined (portRef Q (instanceRef trigger_length_13)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_13_0)) - (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0)) - (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_1)) + (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_2)) + (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7)) (portRef D (instanceRef buf_STATUS_OUT_61)) )) - (net un1_synced_timing_trg_cry_13_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_13_0)) - (portRef D (instanceRef trigger_length_14)) - )) (net trigger_length_14 (joined (portRef Q (instanceRef trigger_length_14)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_13_0)) + (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5)) (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_1)) (portRef D (instanceRef buf_STATUS_OUT_62)) )) - (net un1_synced_timing_trg_s_15_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_s_15_0)) - (portRef D (instanceRef trigger_length_15)) - )) (net trigger_length_15 (joined (portRef Q (instanceRef trigger_length_15)) (portRef A0 (instanceRef un1_synced_timing_trg_s_15_0)) + (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5)) (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_1)) (portRef D (instanceRef buf_STATUS_OUT_63)) )) @@ -77902,27 +78118,27 @@ (portRef A0 (instanceRef un1_timing_trg_reg_1_s_15_0)) (portRef D (instanceRef buf_STATUS_OUT_47)) )) - (net CURRENT_STATE_s3_i_o4 (joined - (portRef Z (instanceRef CURRENT_STATE_s3_i_o4)) + (net N_6488_i (joined + (portRef Z (instanceRef trg_rst_RNO)) (portRef D (instanceRef trg_rst)) )) (net trg_rst (joined (portRef Q (instanceRef trg_rst)) - (portRef A (instanceRef trg_rst_RNIVTP3)) + (portRef A (instanceRef THE_LATCH_PROC_un5_reset_i)) )) (net buf_LVL1_TRG_RELEASE_IN (joined (portRef Q (instanceRef trg_rel)) - (portRef B (instanceRef short_tmg_trg_RNO_0)) - (portRef A (instanceRef LVL1_LONG_TRG_OUT_RNO)) + (portRef A (instanceRef WRONG_POLAR_PROC_un32_reset_i)) + (portRef B (instanceRef SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i)) (portRef A (instanceRef trg_rel_RNI95O3)) (portRef D (instanceRef lvl1_int_trg_ce)) (portRef B (instanceRef short_tmg_trg_RNO)) (portRef buf_LVL1_TRG_RELEASE_IN) )) (net next_toc_ce_0_sqmuxa (joined - (portRef Z (instanceRef next_toc_ce_0_sqmuxa_0_a2)) - (portRef B (instanceRef val_ttl_trg_RNO)) - (portRef D (instanceRef STATE_MEM_toc_rst_2_0_i)) + (portRef Z (instanceRef next_toc_ce_0_sqmuxa_0_a2_0_a2)) + (portRef D (instanceRef STATE_MEM_toc_rst_2_0_i_s)) + (portRef B (instanceRef next_val_ttl_trg_0_i_s)) (portRef D (instanceRef toc_save)) )) (net toc_save (joined @@ -77939,16 +78155,16 @@ (portRef SP (instanceRef lvl1_delay_1)) (portRef SP (instanceRef lvl1_delay_0)) )) - (net toc_rst_2_0_i (joined - (portRef Z (instanceRef STATE_MEM_toc_rst_2_0_i)) + (net toc_rst_2_0_i_s (joined + (portRef Z (instanceRef STATE_MEM_toc_rst_2_0_i_s)) (portRef D (instanceRef toc_rst)) )) (net toc_rst (joined (portRef Q (instanceRef toc_rst)) - (portRef A (instanceRef toc_rst_RNI01L3)) + (portRef A (instanceRef THE_TIMEOUT_CTR_PROC_un11_reset_i)) )) (net next_toc_ce_1_sqmuxa (joined - (portRef Z (instanceRef CURRENT_STATE_tr3)) + (portRef Z (instanceRef CURRENT_STATE_tr3_0_a3)) (portRef D (instanceRef toc_ce)) )) (net toc_ce (joined @@ -77976,7 +78192,7 @@ )) (net common_ctrl_reg_1 (joined (portRef common_ctrl_reg_1) - (portRef B (instanceRef STAT_CTR_PROC_un39_reset_i)) + (portRef B (instanceRef STAT_CTR_PROC_un39_reset_0_i)) (portRef CD (instanceRef tmg_edge_ctr_15)) (portRef CD (instanceRef tmg_edge_ctr_14)) (portRef CD (instanceRef tmg_edge_ctr_13)) @@ -78054,29 +78270,19 @@ (portRef Q (instanceRef tmg_edge_async)) (portRef D (instanceRef sr0)) )) - (net timing_trg_comb_0_i (joined + (net N_6552_i (joined (portRef Z (instanceRef timing_trg_reg_RNO_0)) (portRef D (instanceRef timing_trg_reg_0)) )) - (net timing_trg_reg_2 (joined - (portRef Q (instanceRef timing_trg_reg_2)) - (portRef C (instanceRef MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising)) - (portRef D (instanceRef timing_trg_reg_3)) - )) - (net timing_trg_reg_3 (joined - (portRef Q (instanceRef timing_trg_reg_3)) - (portRef D (instanceRef MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising)) - (portRef D (instanceRef prev_trg_reg_3)) - )) - (net un5_reset_0_i (joined - (portRef Z (instanceRef trg_rst_RNIVTP3)) + (net N_217 (joined + (portRef Z (instanceRef THE_LATCH_PROC_un5_reset_i)) (portRef CD (instanceRef short_tmg_trg)) (portRef CD (instanceRef timeout_found)) (portRef CD (instanceRef timing_trg_found)) )) (net trg_timeout_detected_i (joined (portRef Q (instanceRef timeout_found)) - (portRef C (instanceRef STATE_MEM_toc_rst_2_0_a4)) + (portRef C (instanceRef CURRENT_STATE_ns_0_i_a3_0_0)) (portRef D (instanceRef buf_STATUS_OUT_11)) (portRef B (instanceRef timeout_found_fb)) (portRef trg_timeout_detected_i) @@ -78085,8 +78291,8 @@ (portRef S1 (instanceRef un2_toc_ce_cry_0_0)) (portRef D (instanceRef timeout_ctr_0)) )) - (net un11_reset_0_i (joined - (portRef Z (instanceRef toc_rst_RNI01L3)) + (net N_219 (joined + (portRef Z (instanceRef THE_TIMEOUT_CTR_PROC_un11_reset_i)) (portRef CD (instanceRef timeout_ctr_10)) (portRef CD (instanceRef timeout_ctr_9)) (portRef CD (instanceRef timeout_ctr_8)) @@ -78205,20 +78411,20 @@ (portRef D (instanceRef and_all_tmp)) (portRef D (instanceRef lvl1_delay_10)) )) - (net N_186_0 (joined - (portRef Z (instanceRef next_spurious_trg_0_sqmuxa_i)) + (net N_6332_i (joined + (portRef Z (instanceRef spurious_trg_RNO)) (portRef D (instanceRef spurious_trg)) )) - (net un1_next_spurious_trg_0_sqmuxa_0_i (joined - (portRef Z (instanceRef spurious_trg_RNO)) + (net un1_next_spurious_trg_0_sqmuxa_0_i_s (joined + (portRef Z (instanceRef un1_next_spurious_trg_0_sqmuxa_0_i_s)) (portRef SP (instanceRef spurious_trg)) )) (net un1_spurious_trg_1_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_spurious_trg_1_cry_0_0)) (portRef D (instanceRef spurious_ctr_0)) )) - (net N_19 (joined - (portRef Z (instanceRef STAT_CTR_PROC_un39_reset_i)) + (net un39_reset_0_i (joined + (portRef Z (instanceRef STAT_CTR_PROC_un39_reset_0_i)) (portRef CD (instanceRef ctr_lock)) (portRef CD (instanceRef missing_ctr_15)) (portRef CD (instanceRef missing_ctr_14)) @@ -78409,10 +78615,14 @@ (portRef S0 (instanceRef un1_prev_trg_reg_1_s_15_0)) (portRef D (instanceRef spikes_ctr_15)) )) - (net un13_prev_trg_reg_0_i (joined - (portRef Z (instanceRef short_tmg_trg_RNO_0)) + (net N_241 (joined + (portRef Z (instanceRef SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i)) (portRef SP (instanceRef short_tmg_trg)) )) + (net prev_trg_reg_3 (joined + (portRef Q (instanceRef prev_trg_reg_3)) + (portRef A (instanceRef STAT_CTR_PROC_un25_prev_trg_reg_i_0_o3)) + )) (net un1_timing_trg_found_1_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_timing_trg_found_1_cry_0_0)) (portRef D (instanceRef multiple_ctr_0)) @@ -78477,25 +78687,38 @@ (portRef S0 (instanceRef un1_timing_trg_found_1_s_15_0)) (portRef D (instanceRef multiple_ctr_15)) )) - (net un15_timing_trg_rising (joined - (portRef Z (instanceRef MULTIPLE_TRG_FND_PROC_un15_timing_trg_rising)) + (net N_247_i (joined + (portRef Z (instanceRef mult_trg_lock_RNO)) (portRef D (instanceRef mult_trg_lock)) )) + (net mult_trg_lock_en_i (joined + (portRef Z (instanceRef mult_trg_lock_en_i)) + (portRef SP (instanceRef mult_trg_lock)) + )) (net un8_reset_0_i (joined (portRef Z (instanceRef trg_rel_RNI95O3)) (portRef CD (instanceRef mult_trg_found)) (portRef CD (instanceRef mult_trg_lock)) )) + (net mult_trg_lock (joined + (portRef Q (instanceRef mult_trg_lock)) + (portRef B (instanceRef mult_trg_found_0_sqmuxa_i_o3_RNIRD1R)) + (portRef B (instanceRef mult_trg_lock_RNO)) + (portRef B (instanceRef mult_trg_lock_en_i)) + )) (net trg_multiple_trg_i (joined (portRef Q (instanceRef mult_trg_found)) - (portRef D (instanceRef buf_STATUS_OUTc)) - (portRef C (instanceRef STAT_CTR_PROC_un14_invalid_trg)) - (portRef D (instanceRef STAT_CTR_PROC_un37_timing_trg_found)) - (portRef D (instanceRef STAT_CTR_PROC_un38_timing_trg_found)) + (portRef C (instanceRef ctr_lock_RNO_1)) + (portRef D (instanceRef buf_STATUS_OUTc_3)) + (portRef B (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3)) (portRef D (instanceRef error_pattern_18)) (portRef B (instanceRef mult_trg_found_fb)) (portRef trg_multiple_trg_i) )) + (net un1_next_spurious_trg_0_sqmuxa_2_0_i_s (joined + (portRef Z (instanceRef un1_next_spurious_trg_0_sqmuxa_2_0_i_s)) + (portRef SP (instanceRef missing_tmg)) + )) (net un1_invalid_trg_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_invalid_trg_cry_0_0)) (portRef D (instanceRef missing_ctr_0)) @@ -78673,16 +78896,10 @@ (portRef Q (instanceRef lvl1_delay_10)) (portRef D (instanceRef buf_STATUS_OUT_26)) )) - (net trg_invalid_i (joined - (portRef Q (instanceRef invalid_trg)) - (portRef B0 (instanceRef un1_invalid_trg_cry_0_0)) - (portRef C (instanceRef un1_invalid_trg_1)) - (portRef C (instanceRef STAT_CTR_PROC_un37_timing_trg_found)) - (portRef C (instanceRef STAT_CTR_PROC_un38_timing_trg_found)) - (portRef A (instanceRef STAT_PROC_un6_val_trg)) - (portRef D (instanceRef error_pattern_17)) - (portRef D (instanceRef invalid_trg_RNIHLOF)) - (portRef trg_invalid_i) + (net N_6644 (joined + (portRef Z (instanceRef invalid_trgc)) + (portRef A (instanceRef un1_next_spurious_trg_0_sqmuxa_2_0_i_s)) + (portRef D (instanceRef invalid_trg)) )) (net buf_LVL1_ERROR_PATTERN_IN_0 (joined (portRef Q (instanceRef error_pattern_16)) @@ -78714,7 +78931,7 @@ )) (net trg_spike_detected_i (joined (portRef Q (instanceRef short_tmg_trg)) - (portRef B (instanceRef STAT_PROC_buf_STATUS_OUT_16_0_i_4)) + (portRef C (instanceRef buf_STATUS_OUT_RNO_4)) (portRef D (instanceRef error_pattern_24)) (portRef trg_spike_detected_i) )) @@ -78722,14 +78939,10 @@ (portRef Q (instanceRef error_pattern_24)) (portRef signal_sync_1) )) - (net un1_next_spurious_trg_0_sqmuxa_1_0_i (joined - (portRef Z (instanceRef data_valid_RNO)) - (portRef SP (instanceRef data_valid)) - )) (net trg_data_valid_i (joined (portRef Q (instanceRef data_valid)) - (portRef C (instanceRef short_tmg_trg_RNO_0)) - (portRef C (instanceRef LVL1_LONG_TRG_OUT_RNO)) + (portRef C (instanceRef WRONG_POLAR_PROC_un32_reset_i)) + (portRef C (instanceRef SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i)) (portRef D (instanceRef buf_STATUS_OUT_14)) (portRef A (instanceRef short_tmg_trg_RNO)) (portRef trg_data_valid_i) @@ -78738,17 +78951,10 @@ (portRef Z (instanceRef ctr_lock_RNO)) (portRef D (instanceRef ctr_lock)) )) - (net un1_invalid_trg_1_0 (joined - (portRef Z (instanceRef un1_invalid_trg_1)) + (net N_253_i (joined + (portRef Z (instanceRef ctr_lock_RNO_0)) (portRef SP (instanceRef ctr_lock)) )) - (net ctr_lock (joined - (portRef Q (instanceRef ctr_lock)) - (portRef C (instanceRef STAT_CTR_PROC_un9_spurious_trg)) - (portRef A (instanceRef STAT_CTR_PROC_un14_invalid_trg)) - (portRef A (instanceRef STAT_CTR_PROC_un37_timing_trg_found)) - (portRef A (instanceRef STAT_CTR_PROC_un38_timing_trg_found)) - )) (net stat_lvl1_handler_0 (joined (portRef Q (instanceRef buf_STATUS_OUT_0)) (portRef stat_lvl1_handler_0) @@ -78761,27 +78967,20 @@ (portRef Q (instanceRef buf_STATUS_OUT_2)) (portRef stat_lvl1_handler_2) )) - (net buf_STATUS_OUT_16_0_i_4 (joined - (portRef Z (instanceRef STAT_PROC_buf_STATUS_OUT_16_0_i_4)) + (net buf_STATUS_OUTc_i (joined + (portRef Z (instanceRef buf_STATUS_OUT_RNO_4)) (portRef D (instanceRef buf_STATUS_OUT_4)) )) - (net buf_STATUS_OUT_542 (joined - (portRef Z (instanceRef buf_STATUS_OUT_542)) - (portRef CD (instanceRef buf_STATUS_OUT_7)) - (portRef CD (instanceRef buf_STATUS_OUT_6)) - (portRef CD (instanceRef buf_STATUS_OUT_5)) - (portRef CD (instanceRef buf_STATUS_OUT_4)) - )) - (net buf_STATUS_OUT_15_0_i_5 (joined - (portRef Z (instanceRef STAT_PROC_buf_STATUS_OUT_15_0_i_5)) + (net buf_STATUS_OUTc_0_i (joined + (portRef Z (instanceRef buf_STATUS_OUT_RNO_5)) (portRef D (instanceRef buf_STATUS_OUT_5)) )) - (net buf_STATUS_OUT_14_0_i_6 (joined - (portRef Z (instanceRef STAT_PROC_buf_STATUS_OUT_14_0_i_6)) + (net buf_STATUS_OUTc_1_i (joined + (portRef Z (instanceRef buf_STATUS_OUT_RNO_6)) (portRef D (instanceRef buf_STATUS_OUT_6)) )) - (net buf_STATUS_OUT_13_0_i_7 (joined - (portRef Z (instanceRef STAT_PROC_buf_STATUS_OUT_13_0_i_7)) + (net buf_STATUS_OUTc_2_i (joined + (portRef Z (instanceRef buf_STATUS_OUT_RNO_7)) (portRef D (instanceRef buf_STATUS_OUT_7)) )) (net stat_lvl1_handler_11 (joined @@ -78792,17 +78991,10 @@ (portRef Q (instanceRef buf_STATUS_OUT_12)) (portRef stat_lvl1_handler_12) )) - (net buf_STATUS_OUTc (joined - (portRef Z (instanceRef buf_STATUS_OUTc)) + (net buf_STATUS_OUTc_3 (joined + (portRef Z (instanceRef buf_STATUS_OUTc_3)) (portRef D (instanceRef buf_STATUS_OUT_13)) )) - (net common_ctrl_reg_0 (joined - (portRef common_ctrl_reg_0) - (portRef B (instanceRef buf_STATUS_OUT_542)) - (portRef B (instanceRef wrong_polarity_RNO)) - (portRef CD (instanceRef buf_STATUS_OUT_13)) - (portRef A (instanceRef invalid_trg_RNIHLOF)) - )) (net stat_lvl1_handler_14 (joined (portRef Q (instanceRef buf_STATUS_OUT_14)) (portRef stat_lvl1_handler_14) @@ -78983,8 +79175,8 @@ (portRef Q (instanceRef buf_STATUS_OUT_63)) (portRef stat_lvl1_handler_63) )) - (net un32_reset_0_i (joined - (portRef Z (instanceRef LVL1_LONG_TRG_OUT_RNO)) + (net N_237 (joined + (portRef Z (instanceRef WRONG_POLAR_PROC_un32_reset_i)) (portRef CD (instanceRef LVL1_LONG_TRG_OUT)) )) (net int_lvl1_long_trg (joined @@ -78992,138 +79184,165 @@ (portRef B (instanceRef LVL1_LONG_TRG_OUT_fb)) (portRef int_lvl1_long_trg) )) - (net CURRENT_STATE_ns_0_i_0 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_0_i_0)) + (net N_6358 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_0_i_s_0)) (portRef D (instanceRef CURRENT_STATE_0)) )) - (net CURRENT_STATE_ns_0_i_1 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_0_i_1)) - (portRef D (instanceRef CURRENT_STATE_1)) - )) (net un6_val_trg_0 (joined (portRef Z (instanceRef STAT_PROC_un6_val_trg)) - (portRef A (instanceRef buf_STATUS_OUTc)) + (portRef A (instanceRef buf_STATUS_OUTc_3)) )) - (net N_206_0 (joined - (portRef Z (instanceRef STATE_TRANSFORM_un23_timing_trg_found_i_0_o2)) - (portRef A (instanceRef STATE_MEM_toc_rst_2_0_i)) + (net N_6484 (joined + (portRef Z (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3_0)) + (portRef B (instanceRef ctr_lock_RNO)) + (portRef B (instanceRef un1_spurious_trg_1_cry_0_0_RNO)) )) - (net N_254_i (joined - (portRef Z (instanceRef CURRENT_STATE_ns_0_x2_0)) - (portRef C (instanceRef CURRENT_STATE_ns_0_i_0)) + (net N_6388 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_o2)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7)) + (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_o2)) )) - (net un5lt2_0 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7)) - (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto4)) + (net common_ctrl_reg_0 (joined + (portRef common_ctrl_reg_0) + (portRef C (instanceRef buf_STATUS_OUTc_3)) + (portRef B (instanceRef buf_STATUS_OUT_RNO_4)) + (portRef B (instanceRef buf_STATUS_OUT_RNO_5)) + (portRef B (instanceRef buf_STATUS_OUT_RNO_6)) + (portRef C (instanceRef buf_STATUS_OUT_RNO_7)) + (portRef B (instanceRef STAT_PROC_buf_STATUS_OUT_18_i_o3_5)) + (portRef A (instanceRef invalid_trg_RNIHLOF)) )) - (net un5_0_2 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_4)) - (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3)) + (net N_383_i (joined + (portRef Z (instanceRef CURRENT_STATE_ns_0_i_x2_0)) + (portRef A (instanceRef CURRENT_STATE_ns_0_i_s_0)) + )) + (net un5_0_3 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3)) + (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_3)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6)) )) (net un5_0_5 (joined (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_1)) - (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0)) + (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_2)) )) (net tmp_4 (joined (portRef Z (instanceRef and_all_tmp_4)) (portRef A (instanceRef and_all_tmp)) )) - (net stat_lvl1_handler_4 (joined - (portRef Q (instanceRef buf_STATUS_OUT_4)) - (portRef A (instanceRef STAT_PROC_buf_STATUS_OUT_16_0_i_4)) - (portRef stat_lvl1_handler_4) - )) - (net stat_lvl1_handler_5 (joined - (portRef Q (instanceRef buf_STATUS_OUT_5)) - (portRef A (instanceRef STAT_PROC_buf_STATUS_OUT_15_0_i_5)) - (portRef stat_lvl1_handler_5) - )) - (net trg_missing_tmg_trg_i (joined - (portRef Q (instanceRef missing_tmg)) - (portRef B (instanceRef STAT_PROC_buf_STATUS_OUT_15_0_i_5)) - (portRef trg_missing_tmg_trg_i) - )) - (net stat_lvl1_handler_6 (joined - (portRef Q (instanceRef buf_STATUS_OUT_6)) - (portRef A (instanceRef STAT_PROC_buf_STATUS_OUT_14_0_i_6)) - (portRef stat_lvl1_handler_6) - )) - (net trg_spurious_trg_i (joined - (portRef Q (instanceRef spurious_trg)) - (portRef D (instanceRef STAT_CTR_PROC_un9_spurious_trg)) - (portRef D (instanceRef STAT_CTR_PROC_un14_invalid_trg)) - (portRef B (instanceRef STAT_PROC_buf_STATUS_OUT_14_0_i_6)) - (portRef trg_spurious_trg_i) - )) - (net stat_lvl1_handler_7 (joined - (portRef Q (instanceRef buf_STATUS_OUT_7)) - (portRef B (instanceRef STAT_PROC_buf_STATUS_OUT_13_0_i_7)) - (portRef stat_lvl1_handler_7) + (net trg_information_i_7 (joined + (portRef (member trg_information_i 0)) + (portRef B (instanceRef un1_next_spurious_trg_0_sqmuxa_0_i_s)) + (portRef C (instanceRef next_val_ttl_trg_0_i_s)) + (portRef A (instanceRef spurious_trg_RNO)) + (portRef A (instanceRef next_val_ttl_trg_0_i_o2)) )) - (net un10_prev_trg_reg (joined - (portRef Z (instanceRef SHORT_TMG_TRG_PROC_un10_prev_trg_reg)) - (portRef A (instanceRef short_tmg_trg_RNO_0)) - (portRef A (instanceRef STAT_CTR_PROC_un9_spurious_trg)) + (net mult_trg_found_0_sqmuxa_i_o3 (joined + (portRef Z (instanceRef mult_trg_found_0_sqmuxa_i_o3)) + (portRef A (instanceRef mult_trg_found_0_sqmuxa_i_o3_RNIRD1R)) + (portRef A (instanceRef mult_trg_lock_RNO)) + (portRef A (instanceRef mult_trg_lock_en_i)) )) (net un13_timing_trg_reg (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un13_timing_trg_reg)) + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_trigger_length_3_i_a3_1)) (portRef B0 (instanceRef un1_timing_trg_reg_1_cry_0_0)) )) + (net N_6490 (joined + (portRef Z (instanceRef STAT_CTR_PROC_un25_prev_trg_reg_i_0_o3)) + (portRef B (instanceRef ctr_lock_RNO_0)) + (portRef C (instanceRef ctr_lock_RNO)) + (portRef B (instanceRef un1_prev_trg_reg_1_cry_0_0_RNO)) + (portRef C (instanceRef un1_spurious_trg_1_cry_0_0_RNO)) + (portRef A (instanceRef SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i)) + )) (net tmp_6 (joined (portRef Z (instanceRef and_all_tmp_6)) (portRef A (instanceRef and_all_tmp_8)) )) - (net un4_synced_timing_trg_3 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7)) - )) - (net un5lto15_1 (joined - (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_1)) - (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3)) + (net un6_synced_timing_trg_i_a3_2 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6)) )) (net trg_int_number_i_0 (joined (portRef Q (instanceRef lvl1_int_trg_number_0)) (portRef A1 (instanceRef un1_next_trg_num_match_0_I_1_0)) (portRef B1 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_0_0)) + (portRef D (instanceRef lvl1_int_trg_number_RNI4CID1_0)) (portRef D (instanceRef lvl1_int_trg_number_RNO_0)) (portRef (member trg_int_number_i 15)) )) - (net un38_timing_trg_found (joined - (portRef Z (instanceRef STAT_CTR_PROC_un38_timing_trg_found)) - (portRef B0 (instanceRef un1_timing_trg_found_1_cry_0_0)) - (portRef B (instanceRef ctr_lock_RNO)) + (net N_6494 (joined + (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_o2)) + (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i)) + )) + (net stat_lvl1_handler_7 (joined + (portRef Q (instanceRef buf_STATUS_OUT_7)) + (portRef B (instanceRef buf_STATUS_OUT_RNO_7)) + (portRef stat_lvl1_handler_7) + )) + (net stat_lvl1_handler_6 (joined + (portRef Q (instanceRef buf_STATUS_OUT_6)) + (portRef A (instanceRef buf_STATUS_OUT_RNO_6)) + (portRef stat_lvl1_handler_6) )) - (net multiple_ctr8 (joined - (portRef Z (instanceRef STAT_CTR_PROC_un14_invalid_trg)) - (portRef A (instanceRef un1_invalid_trg_1)) + (net trg_spurious_trg_i (joined + (portRef Q (instanceRef spurious_trg)) + (portRef D (instanceRef ctr_lock_RNO_1)) + (portRef D (instanceRef ctr_lock_RNO)) + (portRef D (instanceRef un1_spurious_trg_1_cry_0_0_RNO)) + (portRef C (instanceRef buf_STATUS_OUT_RNO_6)) + (portRef trg_spurious_trg_i) )) - (net un5lt6_0 (joined - (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto4)) - (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161)) + (net stat_lvl1_handler_5 (joined + (portRef Q (instanceRef buf_STATUS_OUT_5)) + (portRef A (instanceRef buf_STATUS_OUT_RNO_5)) + (portRef stat_lvl1_handler_5) + )) + (net trg_missing_tmg_trg_i (joined + (portRef Q (instanceRef missing_tmg)) + (portRef C (instanceRef buf_STATUS_OUT_RNO_5)) + (portRef trg_missing_tmg_trg_i) + )) + (net stat_lvl1_handler_4 (joined + (portRef Q (instanceRef buf_STATUS_OUT_4)) + (portRef A (instanceRef buf_STATUS_OUT_RNO_4)) + (portRef stat_lvl1_handler_4) )) (net tmp_8 (joined (portRef Z (instanceRef and_all_tmp_8)) (portRef B (instanceRef and_all_tmp)) )) - (net un4_synced_timing_trg_7 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg)) + (net un6_synced_timing_trg_i_a3_6 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6)) + (portRef A (instanceRef un1_synced_timing_trg_cry_0_0_RNO)) + )) + (net un6_synced_timing_trg_i_a3_5 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7)) )) - (net un4_synced_timing_trg_6 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0)) + (net un6_synced_timing_trg_i_a3_7 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7)) + (portRef B (instanceRef un1_synced_timing_trg_cry_0_0_RNO)) )) - (net un4_synced_timing_trg_8 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg)) + (net un5lto15_i_2 (joined + (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_2)) + (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_3)) )) (net stat_lvl1_handler_13 (joined (portRef Q (instanceRef buf_STATUS_OUT_13)) - (portRef C (instanceRef buf_STATUS_OUTc)) + (portRef B (instanceRef buf_STATUS_OUTc_3)) (portRef stat_lvl1_handler_13) )) + (net trg_type_i_3 (joined + (portRef (member trg_type_i 0)) + (portRef D (instanceRef next_val_ttl_trg_0_i_s)) + (portRef B (instanceRef next_val_ttl_trg_0_i_o2)) + )) + (net LVL1_TRG_RELEASE_OUT_0_a2 (joined + (portRef LVL1_TRG_RELEASE_OUT_0_a2) + (portRef D (instanceRef WRONG_POLAR_PROC_un32_reset_i)) + (portRef D (instanceRef SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i)) + (portRef SP (instanceRef trg_num_match)) + )) (net stretched_fake_trg (joined (portRef stretched_fake_trg (instanceRef THE_PULSE_STRETCH)) (portRef A (instanceRef timing_trg_reg_RNO_0)) @@ -79134,55 +79353,49 @@ )) (net sync_q_1_0_2 (joined (portRef (member sync_q_1Z0Z_0 0) (instanceRef GEN_SYNC_THE_TIMING_TRG_SYNC)) - (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg)) + (portRef D (instanceRef un1_synced_timing_trg_cry_0_0_RNO)) (portRef D (instanceRef timing_trg_reg_RNO_0)) ) ) - (net un9_spurious_trg (joined - (portRef Z (instanceRef STAT_CTR_PROC_un9_spurious_trg)) - (portRef B0 (instanceRef un1_spurious_trg_1_cry_0_0)) - (portRef A (instanceRef ctr_lock_RNO)) + (net un5lto15_i_3 (joined + (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_3)) + (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i)) )) - (net un5lto15_3 (joined - (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3)) - (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161)) + (net port_select_int_0 (joined + (portRef (member port_select_int 0)) + (portRef A (instanceRef lvl1_int_trg_number_RNI4CID1_0)) )) - (net LVL1_TRG_RELEASE_OUT (joined - (portRef LVL1_TRG_RELEASE_OUT) - (portRef D (instanceRef short_tmg_trg_RNO_0)) - (portRef D (instanceRef LVL1_LONG_TRG_OUT_RNO)) - (portRef SP (instanceRef trg_num_match)) + (net m6_6_03_1_1 (joined + (portRef m6_6_03_1_1) + (portRef B (instanceRef lvl1_int_trg_number_RNI4CID1_0)) + )) + (net first_fifo_read_RNIS8RB (joined + (portRef first_fifo_read_RNIS8RB) + (portRef C (instanceRef lvl1_int_trg_number_RNI4CID1_0)) + )) + (net d_N_6_0 (joined + (portRef Z (instanceRef lvl1_int_trg_number_RNI4CID1_0)) + (portRef d_N_6_0) )) (net un1_toc_ce (joined (portRef Z (instanceRef THE_TIMEOUT_CTR_PROC_un1_toc_ce)) (portRef B0 (instanceRef un2_toc_ce_cry_0_0)) )) - (net un6_synced_timing_trg (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg)) - (portRef B0 (instanceRef un1_synced_timing_trg_cry_0_0)) - )) - (net port_select_int_0 (joined - (portRef (member port_select_int 0)) - (portRef A (instanceRef lvl1_int_trg_number_RNI6NOV2_3)) - )) - (net m6_6_03_1_1 (joined - (portRef m6_6_03_1_1) - (portRef B (instanceRef lvl1_int_trg_number_RNI6NOV2_3)) + (net N_6329_i (joined + (portRef Z (instanceRef un1_spurious_trg_1_cry_0_0_RNO)) + (portRef B0 (instanceRef un1_spurious_trg_1_cry_0_0)) )) - (net d_N_9 (joined - (portRef d_N_9) - (portRef C (instanceRef lvl1_int_trg_number_RNI6NOV2_3)) + (net N_6328_i (joined + (portRef Z (instanceRef un1_prev_trg_reg_1_cry_0_0_RNO)) + (portRef B0 (instanceRef un1_prev_trg_reg_1_cry_0_0)) )) - (net trg_int_number_i_3 (joined - (portRef Q (instanceRef lvl1_int_trg_number_3)) - (portRef B0 (instanceRef un1_next_trg_num_match_0_I_9_0)) - (portRef B0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_3_0)) - (portRef D (instanceRef lvl1_int_trg_number_RNI6NOV2_3)) - (portRef (member trg_int_number_i 12)) + (net N_233_i (joined + (portRef Z (instanceRef un1_synced_timing_trg_cry_0_0_RNO)) + (portRef B0 (instanceRef un1_synced_timing_trg_cry_0_0)) )) - (net d_m5_0_0 (joined - (portRef Z (instanceRef lvl1_int_trg_number_RNI6NOV2_3)) - (portRef d_m5_0_0) + (net N_253_i_1 (joined + (portRef Z (instanceRef ctr_lock_RNO_1)) + (portRef C (instanceRef ctr_lock_RNO_0)) )) (net COMMON_CTRL_REG_STROBE_1 (joined (portRef (member common_ctrl_reg_strobe 0)) @@ -79243,6 +79456,12 @@ (portRef COUT (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_1_0)) (portRef CIN (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_3_0)) )) + (net trg_int_number_i_3 (joined + (portRef Q (instanceRef lvl1_int_trg_number_3)) + (portRef B0 (instanceRef un1_next_trg_num_match_0_I_9_0)) + (portRef B0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_3_0)) + (portRef (member trg_int_number_i 12)) + )) (net common_ctrl_reg_31 (joined (portRef common_ctrl_reg_31) (portRef C0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_3_0)) @@ -80238,7 +80457,9 @@ (port med_packet_num_out_2 (direction OUTPUT)) (port med_packet_num_out_0 (direction OUTPUT)) (port (array (rename med_data_out "med_data_out(15:0)") 16) (direction OUTPUT)) - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction INPUT)) + (port current_output_data_buffer_0 (direction INPUT)) + (port current_output_data_buffer_3 (direction INPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction INPUT)) (port MED_IO_DATA_IN_0 (direction OUTPUT)) (port MED_IO_DATA_IN_1 (direction OUTPUT)) (port MED_IO_DATA_IN_2 (direction OUTPUT)) @@ -80355,15 +80576,15 @@ (port MED_IO_DATA_OUT_32 (direction INPUT)) (port MED_IO_DATA_OUT_84 (direction INPUT)) (port MED_IO_DATA_OUT_85 (direction INPUT)) - (port MED_IO_DATA_OUT_81 (direction INPUT)) (port MED_IO_DATA_OUT_65 (direction INPUT)) - (port MED_IO_DATA_OUT_90 (direction INPUT)) - (port MED_IO_DATA_OUT_87 (direction INPUT)) - (port MED_IO_DATA_OUT_88 (direction INPUT)) + (port MED_IO_DATA_OUT_81 (direction INPUT)) (port MED_IO_DATA_OUT_86 (direction INPUT)) + (port MED_IO_DATA_OUT_91 (direction INPUT)) (port MED_IO_DATA_OUT_89 (direction INPUT)) + (port MED_IO_DATA_OUT_90 (direction INPUT)) (port MED_IO_DATA_OUT_66 (direction INPUT)) - (port MED_IO_DATA_OUT_91 (direction INPUT)) + (port MED_IO_DATA_OUT_87 (direction INPUT)) + (port MED_IO_DATA_OUT_88 (direction INPUT)) (port MED_IO_PACKET_NUM_OUT_2 (direction INPUT)) (port MED_IO_PACKET_NUM_OUT_1 (direction INPUT)) (port MED_IO_PACKET_NUM_OUT_0 (direction INPUT)) @@ -80391,7 +80612,7 @@ (port (array (rename med_data_in "med_data_in(15:0)") 16) (direction INPUT)) (port (array (rename med_io_packet_num_in "MED_IO_PACKET_NUM_IN(5:3)") 3) (direction OUTPUT)) (port (array (rename med_packet_num_in "med_packet_num_in(2:0)") 3) (direction INPUT)) - (port N_538_i (direction INPUT)) + (port N_465_i (direction INPUT)) (port med_dataready_out (direction OUTPUT)) (port N_159_i_1 (direction INPUT)) (port N_160_i_1 (direction INPUT)) @@ -80670,10 +80891,10 @@ (instance loop6_0_un585_buf_int_read_out_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance real_reading_6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance real_reading_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance real_reading_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance real_reading_6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance next_demux_dr_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -80703,67 +80924,49 @@ (instance loop5_0_un490_buf_int_read_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B !A)))")) ) - (instance current_mux_buffer_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) - ) - (instance current_mux_buffer_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) - ) - (instance current_mux_buffer_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) - ) - (instance current_mux_buffer_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) - ) - (instance current_mux_buffer_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) - ) - (instance current_mux_buffer_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) - ) - (instance current_mux_buffer_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) + (instance current_mux_buffer_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) (instance current_mux_buffer_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -80775,13 +80978,13 @@ (instance current_mux_buffer_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) (instance current_mux_buffer_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -80796,35 +80999,41 @@ (instance current_mux_buffer_3_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + (instance current_mux_buffer_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + (instance current_mux_buffer_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) + (instance current_mux_buffer_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance current_mux_buffer_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance current_mux_buffer_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) (instance current_mux_buffer_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) @@ -80838,12 +81047,24 @@ (instance current_mux_buffer_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) + ) + (instance current_mux_buffer_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance current_mux_buffer_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance current_mux_buffer_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) + (instance current_mux_buffer_2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) (instance current_mux_buffer_3_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) @@ -80928,19 +81149,19 @@ (instance k_1_m5s4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance current_mux_buffer_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance current_mux_buffer_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance current_mux_buffer_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance current_mux_buffer_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance current_mux_buffer_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance next_endpoint_locked_f0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -80975,10 +81196,10 @@ (property lut_function (string "(!D (C (B A)))")) ) (instance mux_read_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (property lut_function (string "(!B !A)")) ) (instance mux_read_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+!A)))")) + (property lut_function (string "(D+(C+(!B+!A)))")) ) (instance gen_sbuf_2_gen_input_sbuf_THE_SBUF (viewRef netlist (cellRef trb_net16_sbuf_5)) ) @@ -81072,7 +81293,6 @@ (portRef Q (instanceRef last_mux_enable_fast)) (portRef B (instanceRef buf_INT_READ_OUT_4_5)) (portRef B (instanceRef buf_INT_READ_OUT_4_4)) - (portRef B (instanceRef buf_INT_READ_OUT_4_2)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -81172,6 +81392,7 @@ (portRef B (instanceRef buf_INT_READ_OUT_4_7)) (portRef B (instanceRef buf_INT_READ_OUT_4_6)) (portRef B (instanceRef buf_INT_READ_OUT_4_3)) + (portRef B (instanceRef buf_INT_READ_OUT_4_2)) (portRef B (instanceRef buf_INT_READ_OUT_4_1)) (portRef B (instanceRef buf_INT_READ_OUT_4_0)) )) @@ -81463,15 +81684,15 @@ (portRef C (instanceRef current_mux_buffer_2_4)) (portRef C (instanceRef current_mux_buffer_2_3)) (portRef C (instanceRef current_mux_buffer_2_5)) - (portRef C (instanceRef current_mux_buffer_3_18)) - (portRef C (instanceRef current_mux_buffer_2_0)) + (portRef C (instanceRef current_mux_buffer_2_18)) (portRef C (instanceRef current_mux_buffer_2_1)) - (portRef C (instanceRef current_mux_buffer_2_13)) - (portRef C (instanceRef current_mux_buffer_2_14)) - (portRef C (instanceRef current_mux_buffer_2_16)) + (portRef D (instanceRef current_mux_buffer_3_0)) (portRef C (instanceRef current_mux_buffer_2_12)) - (portRef C (instanceRef current_mux_buffer_2_2)) + (portRef C (instanceRef current_mux_buffer_3_16)) (portRef C (instanceRef current_mux_buffer_2_15)) + (portRef C (instanceRef current_mux_buffer_2_2)) + (portRef C (instanceRef current_mux_buffer_2_14)) + (portRef C (instanceRef current_mux_buffer_2_13)) (portRef D (instanceRef loop1_0_un110_buf_int_read_out)) (portRef B (instanceRef real_reading_2_1)) (portRef B (instanceRef loop1_10_un165_var_mux_buffer)) @@ -81518,20 +81739,20 @@ (portRef D (instanceRef current_mux_buffer_2_4)) (portRef C (instanceRef current_mux_buffer_1_3)) (portRef D (instanceRef current_mux_buffer_2_5)) - (portRef D (instanceRef current_mux_buffer_3_18)) - (portRef D (instanceRef current_mux_buffer_2_0)) + (portRef C (instanceRef current_mux_buffer_3_18)) (portRef D (instanceRef current_mux_buffer_3_1)) - (portRef C (instanceRef current_mux_buffer_1_13)) - (portRef C (instanceRef current_mux_buffer_1_14)) - (portRef C (instanceRef current_mux_buffer_3_16)) + (portRef C (instanceRef current_mux_buffer_2_0)) (portRef C (instanceRef current_mux_buffer_1_12)) - (portRef C (instanceRef current_mux_buffer_2_10)) - (portRef C (instanceRef current_mux_buffer_2_7)) - (portRef C (instanceRef current_mux_buffer_2_8)) (portRef C (instanceRef current_mux_buffer_2_6)) - (portRef C (instanceRef current_mux_buffer_2_9)) (portRef C (instanceRef current_mux_buffer_2_11)) + (portRef C (instanceRef current_mux_buffer_2_9)) + (portRef D (instanceRef current_mux_buffer_3_16)) (portRef C (instanceRef current_mux_buffer_1_15)) + (portRef C (instanceRef current_mux_buffer_2_10)) + (portRef C (instanceRef current_mux_buffer_1_14)) + (portRef C (instanceRef current_mux_buffer_2_7)) + (portRef C (instanceRef current_mux_buffer_1_13)) + (portRef C (instanceRef current_mux_buffer_2_8)) (portRef D (instanceRef loop3_0_un300_buf_int_read_out)) (portRef B (instanceRef real_reading_4_3)) (portRef B (instanceRef loop3_2_un335_var_mux_buffer)) @@ -81555,20 +81776,20 @@ (portRef C (instanceRef current_mux_buffer_0_3)) (portRef C (instanceRef current_mux_buffer_1_5)) (portRef D (instanceRef current_mux_buffer_0_18)) - (portRef C (instanceRef current_mux_buffer_1_0)) (portRef C (instanceRef current_mux_buffer_1_1)) - (portRef C (instanceRef current_mux_buffer_0_13)) - (portRef C (instanceRef current_mux_buffer_0_14)) - (portRef C (instanceRef current_mux_buffer_1_16)) + (portRef C (instanceRef current_mux_buffer_1_0)) (portRef C (instanceRef current_mux_buffer_0_12)) - (portRef D (instanceRef current_mux_buffer_0_10)) - (portRef D (instanceRef current_mux_buffer_0_7)) - (portRef D (instanceRef current_mux_buffer_0_8)) (portRef D (instanceRef current_mux_buffer_0_6)) - (portRef D (instanceRef current_mux_buffer_0_9)) - (portRef D (instanceRef current_mux_buffer_0_2)) (portRef D (instanceRef current_mux_buffer_0_11)) + (portRef D (instanceRef current_mux_buffer_0_9)) + (portRef C (instanceRef current_mux_buffer_1_16)) (portRef C (instanceRef current_mux_buffer_0_15)) + (portRef D (instanceRef current_mux_buffer_0_10)) + (portRef D (instanceRef current_mux_buffer_0_2)) + (portRef C (instanceRef current_mux_buffer_0_14)) + (portRef D (instanceRef current_mux_buffer_0_7)) + (portRef C (instanceRef current_mux_buffer_0_13)) + (portRef D (instanceRef current_mux_buffer_0_8)) (portRef B (instanceRef real_reading_1_0)) (portRef Z (instanceRef buf_INT_READ_OUT_4_0)) ) @@ -81584,21 +81805,21 @@ (portRef D (instanceRef current_mux_buffer_1_4)) (portRef D (instanceRef current_mux_buffer_0_3)) (portRef D (instanceRef current_mux_buffer_1_5)) - (portRef D (instanceRef current_mux_buffer_1_18)) - (portRef D (instanceRef current_mux_buffer_0_0)) + (portRef D (instanceRef current_mux_buffer_2_18)) (portRef D (instanceRef current_mux_buffer_0_1)) - (portRef D (instanceRef current_mux_buffer_0_13)) - (portRef D (instanceRef current_mux_buffer_0_14)) - (portRef D (instanceRef current_mux_buffer_2_16)) + (portRef D (instanceRef current_mux_buffer_0_0)) (portRef D (instanceRef current_mux_buffer_0_12)) - (portRef C (instanceRef current_mux_buffer_1_10)) - (portRef C (instanceRef current_mux_buffer_1_7)) - (portRef C (instanceRef current_mux_buffer_1_8)) (portRef C (instanceRef current_mux_buffer_1_6)) - (portRef C (instanceRef current_mux_buffer_1_9)) - (portRef C (instanceRef current_mux_buffer_1_2)) (portRef C (instanceRef current_mux_buffer_1_11)) + (portRef C (instanceRef current_mux_buffer_1_9)) + (portRef C (instanceRef current_mux_buffer_2_16)) (portRef D (instanceRef current_mux_buffer_0_15)) + (portRef C (instanceRef current_mux_buffer_1_10)) + (portRef C (instanceRef current_mux_buffer_1_2)) + (portRef D (instanceRef current_mux_buffer_0_14)) + (portRef C (instanceRef current_mux_buffer_1_7)) + (portRef D (instanceRef current_mux_buffer_0_13)) + (portRef C (instanceRef current_mux_buffer_1_8)) (portRef D (instanceRef loop2_0_un205_buf_int_read_out)) (portRef B (instanceRef real_reading_3_2)) (portRef Z (instanceRef buf_INT_READ_OUT_4_2)) @@ -81611,24 +81832,24 @@ ) ) (net buf_INT_READ_OUT_6 (joined - (portRef (member buf_int_read_out 1) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef (member buf_int_read_out 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) (portRef D (instanceRef current_mux_buffer_0_4)) (portRef D (instanceRef current_mux_buffer_1_3)) (portRef D (instanceRef current_mux_buffer_0_5)) - (portRef D (instanceRef current_mux_buffer_1_0)) (portRef D (instanceRef current_mux_buffer_1_1)) - (portRef D (instanceRef current_mux_buffer_1_13)) - (portRef D (instanceRef current_mux_buffer_1_14)) - (portRef D (instanceRef current_mux_buffer_1_16)) + (portRef D (instanceRef current_mux_buffer_1_0)) (portRef D (instanceRef current_mux_buffer_1_12)) - (portRef D (instanceRef current_mux_buffer_1_10)) - (portRef D (instanceRef current_mux_buffer_1_7)) - (portRef D (instanceRef current_mux_buffer_1_8)) (portRef D (instanceRef current_mux_buffer_1_6)) - (portRef D (instanceRef current_mux_buffer_1_9)) - (portRef D (instanceRef current_mux_buffer_1_2)) (portRef D (instanceRef current_mux_buffer_1_11)) + (portRef D (instanceRef current_mux_buffer_1_9)) + (portRef D (instanceRef current_mux_buffer_1_16)) (portRef D (instanceRef current_mux_buffer_1_15)) + (portRef D (instanceRef current_mux_buffer_1_10)) + (portRef D (instanceRef current_mux_buffer_1_2)) + (portRef D (instanceRef current_mux_buffer_1_14)) + (portRef D (instanceRef current_mux_buffer_1_7)) + (portRef D (instanceRef current_mux_buffer_1_13)) + (portRef D (instanceRef current_mux_buffer_1_8)) (portRef B (instanceRef loop6_0_un585_buf_int_read_out_1)) (portRef B (instanceRef real_reading_7_6)) (portRef Z (instanceRef buf_INT_READ_OUT_4_6)) @@ -81677,32 +81898,32 @@ ) ) (net buf_INT_READ_OUT_7 (joined - (portRef (member buf_int_read_out 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) (portRef (member buf_int_read_out 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) (portRef C (instanceRef current_mux_buffer_3_4)) (portRef C (instanceRef current_mux_buffer_3_5)) (portRef D (instanceRef current_mux_buffer_2_3)) - (portRef D (instanceRef current_mux_buffer_3_0)) + (portRef D (instanceRef current_mux_buffer_3_18)) (portRef D (instanceRef current_mux_buffer_2_1)) - (portRef D (instanceRef current_mux_buffer_2_13)) - (portRef D (instanceRef current_mux_buffer_2_14)) - (portRef D (instanceRef current_mux_buffer_3_16)) + (portRef D (instanceRef current_mux_buffer_2_0)) (portRef D (instanceRef current_mux_buffer_2_12)) - (portRef D (instanceRef current_mux_buffer_2_10)) - (portRef D (instanceRef current_mux_buffer_2_7)) - (portRef D (instanceRef current_mux_buffer_2_8)) (portRef D (instanceRef current_mux_buffer_2_6)) - (portRef D (instanceRef current_mux_buffer_2_9)) - (portRef D (instanceRef current_mux_buffer_2_2)) (portRef D (instanceRef current_mux_buffer_2_11)) + (portRef D (instanceRef current_mux_buffer_2_9)) + (portRef D (instanceRef current_mux_buffer_2_16)) (portRef D (instanceRef current_mux_buffer_2_15)) + (portRef D (instanceRef current_mux_buffer_2_10)) + (portRef D (instanceRef current_mux_buffer_2_2)) + (portRef D (instanceRef current_mux_buffer_2_14)) + (portRef D (instanceRef current_mux_buffer_2_7)) + (portRef D (instanceRef current_mux_buffer_2_13)) + (portRef D (instanceRef current_mux_buffer_2_8)) (portRef D (instanceRef loop7_0_un680_buf_int_read_out)) (portRef B (instanceRef real_reading_8_7)) (portRef Z (instanceRef buf_INT_READ_OUT_4_7)) ) ) (net buf_INT_PACKET_NUM_IN_20 (joined - (portRef buf_INT_PACKET_NUM_IN_2 (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef (member buf_int_packet_num_in 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) (portRef A (instanceRef loop6_0_un585_buf_int_read_out_1)) ) ) @@ -81736,8 +81957,8 @@ )) (net buf_INT_READ_OUT_4 (joined (portRef B (instanceRef current_mux_buffer_0_18)) - (portRef B (instanceRef current_mux_buffer_0_0)) (portRef B (instanceRef current_mux_buffer_0_1)) + (portRef B (instanceRef current_mux_buffer_0_0)) (portRef C (instanceRef current_mux_buffer_0_16)) (portRef B (instanceRef current_mux_buffer_0_2)) (portRef D (instanceRef loop4_0_un395_buf_int_read_out)) @@ -81751,7 +81972,7 @@ )) (net buf_INT_PACKET_NUM_IN_3 (joined (portRef (member buf_int_packet_num_in 2) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_16)) + (portRef A (instanceRef current_mux_buffer_3_16)) (portRef A (instanceRef loop1_0_un110_buf_int_read_out)) ) ) @@ -81762,7 +81983,7 @@ ) (net buf_INT_PACKET_NUM_IN_5 (joined (portRef (member buf_int_packet_num_in 0) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_3_18)) + (portRef A (instanceRef current_mux_buffer_2_18)) (portRef C (instanceRef loop1_0_un110_buf_int_read_out)) ) ) @@ -81772,7 +81993,7 @@ )) (net buf_INT_PACKET_NUM_IN_6 (joined (portRef (member buf_int_packet_num_in 2) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_16)) + (portRef A (instanceRef current_mux_buffer_2_16)) (portRef A (instanceRef loop2_0_un205_buf_int_read_out)) ) ) @@ -81783,7 +82004,7 @@ ) (net buf_INT_PACKET_NUM_IN_8 (joined (portRef (member buf_int_packet_num_in 0) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_1_18)) + (portRef B (instanceRef current_mux_buffer_2_18)) (portRef C (instanceRef loop2_0_un205_buf_int_read_out)) ) ) @@ -81794,7 +82015,7 @@ )) (net buf_INT_PACKET_NUM_IN_9 (joined (portRef (member buf_int_packet_num_in 2) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_3_16)) + (portRef B (instanceRef current_mux_buffer_3_16)) (portRef A (instanceRef loop3_0_un300_buf_int_read_out)) ) ) @@ -81805,7 +82026,7 @@ ) (net buf_INT_PACKET_NUM_IN_11 (joined (portRef (member buf_int_packet_num_in 0) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_3_18)) + (portRef A (instanceRef current_mux_buffer_3_18)) (portRef C (instanceRef loop3_0_un300_buf_int_read_out)) ) ) @@ -81816,7 +82037,7 @@ )) (net buf_INT_PACKET_NUM_IN_21 (joined (portRef (member buf_int_packet_num_in 2) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_3_16)) + (portRef B (instanceRef current_mux_buffer_2_16)) (portRef A (instanceRef loop7_0_un680_buf_int_read_out)) ) ) @@ -81827,7 +82048,7 @@ ) (net buf_INT_PACKET_NUM_IN_23 (joined (portRef (member buf_int_packet_num_in 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef buf_INT_PACKET_NUM_IN_5 (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_3_18)) (portRef C (instanceRef loop7_0_un680_buf_int_read_out)) ) ) @@ -81845,111 +82066,200 @@ (portRef B (instanceRef loop5_0_un490_buf_int_read_out)) )) (net buf_INT_READ_OUT_5 (joined + (portRef (member med_io_read_in 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) (portRef B (instanceRef current_mux_buffer_0_4)) (portRef B (instanceRef current_mux_buffer_0_5)) - (portRef B (instanceRef current_mux_buffer_1_18)) - (portRef B (instanceRef current_mux_buffer_3_0)) (portRef B (instanceRef current_mux_buffer_3_1)) + (portRef B (instanceRef current_mux_buffer_3_0)) + (portRef B (instanceRef current_mux_buffer_0_6)) + (portRef B (instanceRef current_mux_buffer_0_11)) + (portRef B (instanceRef current_mux_buffer_0_9)) (portRef D (instanceRef current_mux_buffer_0_16)) (portRef B (instanceRef current_mux_buffer_0_10)) (portRef B (instanceRef current_mux_buffer_0_7)) (portRef B (instanceRef current_mux_buffer_0_8)) - (portRef B (instanceRef current_mux_buffer_0_6)) - (portRef B (instanceRef current_mux_buffer_0_9)) - (portRef B (instanceRef current_mux_buffer_0_11)) (portRef D (instanceRef loop5_0_un490_buf_int_read_out)) (portRef B (instanceRef real_reading_6_5)) (portRef (member med_io_read_in 0)) (portRef Z (instanceRef buf_INT_READ_OUT_4_5)) )) - (net buf_INT_DATA_IN_15 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_0_15)) + (net MED_IO_DATA_OUT_88 (joined + (portRef MED_IO_DATA_OUT_88) + (portRef A (instanceRef current_mux_buffer_0_8)) + )) + (net buf_INT_DATA_IN_8 (joined + (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_0_8)) ) ) - (net buf_INT_DATA_IN_47 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_0_15)) + (net current_mux_buffer_0_0_8 (joined + (portRef Z (instanceRef current_mux_buffer_0_8)) + (portRef current_mux_buffer_0_0_8 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_8)) + )) + (net buf_INT_DATA_IN_40 (joined + (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_8)) ) ) - (net current_mux_buffer_0_0_15 (joined - (portRef Z (instanceRef current_mux_buffer_0_15)) - (portRef current_mux_buffer_0_0_15 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_15)) + (net buf_INT_DATA_IN_104 (joined + (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_8)) + ) + ) + (net current_mux_buffer_1_8 (joined + (portRef Z (instanceRef current_mux_buffer_1_8)) + (portRef current_mux_buffer_1_8 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_8)) )) - (net buf_INT_DATA_IN_63 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_15)) + (net buf_INT_DATA_IN_56 (joined + (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_8)) ) ) - (net buf_INT_DATA_IN_111 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_15)) + (net buf_INT_DATA_IN_120 (joined + (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_8)) ) ) - (net current_mux_buffer_1_15 (joined - (portRef Z (instanceRef current_mux_buffer_1_15)) - (portRef current_mux_buffer_1_15 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_15)) + (net current_mux_buffer_2_8 (joined + (portRef Z (instanceRef current_mux_buffer_2_8)) + (portRef current_mux_buffer_2_8 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_8)) )) - (net buf_INT_DATA_IN_31 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_15)) + (net buf_INT_DATA_IN_13 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_0_13)) ) ) - (net buf_INT_DATA_IN_127 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_15)) + (net buf_INT_DATA_IN_45 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_0_13)) ) ) - (net current_mux_buffer_2_15 (joined - (portRef Z (instanceRef current_mux_buffer_2_15)) - (portRef current_mux_buffer_2_15 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_15)) + (net current_mux_buffer_0_0_13 (joined + (portRef Z (instanceRef current_mux_buffer_0_13)) + (portRef current_mux_buffer_0_0_13 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_13)) )) - (net MED_IO_DATA_OUT_91 (joined - (portRef MED_IO_DATA_OUT_91) - (portRef A (instanceRef current_mux_buffer_0_11)) + (net buf_INT_DATA_IN_61 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_13)) + ) + ) + (net buf_INT_DATA_IN_109 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_13)) + ) + ) + (net current_mux_buffer_1_13 (joined + (portRef Z (instanceRef current_mux_buffer_1_13)) + (portRef current_mux_buffer_1_13 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_13)) )) - (net buf_INT_DATA_IN_11 (joined - (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_0_11)) + (net buf_INT_DATA_IN_29 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_13)) ) ) - (net current_mux_buffer_0_0_11 (joined - (portRef Z (instanceRef current_mux_buffer_0_11)) - (portRef current_mux_buffer_0_0_11 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_11)) + (net buf_INT_DATA_IN_125 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_13)) + ) + ) + (net current_mux_buffer_2_13 (joined + (portRef Z (instanceRef current_mux_buffer_2_13)) + (portRef current_mux_buffer_2_13 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_13)) )) - (net buf_INT_DATA_IN_43 (joined - (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_11)) + (net MED_IO_DATA_OUT_87 (joined + (portRef MED_IO_DATA_OUT_87) + (portRef A (instanceRef current_mux_buffer_0_7)) + )) + (net buf_INT_DATA_IN_7 (joined + (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_0_7)) ) ) - (net buf_INT_DATA_IN_107 (joined - (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_11)) + (net current_mux_buffer_0_0_7 (joined + (portRef Z (instanceRef current_mux_buffer_0_7)) + (portRef current_mux_buffer_0_0_7 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_7)) + )) + (net buf_INT_DATA_IN_39 (joined + (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_7)) ) ) - (net current_mux_buffer_1_11 (joined - (portRef Z (instanceRef current_mux_buffer_1_11)) - (portRef current_mux_buffer_1_11 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_11)) + (net buf_INT_DATA_IN_103 (joined + (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_7)) + ) + ) + (net current_mux_buffer_1_7 (joined + (portRef Z (instanceRef current_mux_buffer_1_7)) + (portRef current_mux_buffer_1_7 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_7)) )) - (net buf_INT_DATA_IN_59 (joined - (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_11)) + (net buf_INT_DATA_IN_55 (joined + (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_7)) ) ) - (net buf_INT_DATA_IN_123 (joined - (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_11)) + (net buf_INT_DATA_IN_119 (joined + (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_7)) ) ) - (net current_mux_buffer_2_11 (joined - (portRef Z (instanceRef current_mux_buffer_2_11)) - (portRef current_mux_buffer_2_11 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_11)) + (net current_mux_buffer_2_7 (joined + (portRef Z (instanceRef current_mux_buffer_2_7)) + (portRef current_mux_buffer_2_7 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_7)) + )) + (net buf_INT_DATA_IN_14 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_0_14)) + ) + ) + (net buf_INT_DATA_IN_46 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_0_14)) + ) + ) + (net current_mux_buffer_0_0_14 (joined + (portRef Z (instanceRef current_mux_buffer_0_14)) + (portRef current_mux_buffer_0_0_14 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_14)) + )) + (net buf_INT_DATA_IN_62 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_14)) + ) + ) + (net buf_INT_DATA_IN_110 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_14)) + ) + ) + (net current_mux_buffer_1_14 (joined + (portRef Z (instanceRef current_mux_buffer_1_14)) + (portRef current_mux_buffer_1_14 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_14)) + )) + (net buf_INT_DATA_IN_30 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_14)) + ) + ) + (net buf_INT_DATA_IN_126 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_14)) + ) + ) + (net current_mux_buffer_2_14 (joined + (portRef Z (instanceRef current_mux_buffer_2_14)) + (portRef current_mux_buffer_2_14 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_14)) )) (net buf_INT_DATA_IN_2 (joined (portRef (member buf_int_data_in 13) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) @@ -81991,6 +82301,136 @@ (portRef current_mux_buffer_2_2 (instanceRef MUX_SBUF)) (portRef C (instanceRef current_mux_buffer_2)) )) + (net MED_IO_DATA_OUT_90 (joined + (portRef MED_IO_DATA_OUT_90) + (portRef A (instanceRef current_mux_buffer_0_10)) + )) + (net buf_INT_DATA_IN_10 (joined + (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_0_10)) + ) + ) + (net current_mux_buffer_0_0_10 (joined + (portRef Z (instanceRef current_mux_buffer_0_10)) + (portRef current_mux_buffer_0_0_10 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_10)) + )) + (net buf_INT_DATA_IN_42 (joined + (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_10)) + ) + ) + (net buf_INT_DATA_IN_106 (joined + (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_10)) + ) + ) + (net current_mux_buffer_1_10 (joined + (portRef Z (instanceRef current_mux_buffer_1_10)) + (portRef current_mux_buffer_1_10 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_10)) + )) + (net buf_INT_DATA_IN_58 (joined + (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_10)) + ) + ) + (net buf_INT_DATA_IN_122 (joined + (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_10)) + ) + ) + (net current_mux_buffer_2_10 (joined + (portRef Z (instanceRef current_mux_buffer_2_10)) + (portRef current_mux_buffer_2_10 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_10)) + )) + (net buf_INT_DATA_IN_15 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_0_15)) + ) + ) + (net buf_INT_DATA_IN_47 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_0_15)) + ) + ) + (net current_mux_buffer_0_0_15 (joined + (portRef Z (instanceRef current_mux_buffer_0_15)) + (portRef current_mux_buffer_0_0_15 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_15)) + )) + (net buf_INT_DATA_IN_63 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_15)) + ) + ) + (net buf_INT_DATA_IN_111 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_15)) + ) + ) + (net current_mux_buffer_1_15 (joined + (portRef Z (instanceRef current_mux_buffer_1_15)) + (portRef current_mux_buffer_1_15 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_15)) + )) + (net buf_INT_DATA_IN_31 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_15)) + ) + ) + (net buf_INT_DATA_IN_127 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_15)) + ) + ) + (net current_mux_buffer_2_15 (joined + (portRef Z (instanceRef current_mux_buffer_2_15)) + (portRef current_mux_buffer_2_15 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_15)) + )) + (net MED_IO_PACKET_NUM_OUT_9 (joined + (portRef MED_IO_PACKET_NUM_OUT_9) + (portRef A (instanceRef current_mux_buffer_0_16)) + (portRef A (instanceRef loop4_0_un395_buf_int_read_out)) + )) + (net MED_IO_PACKET_NUM_OUT_12 (joined + (portRef MED_IO_PACKET_NUM_OUT_12) + (portRef B (instanceRef current_mux_buffer_0_16)) + (portRef A (instanceRef loop5_0_un490_buf_int_read_out)) + )) + (net current_mux_buffer_0_0_16 (joined + (portRef Z (instanceRef current_mux_buffer_0_16)) + (portRef current_mux_buffer_0_0_16 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_16)) + )) + (net buf_INT_PACKET_NUM_IN_0 (joined + (portRef buf_INT_PACKET_NUM_IN_0 (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_16)) + ) + ) + (net buf_INT_PACKET_NUM_IN_18 (joined + (portRef (member buf_int_packet_num_in 2) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef k_1_m5s2)) + (portRef B (instanceRef current_mux_buffer_1_16)) + ) + ) + (net current_mux_buffer_1_16 (joined + (portRef Z (instanceRef current_mux_buffer_1_16)) + (portRef current_mux_buffer_1_16 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_16)) + )) + (net current_mux_buffer_2_16 (joined + (portRef Z (instanceRef current_mux_buffer_2_16)) + (portRef current_mux_buffer_2_16 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_16)) + )) + (net current_mux_buffer_3_16 (joined + (portRef Z (instanceRef current_mux_buffer_3_16)) + (portRef current_mux_buffer_3_16 (instanceRef MUX_SBUF)) + (portRef D (instanceRef current_mux_buffer_16)) + )) (net MED_IO_DATA_OUT_89 (joined (portRef MED_IO_DATA_OUT_89) (portRef A (instanceRef current_mux_buffer_0_9)) @@ -82035,6 +82475,50 @@ (portRef current_mux_buffer_2_9 (instanceRef MUX_SBUF)) (portRef C (instanceRef current_mux_buffer_9)) )) + (net MED_IO_DATA_OUT_91 (joined + (portRef MED_IO_DATA_OUT_91) + (portRef A (instanceRef current_mux_buffer_0_11)) + )) + (net buf_INT_DATA_IN_11 (joined + (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_0_11)) + ) + ) + (net current_mux_buffer_0_0_11 (joined + (portRef Z (instanceRef current_mux_buffer_0_11)) + (portRef current_mux_buffer_0_0_11 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_11)) + )) + (net buf_INT_DATA_IN_43 (joined + (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_11)) + ) + ) + (net buf_INT_DATA_IN_107 (joined + (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_11)) + ) + ) + (net current_mux_buffer_1_11 (joined + (portRef Z (instanceRef current_mux_buffer_1_11)) + (portRef current_mux_buffer_1_11 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_11)) + )) + (net buf_INT_DATA_IN_59 (joined + (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_11)) + ) + ) + (net buf_INT_DATA_IN_123 (joined + (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_11)) + ) + ) + (net current_mux_buffer_2_11 (joined + (portRef Z (instanceRef current_mux_buffer_2_11)) + (portRef current_mux_buffer_2_11 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_11)) + )) (net MED_IO_DATA_OUT_86 (joined (portRef MED_IO_DATA_OUT_86) (portRef A (instanceRef current_mux_buffer_0_6)) @@ -82079,138 +82563,6 @@ (portRef current_mux_buffer_2_6 (instanceRef MUX_SBUF)) (portRef C (instanceRef current_mux_buffer_6)) )) - (net MED_IO_DATA_OUT_88 (joined - (portRef MED_IO_DATA_OUT_88) - (portRef A (instanceRef current_mux_buffer_0_8)) - )) - (net buf_INT_DATA_IN_8 (joined - (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_0_8)) - ) - ) - (net current_mux_buffer_0_0_8 (joined - (portRef Z (instanceRef current_mux_buffer_0_8)) - (portRef current_mux_buffer_0_0_8 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_8)) - )) - (net buf_INT_DATA_IN_40 (joined - (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_8)) - ) - ) - (net buf_INT_DATA_IN_104 (joined - (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_8)) - ) - ) - (net current_mux_buffer_1_8 (joined - (portRef Z (instanceRef current_mux_buffer_1_8)) - (portRef current_mux_buffer_1_8 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_8)) - )) - (net buf_INT_DATA_IN_56 (joined - (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_8)) - ) - ) - (net buf_INT_DATA_IN_120 (joined - (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_8)) - ) - ) - (net current_mux_buffer_2_8 (joined - (portRef Z (instanceRef current_mux_buffer_2_8)) - (portRef current_mux_buffer_2_8 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_8)) - )) - (net MED_IO_DATA_OUT_87 (joined - (portRef MED_IO_DATA_OUT_87) - (portRef A (instanceRef current_mux_buffer_0_7)) - )) - (net buf_INT_DATA_IN_7 (joined - (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_0_7)) - ) - ) - (net current_mux_buffer_0_0_7 (joined - (portRef Z (instanceRef current_mux_buffer_0_7)) - (portRef current_mux_buffer_0_0_7 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_7)) - )) - (net buf_INT_DATA_IN_39 (joined - (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_7)) - ) - ) - (net buf_INT_DATA_IN_103 (joined - (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_7)) - ) - ) - (net current_mux_buffer_1_7 (joined - (portRef Z (instanceRef current_mux_buffer_1_7)) - (portRef current_mux_buffer_1_7 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_7)) - )) - (net buf_INT_DATA_IN_55 (joined - (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_7)) - ) - ) - (net buf_INT_DATA_IN_119 (joined - (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_7)) - ) - ) - (net current_mux_buffer_2_7 (joined - (portRef Z (instanceRef current_mux_buffer_2_7)) - (portRef current_mux_buffer_2_7 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_7)) - )) - (net MED_IO_DATA_OUT_90 (joined - (portRef MED_IO_DATA_OUT_90) - (portRef A (instanceRef current_mux_buffer_0_10)) - )) - (net buf_INT_DATA_IN_10 (joined - (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_0_10)) - ) - ) - (net current_mux_buffer_0_0_10 (joined - (portRef Z (instanceRef current_mux_buffer_0_10)) - (portRef current_mux_buffer_0_0_10 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_10)) - )) - (net buf_INT_DATA_IN_42 (joined - (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_10)) - ) - ) - (net buf_INT_DATA_IN_106 (joined - (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_10)) - ) - ) - (net current_mux_buffer_1_10 (joined - (portRef Z (instanceRef current_mux_buffer_1_10)) - (portRef current_mux_buffer_1_10 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_10)) - )) - (net buf_INT_DATA_IN_58 (joined - (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_10)) - ) - ) - (net buf_INT_DATA_IN_122 (joined - (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_10)) - ) - ) - (net current_mux_buffer_2_10 (joined - (portRef Z (instanceRef current_mux_buffer_2_10)) - (portRef current_mux_buffer_2_10 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_10)) - )) (net buf_INT_DATA_IN_12 (joined (portRef (member buf_int_data_in 3) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) (portRef A (instanceRef current_mux_buffer_0_12)) @@ -82256,136 +82608,60 @@ (portRef current_mux_buffer_2_12 (instanceRef MUX_SBUF)) (portRef C (instanceRef current_mux_buffer_12)) )) - (net MED_IO_PACKET_NUM_OUT_9 (joined - (portRef MED_IO_PACKET_NUM_OUT_9) - (portRef A (instanceRef current_mux_buffer_0_16)) - (portRef A (instanceRef loop4_0_un395_buf_int_read_out)) - )) - (net MED_IO_PACKET_NUM_OUT_12 (joined - (portRef MED_IO_PACKET_NUM_OUT_12) - (portRef B (instanceRef current_mux_buffer_0_16)) - (portRef A (instanceRef loop5_0_un490_buf_int_read_out)) - )) - (net current_mux_buffer_0_0_16 (joined - (portRef Z (instanceRef current_mux_buffer_0_16)) - (portRef current_mux_buffer_0_0_16 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_16)) - )) - (net buf_INT_PACKET_NUM_IN_0 (joined - (portRef buf_INT_PACKET_NUM_IN_0 (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_16)) - ) - ) - (net buf_INT_PACKET_NUM_IN_18 (joined - (portRef buf_INT_PACKET_NUM_IN_0 (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef k_1_m5s2)) - (portRef B (instanceRef current_mux_buffer_1_16)) - ) - ) - (net current_mux_buffer_1_16 (joined - (portRef Z (instanceRef current_mux_buffer_1_16)) - (portRef current_mux_buffer_1_16 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_16)) - )) - (net current_mux_buffer_2_16 (joined - (portRef Z (instanceRef current_mux_buffer_2_16)) - (portRef current_mux_buffer_2_16 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_16)) - )) - (net current_mux_buffer_3_16 (joined - (portRef Z (instanceRef current_mux_buffer_3_16)) - (portRef current_mux_buffer_3_16 (instanceRef MUX_SBUF)) - (portRef D (instanceRef current_mux_buffer_16)) - )) - (net buf_INT_DATA_IN_14 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_0_14)) - ) - ) - (net buf_INT_DATA_IN_46 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_0_14)) - ) - ) - (net current_mux_buffer_0_0_14 (joined - (portRef Z (instanceRef current_mux_buffer_0_14)) - (portRef current_mux_buffer_0_0_14 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_14)) - )) - (net buf_INT_DATA_IN_62 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_14)) - ) - ) - (net buf_INT_DATA_IN_110 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_14)) - ) - ) - (net current_mux_buffer_1_14 (joined - (portRef Z (instanceRef current_mux_buffer_1_14)) - (portRef current_mux_buffer_1_14 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_14)) + (net MED_IO_DATA_OUT_66 (joined + (portRef MED_IO_DATA_OUT_66) + (portRef A (instanceRef current_mux_buffer_0_0)) + (portRef A (instanceRef current_mux_buffer_0_2)) )) - (net buf_INT_DATA_IN_30 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_14)) - ) - ) - (net buf_INT_DATA_IN_126 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_14)) + (net buf_INT_DATA_IN_32 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_0_0)) ) ) - (net current_mux_buffer_2_14 (joined - (portRef Z (instanceRef current_mux_buffer_2_14)) - (portRef current_mux_buffer_2_14 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_14)) + (net current_mux_buffer_0_0_0 (joined + (portRef Z (instanceRef current_mux_buffer_0_0)) + (portRef current_mux_buffer_0_0_0 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_0)) )) - (net buf_INT_DATA_IN_13 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_0_13)) + (net buf_INT_DATA_IN_0 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_0)) ) ) - (net buf_INT_DATA_IN_45 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_0_13)) + (net buf_INT_DATA_IN_96 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_0)) ) ) - (net current_mux_buffer_0_0_13 (joined - (portRef Z (instanceRef current_mux_buffer_0_13)) - (portRef current_mux_buffer_0_0_13 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_13)) + (net current_mux_buffer_1_0 (joined + (portRef Z (instanceRef current_mux_buffer_1_0)) + (portRef current_mux_buffer_1_0 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_0)) )) - (net buf_INT_DATA_IN_61 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_13)) + (net buf_INT_DATA_IN_48 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_0)) ) ) - (net buf_INT_DATA_IN_109 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_13)) + (net buf_INT_DATA_IN_112 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_0)) ) ) - (net current_mux_buffer_1_13 (joined - (portRef Z (instanceRef current_mux_buffer_1_13)) - (portRef current_mux_buffer_1_13 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_13)) + (net current_mux_buffer_2_0 (joined + (portRef Z (instanceRef current_mux_buffer_2_0)) + (portRef current_mux_buffer_2_0 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_0)) )) - (net buf_INT_DATA_IN_29 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_13)) - ) - ) - (net buf_INT_DATA_IN_125 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_13)) + (net buf_INT_DATA_IN_16 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_3_0)) ) ) - (net current_mux_buffer_2_13 (joined - (portRef Z (instanceRef current_mux_buffer_2_13)) - (portRef current_mux_buffer_2_13 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_13)) + (net current_mux_buffer_3_0 (joined + (portRef Z (instanceRef current_mux_buffer_3_0)) + (portRef current_mux_buffer_3_0 (instanceRef MUX_SBUF)) + (portRef D (instanceRef current_mux_buffer_0)) )) (net MED_IO_DATA_OUT_65 (joined (portRef MED_IO_DATA_OUT_65) @@ -82431,6 +82707,11 @@ (portRef current_mux_buffer_2_1 (instanceRef MUX_SBUF)) (portRef C (instanceRef current_mux_buffer_1)) )) + (net MED_IO_DATA_OUT_81 (joined + (portRef MED_IO_DATA_OUT_81) + (portRef A (instanceRef current_mux_buffer_3_1)) + (portRef A (instanceRef current_mux_buffer_3_0)) + )) (net buf_INT_DATA_IN_49 (joined (portRef (member buf_int_data_in 14) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) (portRef C (instanceRef current_mux_buffer_3_1)) @@ -82441,66 +82722,6 @@ (portRef current_mux_buffer_3_1 (instanceRef MUX_SBUF)) (portRef D (instanceRef current_mux_buffer_1)) )) - (net MED_IO_DATA_OUT_66 (joined - (portRef MED_IO_DATA_OUT_66) - (portRef A (instanceRef current_mux_buffer_0_0)) - (portRef A (instanceRef current_mux_buffer_0_2)) - )) - (net buf_INT_DATA_IN_32 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_0_0)) - ) - ) - (net current_mux_buffer_0_0_0 (joined - (portRef Z (instanceRef current_mux_buffer_0_0)) - (portRef current_mux_buffer_0_0_0 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_0)) - )) - (net buf_INT_DATA_IN_0 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_0)) - ) - ) - (net buf_INT_DATA_IN_96 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_0)) - ) - ) - (net current_mux_buffer_1_0 (joined - (portRef Z (instanceRef current_mux_buffer_1_0)) - (portRef current_mux_buffer_1_0 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_0)) - )) - (net buf_INT_DATA_IN_16 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_0)) - ) - ) - (net buf_INT_DATA_IN_48 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_0)) - ) - ) - (net current_mux_buffer_2_0 (joined - (portRef Z (instanceRef current_mux_buffer_2_0)) - (portRef current_mux_buffer_2_0 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_0)) - )) - (net MED_IO_DATA_OUT_81 (joined - (portRef MED_IO_DATA_OUT_81) - (portRef A (instanceRef current_mux_buffer_3_0)) - (portRef A (instanceRef current_mux_buffer_3_1)) - )) - (net buf_INT_DATA_IN_112 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_3_0)) - ) - ) - (net current_mux_buffer_3_0 (joined - (portRef Z (instanceRef current_mux_buffer_3_0)) - (portRef current_mux_buffer_3_0 (instanceRef MUX_SBUF)) - (portRef D (instanceRef current_mux_buffer_0)) - )) (net MED_IO_PACKET_NUM_OUT_11 (joined (portRef MED_IO_PACKET_NUM_OUT_11) (portRef A (instanceRef current_mux_buffer_0_18)) @@ -82516,15 +82737,10 @@ (portRef current_mux_buffer_0_0_18 (instanceRef MUX_SBUF)) (portRef A (instanceRef current_mux_buffer_18)) )) - (net MED_IO_PACKET_NUM_OUT_14 (joined - (portRef MED_IO_PACKET_NUM_OUT_14) - (portRef A (instanceRef current_mux_buffer_1_18)) - (portRef C (instanceRef loop5_0_un490_buf_int_read_out)) - )) - (net current_mux_buffer_1_18 (joined - (portRef Z (instanceRef current_mux_buffer_1_18)) - (portRef current_mux_buffer_1_18 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_18)) + (net current_mux_buffer_2_18 (joined + (portRef Z (instanceRef current_mux_buffer_2_18)) + (portRef current_mux_buffer_2_18 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_18)) )) (net current_mux_buffer_3_18 (joined (portRef Z (instanceRef current_mux_buffer_3_18)) @@ -82553,7 +82769,7 @@ ) (net tmp_4 (joined (portRef Z (instanceRef or_all_tmp_4)) - (portRef A (instanceRef mux_read_RNO_0)) + (portRef B (instanceRef mux_read_RNO)) )) (net un2_current_mux_packet_number_1 (joined (portRef Z (instanceRef un2_current_mux_packet_number_1)) @@ -82688,7 +82904,7 @@ (portRef B (instanceRef current_mux_buffer_4)) )) (net buf_INT_PACKET_NUM_IN_19 (joined - (portRef buf_INT_PACKET_NUM_IN_1 (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef (member buf_int_packet_num_in 1) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) (portRef B (instanceRef k_1_m5s2)) ) ) @@ -82776,30 +82992,30 @@ (portRef A (instanceRef k_1_1)) (portRef A (instanceRef current_mux_buffer_5)) )) - (net current_mux_buffer_0_16 (joined - (portRef Z (instanceRef current_mux_buffer_16)) - (portRef current_mux_buffer_0_16 (instanceRef MUX_SBUF)) + (net current_mux_buffer_1_18 (joined + (portRef (member current_mux_buffer_1 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef current_mux_buffer_1_18 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_18)) + )) + (net current_mux_buffer_0_18 (joined + (portRef Z (instanceRef current_mux_buffer_18)) + (portRef current_mux_buffer_0_18 (instanceRef MUX_SBUF)) )) (net current_mux_buffer_0_13 (joined (portRef Z (instanceRef current_mux_buffer_13)) (portRef current_mux_buffer_0_13 (instanceRef MUX_SBUF)) )) - (net current_mux_buffer_0_0 (joined - (portRef Z (instanceRef current_mux_buffer_0)) - (portRef current_mux_buffer_0_0_d0 (instanceRef MUX_SBUF)) - )) (net current_mux_buffer_0_1 (joined (portRef Z (instanceRef current_mux_buffer_1)) (portRef current_mux_buffer_0_1 (instanceRef MUX_SBUF)) )) - (net current_mux_buffer_2_18 (joined - (portRef (member current_mux_buffer_2 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef current_mux_buffer_2_18 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_18)) + (net current_mux_buffer_0_0 (joined + (portRef Z (instanceRef current_mux_buffer_0)) + (portRef current_mux_buffer_0_0_d0 (instanceRef MUX_SBUF)) )) - (net current_mux_buffer_0_18 (joined - (portRef Z (instanceRef current_mux_buffer_18)) - (portRef current_mux_buffer_0_18 (instanceRef MUX_SBUF)) + (net current_mux_buffer_0_16 (joined + (portRef Z (instanceRef current_mux_buffer_16)) + (portRef current_mux_buffer_0_16 (instanceRef MUX_SBUF)) )) (net current_mux_buffer_0_5 (joined (portRef Z (instanceRef current_mux_buffer_5)) @@ -82835,31 +83051,31 @@ (portRef current_mux_buffer_0_4 (instanceRef MUX_SBUF)) )) (net real_reading_1 (joined - (portRef B (instanceRef mux_read_RNO_0)) + (portRef A (instanceRef mux_read_RNO_0)) (portRef Z (instanceRef real_reading_2_1)) ) ) + (net real_reading_7 (joined + (portRef B (instanceRef mux_read_RNO_0)) + (portRef Z (instanceRef real_reading_8_7)) + ) + ) (net tmp_0_i_1 (joined (portRef Z (instanceRef mux_read_RNO_0)) (portRef A (instanceRef mux_read_RNO)) )) (net real_reading_4 (joined - (portRef B (instanceRef mux_read_RNO)) + (portRef C (instanceRef mux_read_RNO)) (portRef Z (instanceRef real_reading_5_4)) (portRef (member real_readingZ0Z_5 0)) ) ) (net real_reading_5 (joined - (portRef C (instanceRef mux_read_RNO)) + (portRef D (instanceRef mux_read_RNO)) (portRef Z (instanceRef real_reading_6_5)) (portRef (member real_readingZ0Z_6 0)) ) ) - (net real_reading_7 (joined - (portRef D (instanceRef mux_read_RNO)) - (portRef Z (instanceRef real_reading_8_7)) - ) - ) (net buf_INT_DATAREADY_OUT_3 (joined (portRef (member med_io_dataready_in 0)) (portRef Q (instanceRef G2_3_buf_INT_DATAREADY_OUT_3)) @@ -83224,21 +83440,21 @@ (portRef MED_IO_DATA_OUT_127) (portRef (member med_io_data_out 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) )) - (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3)) - (portRef (member current_output_data_buffer 3) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2)) - (portRef (member current_output_data_buffer 2) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1)) + (portRef (member current_output_data_buffer_mb 1) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1)) - (portRef (member current_output_data_buffer 1) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0)) + (portRef (member current_output_data_buffer_mb 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) )) (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0)) - (portRef (member current_output_data_buffer 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef current_output_data_buffer_3) + (portRef current_output_data_buffer_3 (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + )) + (net current_output_data_buffer_0 (joined + (portRef current_output_data_buffer_0) + (portRef current_output_data_buffer_0 (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) )) (net reset_no_link_1 (joined (portRef reset_no_link_1) @@ -83445,6 +83661,11 @@ (portRef fifo_almostfull (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) (portRef fifo_almostfull_2) )) + (net MED_IO_PACKET_NUM_OUT_14 (joined + (portRef MED_IO_PACKET_NUM_OUT_14) + (portRef (member med_io_packet_num_out 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef loop5_0_un490_buf_int_read_out)) + )) (net MED_IO_DATA_OUT_96 (joined (portRef MED_IO_DATA_OUT_96) (portRef (member med_io_data_out 2) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) @@ -83600,9 +83821,9 @@ (portRef med_dataready_out (instanceRef MUX_SBUF)) (portRef med_dataready_out) )) - (net N_538_i (joined - (portRef N_538_i) - (portRef N_538_i (instanceRef MUX_SBUF)) + (net N_465_i (joined + (portRef N_465_i) + (portRef N_465_i (instanceRef MUX_SBUF)) )) ) (property HGROUP (string "MUX_group")) @@ -83626,8 +83847,8 @@ (port MED_IO_DATA_OUT_19 (direction OUTPUT)) (port MED_IO_DATA_OUT_16 (direction OUTPUT)) (port MED_IO_DATA_OUT_1 (direction OUTPUT)) - (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(17:12)") 6) (direction OUTPUT)) (port (array (rename real_reading_6 "real_reading_6(5:5)") 1) (direction INPUT)) + (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(17:12)") 6) (direction OUTPUT)) (port (array (rename med_io_dataready_out "MED_IO_DATAREADY_OUT(5:4)") 2) (direction OUTPUT)) (port (array (rename med_io_read_in "MED_IO_READ_IN(5:4)") 2) (direction INPUT)) (port reset_no_link_1 (direction INPUT)) @@ -83642,14 +83863,17 @@ (instance buf_MED_INIT_DATA_OUT_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C B+C (B+A)))")) ) - (instance REPLY_transfer_counter_RNI85HS_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance REPLY_transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B A+B !A)))")) ) (instance REPLY_transfer_counter_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance REPLY_transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (!B A+B !A)))")) + (instance REPLY_transfer_counter_RNI85HS_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) ) (instance send_REPLY_trm_RNIJ2RS (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) @@ -83729,20 +83953,23 @@ (instance un4_send_reply_trm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) + (instance next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) (instance INIT_transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (!B A+B !A))")) ) (instance un1_med_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) - (instance next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance next_send_INIT_ack_0_sqmuxa_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A))")) ) - (instance next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance next_MED_INIT_DATAREADY_OUT_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) (instance saved_packet_type_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) @@ -83768,9 +83995,6 @@ (instance REPLY_transfer_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C !A+C (B !A)))")) ) - (instance next_MED_INIT_DATAREADY_OUT_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) (instance next_MED_INIT_DATA_OUT_3_sqmuxa_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B A+B !A)))")) ) @@ -83789,7 +84013,7 @@ (instance send_REPLY_trm_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (!B+A))")) ) - (instance un4_send_reply_trm_0_RNIAL9D1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un4_send_reply_trm_0_RNIG4DR2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C B+C (B+A)))")) ) (instance un4_send_reply_trm_0_RNIUN6R1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -83804,9 +84028,6 @@ (instance buf_MED_REPLY_DATA_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B !A))+D !C)")) ) - (instance next_MED_INIT_DATAREADY_OUT_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) (net send_INIT_ack (joined (portRef Q (instanceRef send_INIT_ack)) (portRef C (instanceRef next_MED_INIT_DATA_OUT_3_sqmuxa_1_1_RNIJAKT)) @@ -83832,51 +84053,90 @@ (portRef Z (instanceRef buf_MED_INIT_DATA_OUT_RNO_1)) (portRef SP (instanceRef buf_MED_INIT_DATA_OUT_1)) )) - (net next_MED_REPLY_DATA_OUT_1_sqmuxa (joined - (portRef Z (instanceRef REPLY_transfer_counter_RNI85HS_1)) - (portRef B (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0_0)) - (portRef B (instanceRef send_REPLY_trm_RNO)) + (net un1_med_dataready_in (joined + (portRef Z (instanceRef un1_med_dataready_in)) + (portRef D (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) + (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1)) + )) + (net next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1 (joined + (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0)) + (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1)) + )) + (net saved_packet_type_1_2 (joined + (portRef C (instanceRef saved_packet_type_4_2)) + (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1)) + (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1)) + (portRef Q (instanceRef saved_packet_type_2)) + ) + ) + (net saved_packet_type_1_1 (joined + (portRef C (instanceRef saved_packet_type_4_1)) + (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1)) + (portRef D (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1)) + (portRef Q (instanceRef saved_packet_type_1)) + ) + ) + (net next_MED_INIT_DATAREADY_OUT_0_sqmuxa (joined + (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1)) + (portRef A (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0)) + (portRef A (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0_0)) + (portRef B (instanceRef un4_send_reply_trm_0_RNIG4DR2)) + (portRef A (instanceRef send_REPLY_trm_RNO)) + (portRef A (instanceRef buf_MED_REPLY_DATAREADY_OUT_RNO)) + (portRef SP (instanceRef INIT_SEQNR_7)) + (portRef SP (instanceRef INIT_SEQNR_6)) + (portRef SP (instanceRef INIT_SEQNR_5)) + (portRef SP (instanceRef INIT_SEQNR_4)) + (portRef SP (instanceRef INIT_SEQNR_3)) + (portRef SP (instanceRef INIT_SEQNR_2)) + (portRef SP (instanceRef INIT_SEQNR_1)) + (portRef SP (instanceRef INIT_SEQNR_0)) + )) + (net N_92_m (joined + (portRef Z (instanceRef REPLY_transfer_counter_RNO_1)) + (portRef D (instanceRef REPLY_transfer_counter_1)) )) (net MED_IO_PACKET_NUM_OUT_16 (joined (portRef Q (instanceRef REPLY_transfer_counter_1)) (portRef B (instanceRef REPLY_transfer_counter_RNO_0)) (portRef A (instanceRef un4_send_reply_trm_0)) - (portRef A (instanceRef REPLY_transfer_counter_RNO_1)) - (portRef A (instanceRef REPLY_transfer_counter_RNO_0_2)) (portRef D (instanceRef REPLY_transfer_counter_RNI85HS_1)) + (portRef A (instanceRef REPLY_transfer_counter_RNO_0_2)) + (portRef A (instanceRef REPLY_transfer_counter_RNO_1)) (portRef (member med_io_packet_num_out 1)) )) (net MED_IO_PACKET_NUM_OUT_15 (joined (portRef Q (instanceRef REPLY_transfer_counter_0)) (portRef A (instanceRef un4_send_reply_trm_0_RNIUN6R1)) - (portRef A (instanceRef un4_send_reply_trm_0_RNIAL9D1)) + (portRef A (instanceRef un4_send_reply_trm_0_RNIG4DR2)) (portRef A (instanceRef REPLY_transfer_counter_RNO_0)) - (portRef B (instanceRef REPLY_transfer_counter_RNO_1)) - (portRef B (instanceRef REPLY_transfer_counter_RNO_0_2)) (portRef B (instanceRef REPLY_transfer_counter_RNI85HS_1)) + (portRef B (instanceRef REPLY_transfer_counter_RNO_0_2)) + (portRef B (instanceRef REPLY_transfer_counter_RNO_1)) (portRef (member med_io_packet_num_out 2)) )) - (net CO1_0 (joined - (portRef Z (instanceRef REPLY_transfer_counter_RNO_0_2)) - (portRef D (instanceRef REPLY_transfer_counter_RNO_2)) - )) (net MED_IO_READ_IN_5 (joined (portRef (member med_io_read_in 0)) (portRef B (instanceRef send_REPLY_trm_RNIJ2RS)) - (portRef C (instanceRef REPLY_transfer_counter_RNO_1)) (portRef C (instanceRef REPLY_transfer_counter_RNO_0_2)) + (portRef C (instanceRef REPLY_transfer_counter_RNO_1)) )) (net MED_IO_DATAREADY_OUT_5 (joined (portRef Q (instanceRef buf_MED_REPLY_DATAREADY_OUT)) (portRef A (instanceRef REPLY_transfer_counter_RNO_2)) (portRef C (instanceRef send_REPLY_trm_RNIJ2RS)) - (portRef D (instanceRef REPLY_transfer_counter_RNO_1)) (portRef D (instanceRef REPLY_transfer_counter_RNO_0_2)) + (portRef D (instanceRef REPLY_transfer_counter_RNO_1)) (portRef (member med_io_dataready_out 0)) )) - (net N_92_m (joined - (portRef Z (instanceRef REPLY_transfer_counter_RNO_1)) - (portRef D (instanceRef REPLY_transfer_counter_1)) + (net CO1_0 (joined + (portRef Z (instanceRef REPLY_transfer_counter_RNO_0_2)) + (portRef D (instanceRef REPLY_transfer_counter_RNO_2)) + )) + (net next_MED_REPLY_DATA_OUT_1_sqmuxa (joined + (portRef Z (instanceRef REPLY_transfer_counter_RNI85HS_1)) + (portRef B (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0_0)) + (portRef B (instanceRef send_REPLY_trm_RNO)) )) (net send_REPLY_trm (joined (portRef Q (instanceRef send_REPLY_trm)) @@ -83889,7 +84149,7 @@ (portRef Z (instanceRef send_REPLY_trm_RNIJ2RS)) (portRef B (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0)) (portRef B (instanceRef un4_send_reply_trm_0_RNIUN6R1)) - (portRef C (instanceRef un4_send_reply_trm_0_RNIAL9D1)) + (portRef C (instanceRef un4_send_reply_trm_0_RNIG4DR2)) (portRef SP (instanceRef buf_MED_REPLY_DATA_OUT_11)) (portRef SP (instanceRef buf_MED_REPLY_DATA_OUT_10)) (portRef SP (instanceRef buf_MED_REPLY_DATA_OUT_9)) @@ -83904,7 +84164,7 @@ (portRef D (instanceRef buf_MED_INIT_DATA_OUT_0)) )) (net un1_next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_i (joined - (portRef Z (instanceRef un4_send_reply_trm_0_RNIAL9D1)) + (portRef Z (instanceRef un4_send_reply_trm_0_RNIG4DR2)) (portRef B (instanceRef buf_MED_REPLY_DATA_OUT_0__fb)) )) (net GND (joined @@ -84149,22 +84409,6 @@ (portRef (member med_io_data_in 7)) (portRef D (instanceRef INIT_SEQNR_0)) )) - (net next_MED_INIT_DATAREADY_OUT_0_sqmuxa (joined - (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa)) - (portRef A (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0)) - (portRef A (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0_0)) - (portRef B (instanceRef un4_send_reply_trm_0_RNIAL9D1)) - (portRef A (instanceRef send_REPLY_trm_RNO)) - (portRef A (instanceRef buf_MED_REPLY_DATAREADY_OUT_RNO)) - (portRef SP (instanceRef INIT_SEQNR_7)) - (portRef SP (instanceRef INIT_SEQNR_6)) - (portRef SP (instanceRef INIT_SEQNR_5)) - (portRef SP (instanceRef INIT_SEQNR_4)) - (portRef SP (instanceRef INIT_SEQNR_3)) - (portRef SP (instanceRef INIT_SEQNR_2)) - (portRef SP (instanceRef INIT_SEQNR_1)) - (portRef SP (instanceRef INIT_SEQNR_0)) - )) (net MED_IO_DATA_IN_37 (joined (portRef (member med_io_data_in 6)) (portRef D (instanceRef INIT_SEQNR_1)) @@ -84197,7 +84441,11 @@ (portRef Z (instanceRef un4_send_reply_trm_0)) (portRef D (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0)) (portRef C (instanceRef un4_send_reply_trm_0_RNIUN6R1)) - (portRef D (instanceRef un4_send_reply_trm_0_RNIAL9D1)) + (portRef D (instanceRef un4_send_reply_trm_0_RNIG4DR2)) + )) + (net next_MED_INIT_DATAREADY_OUT_1_sqmuxa_1 (joined + (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1)) + (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) )) (net MED_IO_PACKET_NUM_OUT_12 (joined (portRef Q (instanceRef INIT_transfer_counter_0)) @@ -84229,34 +84477,17 @@ (portRef D (instanceRef buf_MED_INIT_DATA_OUT_0__fb)) ) ) - (net un1_med_dataready_in (joined - (portRef Z (instanceRef un1_med_dataready_in)) - (portRef D (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa)) + (net MED_IO_PACKET_NUM_IN_3 (joined + (portRef (member med_io_packet_num_in 2)) + (portRef A (instanceRef un1_med_dataready_in)) )) - (net saved_packet_type_1_1 (joined - (portRef C (instanceRef saved_packet_type_4_1)) - (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2)) - (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2)) - (portRef Q (instanceRef saved_packet_type_1)) - ) - ) - (net saved_packet_type_1_2 (joined - (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa)) - (portRef C (instanceRef saved_packet_type_4_2)) - (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2)) - (portRef Q (instanceRef saved_packet_type_2)) - ) - ) - (net saved_packet_type_1_3 (joined - (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa)) - (portRef C (instanceRef saved_packet_type_4_3)) - (portRef D (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2)) - (portRef Q (instanceRef saved_packet_type_3)) - ) - ) - (net next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2 (joined - (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2)) - (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) + (net MED_IO_PACKET_NUM_IN_4 (joined + (portRef (member med_io_packet_num_in 1)) + (portRef B (instanceRef un1_med_dataready_in)) + )) + (net MED_IO_PACKET_NUM_IN_5 (joined + (portRef (member med_io_packet_num_in 0)) + (portRef C (instanceRef un1_med_dataready_in)) )) (net MED_IO_PACKET_NUM_OUT_14 (joined (portRef Q (instanceRef INIT_transfer_counter_2)) @@ -84271,20 +84502,23 @@ (portRef Z (instanceRef next_send_INIT_ack_0_sqmuxa_0_0)) (portRef C (instanceRef send_INIT_ack_RNO)) )) - (net MED_IO_DATAREADY_IN_2 (joined - (portRef (member med_io_dataready_in 0)) - (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2)) - (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2)) - )) (net saved_packet_type_1_0 (joined (portRef C (instanceRef saved_packet_type_4_0)) - (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2)) + (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0)) (portRef Q (instanceRef saved_packet_type_0)) ) ) - (net next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2 (joined - (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2)) - (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa)) + (net saved_packet_type_1_3 (joined + (portRef C (instanceRef saved_packet_type_4_3)) + (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) + (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0)) + (portRef Q (instanceRef saved_packet_type_3)) + ) + ) + (net MED_IO_DATAREADY_IN_2 (joined + (portRef (member med_io_dataready_in 0)) + (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) + (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0)) )) (net MED_IO_DATA_IN_32 (joined (portRef (member med_io_data_in 11)) @@ -84332,21 +84566,6 @@ (portRef Z (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0_0)) (portRef C (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0)) )) - (net MED_IO_PACKET_NUM_IN_5 (joined - (portRef (member med_io_packet_num_in 0)) - (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) - (portRef C (instanceRef un1_med_dataready_in)) - )) - (net MED_IO_PACKET_NUM_IN_4 (joined - (portRef (member med_io_packet_num_in 1)) - (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) - (portRef B (instanceRef un1_med_dataready_in)) - )) - (net MED_IO_PACKET_NUM_IN_3 (joined - (portRef (member med_io_packet_num_in 2)) - (portRef D (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) - (portRef A (instanceRef un1_med_dataready_in)) - )) ) (property HGROUP (string "TRMBUF_group")) ) @@ -84360,13 +84579,13 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename onewire_data "ONEWIRE_DATA(15:0)") 16) (direction OUTPUT)) (port reset_i_rep2 (direction INPUT)) - (port TEMPSENS_in (direction INPUT)) (port buf_IDRAM_WR_IN_0_i (direction OUTPUT)) - (port reset_i_fast_r10 (direction INPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port reset_i_fast_1_i (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) + (port TEMPSENS_in (direction INPUT)) (port GND (direction INPUT)) ) (contents @@ -84377,15 +84596,21 @@ (instance send_rom_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) - (instance state_RNO_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance skip_rom_RNIAJDE (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance state_RNO_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B !A)+D (C (!B !A)))")) ) (instance send_bit_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) + (instance statec_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance state_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (!C (!B+A)))")) + ) + (instance recv_bit_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) (instance word_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance word_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) @@ -84476,11 +84701,11 @@ ) (instance state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance state_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance state_2 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance state_3 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance state_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance state_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -84488,13 +84713,13 @@ ) (instance state_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance state_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance state_7 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance state_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance state_8 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance state_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance state_9 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance state_10 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance state_10 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance state_11 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) @@ -84576,110 +84801,122 @@ (instance state_srsts_i_o2_5_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_skip_rom_2_0_a6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_skip_rom_2_0_a6_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance state_srsts_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_srsts_i_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance state_srsts_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance state_srsts_i_i_o2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) ) - (instance next_recv_bit_0_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance un1_next_recv_bit_0_sqmuxa_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance state_srsts_0_a6_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance state_srsts_0_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance next_send_rom_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance reset_timecounter_0_a6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reset_timecounter_0_a6_0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance state_srsts_i_o2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance state_srsts_i_o2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_srsts_i_o2_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance ram_wr_RNI4K55 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_srsts_i_o2_i_a2_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance state_RNI3V49_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) + ) + (instance state_srsts_i_i_a2_1_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance ram_wr_RNIOK0H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) (instance ram_addr_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A+B A)")) ) - (instance un8_recv_bit_ready (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C A)")) - ) - (instance recv_bit_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance state_srsts_i_i_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C (!B !A))")) ) - (instance next_send_rom_0_sqmuxa_1_0_a6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance recv_bit_ready_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance un1_next_state_0_sqmuxa_0_a6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B+A))")) ) - (instance un1_state_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) (instance state_srsts_i_a2_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) (instance state_srsts_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance send_bit_3_iv_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) (instance reset_timecounter_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance state_srsts_i_o2_3_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_srsts_i_o2_i_o2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B+!A))")) + ) + (instance next_send_rom_0_sqmuxa_1_0_a6_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) + (instance ram_wr_2_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) + (instance buf_TEMP_OUT_0_sqmuxa_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) (instance reset_bitcounter_0_a6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance reset_bitcounter_0_a6_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)+C !B))")) ) - (instance buf_TEMP_OUT_0_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance ram_wr_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) - ) (instance state_srsts_i_a6_0_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) + (instance recv_bit_ready_RNIQJLR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) + ) + (instance send_bit_3_iv_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D (!C A+C (B A)))")) + ) + (instance un1_next_recv_bit_0_sqmuxa_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) (instance next_output_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C A))")) ) - (instance is_time_reached_un11_periodlto9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B !A)))")) + (instance state_srsts_0_i_tz_tz_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B+!A))+D (C B))")) ) - (instance state_srsts_i_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A))+D (!C (B A)+C A))")) + (instance buf_TEMP_OUT_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance state_srsts_0_tz_tz_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (!B !A))+D !A)")) + (instance ram_wr_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance state_srsts_0_a6_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance state_srsts_i_o2_i_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)))")) ) (instance reset_bitcounter_0_a6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance reset_timecounter_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (!B !A)))")) - ) (instance state_srsts_0_a2_0_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance state_srsts_0_tz_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + (property lut_function (string "(!D !B+D (!C (!B A)+C !B))")) + ) + (instance state_srsts_i_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C B)+D (!C !A))")) + ) + (instance state_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B))")) ) (instance output_tmp_3_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D C+D (C+(B A)))")) @@ -84687,71 +84924,47 @@ (instance output_tmp_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (C+(B+A)))")) ) - (instance recv_bit_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (C+(B+A)))")) - ) - (instance state_srsts_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)+C !A)+D (!C B+C (B !A)))")) - ) (instance un1_inc_bitcounter_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+!A))")) - ) - (instance statec_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A)))")) - ) - (instance statec_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A)))")) - ) - (instance next_send_bit_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D (!C A+C (B A)))")) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance state_srsts_i_a6_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (C !B))")) + (instance statec_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A)))")) ) (instance state_srsts_i_o2_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B+A)))")) ) - (instance ram_wr_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance state_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A)))")) ) - (instance buf_TEMP_OUT_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance state_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B !A)))")) ) - (instance send_bit_3_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (!B !A))+D (!C (!B !A)+C !A))")) + (instance state_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)+C B))")) ) - (instance state_srsts_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C !A))")) + (instance statec_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) (instance reset_timecounter_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !B)+D (C (!B A)))")) ) - (instance state_srsts_i_a6_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance send_bit_3_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) + (instance state_srsts_i_o2_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C (B+A)+C B))")) ) (instance reset_bitcounter_0_a6_4_RNICA7R (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (!C (B A)+C A))")) ) - (instance state_nss_0_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance state_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)))")) ) - (instance state_nss_0_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A)))")) - ) - (instance state_srsts_i_o2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(B !A)))")) + (instance state_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A)))")) ) - (instance state_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C !A)+D !A)")) - ) - (instance state_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B A)))")) + (instance state_srsts_i_a6_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) (instance state_srsts_0_a6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C B+C (B !A)))")) + (property lut_function (string "(!D (C !B)+D (C (!B+A)))")) ) (instance next_conv_temp_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) @@ -84762,11 +84975,17 @@ (instance state_nss_0_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B A)))")) ) - (instance state_srsts_i_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A)+C !A)")) + (instance state_RNO_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B !A))")) ) - (instance state_srsts_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D (!C (B+A)+C B))")) + (instance state_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))")) + ) + (instance send_bit_3_iv_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))")) + ) + (instance send_bit_3_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B+!A))+D (!C (B+!A)+C !A))")) ) (instance statec_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A))+D (!C (!B+A)+C !B))")) @@ -84774,8 +84993,14 @@ (instance statec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A))")) ) - (instance state_srsts_i_o2_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D (!C (!B+A)))")) + (instance state_srsts_i_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A)+C !B)+D !B)")) + ) + (instance state_srsts_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D (!C B+C (B+A)))")) + ) + (instance reset_timecounter_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C (B !A)))")) ) (instance timecounter_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -84903,10 +85128,10 @@ )) (net state_2 (joined (portRef Q (instanceRef state_2)) + (portRef C (instanceRef state_RNO_1_12)) (portRef B (instanceRef state_nss_0_i_11)) (portRef C (instanceRef reading_temp_RNO)) (portRef B (instanceRef next_conv_temp_0_sqmuxa)) - (portRef C (instanceRef state_RNO_0_12)) (portRef A (instanceRef state_srsts_i_a6_0_1_12)) (portRef A (instanceRef reset_bitcounter_0_a6_3)) (portRef A (instanceRef conv_temp_RNO)) @@ -84920,19 +85145,19 @@ )) (net state_4 (joined (portRef Q (instanceRef state_4)) - (portRef C (instanceRef state_srsts_i_o2_1_12)) (portRef B (instanceRef statec_1_0)) (portRef C (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) (portRef B (instanceRef reset_bitcounter_0_a6_3)) (portRef B (instanceRef next_send_rom_0_sqmuxa_0_a2)) + (portRef C (instanceRef state_RNO_0_12)) (portRef C (instanceRef conv_temp_RNO)) )) (net bitcounter_6 (joined (portRef Q (instanceRef bitcounter_6)) (portRef A1 (instanceRef un1_inc_bitcounter_cry_5_0)) - (portRef D (instanceRef state_srsts_i_o2_1_12)) (portRef A (instanceRef statec_1_0)) (portRef A (instanceRef next_send_rom_0_sqmuxa_0_a2)) + (portRef D (instanceRef state_RNO_0_12)) (portRef D (instanceRef conv_temp_RNO)) )) (net un1_next_send_rom_0_sqmuxa_2_0_i (joined @@ -84948,26 +85173,28 @@ (net un1_inc_bitcounter_axb_3 (joined (portRef Q (instanceRef bitcounter_3)) (portRef A0 (instanceRef un1_inc_bitcounter_cry_3_0)) - (portRef D (instanceRef state_srsts_i_7)) - (portRef D (instanceRef recv_bit_RNO)) - (portRef C (instanceRef ram_wr_2_2)) - (portRef C (instanceRef buf_TEMP_OUT_0_sqmuxa_1_0)) + (portRef C (instanceRef reset_timecounter_0_1)) + (portRef C (instanceRef state_RNO_7)) + (portRef C (instanceRef statec_2)) + (portRef C (instanceRef ram_wr_2_0_a2)) + (portRef C (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2)) + (portRef C (instanceRef state_srsts_0_i_tz_tz_3)) + (portRef D (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_s)) (portRef D (instanceRef reset_bitcounter_0_a6_4)) - (portRef C (instanceRef next_send_rom_0_sqmuxa_1_0_a6)) - (portRef B (instanceRef reset_timecounter_0_a6_0)) - (portRef B (instanceRef state_srsts_0_a6_2_1)) - (portRef B (instanceRef state_srsts_i_a2_0)) - (portRef A (instanceRef next_skip_rom_2_0_a6)) + (portRef C (instanceRef next_send_rom_0_sqmuxa_1_0_a6_0_a2)) + (portRef B (instanceRef reset_timecounter_0_a6_0_0_a2)) + (portRef B (instanceRef state_srsts_i_i_a2_0_0)) + (portRef A (instanceRef next_skip_rom_2_0_a6_0_a2)) (portRef B (instanceRef send_rom_RNO)) )) (net un1_inc_bitcounter_axb_2 (joined (portRef Q (instanceRef bitcounter_2)) (portRef A1 (instanceRef un1_inc_bitcounter_cry_1_0)) - (portRef D (instanceRef next_send_bit_1_sqmuxa_1)) - (portRef B (instanceRef ram_wr_2_2)) - (portRef B (instanceRef buf_TEMP_OUT_0_sqmuxa_1_0)) + (portRef D (instanceRef send_bit_3_iv_i_a2)) (portRef C (instanceRef reset_bitcounter_0_a6_4)) - (portRef B (instanceRef next_send_rom_0_sqmuxa_1_0_a6)) + (portRef C (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2_3)) + (portRef C (instanceRef ram_wr_2_0_a2_3)) + (portRef B (instanceRef next_send_rom_0_sqmuxa_1_0_a6_0_a2)) (portRef C (instanceRef send_rom_RNO)) )) (net state_0 (joined @@ -84975,98 +85202,139 @@ (portRef A (instanceRef statec)) (portRef B (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) (portRef A (instanceRef reset_bitcounter_0_a6_4)) - (portRef A (instanceRef next_send_rom_0_sqmuxa_1_0_a6)) + (portRef A (instanceRef next_send_rom_0_sqmuxa_1_0_a6_0_a2)) (portRef D (instanceRef send_rom_RNO)) )) (net un1_next_send_rom_0_sqmuxa_0_i (joined (portRef Z (instanceRef send_rom_RNO)) (portRef SP (instanceRef send_rom)) )) - (net N_376 (joined - (portRef Z (instanceRef state_srsts_0_a2_0_2)) - (portRef B (instanceRef state_srsts_0_a6_1_2)) - (portRef B (instanceRef state_srsts_i_a6_12)) - (portRef A (instanceRef state_RNO_1_12)) + (net state_9 (joined + (portRef Q (instanceRef state_9)) + (portRef B (instanceRef send_bit_3_iv_i)) + (portRef C (instanceRef state_RNO_4)) + (portRef A (instanceRef statec_2)) + (portRef D (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) + (portRef B (instanceRef state_srsts_0_i_tz_tz_3)) + (portRef SP (instanceRef skip_rom)) + (portRef A (instanceRef send_bit_RNO)) + (portRef A (instanceRef state_RNO_0_7)) )) - (net timecounter_21 (joined - (portRef Q (instanceRef timecounter_21)) - (portRef C0 (instanceRef timecounter_cry_0_21)) - (portRef C (instanceRef state_srsts_i_a2_0_12)) - (portRef B (instanceRef state_RNO_1_12)) + (net N_4715 (joined + (portRef Z (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_o2)) + (portRef A (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) + (portRef A (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_s)) + (portRef B (instanceRef state_RNO_0_7)) )) - (net timecounter_20 (joined - (portRef Q (instanceRef timecounter_20)) - (portRef C1 (instanceRef timecounter_cry_0_19)) - (portRef B (instanceRef state_srsts_i_a2_0_12)) - (portRef C (instanceRef state_RNO_1_12)) + (net timecounter_13 (joined + (portRef Q (instanceRef timecounter_13)) + (portRef C0 (instanceRef timecounter_cry_0_13)) + (portRef D (instanceRef state_srsts_i_6)) + (portRef C (instanceRef state_srsts_i_o2_i_12)) + (portRef C (instanceRef state_RNO_8)) + (portRef D (instanceRef state_srsts_i_o2_i_a2_12)) + (portRef C (instanceRef un1_next_state_0_sqmuxa_0_a6)) + (portRef B (instanceRef state_srsts_i_o2_0_a2_7)) + (portRef B (instanceRef state_srsts_i_i_o2_4)) + (portRef C (instanceRef state_RNO_0_7)) )) - (net timecounter_19 (joined - (portRef Q (instanceRef timecounter_19)) - (portRef C0 (instanceRef timecounter_cry_0_19)) - (portRef A (instanceRef state_srsts_i_a2_0_12)) - (portRef D (instanceRef state_RNO_1_12)) + (net state_7 (joined + (portRef Q (instanceRef state_7)) + (portRef B (instanceRef state_srsts_i_6)) + (portRef B (instanceRef state_RNO_7)) + (portRef C (instanceRef output_tmp_RNO)) + (portRef B (instanceRef reset_bitcounter_0_a6_5)) + (portRef C (instanceRef next_output_iv)) + (portRef A (instanceRef un1_next_state_0_sqmuxa_0_a6)) + (portRef A (instanceRef state_srsts_i_o2_0_a2_7)) + (portRef D (instanceRef state_RNO_0_7)) )) - (net state_srsts_i_a6_0_2_12 (joined - (portRef Z (instanceRef state_RNO_1_12)) - (portRef D (instanceRef state_RNO_12)) + (net N_4749 (joined + (portRef Z (instanceRef state_RNO_0_7)) + (portRef A (instanceRef state_RNO_7)) + )) + (net state_3 (joined + (portRef Q (instanceRef state_3)) + (portRef D (instanceRef reset_timecounter_0_1)) + (portRef B (instanceRef send_bit_3_iv_i_1)) + (portRef A (instanceRef reset_timecounter_0_a6_0_0_a2)) + (portRef B (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_o2)) + (portRef B (instanceRef recv_bit_RNO)) + (portRef B (instanceRef send_bit_RNO)) + )) + (net state_1 (joined + (portRef Q (instanceRef state_1)) + (portRef C (instanceRef state_RNO_0)) + (portRef A (instanceRef send_bit_3_iv_i_a2)) + (portRef A (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_o2)) + (portRef C (instanceRef recv_bit_RNO)) + (portRef C (instanceRef send_bit_RNO)) + )) + (net N_370_i (joined + (portRef Z (instanceRef send_bit_RNO)) + (portRef SP (instanceRef send_bit)) + )) + (net reading_temp_Q (joined + (portRef Q (instanceRef reading_temp)) + (portRef D (instanceRef state_srsts_i_1_6)) + (portRef C (instanceRef recv_bit_ready_RNIQJLR)) + (portRef D (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef statec_2_RNO)) )) (net skip_rom_Q (joined (portRef Q (instanceRef skip_rom)) - (portRef C (instanceRef state_srsts_i_1_9)) - (portRef D (instanceRef state_srsts_0_tz_tz_3)) - (portRef A (instanceRef skip_rom_RNIAJDE)) + (portRef C (instanceRef state_srsts_i_1_6)) + (portRef D (instanceRef state_srsts_0_i_tz_tz_3)) + (portRef B (instanceRef state_srsts_i_i_a2_1_0_9)) + (portRef B (instanceRef statec_2_RNO)) )) - (net conv_temp_Q (joined - (portRef Q (instanceRef conv_temp)) - (portRef C (instanceRef state_nss_0_i_10)) - (portRef D (instanceRef state_srsts_0_tz_0_2)) - (portRef B (instanceRef state_srsts_0_o2_1)) - (portRef B (instanceRef skip_rom_RNIAJDE)) + (net timecounter_7 (joined + (portRef Q (instanceRef timecounter_7)) + (portRef C0 (instanceRef timecounter_cry_0_7)) + (portRef B (instanceRef state_srsts_i_1_6)) + (portRef D (instanceRef output_tmp_RNO)) + (portRef D (instanceRef output_tmp_3_0_i)) + (portRef B (instanceRef state_RNI3V49_6)) + (portRef B (instanceRef state_srsts_i_o2_i_a2_1_12)) + (portRef C (instanceRef statec_2_RNO)) )) - (net send_rom_Q (joined - (portRef Q (instanceRef send_rom)) - (portRef B (instanceRef state_srsts_i_1_9)) - (portRef B (instanceRef state_nss_0_i_10)) - (portRef D (instanceRef send_bit_3_iv_1)) - (portRef C (instanceRef statec_0)) - (portRef C (instanceRef state_srsts_0_tz_0_2)) - (portRef D (instanceRef ram_wr_2_2)) - (portRef B (instanceRef un8_recv_bit_ready)) - (portRef A (instanceRef state_srsts_0_o2_1)) - (portRef B (instanceRef next_skip_rom_2_0_a6)) - (portRef C (instanceRef skip_rom_RNIAJDE)) + (net state_6 (joined + (portRef Q (instanceRef state_6)) + (portRef A (instanceRef state_srsts_i_6)) + (portRef A (instanceRef state_srsts_i_i_0_9)) + (portRef C (instanceRef reset_bitcounter_0_a6_3)) + (portRef A (instanceRef state_RNI3V49_6)) + (portRef D (instanceRef statec_2_RNO)) )) - (net N_306_0 (joined - (portRef Z (instanceRef skip_rom_RNIAJDE)) - (portRef A (instanceRef state_srsts_i_a6_0_6)) - (portRef A (instanceRef state_srsts_0_a6_0_1_1)) + (net state_srsts_0_i_a2_0_1_1 (joined + (portRef Z (instanceRef statec_2_RNO)) + (portRef B (instanceRef statec_2)) )) - (net state_9 (joined - (portRef Q (instanceRef state_9)) - (portRef B (instanceRef send_bit_3_iv_1)) - (portRef B (instanceRef statec_0)) - (portRef C (instanceRef un1_state_i_a2)) - (portRef A (instanceRef state_srsts_0_a6_2_1)) - (portRef SP (instanceRef skip_rom)) - (portRef A (instanceRef send_bit_RNO)) + (net timecounter_16 (joined + (portRef Q (instanceRef timecounter_16)) + (portRef C1 (instanceRef timecounter_cry_0_15)) + (portRef D (instanceRef reset_timecounter_0)) + (portRef C (instanceRef state_RNO_10)) + (portRef C (instanceRef state_srsts_i_i_0_9)) + (portRef C (instanceRef state_srsts_0_a2_0_3_2)) + (portRef D (instanceRef state_srsts_i_a6_0_1_12)) + (portRef SP (instanceRef state_11)) + (portRef A (instanceRef state_RNO_0_12)) )) - (net state_3 (joined - (portRef Q (instanceRef state_3)) - (portRef C (instanceRef recv_bit_RNO)) - (portRef A (instanceRef send_bit_3_iv_1_RNO)) - (portRef B (instanceRef un1_state_i_a2)) - (portRef C (instanceRef recv_bit_3)) - (portRef A (instanceRef reset_timecounter_0_a6_0)) - (portRef B (instanceRef send_bit_RNO)) + (net state_12 (joined + (portRef Q (instanceRef state_12)) + (portRef B (instanceRef state_srsts_i_a6_0_1_12)) + (portRef C (instanceRef reset_timecounter_0_o2)) + (portRef D (instanceRef state_11)) + (portRef B (instanceRef state_RNO_0_12)) )) - (net state_1 (joined - (portRef Q (instanceRef state_1)) - (portRef A (instanceRef next_send_bit_1_sqmuxa_1)) - (portRef C (instanceRef statec_1)) - (portRef B (instanceRef recv_bit_RNO)) - (portRef A (instanceRef un1_state_i_a2)) - (portRef B (instanceRef recv_bit_3)) - (portRef C (instanceRef send_bit_RNO)) + (net N_379_0 (joined + (portRef Z (instanceRef state_RNO_0_12)) + (portRef B (instanceRef state_RNO_12)) + )) + (net TEMPSENS_in (joined + (portRef TEMPSENS_in) + (portRef A (instanceRef recv_bit_RNO)) )) (net GND (joined (portRef GND) @@ -85122,14 +85390,12 @@ (portRef C0 (instanceRef timecounter_cry_0_0)) (portRef A0 (instanceRef timecounter_cry_0_0)) )) - (net N_370_i (joined - (portRef Z (instanceRef send_bit_RNO)) - (portRef SP (instanceRef send_bit)) + (net recv_bit_3 (joined + (portRef Z (instanceRef recv_bit_RNO)) + (portRef D (instanceRef recv_bit)) )) - (net un8_recv_bit_ready (joined - (portRef Z (instanceRef un8_recv_bit_ready)) - (portRef C (instanceRef buf_TEMP_OUT_0_sqmuxa)) - (portRef C (instanceRef ram_wr_2)) + (net N_4701_i (joined + (portRef Z (instanceRef recv_bit_ready_RNIQJLR)) (portRef SP (instanceRef ram_addr_1)) (portRef SP (instanceRef ram_addr_0)) (portRef SP (instanceRef word_15)) @@ -85270,10 +85536,6 @@ (portRef CD (instanceRef skip_rom)) (portRef CD (instanceRef state_12)) (portRef CD (instanceRef state_11)) - (portRef CD (instanceRef state_10)) - (portRef CD (instanceRef state_9)) - (portRef CD (instanceRef state_8)) - (portRef CD (instanceRef state_7)) (portRef CD (instanceRef state_6)) (portRef CD (instanceRef word_15)) (portRef CD (instanceRef word_14)) @@ -85479,24 +85741,12 @@ (net timecounter_6 (joined (portRef Q (instanceRef timecounter_6)) (portRef C1 (instanceRef timecounter_cry_0_5)) - (portRef A (instanceRef is_time_reached_un11_periodlto9)) + (portRef A (instanceRef state_srsts_i_o2_i_a2_1_12)) )) (net timecounter_s_7 (joined (portRef S0 (instanceRef timecounter_cry_0_7)) (portRef D (instanceRef timecounter_7)) )) - (net timecounter_7 (joined - (portRef Q (instanceRef timecounter_7)) - (portRef C0 (instanceRef timecounter_cry_0_7)) - (portRef A (instanceRef state_srsts_i_1_9)) - (portRef C (instanceRef state_srsts_i_a6_0_6)) - (portRef D (instanceRef output_tmp_RNO)) - (portRef D (instanceRef output_tmp_3_0_i)) - (portRef D (instanceRef reset_timecounter_0_1)) - (portRef C (instanceRef state_srsts_0_a6_0_1_1)) - (portRef C (instanceRef state_srsts_0_tz_tz_3)) - (portRef B (instanceRef is_time_reached_un11_periodlto9)) - )) (net timecounter_s_8 (joined (portRef S1 (instanceRef timecounter_cry_0_7)) (portRef D (instanceRef timecounter_8)) @@ -85504,7 +85754,7 @@ (net timecounter_8 (joined (portRef Q (instanceRef timecounter_8)) (portRef C1 (instanceRef timecounter_cry_0_7)) - (portRef C (instanceRef is_time_reached_un11_periodlto9)) + (portRef B (instanceRef state_srsts_i_o2_i_a2_12)) )) (net timecounter_s_9 (joined (portRef S0 (instanceRef timecounter_cry_0_9)) @@ -85513,7 +85763,7 @@ (net timecounter_9 (joined (portRef Q (instanceRef timecounter_9)) (portRef C0 (instanceRef timecounter_cry_0_9)) - (portRef D (instanceRef is_time_reached_un11_periodlto9)) + (portRef C (instanceRef state_srsts_i_o2_i_a2_12)) )) (net timecounter_s_10 (joined (portRef S1 (instanceRef timecounter_cry_0_9)) @@ -85523,9 +85773,10 @@ (portRef Q (instanceRef timecounter_10)) (portRef C1 (instanceRef timecounter_cry_0_9)) (portRef D (instanceRef statec_1_0)) - (portRef C (instanceRef state_srsts_i_8)) - (portRef A (instanceRef state_srsts_i_o2_3_1_12)) - (portRef B (instanceRef next_recv_bit_0_sqmuxa_0_o2)) + (portRef C (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_s)) + (portRef A (instanceRef state_srsts_i_o2_i_o2_12)) + (portRef B (instanceRef recv_bit_ready_RNO)) + (portRef C (instanceRef state_srsts_i_i_a2_8)) )) (net timecounter_s_11 (joined (portRef S0 (instanceRef timecounter_cry_0_11)) @@ -85534,7 +85785,7 @@ (net timecounter_11 (joined (portRef Q (instanceRef timecounter_11)) (portRef C0 (instanceRef timecounter_cry_0_11)) - (portRef B (instanceRef state_srsts_i_o2_3_1_12)) + (portRef B (instanceRef state_srsts_i_o2_i_o2_12)) )) (net timecounter_s_12 (joined (portRef S1 (instanceRef timecounter_cry_0_11)) @@ -85543,23 +85794,12 @@ (net timecounter_12 (joined (portRef Q (instanceRef timecounter_12)) (portRef C1 (instanceRef timecounter_cry_0_11)) - (portRef C (instanceRef state_srsts_i_o2_3_1_12)) + (portRef C (instanceRef state_srsts_i_o2_i_o2_12)) )) (net timecounter_s_13 (joined (portRef S0 (instanceRef timecounter_cry_0_13)) (portRef D (instanceRef timecounter_13)) )) - (net timecounter_13 (joined - (portRef Q (instanceRef timecounter_13)) - (portRef C0 (instanceRef timecounter_cry_0_13)) - (portRef C (instanceRef state_srsts_i_o2_12)) - (portRef D (instanceRef state_srsts_i_6)) - (portRef C (instanceRef state_srsts_i_7)) - (portRef D (instanceRef state_srsts_i_8)) - (portRef C (instanceRef un1_next_state_0_sqmuxa_0_a6)) - (portRef B (instanceRef state_srsts_i_o2_7)) - (portRef B (instanceRef state_srsts_i_o2_4)) - )) (net timecounter_s_14 (joined (portRef S1 (instanceRef timecounter_cry_0_13)) (portRef D (instanceRef timecounter_14)) @@ -85567,7 +85807,7 @@ (net timecounter_14 (joined (portRef Q (instanceRef timecounter_14)) (portRef C1 (instanceRef timecounter_cry_0_13)) - (portRef D (instanceRef state_srsts_i_o2_12)) + (portRef D (instanceRef state_srsts_i_o2_i_12)) )) (net timecounter_s_15 (joined (portRef S0 (instanceRef timecounter_cry_0_15)) @@ -85583,17 +85823,6 @@ (portRef S1 (instanceRef timecounter_cry_0_15)) (portRef D (instanceRef timecounter_16)) )) - (net timecounter_16 (joined - (portRef Q (instanceRef timecounter_16)) - (portRef C1 (instanceRef timecounter_cry_0_15)) - (portRef A (instanceRef state_srsts_i_o2_1_12)) - (portRef D (instanceRef state_srsts_i_9)) - (portRef D (instanceRef reset_timecounter_0)) - (portRef C (instanceRef state_srsts_0_a2_0_3_2)) - (portRef D (instanceRef state_srsts_i_a6_0_1_12)) - (portRef SP (instanceRef state_11)) - (portRef SP (instanceRef state_10)) - )) (net timecounter_s_17 (joined (portRef S0 (instanceRef timecounter_cry_0_17)) (portRef D (instanceRef timecounter_17)) @@ -85616,14 +85845,29 @@ (portRef S0 (instanceRef timecounter_cry_0_19)) (portRef D (instanceRef timecounter_19)) )) + (net timecounter_19 (joined + (portRef Q (instanceRef timecounter_19)) + (portRef C0 (instanceRef timecounter_cry_0_19)) + (portRef A (instanceRef state_srsts_i_a2_0_12)) + )) (net timecounter_s_20 (joined (portRef S1 (instanceRef timecounter_cry_0_19)) (portRef D (instanceRef timecounter_20)) )) + (net timecounter_20 (joined + (portRef Q (instanceRef timecounter_20)) + (portRef C1 (instanceRef timecounter_cry_0_19)) + (portRef B (instanceRef state_srsts_i_a2_0_12)) + )) (net timecounter_s_21 (joined (portRef S0 (instanceRef timecounter_cry_0_21)) (portRef D (instanceRef timecounter_21)) )) + (net timecounter_21 (joined + (portRef Q (instanceRef timecounter_21)) + (portRef C0 (instanceRef timecounter_cry_0_21)) + (portRef C (instanceRef state_srsts_i_a2_0_12)) + )) (net timecounter_s_22 (joined (portRef S1 (instanceRef timecounter_cry_0_21)) (portRef D (instanceRef timecounter_22)) @@ -85678,37 +85922,55 @@ (portRef C0 (instanceRef timecounter_s_0_27)) (portRef D (instanceRef state_srsts_0_a2_0_2)) )) - (net statec_1 (joined - (portRef Z (instanceRef statec_1)) + (net statec_3_i (joined + (portRef Z (instanceRef state_RNO_0)) (portRef D (instanceRef state_0)) )) - (net N_369 (joined - (portRef Z (instanceRef state_srsts_i_a2_0)) - (portRef CD (instanceRef state_4)) + (net N_4757 (joined + (portRef Z (instanceRef state_srsts_i_i_a2_0_0)) + (portRef B (instanceRef state_RNO_4)) (portRef CD (instanceRef state_0)) )) - (net state_nss_0_i_12 (joined - (portRef Z (instanceRef state_nss_0_i_12)) + (net statec_2 (joined + (portRef Z (instanceRef statec_2)) (portRef D (instanceRef state_1)) )) + (net N_4716 (joined + (portRef Z (instanceRef state_srsts_0_i_o2_1)) + (portRef A (instanceRef state_srsts_i_1_6)) + (portRef B (instanceRef state_RNO_0)) + (portRef CD (instanceRef state_1)) + )) (net state_nss_0_i_11 (joined (portRef Z (instanceRef state_nss_0_i_11)) (portRef D (instanceRef state_2)) )) - (net state_nss_0_i_10 (joined - (portRef Z (instanceRef state_nss_0_i_10)) + (net statec_1 (joined + (portRef Z (instanceRef statec_1)) (portRef D (instanceRef state_3)) )) - (net statec_0 (joined - (portRef Z (instanceRef statec_0)) + (net send_rom_Q (joined + (portRef Q (instanceRef send_rom)) + (portRef D (instanceRef send_bit_3_iv_i)) + (portRef D (instanceRef state_srsts_i_i_0_9)) + (portRef C (instanceRef state_srsts_0_tz_0_2)) + (portRef B (instanceRef recv_bit_ready_RNIQJLR)) + (portRef D (instanceRef ram_wr_2_0_a2_3)) + (portRef A (instanceRef state_srsts_0_i_o2_1)) + (portRef B (instanceRef next_skip_rom_2_0_a6_0_a2)) (portRef D (instanceRef state_4)) + (portRef CD (instanceRef state_3)) + )) + (net statec_0_i_i (joined + (portRef Z (instanceRef state_RNO_4)) + (portRef CD (instanceRef state_4)) )) (net statec (joined (portRef Z (instanceRef statec)) (portRef D (instanceRef state_5)) )) (net next_send_rom_0_sqmuxa_1 (joined - (portRef Z (instanceRef next_send_rom_0_sqmuxa_1_0_a6)) + (portRef Z (instanceRef next_send_rom_0_sqmuxa_1_0_a6_0_a2)) (portRef B (instanceRef reading_temp_RNO)) (portRef D (instanceRef send_rom)) (portRef D (instanceRef state_13)) @@ -85717,74 +85979,55 @@ (net state_5 (joined (portRef Q (instanceRef state_5)) (portRef C (instanceRef statec_1_0)) + (portRef B (instanceRef state_RNO_8)) (portRef B (instanceRef output_tmp_RNO)) (portRef C (instanceRef output_tmp_3_0_i)) - (portRef A (instanceRef state_srsts_i_8)) (portRef B (instanceRef next_output_iv)) + (portRef B (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_s)) (portRef B (instanceRef reset_bitcounter_0_a6_4)) - (portRef A (instanceRef next_recv_bit_0_sqmuxa_0_o2)) + (portRef A (instanceRef recv_bit_ready_RNO)) + (portRef A (instanceRef state_srsts_i_i_a2_8)) )) (net N_267_0 (joined (portRef Z (instanceRef state_srsts_i_6)) (portRef D (instanceRef state_6)) )) - (net state_6 (joined - (portRef Q (instanceRef state_6)) - (portRef A (instanceRef state_srsts_i_9)) - (portRef B (instanceRef state_srsts_i_6)) - (portRef B (instanceRef reset_timecounter_0_1)) - (portRef B (instanceRef state_srsts_0_a6_0_1_1)) - (portRef B (instanceRef state_srsts_0_tz_tz_3)) - (portRef C (instanceRef reset_bitcounter_0_a6_3)) - )) - (net N_269_0 (joined - (portRef Z (instanceRef state_srsts_i_7)) + (net N_4710_i (joined + (portRef Z (instanceRef state_RNO_7)) (portRef D (instanceRef state_7)) )) - (net state_7 (joined - (portRef Q (instanceRef state_7)) - (portRef C (instanceRef state_srsts_i_6)) - (portRef B (instanceRef state_srsts_i_a6_0_6)) - (portRef B (instanceRef state_srsts_i_7)) - (portRef C (instanceRef output_tmp_RNO)) - (portRef B (instanceRef reset_bitcounter_0_a6_5)) - (portRef C (instanceRef next_output_iv)) - (portRef A (instanceRef un1_next_state_0_sqmuxa_0_a6)) - (portRef A (instanceRef state_srsts_i_o2_7)) - )) - (net N_271_0 (joined - (portRef Z (instanceRef state_srsts_i_8)) + (net N_4711_i (joined + (portRef Z (instanceRef state_RNO_8)) (portRef D (instanceRef state_8)) )) (net state_8 (joined (portRef Q (instanceRef state_8)) (portRef C (instanceRef reset_bitcounter_0_a6_5)) - (portRef B (instanceRef state_srsts_i_8)) (portRef B (instanceRef un1_next_state_0_sqmuxa_0_a6)) - (portRef A (instanceRef state_srsts_i_o2_4)) - (portRef A (instanceRef state_srsts_i_a2_0)) + (portRef B (instanceRef state_srsts_i_i_a2_8)) + (portRef A (instanceRef state_srsts_i_i_o2_4)) + (portRef A (instanceRef state_srsts_i_i_a2_0_0)) )) - (net N_273_0 (joined - (portRef Z (instanceRef state_srsts_i_9)) + (net N_4712_i (joined + (portRef Z (instanceRef state_RNO_9)) (portRef D (instanceRef state_9)) )) - (net state_11 (joined - (portRef Q (instanceRef state_11)) - (portRef D (instanceRef next_output_iv)) - (portRef B (instanceRef reset_timecounter_0_o2)) + (net N_4706_i (joined + (portRef Z (instanceRef state_RNO_10)) (portRef D (instanceRef state_10)) )) (net state_10 (joined (portRef Q (instanceRef state_10)) - (portRef B (instanceRef state_srsts_i_9)) + (portRef B (instanceRef state_RNO_9)) + (portRef A (instanceRef state_RNO_10)) (portRef A (instanceRef reset_timecounter_0_o2)) + (portRef A (instanceRef state_srsts_i_i_a2_1_0_9)) )) - (net state_12 (joined - (portRef Q (instanceRef state_12)) - (portRef B (instanceRef state_srsts_i_o2_1_12)) - (portRef B (instanceRef state_srsts_i_a6_0_1_12)) - (portRef C (instanceRef reset_timecounter_0_o2)) - (portRef D (instanceRef state_11)) + (net state_11 (joined + (portRef Q (instanceRef state_11)) + (portRef B (instanceRef state_RNO_10)) + (portRef D (instanceRef next_output_iv)) + (portRef B (instanceRef reset_timecounter_0_o2)) )) (net state_RNO_12 (joined (portRef Z (instanceRef state_RNO_12)) @@ -85796,38 +86039,34 @@ )) (net state_13 (joined (portRef Q (instanceRef state_13)) - (portRef D (instanceRef state_RNO_0_12)) - (portRef D (instanceRef state_srsts_i_a6_12)) - (portRef C (instanceRef reset_timecounter_0_1)) + (portRef A (instanceRef reset_timecounter_0_1)) + (portRef C (instanceRef state_RNO_12)) (portRef D (instanceRef reset_bitcounter_0_a6_3)) )) (net next_skip_rom_2 (joined - (portRef Z (instanceRef next_skip_rom_2_0_a6)) + (portRef Z (instanceRef next_skip_rom_2_0_a6_0_a2)) (portRef D (instanceRef skip_rom)) )) - (net send_bit_3_0_i (joined - (portRef Z (instanceRef send_bit_3_0_i)) + (net send_bit_3_iv_i (joined + (portRef Z (instanceRef send_bit_3_iv_i)) (portRef D (instanceRef send_bit)) )) (net send_bit (joined (portRef Q (instanceRef send_bit)) (portRef B (instanceRef output_tmp_3_0_i)) )) - (net N_303_0 (joined - (portRef Z (instanceRef next_recv_bit_0_sqmuxa_0_o2)) - (portRef A (instanceRef recv_bit_RNO)) + (net N_4717_i (joined + (portRef Z (instanceRef recv_bit_ready_RNO)) (portRef D (instanceRef recv_bit_ready)) )) (net recv_bit_ready (joined (portRef Q (instanceRef recv_bit_ready)) - (portRef A (instanceRef un8_recv_bit_ready)) - )) - (net recv_bit_3 (joined - (portRef Z (instanceRef recv_bit_3)) - (portRef D (instanceRef recv_bit)) + (portRef A (instanceRef recv_bit_ready_RNIQJLR)) + (portRef A (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef ram_wr_2_0_a2_3)) )) - (net un1_next_recv_bit_0_sqmuxa_0_i (joined - (portRef Z (instanceRef recv_bit_RNO)) + (net un1_next_recv_bit_0_sqmuxa_0_i_s (joined + (portRef Z (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_s)) (portRef SP (instanceRef recv_bit)) )) (net next_conv_temp_0_sqmuxa (joined @@ -85838,15 +86077,8 @@ (portRef Z (instanceRef reading_temp_RNO)) (portRef SP (instanceRef reading_temp)) )) - (net reading_temp_Q (joined - (portRef Q (instanceRef reading_temp)) - (portRef D (instanceRef state_srsts_i_a6_0_6)) - (portRef D (instanceRef state_srsts_0_a6_0_1_1)) - (portRef D (instanceRef buf_TEMP_OUT_0_sqmuxa_1_0)) - (portRef C (instanceRef un8_recv_bit_ready)) - )) (net ram_wr_2 (joined - (portRef Z (instanceRef ram_wr_2)) + (portRef Z (instanceRef ram_wr_2_0_a2)) (portRef D (instanceRef ram_wr)) )) (net reset_i_fast_1_i (joined @@ -85857,7 +86089,7 @@ )) (net ONEWIRE_WRITE (joined (portRef Q (instanceRef ram_wr)) - (portRef A (instanceRef ram_wr_RNI4K55)) + (portRef A (instanceRef ram_wr_RNIOK0H)) )) (net ONEWIRE_ADDR_0 (joined (portRef Q (instanceRef ram_addr_0)) @@ -85891,8 +86123,14 @@ (portRef Q (instanceRef output)) (portRef (member un1_the_endpoint_1_0 0)) )) + (net conv_temp_Q (joined + (portRef Q (instanceRef conv_temp)) + (portRef B (instanceRef statec_1)) + (portRef D (instanceRef state_srsts_0_tz_0_2)) + (portRef B (instanceRef state_srsts_0_i_o2_1)) + )) (net buf_TEMP_OUT_0_sqmuxa (joined - (portRef Z (instanceRef buf_TEMP_OUT_0_sqmuxa)) + (portRef Z (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2)) (portRef SP (instanceRef buf_TEMP_OUT_11)) (portRef SP (instanceRef buf_TEMP_OUT_10)) (portRef SP (instanceRef buf_TEMP_OUT_9)) @@ -85971,10 +86209,10 @@ (net un1_inc_bitcounter_axb_0 (joined (portRef Q (instanceRef bitcounter_0)) (portRef A1 (instanceRef un1_inc_bitcounter_cry_0_0)) - (portRef B (instanceRef buf_TEMP_OUT_0_sqmuxa)) - (portRef B (instanceRef ram_wr_2)) - (portRef B (instanceRef next_send_bit_1_sqmuxa_1)) - (portRef B (instanceRef send_bit_3_iv_1_RNO)) + (portRef C (instanceRef send_bit_3_iv_i_1)) + (portRef B (instanceRef send_bit_3_iv_i_a2)) + (portRef B (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2_3)) + (portRef B (instanceRef ram_wr_2_0_a2_3)) )) (net un1_inc_bitcounter_cry_1_0_S0 (joined (portRef S0 (instanceRef un1_inc_bitcounter_cry_1_0)) @@ -85983,11 +86221,11 @@ (net un1_inc_bitcounter_axb_1 (joined (portRef Q (instanceRef bitcounter_1)) (portRef A0 (instanceRef un1_inc_bitcounter_cry_1_0)) - (portRef C (instanceRef send_bit_3_iv_1)) - (portRef C (instanceRef next_send_bit_1_sqmuxa_1)) - (portRef A (instanceRef ram_wr_2_2)) - (portRef A (instanceRef buf_TEMP_OUT_0_sqmuxa_1_0)) - (portRef C (instanceRef send_bit_3_iv_1_RNO)) + (portRef C (instanceRef send_bit_3_iv_i)) + (portRef D (instanceRef send_bit_3_iv_i_1)) + (portRef B (instanceRef ram_wr_2_0_a2)) + (portRef B (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2)) + (portRef C (instanceRef send_bit_3_iv_i_a2)) )) (net un1_inc_bitcounter_cry_1_0_S1 (joined (portRef S1 (instanceRef un1_inc_bitcounter_cry_1_0)) @@ -86018,70 +86256,79 @@ (portRef Z (instanceRef state_srsts_i_o2_5_12)) (portRef A (instanceRef state_srsts_i_o2_0_12)) )) - (net N_295_0 (joined - (portRef Z (instanceRef state_srsts_0_o2_1)) - (portRef A (instanceRef state_nss_0_i_12)) - (portRef A (instanceRef statec_1)) - )) - (net N_337_2 (joined - (portRef Z (instanceRef state_srsts_0_a6_2_1)) - (portRef B (instanceRef state_nss_0_i_12)) - (portRef A (instanceRef state_srsts_0_tz_tz_3)) + (net N_4714 (joined + (portRef Z (instanceRef state_srsts_i_i_o2_4)) + (portRef A (instanceRef state_RNO_4)) + (portRef A (instanceRef state_RNO_0)) + (portRef A (instanceRef state_srsts_0_tz_0_2)) )) (net N_357 (joined - (portRef Z (instanceRef reset_timecounter_0_a6_0)) + (portRef Z (instanceRef reset_timecounter_0_a6_0_0_a2)) (portRef B (instanceRef state_srsts_0_tz_0_2)) - (portRef A (instanceRef reset_timecounter_0_1)) - )) - (net N_297_0 (joined - (portRef Z (instanceRef state_srsts_i_o2_4)) - (portRef A (instanceRef statec_0)) - (portRef B (instanceRef statec_1)) - (portRef A (instanceRef state_srsts_0_tz_0_2)) )) (net N_302_0 (joined - (portRef Z (instanceRef state_srsts_i_o2_7)) + (portRef Z (instanceRef state_srsts_i_o2_0_a2_7)) (portRef A (instanceRef output_tmp_3_0_i)) )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef B (instanceRef ram_wr_RNI4K55)) + (net N_4760 (joined + (portRef Z (instanceRef state_srsts_i_o2_i_a2_1_12)) + (portRef A (instanceRef state_srsts_i_o2_i_a2_12)) + )) + (net N_298_0_i_0 (joined + (portRef Z (instanceRef state_RNI3V49_6)) + (portRef B (instanceRef reset_timecounter_0_1)) + (portRef A (instanceRef state_RNO_9)) + (portRef A (instanceRef state_srsts_0_i_tz_tz_3)) + )) + (net state_srsts_i_i_a2_1_0_9 (joined + (portRef Z (instanceRef state_srsts_i_i_a2_1_0_9)) + (portRef B (instanceRef state_srsts_i_i_0_9)) + )) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef B (instanceRef ram_wr_RNIOK0H)) )) (net buf_IDRAM_WR_IN_0_i (joined - (portRef Z (instanceRef ram_wr_RNI4K55)) + (portRef Z (instanceRef ram_wr_RNIOK0H)) (portRef buf_IDRAM_WR_IN_0_i) )) - (net TEMPSENS_in (joined - (portRef TEMPSENS_in) - (portRef A (instanceRef recv_bit_3)) + (net N_4750 (joined + (portRef Z (instanceRef state_srsts_i_i_a2_8)) + (portRef A (instanceRef state_RNO_8)) )) (net N_330 (joined (portRef Z (instanceRef un1_next_state_0_sqmuxa_0_a6)) (portRef B (instanceRef reset_timecounter_0)) (portRef A (instanceRef output_tmp_RNO)) )) - (net un1_state_i_a2 (joined - (portRef Z (instanceRef un1_state_i_a2)) - (portRef A (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) - (portRef A (instanceRef state_srsts_i_7)) - )) (net N_377 (joined (portRef Z (instanceRef state_srsts_i_a2_0_12)) + (portRef C (instanceRef state_srsts_i_a6_0_12)) (portRef B (instanceRef state_srsts_i_o2_0_12)) (portRef A (instanceRef state_srsts_0_a2_0_3_2)) )) - (net next_send_bit_5_m (joined - (portRef Z (instanceRef send_bit_3_iv_1_RNO)) - (portRef A (instanceRef send_bit_3_iv_1)) + (net N_376 (joined + (portRef Z (instanceRef state_srsts_0_a2_0_2)) + (portRef B (instanceRef state_RNO_1_12)) + (portRef C (instanceRef state_srsts_0_a6_1_2)) + (portRef B (instanceRef state_srsts_i_a6_0_12)) )) (net N_305_0 (joined (portRef Z (instanceRef reset_timecounter_0_o2)) (portRef A (instanceRef reset_bitcounter_0_a6_4_RNICA7R)) (portRef A (instanceRef reset_timecounter_0)) )) - (net state_srsts_i_o2_3_1_12 (joined - (portRef Z (instanceRef state_srsts_i_o2_3_1_12)) - (portRef B (instanceRef state_srsts_i_o2_12)) + (net N_4725 (joined + (portRef Z (instanceRef state_srsts_i_o2_i_o2_12)) + (portRef A (instanceRef state_srsts_i_o2_i_12)) + )) + (net ram_wr_2_0_a2_3 (joined + (portRef Z (instanceRef ram_wr_2_0_a2_3)) + (portRef A (instanceRef ram_wr_2_0_a2)) + )) + (net buf_TEMP_OUT_0_sqmuxa_0_a2_3 (joined + (portRef Z (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2)) )) (net reset_bitcounter_0_a6_3 (joined (portRef Z (instanceRef reset_bitcounter_0_a6_3)) @@ -86091,38 +86338,26 @@ (portRef Z (instanceRef reset_bitcounter_0_a6_4)) (portRef C (instanceRef reset_bitcounter_0_a6_4_RNICA7R)) )) - (net buf_TEMP_OUT_0_sqmuxa_1_0 (joined - (portRef Z (instanceRef buf_TEMP_OUT_0_sqmuxa_1_0)) - (portRef A (instanceRef buf_TEMP_OUT_0_sqmuxa)) - )) - (net ram_wr_2_2 (joined - (portRef Z (instanceRef ram_wr_2_2)) - (portRef A (instanceRef ram_wr_2)) - )) (net state_srsts_i_a6_0_1_12 (joined (portRef Z (instanceRef state_srsts_i_a6_0_1_12)) - (portRef C (instanceRef state_RNO_12)) + (portRef D (instanceRef state_srsts_i_a6_0_12)) )) - (net un11_periodlt12 (joined - (portRef Z (instanceRef is_time_reached_un11_periodlto9)) - (portRef A (instanceRef state_srsts_i_o2_12)) + (net N_4742 (joined + (portRef Z (instanceRef send_bit_3_iv_i_a2)) + (portRef A (instanceRef send_bit_3_iv_i_1)) )) - (net state_nss_0_tz_tz_10 (joined - (portRef Z (instanceRef state_srsts_0_tz_tz_3)) - (portRef A (instanceRef state_nss_0_i_10)) + (net N_4705_tz_tz (joined + (portRef Z (instanceRef state_srsts_0_i_tz_tz_3)) + (portRef A (instanceRef statec_1)) )) - (net state_srsts_0_a6_0_1_1 (joined - (portRef Z (instanceRef state_srsts_0_a6_0_1_1)) - (portRef C (instanceRef state_nss_0_i_12)) + (net N_4737 (joined + (portRef Z (instanceRef state_srsts_i_o2_i_a2_12)) + (portRef B (instanceRef state_srsts_i_o2_i_12)) )) (net reset_bitcounter_0_a6_5 (joined (portRef Z (instanceRef reset_bitcounter_0_a6_5)) (portRef D (instanceRef reset_bitcounter_0_a6_4_RNICA7R)) )) - (net reset_timecounter_0_1 (joined - (portRef Z (instanceRef reset_timecounter_0_1)) - (portRef C (instanceRef reset_timecounter_0)) - )) (net state_srsts_0_a2_0_2_2 (joined (portRef Z (instanceRef state_srsts_0_a2_0_3_2)) (portRef D (instanceRef state_srsts_0_a6_1_2)) @@ -86131,6 +86366,10 @@ (portRef Z (instanceRef state_srsts_0_tz_0_2)) (portRef C (instanceRef state_nss_0_i_11)) )) + (net state_srsts_i_i_0_9 (joined + (portRef Z (instanceRef state_srsts_i_i_0_9)) + (portRef C (instanceRef state_RNO_9)) + )) (net N_325_i (joined (portRef Z (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) (portRef B0 (instanceRef un1_inc_bitcounter_cry_0_0)) @@ -86139,27 +86378,23 @@ (portRef reset_i_rep2) (portRef C (instanceRef statec)) (portRef D (instanceRef state_nss_0_i_11)) - (portRef D (instanceRef state_nss_0_i_12)) - (portRef D (instanceRef state_nss_0_i_10)) - (portRef D (instanceRef statec_0)) - (portRef D (instanceRef statec_1)) - )) - (net next_send_bit_1_sqmuxa_1 (joined - (portRef Z (instanceRef next_send_bit_1_sqmuxa_1)) - (portRef A (instanceRef send_bit_3_0_i)) - )) - (net N_343 (joined - (portRef Z (instanceRef state_srsts_i_a6_0_6)) - (portRef A (instanceRef state_srsts_i_6)) + (portRef D (instanceRef state_RNO_7)) + (portRef D (instanceRef state_RNO_9)) + (portRef C (instanceRef statec_1)) + (portRef D (instanceRef state_RNO_4)) + (portRef D (instanceRef state_RNO_0)) + (portRef D (instanceRef state_RNO_8)) + (portRef D (instanceRef statec_2)) + (portRef D (instanceRef state_RNO_10)) )) (net N_292_0 (joined (portRef Z (instanceRef state_srsts_i_o2_0_12)) - (portRef A (instanceRef state_srsts_0_a6_1_2)) - (portRef A (instanceRef state_srsts_i_a6_12)) + (portRef A (instanceRef state_RNO_1_12)) + (portRef B (instanceRef state_srsts_0_a6_1_2)) )) - (net send_bit_3_iv_1 (joined - (portRef Z (instanceRef send_bit_3_iv_1)) - (portRef B (instanceRef send_bit_3_0_i)) + (net reset_timecounter_0_1 (joined + (portRef Z (instanceRef reset_timecounter_0_1)) + (portRef C (instanceRef reset_timecounter_0)) )) (net timecounter (joined (portRef Z (instanceRef reset_timecounter_0)) @@ -86193,32 +86428,31 @@ (portRef A1 (instanceRef timecounter_cry_0_0)) (portRef B0 (instanceRef timecounter_cry_0_0)) )) - (net N_379_0 (joined - (portRef Z (instanceRef state_srsts_i_o2_1_12)) - (portRef B (instanceRef state_RNO_0_12)) - (portRef C (instanceRef state_srsts_i_a6_12)) - )) - (net N_351 (joined - (portRef Z (instanceRef state_srsts_i_a6_12)) - (portRef A (instanceRef state_RNO_0_12)) + (net N_4707 (joined + (portRef Z (instanceRef state_srsts_i_o2_i_12)) + (portRef A (instanceRef state_srsts_0_a6_1_2)) + (portRef A (instanceRef state_srsts_i_a6_0_12)) )) - (net un11_periodlt16_0 (joined - (portRef Z (instanceRef state_srsts_i_o2_12)) - (portRef C (instanceRef state_srsts_0_a6_1_2)) + (net N_352 (joined + (portRef Z (instanceRef state_srsts_i_a6_0_12)) (portRef A (instanceRef state_RNO_12)) )) - (net state_srsts_i_381_0 (joined - (portRef Z (instanceRef state_RNO_0_12)) - (portRef B (instanceRef state_RNO_12)) + (net state_srsts_i_369_1 (joined + (portRef Z (instanceRef state_RNO_1_12)) + (portRef D (instanceRef state_RNO_12)) )) - (net state_srsts_i_1_9 (joined - (portRef Z (instanceRef state_srsts_i_1_9)) - (portRef C (instanceRef state_srsts_i_9)) + (net send_bit_3_iv_i_1 (joined + (portRef Z (instanceRef send_bit_3_iv_i_1)) + (portRef A (instanceRef send_bit_3_iv_i)) )) (net statec_1_0 (joined (portRef Z (instanceRef statec_1_0)) (portRef B (instanceRef statec)) )) + (net state_srsts_i_1_6 (joined + (portRef Z (instanceRef state_srsts_i_1_6)) + (portRef C (instanceRef state_srsts_i_6)) + )) (net timecounter_cry_0 (joined (portRef COUT (instanceRef timecounter_cry_0_0)) (portRef CIN (instanceRef timecounter_cry_0_1)) @@ -86311,34 +86545,17 @@ (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction OUTPUT)) (port (array (rename buf_idram_data_in "buf_IDRAM_DATA_IN(15:0)") 16) (direction INPUT)) (port (array (rename buf_idram_addr_in "buf_IDRAM_ADDR_IN(1:0)") 2) (direction INPUT)) - (port (array (rename un14_i_a3_1 "un14_i_a3_1(1:1)") 1) (direction INPUT)) + (port (array (rename un1_the_endpoint "un1_THE_ENDPOINT(6:6)") 1) (direction OUTPUT)) + (port (array (rename un14_i_a3_0 "un14_i_a3_0(1:1)") 1) (direction INPUT)) (port (array (rename trg_type_i "trg_type_i(3:0)") 4) (direction INPUT)) (port (array (rename link_and_reset_status "link_and_reset_status(3:0)") 4) (direction INPUT)) - (port (array (rename trg_information_i "trg_information_i(15:0)") 16) (direction INPUT)) (port (array (rename trg_number_i "trg_number_i(3:0)") 4) (direction INPUT)) (port (array (rename trg_code_i "trg_code_i(7:0)") 8) (direction INPUT)) + (port (array (rename trg_information_i "trg_information_i(15:0)") 16) (direction INPUT)) (port (array (rename trg_int_number_i "trg_int_number_i(15:0)") 16) (direction INPUT)) - (port common_stat_reg_i_0 (direction INPUT)) - (port common_stat_reg_i_2 (direction INPUT)) - (port common_stat_reg_i_57 (direction INPUT)) - (port common_stat_reg_i_56 (direction INPUT)) - (port common_stat_reg_i_52 (direction INPUT)) - (port common_stat_reg_i_60 (direction INPUT)) - (port common_stat_reg_i_48 (direction INPUT)) - (port common_stat_reg_i_47 (direction INPUT)) - (port common_stat_reg_i_49 (direction INPUT)) - (port common_stat_reg_i_50 (direction INPUT)) - (port common_stat_reg_i_51 (direction INPUT)) - (port common_stat_reg_i_61 (direction INPUT)) - (port common_stat_reg_i_55 (direction INPUT)) - (port common_stat_reg_i_53 (direction INPUT)) - (port common_stat_reg_i_54 (direction INPUT)) - (port common_stat_reg_i_59 (direction INPUT)) - (port common_stat_reg_i_62 (direction INPUT)) - (port common_stat_reg_i_58 (direction INPUT)) - (port (array (rename temperature "temperature(11:0)") 12) (direction INPUT)) (port (array (rename common_ctrl_reg_strobe "COMMON_CTRL_REG_STROBE(1:1)") 1) (direction OUTPUT)) (port (array (rename regio_data_in_i "regio_data_in_i(31:0)") 32) (direction INPUT)) + (port ctrl_reg_12 (direction OUTPUT)) (port ctrl_reg_36 (direction OUTPUT)) (port ctrl_reg_37 (direction OUTPUT)) (port ctrl_reg_38 (direction OUTPUT)) @@ -86389,68 +86606,37 @@ (port ctrl_reg_93 (direction OUTPUT)) (port ctrl_reg_94 (direction OUTPUT)) (port ctrl_reg_95 (direction OUTPUT)) - (port common_ctrl_reg_32 (direction OUTPUT)) - (port common_ctrl_reg_33 (direction OUTPUT)) - (port common_ctrl_reg_34 (direction OUTPUT)) - (port common_ctrl_reg_35 (direction OUTPUT)) - (port common_ctrl_reg_36 (direction OUTPUT)) - (port common_ctrl_reg_37 (direction OUTPUT)) - (port common_ctrl_reg_38 (direction OUTPUT)) - (port common_ctrl_reg_39 (direction OUTPUT)) - (port common_ctrl_reg_40 (direction OUTPUT)) - (port common_ctrl_reg_41 (direction OUTPUT)) - (port common_ctrl_reg_42 (direction OUTPUT)) - (port common_ctrl_reg_43 (direction OUTPUT)) - (port common_ctrl_reg_44 (direction OUTPUT)) - (port common_ctrl_reg_45 (direction OUTPUT)) - (port common_ctrl_reg_46 (direction OUTPUT)) - (port common_ctrl_reg_47 (direction OUTPUT)) - (port common_ctrl_reg_93 (direction OUTPUT)) - (port common_ctrl_reg_95 (direction OUTPUT)) - (port common_ctrl_reg_16 (direction OUTPUT)) - (port common_ctrl_reg_15 (direction OUTPUT)) - (port common_ctrl_reg_10 (direction OUTPUT)) - (port common_ctrl_reg_5 (direction OUTPUT)) - (port common_ctrl_reg_4 (direction OUTPUT)) - (port common_ctrl_reg_2 (direction OUTPUT)) - (port common_ctrl_reg_1 (direction OUTPUT)) (port (array (rename regio_data_out_i "regio_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename buf_apl_dtype_in "buf_APL_DTYPE_IN(15:12)") 4) (direction OUTPUT)) - (port (array (rename buf_apl_data_out "buf_APL_DATA_OUT(63:48)") 16) (direction INPUT)) (port buf_APL_ERROR_PATTERN_IN_0 (direction OUTPUT)) (port buf_APL_ERROR_PATTERN_IN_14 (direction OUTPUT)) (port buf_APL_ERROR_PATTERN_IN_12 (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename timer_ticks "timer_ticks(1:0)") 2) (direction OUTPUT)) - (port stat_lvl1_handler_61 (direction INPUT)) (port stat_lvl1_handler_40 (direction INPUT)) - (port stat_lvl1_handler_63 (direction INPUT)) - (port stat_lvl1_handler_59 (direction INPUT)) - (port stat_lvl1_handler_60 (direction INPUT)) (port stat_lvl1_handler_35 (direction INPUT)) - (port stat_lvl1_handler_41 (direction INPUT)) + (port stat_lvl1_handler_63 (direction INPUT)) (port stat_lvl1_handler_62 (direction INPUT)) + (port stat_lvl1_handler_60 (direction INPUT)) + (port stat_lvl1_handler_61 (direction INPUT)) + (port stat_lvl1_handler_59 (direction INPUT)) (port stat_lvl1_handler_42 (direction INPUT)) (port stat_lvl1_handler_47 (direction INPUT)) (port stat_lvl1_handler_15 (direction INPUT)) + (port stat_lvl1_handler_32 (direction INPUT)) + (port stat_lvl1_handler_0 (direction INPUT)) (port stat_lvl1_handler_44 (direction INPUT)) (port stat_lvl1_handler_12 (direction INPUT)) - (port stat_lvl1_handler_39 (direction INPUT)) - (port stat_lvl1_handler_7 (direction INPUT)) + (port stat_lvl1_handler_46 (direction INPUT)) + (port stat_lvl1_handler_14 (direction INPUT)) (port stat_lvl1_handler_45 (direction INPUT)) (port stat_lvl1_handler_13 (direction INPUT)) - (port stat_lvl1_handler_38 (direction INPUT)) - (port stat_lvl1_handler_6 (direction INPUT)) - (port stat_lvl1_handler_43 (direction INPUT)) - (port stat_lvl1_handler_11 (direction INPUT)) (port stat_lvl1_handler_50 (direction INPUT)) (port stat_lvl1_handler_18 (direction INPUT)) (port stat_lvl1_handler_48 (direction INPUT)) (port stat_lvl1_handler_16 (direction INPUT)) (port stat_lvl1_handler_51 (direction INPUT)) (port stat_lvl1_handler_19 (direction INPUT)) - (port stat_lvl1_handler_55 (direction INPUT)) - (port stat_lvl1_handler_23 (direction INPUT)) (port stat_lvl1_handler_49 (direction INPUT)) (port stat_lvl1_handler_17 (direction INPUT)) (port stat_lvl1_handler_53 (direction INPUT)) @@ -86461,76 +86647,103 @@ (port stat_lvl1_handler_22 (direction INPUT)) (port stat_lvl1_handler_57 (direction INPUT)) (port stat_lvl1_handler_25 (direction INPUT)) - (port stat_lvl1_handler_46 (direction INPUT)) - (port stat_lvl1_handler_14 (direction INPUT)) - (port stat_lvl1_handler_32 (direction INPUT)) - (port stat_lvl1_handler_0 (direction INPUT)) + (port stat_lvl1_handler_39 (direction INPUT)) + (port stat_lvl1_handler_7 (direction INPUT)) + (port stat_lvl1_handler_43 (direction INPUT)) + (port stat_lvl1_handler_11 (direction INPUT)) + (port stat_lvl1_handler_38 (direction INPUT)) + (port stat_lvl1_handler_6 (direction INPUT)) + (port stat_lvl1_handler_36 (direction INPUT)) + (port stat_lvl1_handler_4 (direction INPUT)) (port stat_lvl1_handler_34 (direction INPUT)) (port stat_lvl1_handler_2 (direction INPUT)) (port stat_lvl1_handler_33 (direction INPUT)) (port stat_lvl1_handler_1 (direction INPUT)) (port stat_lvl1_handler_52 (direction INPUT)) (port stat_lvl1_handler_20 (direction INPUT)) + (port stat_lvl1_handler_55 (direction INPUT)) + (port stat_lvl1_handler_23 (direction INPUT)) (port stat_lvl1_handler_58 (direction INPUT)) (port stat_lvl1_handler_26 (direction INPUT)) - (port stat_lvl1_handler_36 (direction INPUT)) - (port stat_lvl1_handler_4 (direction INPUT)) + (port stat_lvl1_handler_41 (direction INPUT)) (port stat_lvl1_handler_5 (direction INPUT)) (port stat_lvl1_handler_37 (direction INPUT)) - (port (array (rename regio_addr_i_2 "regio_addr_i_2(1:1)") 1) (direction OUTPUT)) - (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(11:9)") 3) (direction INPUT)) - (port stat_reg_40 (direction INPUT)) - (port stat_reg_61 (direction INPUT)) - (port stat_reg_63 (direction INPUT)) + (port regio_addr_i_1_1 (direction OUTPUT)) + (port common_ctrl_reg_32 (direction OUTPUT)) + (port common_ctrl_reg_33 (direction OUTPUT)) + (port common_ctrl_reg_34 (direction OUTPUT)) + (port common_ctrl_reg_35 (direction OUTPUT)) + (port common_ctrl_reg_36 (direction OUTPUT)) + (port common_ctrl_reg_37 (direction OUTPUT)) + (port common_ctrl_reg_38 (direction OUTPUT)) + (port common_ctrl_reg_39 (direction OUTPUT)) + (port common_ctrl_reg_40 (direction OUTPUT)) + (port common_ctrl_reg_41 (direction OUTPUT)) + (port common_ctrl_reg_42 (direction OUTPUT)) + (port common_ctrl_reg_43 (direction OUTPUT)) + (port common_ctrl_reg_44 (direction OUTPUT)) + (port common_ctrl_reg_45 (direction OUTPUT)) + (port common_ctrl_reg_46 (direction OUTPUT)) + (port common_ctrl_reg_47 (direction OUTPUT)) + (port common_ctrl_reg_93 (direction OUTPUT)) + (port common_ctrl_reg_95 (direction OUTPUT)) + (port common_ctrl_reg_16 (direction OUTPUT)) + (port common_ctrl_reg_15 (direction OUTPUT)) + (port common_ctrl_reg_10 (direction OUTPUT)) + (port common_ctrl_reg_5 (direction OUTPUT)) + (port common_ctrl_reg_2 (direction OUTPUT)) + (port common_ctrl_reg_1 (direction OUTPUT)) + (port common_ctrl_reg_4 (direction OUTPUT)) + (port (array (rename regio_addr_i_3 "regio_addr_i_3(0:0)") 1) (direction OUTPUT)) + (port (array (rename regio_addr_i_5 "regio_addr_i_5(0:0)") 1) (direction OUTPUT)) (port stat_reg_59 (direction INPUT)) + (port stat_reg_60 (direction INPUT)) + (port stat_reg_653 (direction INPUT)) + (port stat_reg_651 (direction INPUT)) + (port stat_reg_643 (direction INPUT)) + (port stat_reg_46 (direction INPUT)) (port stat_reg_640 (direction INPUT)) - (port stat_reg_44 (direction INPUT)) (port stat_reg_642 (direction INPUT)) - (port stat_reg_661 (direction INPUT)) - (port stat_reg_656 (direction INPUT)) - (port stat_reg_46 (direction INPUT)) - (port stat_reg_650 (direction INPUT)) (port stat_reg_662 (direction INPUT)) - (port stat_reg_647 (direction INPUT)) (port stat_reg_649 (direction INPUT)) + (port stat_reg_660 (direction INPUT)) + (port stat_reg_655 (direction INPUT)) (port stat_reg_654 (direction INPUT)) - (port stat_reg_641 (direction INPUT)) - (port stat_reg_646 (direction INPUT)) - (port stat_reg_652 (direction INPUT)) (port stat_reg_648 (direction INPUT)) - (port stat_reg_645 (direction INPUT)) + (port stat_reg_44 (direction INPUT)) (port stat_reg_47 (direction INPUT)) - (port stat_reg_643 (direction INPUT)) + (port stat_reg_641 (direction INPUT)) + (port stat_reg_650 (direction INPUT)) + (port stat_reg_645 (direction INPUT)) + (port stat_reg_652 (direction INPUT)) + (port stat_reg_45 (direction INPUT)) + (port stat_reg_661 (direction INPUT)) (port stat_reg_644 (direction INPUT)) - (port stat_reg_655 (direction INPUT)) - (port stat_reg_52 (direction INPUT)) - (port stat_reg_54 (direction INPUT)) - (port stat_reg_41 (direction INPUT)) - (port stat_reg_48 (direction INPUT)) - (port stat_reg_37 (direction INPUT)) - (port stat_reg_51 (direction INPUT)) - (port stat_reg_53 (direction INPUT)) + (port stat_reg_646 (direction INPUT)) + (port stat_reg_647 (direction INPUT)) + (port stat_reg_49 (direction INPUT)) (port stat_reg_50 (direction INPUT)) - (port stat_reg_42 (direction INPUT)) - (port stat_reg_39 (direction INPUT)) + (port stat_reg_37 (direction INPUT)) (port stat_reg_55 (direction INPUT)) (port stat_reg_38 (direction INPUT)) - (port stat_reg_49 (direction INPUT)) - (port stat_reg_651 (direction INPUT)) - (port stat_reg_653 (direction INPUT)) + (port stat_reg_42 (direction INPUT)) + (port stat_reg_48 (direction INPUT)) + (port stat_reg_40 (direction INPUT)) + (port stat_reg_51 (direction INPUT)) + (port stat_reg_54 (direction INPUT)) + (port stat_reg_39 (direction INPUT)) + (port stat_reg_41 (direction INPUT)) + (port stat_reg_53 (direction INPUT)) + (port stat_reg_52 (direction INPUT)) (port stat_reg_658 (direction INPUT)) (port stat_reg_657 (direction INPUT)) - (port stat_reg_660 (direction INPUT)) + (port stat_reg_656 (direction INPUT)) (port stat_reg_659 (direction INPUT)) (port stat_reg_663 (direction INPUT)) (port stat_reg_302 (direction INPUT)) (port stat_reg_270 (direction INPUT)) (port stat_reg_366 (direction INPUT)) (port stat_reg_334 (direction INPUT)) - (port stat_reg_430 (direction INPUT)) - (port stat_reg_398 (direction INPUT)) - (port stat_reg_494 (direction INPUT)) - (port stat_reg_462 (direction INPUT)) (port stat_reg_168 (direction INPUT)) (port stat_reg_136 (direction INPUT)) (port stat_reg_232 (direction INPUT)) @@ -86539,10 +86752,12 @@ (port stat_reg_264 (direction INPUT)) (port stat_reg_360 (direction INPUT)) (port stat_reg_328 (direction INPUT)) + (port stat_reg_424 (direction INPUT)) + (port stat_reg_392 (direction INPUT)) + (port stat_reg_488 (direction INPUT)) + (port stat_reg_456 (direction INPUT)) (port stat_reg_552 (direction INPUT)) (port stat_reg_520 (direction INPUT)) - (port stat_reg_616 (direction INPUT)) - (port stat_reg_584 (direction INPUT)) (port stat_reg_172 (direction INPUT)) (port stat_reg_140 (direction INPUT)) (port stat_reg_300 (direction INPUT)) @@ -86557,8 +86772,6 @@ (port stat_reg_524 (direction INPUT)) (port stat_reg_171 (direction INPUT)) (port stat_reg_139 (direction INPUT)) - (port stat_reg_235 (direction INPUT)) - (port stat_reg_203 (direction INPUT)) (port stat_reg_299 (direction INPUT)) (port stat_reg_267 (direction INPUT)) (port stat_reg_363 (direction INPUT)) @@ -86567,8 +86780,6 @@ (port stat_reg_459 (direction INPUT)) (port stat_reg_555 (direction INPUT)) (port stat_reg_523 (direction INPUT)) - (port stat_reg_619 (direction INPUT)) - (port stat_reg_587 (direction INPUT)) (port stat_reg_427 (direction INPUT)) (port stat_reg_395 (direction INPUT)) (port stat_reg_170 (direction INPUT)) @@ -86579,6 +86790,10 @@ (port stat_reg_266 (direction INPUT)) (port stat_reg_362 (direction INPUT)) (port stat_reg_330 (direction INPUT)) + (port stat_reg_426 (direction INPUT)) + (port stat_reg_394 (direction INPUT)) + (port stat_reg_490 (direction INPUT)) + (port stat_reg_458 (direction INPUT)) (port stat_reg_554 (direction INPUT)) (port stat_reg_522 (direction INPUT)) (port stat_reg_175 (direction INPUT)) @@ -86597,10 +86812,10 @@ (port stat_reg_142 (direction INPUT)) (port stat_reg_558 (direction INPUT)) (port stat_reg_526 (direction INPUT)) + (port stat_reg_622 (direction INPUT)) + (port stat_reg_590 (direction INPUT)) (port stat_reg_173 (direction INPUT)) (port stat_reg_141 (direction INPUT)) - (port stat_reg_237 (direction INPUT)) - (port stat_reg_205 (direction INPUT)) (port stat_reg_301 (direction INPUT)) (port stat_reg_269 (direction INPUT)) (port stat_reg_365 (direction INPUT)) @@ -86609,8 +86824,6 @@ (port stat_reg_461 (direction INPUT)) (port stat_reg_557 (direction INPUT)) (port stat_reg_525 (direction INPUT)) - (port stat_reg_621 (direction INPUT)) - (port stat_reg_589 (direction INPUT)) (port stat_reg_429 (direction INPUT)) (port stat_reg_397 (direction INPUT)) (port stat_reg_34 (direction INPUT)) @@ -86665,14 +86878,16 @@ (port stat_reg_133 (direction INPUT)) (port stat_reg_229 (direction INPUT)) (port stat_reg_197 (direction INPUT)) + (port stat_reg_293 (direction INPUT)) + (port stat_reg_261 (direction INPUT)) (port stat_reg_357 (direction INPUT)) (port stat_reg_325 (direction INPUT)) (port stat_reg_421 (direction INPUT)) (port stat_reg_389 (direction INPUT)) (port stat_reg_485 (direction INPUT)) (port stat_reg_453 (direction INPUT)) - (port stat_reg_36 (direction INPUT)) - (port stat_reg_4 (direction INPUT)) + (port stat_reg_549 (direction INPUT)) + (port stat_reg_517 (direction INPUT)) (port stat_reg_164 (direction INPUT)) (port stat_reg_132 (direction INPUT)) (port stat_reg_228 (direction INPUT)) @@ -86681,10 +86896,8 @@ (port stat_reg_260 (direction INPUT)) (port stat_reg_356 (direction INPUT)) (port stat_reg_324 (direction INPUT)) - (port stat_reg_484 (direction INPUT)) - (port stat_reg_452 (direction INPUT)) - (port stat_reg_420 (direction INPUT)) - (port stat_reg_388 (direction INPUT)) + (port stat_reg_548 (direction INPUT)) + (port stat_reg_516 (direction INPUT)) (port stat_reg_612 (direction INPUT)) (port stat_reg_580 (direction INPUT)) (port stat_reg_35 (direction INPUT)) @@ -86693,6 +86906,10 @@ (port stat_reg_131 (direction INPUT)) (port stat_reg_227 (direction INPUT)) (port stat_reg_195 (direction INPUT)) + (port stat_reg_291 (direction INPUT)) + (port stat_reg_259 (direction INPUT)) + (port stat_reg_355 (direction INPUT)) + (port stat_reg_323 (direction INPUT)) (port stat_reg_419 (direction INPUT)) (port stat_reg_387 (direction INPUT)) (port stat_reg_483 (direction INPUT)) @@ -86723,12 +86940,22 @@ (port stat_reg_327 (direction INPUT)) (port stat_reg_551 (direction INPUT)) (port stat_reg_519 (direction INPUT)) + (port stat_reg_615 (direction INPUT)) + (port stat_reg_583 (direction INPUT)) (port stat_reg_425 (direction INPUT)) (port stat_reg_393 (direction INPUT)) (port stat_reg_489 (direction INPUT)) (port stat_reg_457 (direction INPUT)) - (port stat_reg_56 (direction INPUT)) - (port stat_reg_58 (direction INPUT)) + (port stat_reg_169 (direction INPUT)) + (port stat_reg_137 (direction INPUT)) + (port stat_reg_233 (direction INPUT)) + (port stat_reg_201 (direction INPUT)) + (port stat_reg_297 (direction INPUT)) + (port stat_reg_265 (direction INPUT)) + (port stat_reg_361 (direction INPUT)) + (port stat_reg_329 (direction INPUT)) + (port stat_reg_553 (direction INPUT)) + (port stat_reg_521 (direction INPUT)) (port stat_reg_178 (direction INPUT)) (port stat_reg_146 (direction INPUT)) (port stat_reg_242 (direction INPUT)) @@ -86757,12 +86984,20 @@ (port stat_reg_465 (direction INPUT)) (port stat_reg_561 (direction INPUT)) (port stat_reg_529 (direction INPUT)) + (port stat_reg_176 (direction INPUT)) + (port stat_reg_144 (direction INPUT)) + (port stat_reg_240 (direction INPUT)) + (port stat_reg_208 (direction INPUT)) + (port stat_reg_432 (direction INPUT)) + (port stat_reg_400 (direction INPUT)) + (port stat_reg_496 (direction INPUT)) + (port stat_reg_464 (direction INPUT)) (port stat_reg_560 (direction INPUT)) (port stat_reg_528 (direction INPUT)) - (port stat_reg_624 (direction INPUT)) - (port stat_reg_592 (direction INPUT)) (port stat_reg_304 (direction INPUT)) (port stat_reg_272 (direction INPUT)) + (port stat_reg_368 (direction INPUT)) + (port stat_reg_336 (direction INPUT)) (port stat_reg_181 (direction INPUT)) (port stat_reg_149 (direction INPUT)) (port stat_reg_245 (direction INPUT)) @@ -86785,10 +87020,8 @@ (port stat_reg_276 (direction INPUT)) (port stat_reg_372 (direction INPUT)) (port stat_reg_340 (direction INPUT)) - (port stat_reg_500 (direction INPUT)) - (port stat_reg_468 (direction INPUT)) - (port stat_reg_436 (direction INPUT)) - (port stat_reg_404 (direction INPUT)) + (port stat_reg_564 (direction INPUT)) + (port stat_reg_532 (direction INPUT)) (port stat_reg_628 (direction INPUT)) (port stat_reg_596 (direction INPUT)) (port stat_reg_179 (direction INPUT)) @@ -86833,63 +87066,42 @@ (port stat_reg_471 (direction INPUT)) (port stat_reg_567 (direction INPUT)) (port stat_reg_535 (direction INPUT)) - (port stat_reg_57 (direction INPUT)) - (port stat_reg_617 (direction INPUT)) - (port stat_reg_585 (direction INPUT)) - (port stat_reg_553 (direction INPUT)) - (port stat_reg_521 (direction INPUT)) - (port stat_reg_355 (direction INPUT)) - (port stat_reg_323 (direction INPUT)) - (port stat_reg_291 (direction INPUT)) - (port stat_reg_259 (direction INPUT)) - (port stat_reg_368 (direction INPUT)) - (port stat_reg_336 (direction INPUT)) - (port stat_reg_240 (direction INPUT)) - (port stat_reg_208 (direction INPUT)) - (port stat_reg_176 (direction INPUT)) - (port stat_reg_144 (direction INPUT)) - (port stat_reg_361 (direction INPUT)) - (port stat_reg_329 (direction INPUT)) - (port stat_reg_297 (direction INPUT)) - (port stat_reg_265 (direction INPUT)) - (port stat_reg_233 (direction INPUT)) - (port stat_reg_201 (direction INPUT)) - (port stat_reg_169 (direction INPUT)) - (port stat_reg_137 (direction INPUT)) - (port stat_reg_549 (direction INPUT)) - (port stat_reg_517 (direction INPUT)) - (port stat_reg_293 (direction INPUT)) - (port stat_reg_261 (direction INPUT)) - (port stat_reg_43 (direction INPUT)) - (port stat_reg_45 (direction INPUT)) + (port stat_reg_619 (direction INPUT)) + (port stat_reg_587 (direction INPUT)) (port stat_reg_239 (direction INPUT)) (port stat_reg_207 (direction INPUT)) + (port stat_reg_621 (direction INPUT)) + (port stat_reg_589 (direction INPUT)) (port stat_reg_610 (direction INPUT)) (port stat_reg_578 (direction INPUT)) + (port stat_reg_237 (direction INPUT)) + (port stat_reg_205 (direction INPUT)) (port stat_reg_609 (direction INPUT)) (port stat_reg_577 (direction INPUT)) (port stat_reg_613 (direction INPUT)) (port stat_reg_581 (direction INPUT)) + (port stat_reg_36 (direction INPUT)) + (port stat_reg_4 (direction INPUT)) (port stat_reg_623 (direction INPUT)) (port stat_reg_591 (direction INPUT)) (port stat_reg_618 (direction INPUT)) (port stat_reg_586 (direction INPUT)) (port stat_reg_611 (direction INPUT)) (port stat_reg_579 (direction INPUT)) - (port stat_reg_548 (direction INPUT)) - (port stat_reg_516 (direction INPUT)) + (port stat_reg_235 (direction INPUT)) + (port stat_reg_203 (direction INPUT)) (port stat_reg_608 (direction INPUT)) (port stat_reg_576 (direction INPUT)) - (port stat_reg_615 (direction INPUT)) - (port stat_reg_583 (direction INPUT)) (port stat_reg_238 (direction INPUT)) (port stat_reg_206 (direction INPUT)) - (port stat_reg_622 (direction INPUT)) - (port stat_reg_590 (direction INPUT)) + (port stat_reg_617 (direction INPUT)) + (port stat_reg_585 (direction INPUT)) (port stat_reg_236 (direction INPUT)) (port stat_reg_204 (direction INPUT)) (port stat_reg_614 (direction INPUT)) (port stat_reg_582 (direction INPUT)) + (port stat_reg_616 (direction INPUT)) + (port stat_reg_584 (direction INPUT)) (port stat_reg_620 (direction INPUT)) (port stat_reg_588 (direction INPUT)) (port stat_reg_626 (direction INPUT)) @@ -86900,8 +87112,8 @@ (port stat_reg_597 (direction INPUT)) (port stat_reg_627 (direction INPUT)) (port stat_reg_595 (direction INPUT)) - (port stat_reg_564 (direction INPUT)) - (port stat_reg_532 (direction INPUT)) + (port stat_reg_624 (direction INPUT)) + (port stat_reg_592 (direction INPUT)) (port stat_reg_631 (direction INPUT)) (port stat_reg_599 (direction INPUT)) (port stat_reg_630 (direction INPUT)) @@ -86914,29 +87126,70 @@ (port stat_reg_423 (direction INPUT)) (port stat_reg_455 (direction INPUT)) (port stat_reg_487 (direction INPUT)) - (port stat_reg_400 (direction INPUT)) - (port stat_reg_432 (direction INPUT)) - (port stat_reg_464 (direction INPUT)) - (port stat_reg_496 (direction INPUT)) - (port stat_reg_394 (direction INPUT)) - (port stat_reg_426 (direction INPUT)) - (port stat_reg_458 (direction INPUT)) - (port stat_reg_490 (direction INPUT)) - (port stat_reg_392 (direction INPUT)) - (port stat_reg_424 (direction INPUT)) - (port stat_reg_456 (direction INPUT)) - (port stat_reg_488 (direction INPUT)) + (port stat_reg_388 (direction INPUT)) + (port stat_reg_420 (direction INPUT)) + (port stat_reg_452 (direction INPUT)) + (port stat_reg_484 (direction INPUT)) + (port stat_reg_398 (direction INPUT)) + (port stat_reg_430 (direction INPUT)) + (port stat_reg_462 (direction INPUT)) + (port stat_reg_494 (direction INPUT)) + (port stat_reg_404 (direction INPUT)) + (port stat_reg_436 (direction INPUT)) + (port stat_reg_468 (direction INPUT)) + (port stat_reg_500 (direction INPUT)) + (port stat_reg_57 (direction INPUT)) + (port stat_reg_58 (direction INPUT)) + (port stat_reg_56 (direction INPUT)) + (port stat_reg_43 (direction INPUT)) (port stat_reg_62 (direction INPUT)) - (port stat_reg_60 (direction INPUT)) + (port stat_reg_61 (direction INPUT)) + (port stat_reg_63 (direction INPUT)) + (port (array (rename buf_apl_read_in "buf_APL_READ_IN(3:3)") 1) (direction OUTPUT)) (port buf_APL_PACKET_NUM_IN_0 (direction OUTPUT)) (port buf_APL_PACKET_NUM_IN_2 (direction OUTPUT)) (port (array (rename adr_packet_num_out "ADR_PACKET_NUM_OUT(2:2)") 1) (direction OUTPUT)) - (port (array (rename un1_the_endpoint "un1_THE_ENDPOINT(6:6)") 1) (direction OUTPUT)) - (port (array (rename buf_apl_read_in "buf_APL_READ_IN(3:3)") 1) (direction OUTPUT)) - (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(63:48)") 16) (direction OUTPUT)) - (port (array (rename buf_api_stat_fifo_to_apl "buf_api_stat_fifo_to_apl(106:106)") 1) (direction INPUT)) + (port (array (rename buf_api_data_out_rnigu9q "buf_API_DATA_OUT_RNIGU9Q(9:9)") 1) (direction OUTPUT)) + (port buf_APL_DATA_IN_8 (direction OUTPUT)) + (port buf_APL_DATA_IN_2 (direction OUTPUT)) + (port buf_APL_DATA_IN_14 (direction OUTPUT)) + (port buf_APL_DATA_IN_0 (direction OUTPUT)) + (port buf_APL_DATA_IN_1 (direction OUTPUT)) + (port buf_APL_DATA_IN_10 (direction OUTPUT)) + (port buf_APL_DATA_IN_11 (direction OUTPUT)) + (port buf_APL_DATA_IN_13 (direction OUTPUT)) + (port buf_APL_DATA_IN_15 (direction OUTPUT)) + (port buf_APL_DATA_IN_3 (direction OUTPUT)) + (port buf_APL_DATA_IN_5 (direction OUTPUT)) + (port buf_APL_DATA_IN_6 (direction OUTPUT)) + (port buf_APL_DATA_IN_12 (direction OUTPUT)) + (port buf_APL_DATA_IN_7 (direction OUTPUT)) + (port buf_APL_DATA_IN_4 (direction OUTPUT)) + (port (array (rename temperature "temperature(11:0)") 12) (direction INPUT)) + (port common_stat_reg_i_52 (direction INPUT)) + (port common_stat_reg_i_56 (direction INPUT)) + (port common_stat_reg_i_60 (direction INPUT)) + (port common_stat_reg_i_47 (direction INPUT)) + (port common_stat_reg_i_50 (direction INPUT)) + (port common_stat_reg_i_49 (direction INPUT)) + (port common_stat_reg_i_48 (direction INPUT)) + (port common_stat_reg_i_2 (direction INPUT)) + (port common_stat_reg_i_0 (direction INPUT)) + (port common_stat_reg_i_51 (direction INPUT)) + (port common_stat_reg_i_62 (direction INPUT)) + (port common_stat_reg_i_61 (direction INPUT)) + (port common_stat_reg_i_54 (direction INPUT)) + (port common_stat_reg_i_53 (direction INPUT)) + (port common_stat_reg_i_55 (direction INPUT)) + (port common_stat_reg_i_59 (direction INPUT)) + (port common_stat_reg_i_58 (direction INPUT)) + (port common_stat_reg_i_57 (direction INPUT)) (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction INPUT)) + (port (array (rename buf_api_stat_fifo_to_apl "buf_api_stat_fifo_to_apl(106:106)") 1) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(110:110)") 1) (direction INPUT)) + (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(11:9)") 3) (direction INPUT)) + (port (array (rename buf_apl_data_out "buf_APL_DATA_OUT(63:48)") 16) (direction INPUT)) + (port (array (rename reg_enable_pattern "reg_enable_pattern(1:1)") 1) (direction OUTPUT)) (port regio_addr_i_15 (direction OUTPUT)) (port regio_addr_i_14 (direction OUTPUT)) (port regio_addr_i_13 (direction OUTPUT)) @@ -86945,40 +87198,33 @@ (port regio_addr_i_10 (direction OUTPUT)) (port regio_addr_i_9 (direction OUTPUT)) (port regio_addr_i_8 (direction OUTPUT)) - (port regio_addr_i_0 (direction OUTPUT)) - (port regio_addr_i_2_d0 (direction OUTPUT)) - (port regio_addr_i_3 (direction OUTPUT)) + (port regio_addr_i_2 (direction OUTPUT)) + (port regio_addr_i_5_d0 (direction OUTPUT)) + (port regio_addr_i_3_d0 (direction OUTPUT)) (port regio_addr_i_4 (direction OUTPUT)) - (port regio_addr_i_5 (direction OUTPUT)) (port regio_addr_i_6 (direction OUTPUT)) (port regio_addr_i_7 (direction OUTPUT)) - (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:0)") 2) (direction OUTPUT)) - (port reset_i_fast_r4 (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port buf_IDRAM_WR_IN_0_i (direction INPUT)) (port trg_timing_valid_i (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) - (port un1_timing_trg_found_1_axb_8 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_3 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_6 (direction INPUT)) (port un1_tmg_edge_found_i_axb_10 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_9 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_3 (direction INPUT)) (port un1_tmg_edge_found_i_axb_11 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_13 (direction INPUT)) (port un1_tmg_edge_found_i_axb_12 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_9 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_14 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_13 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_7 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_6 (direction INPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) (port un1_tmg_edge_found_i_axb_0 (direction INPUT)) (port un1_tmg_edge_found_i_axb_1 (direction INPUT)) (port un1_tmg_edge_found_i_axb_2 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_4 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_15 (direction INPUT)) (port un1_tmg_edge_found_i_axb_5 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_15 (direction INPUT)) + (port un14_dat_addr_in_4 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port lvl1_tmg_trg_missing_flag (direction INPUT)) - (port un20_dat_addr_in_1 (direction OUTPUT)) - (port N_1700 (direction INPUT)) + (port un16_dat_addr_in_4 (direction INPUT)) (port un1_invalid_trg_axb_8 (direction INPUT)) (port un1_prev_trg_reg_1_axb_4 (direction INPUT)) (port un1_invalid_trg_axb_4 (direction INPUT)) @@ -86986,68 +87232,70 @@ (port un1_invalid_trg_axb_2 (direction INPUT)) (port un1_prev_trg_reg_1_axb_15 (direction INPUT)) (port un1_invalid_trg_axb_15 (direction INPUT)) - (port un1_prev_trg_reg_1_axb_0 (direction INPUT)) - (port un1_invalid_trg_axb_0 (direction INPUT)) (port un1_prev_trg_reg_1_axb_3 (direction INPUT)) (port un1_invalid_trg_axb_3 (direction INPUT)) + (port un1_prev_trg_reg_1_axb_12 (direction INPUT)) + (port un1_invalid_trg_axb_12 (direction INPUT)) (port un1_prev_trg_reg_1_axb_14 (direction INPUT)) (port un1_invalid_trg_axb_14 (direction INPUT)) (port un1_prev_trg_reg_1_axb_7 (direction INPUT)) (port un1_invalid_trg_axb_7 (direction INPUT)) (port un1_prev_trg_reg_1_axb_1 (direction INPUT)) (port un1_invalid_trg_axb_1 (direction INPUT)) - (port un1_prev_trg_reg_1_axb_6 (direction INPUT)) - (port un1_invalid_trg_axb_6 (direction INPUT)) (port un1_prev_trg_reg_1_axb_13 (direction INPUT)) (port un1_invalid_trg_axb_13 (direction INPUT)) - (port un1_prev_trg_reg_1_axb_11 (direction INPUT)) - (port un1_invalid_trg_axb_11 (direction INPUT)) + (port un1_prev_trg_reg_1_axb_8 (direction INPUT)) + (port N_228_1 (direction OUTPUT)) (port un1_timing_trg_found_1_axb_4 (direction INPUT)) (port un1_spurious_trg_1_axb_4 (direction INPUT)) (port un1_timing_trg_found_1_axb_2 (direction INPUT)) (port un1_spurious_trg_1_axb_2 (direction INPUT)) + (port un1_timing_trg_found_1_axb_15 (direction INPUT)) + (port un1_spurious_trg_1_axb_15 (direction INPUT)) (port un1_timing_trg_found_1_axb_0 (direction INPUT)) (port un1_spurious_trg_1_axb_0 (direction INPUT)) (port un1_timing_trg_found_1_axb_3 (direction INPUT)) (port un1_spurious_trg_1_axb_3 (direction INPUT)) (port un1_timing_trg_found_1_axb_14 (direction INPUT)) (port un1_spurious_trg_1_axb_14 (direction INPUT)) + (port un1_timing_trg_found_1_axb_7 (direction INPUT)) + (port un1_spurious_trg_1_axb_7 (direction INPUT)) (port un1_timing_trg_found_1_axb_1 (direction INPUT)) (port un1_spurious_trg_1_axb_1 (direction INPUT)) - (port un1_timing_trg_found_1_axb_5 (direction INPUT)) - (port un1_spurious_trg_1_axb_5 (direction INPUT)) - (port un1_timing_trg_found_1_axb_13 (direction INPUT)) - (port un1_spurious_trg_1_axb_13 (direction INPUT)) - (port un1_spurious_trg_1_axb_8 (direction INPUT)) (port un1_timing_trg_found_1_axb_6 (direction INPUT)) (port un1_spurious_trg_1_axb_6 (direction INPUT)) - (port un1_prev_trg_reg_1_axb_12 (direction INPUT)) - (port un1_invalid_trg_axb_12 (direction INPUT)) + (port un1_timing_trg_found_1_axb_13 (direction INPUT)) + (port un1_spurious_trg_1_axb_13 (direction INPUT)) + (port regio_write_ack_i (direction INPUT)) (port un1_timing_trg_found_1_axb_9 (direction INPUT)) (port un1_spurious_trg_1_axb_9 (direction INPUT)) - (port un1_timing_trg_found_1_axb_12 (direction INPUT)) - (port un1_spurious_trg_1_axb_12 (direction INPUT)) + (port un1_spurious_trg_1_axb_8 (direction INPUT)) + (port un1_timing_trg_found_1_axb_5 (direction INPUT)) + (port un1_spurious_trg_1_axb_5 (direction INPUT)) + (port un1_prev_trg_reg_1_axb_11 (direction INPUT)) + (port un1_invalid_trg_axb_11 (direction INPUT)) + (port un1_prev_trg_reg_1_axb_6 (direction INPUT)) + (port un1_invalid_trg_axb_6 (direction INPUT)) (port un1_prev_trg_reg_1_axb_10 (direction INPUT)) (port un1_invalid_trg_axb_10 (direction INPUT)) (port un1_timing_trg_found_1_axb_10 (direction INPUT)) (port un1_spurious_trg_1_axb_10 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_8 (direction INPUT)) + (port un1_timing_trg_found_1_axb_8 (direction INPUT)) (port link_error_i (direction INPUT)) - (port un1_prev_trg_reg_1_axb_8 (direction INPUT)) - (port un1_timing_trg_found_1_axb_15 (direction INPUT)) - (port un1_spurious_trg_1_axb_15 (direction INPUT)) + (port un1_timing_trg_found_1_axb_12 (direction INPUT)) + (port un1_spurious_trg_1_axb_12 (direction INPUT)) (port un1_timing_trg_found_1_axb_11 (direction INPUT)) (port un1_spurious_trg_1_axb_11 (direction INPUT)) - (port un1_timing_trg_found_1_axb_7 (direction INPUT)) - (port un1_spurious_trg_1_axb_7 (direction INPUT)) + (port un1_prev_trg_reg_1_axb_0 (direction INPUT)) + (port un1_invalid_trg_axb_0 (direction INPUT)) (port un1_prev_trg_reg_1_axb_9 (direction INPUT)) (port un1_invalid_trg_axb_9 (direction INPUT)) - (port reset_i_fast_r7 (direction INPUT)) - (port N_2135_2 (direction OUTPUT)) - (port un12_dat_addr_in_2 (direction OUTPUT)) - (port N_1956 (direction OUTPUT)) - (port regio_addr_i_0_rep1_1 (direction OUTPUT)) + (port reset_i_fast_r9 (direction INPUT)) + (port N_5861 (direction OUTPUT)) + (port regio_unknown_addr_i (direction INPUT)) + (port regio_nomoredata_i (direction INPUT)) (port buf_API_SHORT_TRANSFER_OUT_Q (direction OUTPUT)) + (port regio_dataready_i (direction INPUT)) (port regio_read_enable_i (direction OUTPUT)) (port regio_write_enable_i (direction OUTPUT)) (port reset_i_fast_1_i (direction INPUT)) @@ -87056,182 +87304,249 @@ (port clk_100_i_c (direction INPUT)) (port un1_invalid_trg_axb_5 (direction INPUT)) (port un1_prev_trg_reg_1_axb_5 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_7 (direction INPUT)) - (port regio_write_ack_i (direction INPUT)) - (port N_2069_0_1 (direction OUTPUT)) + (port un1_tmg_edge_found_i_axb_14 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_4 (direction INPUT)) + (port N_1958 (direction OUTPUT)) + (port un1_tmg_edge_found_i_axb_8 (direction INPUT)) (port buf_API_DATAREADY_OUT (direction OUTPUT)) - (port N_180 (direction OUTPUT)) + (port N_5867 (direction OUTPUT)) + (port N_93 (direction OUTPUT)) (port GND (direction INPUT)) - (port regio_dataready_i (direction INPUT)) - (port regio_nomoredata_i (direction INPUT)) - (port regio_unknown_addr_i (direction INPUT)) + (port N_87 (direction OUTPUT)) ) (contents (instance local_time_i_RNO_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance COMMON_REGISTERS_OUT_write_enable_RNIRAG8_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance timeout_counter_RNO_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance DAT_DATAREADY_IN_before_RNIHUV01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance REGISTERS_OUT_write_enable_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance REGISTERS_OUT_write_enable_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) (instance next_API_DATA_OUT_20_am_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A+C !B)+D !A)")) ) - (instance next_API_DATA_OUT_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A)+D A)")) + (instance next_API_DATA_OUT_20_am_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C !B)+D !A)")) ) - (instance next_API_DATA_OUT_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A)+D A)")) ) - (instance fsm_un5_api_typ_in_RNI0R181 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_ns_i_0_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance current_state_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C+(B A)))")) + ) + (instance fsm_un230_next_api_data_out_m11_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance current_state_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) - (instance REGISTERS_OUT_write_enable_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance COMMON_REGISTERS_OUT_write_enable_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance addr_counter_enable_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (C A))")) + (instance current_state_RNISA4M1_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance address_RNIS84Q_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance current_state_ns_0_i_s_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance current_state_ns_0_a2_0_o2_RNIEG9I_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance current_state_ns_0_a2_0_o2_RNIK67T_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance rom_read_addr_1_sqmuxa_RNIAA2U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C !A)+D !A)")) + ) + (instance buf_API_READ_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (!B !A))")) ) (instance buf_DAT_WRITE_ENABLE_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (B+A))")) ) - (instance next_API_DATA_OUT_17_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_20_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_20_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_17_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_am_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_17_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_17_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_17_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_20_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D A)")) + ) + (instance next_API_DATA_OUT_17_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) (instance next_API_DATA_OUT_17_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance buf_API_READ_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C (!B !A))")) + (instance packet_counter_RNIHNC81_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+A))+D (C+(!B+A)))")) ) - (instance packet_counter_RNIHNC81_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C (B !A)))")) + (instance current_state_ns_0_i_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) + ) + (instance next_global_time_write_3_sqmuxa_0_RNIBN9D (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance address_RNI0T3Q_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_global_time_write_3_sqmuxa_0_RNIC9AD (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)))")) ) - (instance next_API_DATA_OUT_12_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D B)")) + (instance fsm_un22_api_dataready_in_RNILM2I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) ) - (instance current_state_RNI20CG_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))")) + (instance current_state_ns_0_i_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) ) - (instance address_RNIGEKU_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_18_i_1_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) + ) + (instance buf_API_PACKET_NUM_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))")) + ) + (instance current_state_ns_i_i_a2_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) + ) + (instance fsm_un169_next_api_data_out_m264_6_03_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) + ) + (instance fsm_un29_next_api_data_out_m10_6_03_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance address_RNIGEKU_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (B A))")) ) - (instance current_state_ns_0_o4_1_RNI8P211_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance next_address_1_sqmuxa_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNI8U9Q_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D B)")) + (instance N_88_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance buf_API_DATA_OUT_RNI6U9Q_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D B)")) + (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance buf_API_DATA_OUT_RNI2U9Q_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI6U9Q_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNIEU9Q_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNICU9Q_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_READ_OUT_RNIVRB4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNIGU9Q_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_SEND_OUT_RNI0FRI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A)+D A)")) - ) - (instance buf_API_PACKET_NUM_OUT_RNI7RAK_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI45LF_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNIUT9Q_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNIAU9Q_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNI0U9Q_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI8U9Q_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) (instance buf_API_DATA_OUT_RNI4U9Q_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNIAU9Q_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNIATLF_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNICU9Q_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI6DLF_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNIGU9Q_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI2TKF_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) (instance buf_API_DATA_OUT_RNI0LKF_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNI45LF_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI0U9Q_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNI6DLF_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNIUT9Q_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNI8LLF_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_PACKET_NUM_OUT_RNI7RAK_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNIATLF_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_READ_OUT_RNIVRB4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNI2TKF_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI8LLF_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance current_state_ns_i_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C A)+D A)")) + (instance buf_API_DATA_OUT_RNI2U9Q_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance current_state_ns_i_a3_0_5_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance buf_API_DATA_OUT_RNIEU9Q_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance current_state_ns_i_a3_0_RNO_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance buf_API_PACKET_NUM_OUT_RNIRHV91_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) ) - (instance current_state_ns_i_a3_0_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un104_next_api_data_out_m15_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un104_next_api_data_out_m12_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m13_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance fsm_un104_next_api_data_out_m14_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un169_next_api_data_out_m263_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance fsm_un230_next_api_data_out_m11_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance fsm_un104_next_api_data_out_m8_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance fsm_un104_next_api_data_out_m10_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance fsm_un104_next_api_data_out_m9_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance current_state_ns_0_a3_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance fsm_un29_next_api_data_out_m10_6_03_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance address_RNI6G2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B+A)))")) ) - (instance dont_understand_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_rom_read_addr_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(!B+!A)))")) + ) + (instance rom_read_addr_1_sqmuxa_RNIAA2U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C A)")) ) (instance next_API_DATA_OUT_18_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_18_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B+A))+D (C A))")) + (property lut_function (string "(!D (B A)+D (!C (B+!A)+C (B A)))")) ) (instance next_API_DATA_OUT_18_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C (!B !A)+C (!B+A)))")) + (property lut_function (string "(!D (B A)+D (!C (B A)+C (B+!A)))")) + ) + (instance next_API_DATA_OUT_14_i_m2_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C (B A))+D (B+!A))")) + ) + (instance next_API_DATA_OUT_14_i_m2_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C (!B+A))+D (C A))")) ) (instance next_API_DATA_OUT_14_i_m2_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_14_i_m2_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -87254,54 +87569,40 @@ (instance next_API_DATA_OUT_14_i_m2_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B+A))+D (C A))")) ) - (instance next_API_DATA_OUT_14_i_m2_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)+C (B A))+D (B+!A))")) - ) - (instance next_API_DATA_OUT_14_i_m2_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B+A))+D (C A))")) - ) - (instance next_API_DATA_OUT_14_i_m2_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)+C (B A))+D (B+!A))")) - ) - (instance next_API_DATA_OUT_14_i_m2_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B+A))+D (C A))")) - ) - (instance current_state_ns_i_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_state_ns_i_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A)+C !A)")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance current_state_ns_i_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!B)+D (C+(!B+!A)))")) ) (instance next_API_DATA_OUT_13_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_13_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C (B A)))")) ) (instance next_API_DATA_OUT_13_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (B+A)))")) + (property lut_function (string "(!D (C B)+D A)")) ) - (instance next_API_DATA_OUT_13_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_13_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_13_i_m2_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_13_i_m2_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C (B A)))")) ) - (instance next_API_DATA_OUT_13_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (B+A)))")) + (instance next_API_DATA_OUT_13_i_m2_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D A)")) ) - (instance next_API_DATA_OUT_13_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_13_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C (B A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) ) - (instance next_API_DATA_OUT_13_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (B+A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (C+B))")) ) - (instance next_API_DATA_OUT_13_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_13_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C (B A)))")) + (instance next_API_DATA_OUT_18_i_0_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_0_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D (!C !B))")) ) - (instance next_API_DATA_OUT_13_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (B+A)))")) + (instance next_API_DATA_OUT_18_i_0_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D (!C !B))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -87310,25 +87611,25 @@ (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m264_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un230_next_api_data_out_m264_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m260_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un104_next_api_data_out_m260_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!B+!A))")) ) - (instance fsm_un230_next_api_data_out_m264_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m260_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (B+!A)+C !A))")) ) - (instance fsm_un230_next_api_data_out_m266_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un230_next_api_data_out_m266_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m270_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un230_next_api_data_out_m270_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!B+!A))")) ) - (instance fsm_un230_next_api_data_out_m266_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A))+D (!C (B+!A)+C !A))")) + (instance fsm_un230_next_api_data_out_m270_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!B+!A))")) ) - (instance fsm_un104_next_api_data_out_m256_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un104_next_api_data_out_m256_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m260_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un230_next_api_data_out_m260_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!B+!A))")) ) - (instance fsm_un104_next_api_data_out_m256_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m260_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (B+!A)+C !A))")) ) (instance fsm_un230_next_api_data_out_m263_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) @@ -87516,37 +87817,37 @@ ) (instance local_time_i_7 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance length_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_3 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_5 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_8 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_10 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_12 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_13 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_14 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance global_time_write (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -88252,27 +88553,21 @@ ) (instance buf_API_DATAREADY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance address_fast_1_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) - (instance address_fast_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) - (instance address_0_rep2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_3_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance address_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance address_2_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_5_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance address_1_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_4_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance address_0_rep1_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_2_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance address_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_1_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance address_1_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance address_0_rep2_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) - (instance address_0_rep1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance address_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) @@ -88330,70 +88625,70 @@ (instance un225_next_api_data_out_1_p4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance current_state_ns_0_o4_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_next_STAT_REG_STROBE_2_m_1_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance un10_current_state_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_state_ns_0_o4_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_PACKET_NUM_OUT_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance fsm_un38_api_dataready_in_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) + (instance fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance address_RNI5G1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m0_6_03_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance current_state_ns_i_o4_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) (instance fsm_un104_next_api_data_out_m0_6_03_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance fsm_un104_next_api_data_out_m0_6_03_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance current_state_ns_i_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_state_ns_0_a2_0_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance fsm_un2_timeout_counter_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance buf_API_PACKET_NUM_OUT_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance current_state_ns_i_0_o2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance un10_current_state_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_18_i_a2_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) + (instance next_API_DATA_OUT_18_i_a2_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance next_STAT_REG_STROBE_1_sqmuxa_1_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance rom_read_addr_1_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance fsm_or_all_tmp_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance fsm_op_eq_next_state60_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance fsm_un38_api_dataready_in_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) ) - (instance fsm_op_eq_next_state60_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance address_RNI5G1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance fsm_op_eq_next_state60_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_ns_0_o4_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance fsm_un104_next_api_data_out_m0_6_03_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m72_4_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance fsm_un104_next_api_data_out_m0_6_03_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) (instance fsm_un104_next_api_data_out_m0_8_03_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance fsm_un104_next_api_data_out_m0_8_03_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance fsm_un104_next_api_data_out_m0_8_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance fsm_un104_next_api_data_out_m74_4_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance current_state_RNISS3Q_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_RNI2T4Q_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) (instance un2_next_timeout_counter_axbxc1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -88402,79 +88697,70 @@ (instance un2_next_timeout_counter_axbxc4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance un225_next_api_data_out_1_ac0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_fsm_unknown_3_iv_0_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance next_state_2_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance next_COMMON_CTRL_REG_STROBEc_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance current_state_ns_0_a2_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_next_address_0_sqmuxa_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance proc_us_tick_un1_local_time_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance current_state_ns_0_a3_0_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance current_state_ns_0_a2_0_o2_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance fsm_un59_next_api_data_out_m296 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance next_API_DATA_OUT_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un59_next_api_data_out_m324 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance next_API_DATA_OUT_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_API_DATA_OUT_6_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance fsm_un59_next_api_data_out_m407 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance next_API_DATA_OUT_4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un59_next_api_data_out_m407 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (!B !A))")) - ) - (instance next_API_DATA_OUT_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_i_m2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_i_m2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_API_DATA_OUT_6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -88483,164 +88769,122 @@ (instance next_API_DATA_OUT_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un59_next_api_data_out_m238 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un59_next_api_data_out_m324 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un59_next_api_data_out_m128 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un59_next_api_data_out_m238 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un59_next_api_data_out_m128 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un193_next_api_data_out_m324 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_m296 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (!B !A))")) - ) (instance fsm_un193_next_api_data_out_m238 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_m128 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance global_time_ie_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance fsm_un254_next_api_data_out_m78_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance reg_fsm_nomoredata_3_f0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C !B)")) - ) - (instance current_state_ns_0_o4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) - (instance current_state_ns_0_o4_1_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C (B+!A))")) - ) - (instance next_address_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance fsm_un59_next_api_data_out_m12_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_m68_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un59_next_api_data_out_m15_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_m71_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un230_next_api_data_out_m9_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance current_state_ns_i_o2_i_o2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) ) - (instance fsm_un104_next_api_data_out_m0_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance next_address_1_sqmuxa_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+!A))")) + ) + (instance ADR_READ_IN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C !A)")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C !B)")) ) - (instance fsm_un193_next_api_data_out_m15_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C !B)")) - ) - (instance fsm_un29_next_api_data_out_m199_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C !A)")) - ) - (instance fsm_un29_next_api_data_out_m11_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C !B)")) - ) - (instance fsm_un29_next_api_data_out_m203_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C !A)")) - ) (instance fsm_un59_next_api_data_out_m5_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un254_next_api_data_out_m73_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance fsm_un254_next_api_data_out_m9_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance fsm_un104_next_api_data_out_m9_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance fsm_un5_api_typ_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance packet_counter_RNIBUIU_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B+A))")) ) - (instance fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C !B)")) ) (instance address_RNITT_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance packet_counter_RNIBUIU_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B+A))")) + (instance fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance current_state_RNI9UQC_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance reg_fsm_timeout_3_f0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C !B)")) - ) - (instance ADR_READ_IN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) - ) (instance fsm_un104_next_api_data_out_m582_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un104_next_api_data_out_m583_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un104_next_api_data_out_m7_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance fsm_un104_next_api_data_out_m516_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m576_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un104_next_api_data_out_m7_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) (instance fsm_un104_next_api_data_out_m579_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un104_next_api_data_out_m6_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m3_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m4_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m5_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m581_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un104_next_api_data_out_m0_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) (instance fsm_un104_next_api_data_out_m577_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un104_next_api_data_out_m1_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m2_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance fsm_un104_next_api_data_out_m10_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance fsm_un104_next_api_data_out_m8_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m578_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) @@ -88663,65 +88907,74 @@ (instance fsm_un59_next_api_data_out_m0_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un59_next_api_data_out_m15_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) + ) + (instance fsm_un59_next_api_data_out_m12_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) + ) (instance fsm_un59_next_api_data_out_m3_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un59_next_api_data_out_m4_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un29_next_api_data_out_m203_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C !A)")) + ) + (instance fsm_un29_next_api_data_out_m11_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C !B)")) + ) (instance fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_84_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance fsm_un29_next_api_data_out_m10_6_03_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) - (instance fsm_un29_next_api_data_out_m68_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m71_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C !B)")) ) - (instance fsm_un29_next_api_data_out_m207_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m204_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !B+C !A)")) ) - (instance fsm_un29_next_api_data_out_m15_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m68_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C !B)")) ) - (instance fsm_un254_next_api_data_out_m8_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance fsm_un254_next_api_data_out_m72_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance fsm_un29_next_api_data_out_m12_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C !B)")) ) - (instance fsm_un254_next_api_data_out_m77_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_m11_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_m13_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_m75_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance fsm_un230_next_api_data_out_m588_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un230_next_api_data_out_m584_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) + ) (instance fsm_un230_next_api_data_out_m582_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un230_next_api_data_out_m204_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un230_next_api_data_out_m590_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m9_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance fsm_un230_next_api_data_out_m585_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un230_next_api_data_out_m206_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un230_next_api_data_out_m583_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m576_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un230_next_api_data_out_m576_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m203_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un230_next_api_data_out_m7_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance fsm_un230_next_api_data_out_m516_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (!B !A))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un230_next_api_data_out_m579_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) @@ -88733,7 +88986,10 @@ (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un230_next_api_data_out_m6_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance fsm_un230_next_api_data_out_m4_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un230_next_api_data_out_m5_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) @@ -88744,28 +89000,31 @@ (instance fsm_un230_next_api_data_out_m577_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un230_next_api_data_out_m205_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) + ) (instance fsm_un230_next_api_data_out_m10_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) - (instance fsm_un230_next_api_data_out_m578_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (!B !A))")) + (instance fsm_un230_next_api_data_out_m8_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance fsm_un230_next_api_data_out_m207_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m578_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m589_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m207_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m587_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -88774,29 +89033,32 @@ (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_m4_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un169_next_api_data_out_m8_6_03_i_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) - ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C !B)")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C !B)")) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C !B)")) + ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+!A)+C (!B !A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C !B)")) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance un2_next_timeout_counter_axbxc2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+!A))")) @@ -88804,56 +89066,59 @@ (instance un2_next_timeout_counter_axbxc5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (!B A+B !A))")) ) - (instance fsm_un29_next_api_data_out_m13_6_03_i_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) (instance fsm_un22_api_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un104_next_api_data_out_m11_8_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance current_state_ns_0_i_a2_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B+!A))")) ) - (instance next_dont_understand_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance current_state_ns_0_i_o2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) - (instance current_state_ns_i_a3_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) + (instance fsm_un24_api_dataready_in_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+A))")) ) - (instance fsm_un29_next_api_data_out_m9_6_03_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance fsm_un24_api_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) + (instance fsm_un29_next_api_data_out_m9_6_03_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance un1_current_state_6_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance next_dont_understand_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m13_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance fsm_un230_next_api_data_out_m11_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) (instance fsm_un169_next_api_data_out_m8_6_03_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance current_state_ns_0_a2_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance proc_us_tick_un1_local_time_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance fsm_un169_next_api_data_out_m264_6_03_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance current_state_ns_0_a2_0_o2_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance fsm_op_gt_op_gt_un6_api_read_inlto15_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance fsm_op_gt_op_gt_un6_api_read_inlto15_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance fsm_op_gt_op_gt_un6_api_read_inlto15_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance fsm_op_gt_op_gt_un6_api_read_inlto15_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance un1_current_state_5_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance TIMER_MS_TICK_0_sqmuxa_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) @@ -88864,134 +89129,101 @@ (instance TIMER_MS_TICK_0_sqmuxa_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) - (instance un1_current_state_4_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance fsm_un29_next_api_data_out_m8_6_03_i_a2_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance fsm_op_eq_next_state60_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un2_timeout_counter_i_o2_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance fsm_op_eq_next_state60_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un2_timeout_counter_i_o2_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance fsm_or_all_tmp_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un2_timeout_counter_i_o2_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance fsm_op_gt_op_gt_un6_api_read_inlto15_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un2_timeout_counter_i_o2_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance fsm_op_gt_op_gt_un6_api_read_inlto15_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_or_all_tmp_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rom_read_addr_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) + (instance next_COMMON_CTRL_REG_STROBEc_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) + ) (instance next_COMMON_CTRL_REG_STROBEc_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (property lut_function (string "(!C (!B A))")) + ) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C (B !A)+C (!B+!A)))")) ) - (instance API_PACKET_NUM_OUT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C !A)+D (C+(B+A)))")) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C (B !A)+C (!B+!A)))")) ) (instance fsm_un29_next_api_data_out_m10_6_03_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_m10_6_03_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C A))")) + (instance timeout_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C !B)")) ) - (instance address_0_rep1_RNIKOAD (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance nomoredata_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C !B)")) ) - (instance next_operation_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C A))")) ) - (instance current_state_ns_0_o4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance current_state_ns_i_o2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C A))")) ) - (instance current_state_ns_0_a3_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un29_next_api_data_out_m12_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) ) - (instance fsm_un29_next_api_data_out_m12_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C !A))")) - ) - (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + (instance fsm_un29_next_api_data_out_m8_6_03_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (C A))")) ) (instance fsm_un29_next_api_data_out_m9_6_03_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) ) - (instance fsm_un29_next_api_data_out_m7_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C !A))")) - ) - (instance address_RNIGEKU_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance fsm_un230_next_api_data_out_m261_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) - ) - (instance fsm_un230_next_api_data_out_m517_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) - ) - (instance fsm_un230_next_api_data_out_m9_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m9_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m265_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) - ) - (instance fsm_un230_next_api_data_out_m265_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un104_next_api_data_out_m0_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un104_next_api_data_out_m0_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un104_next_api_data_out_m256_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m259_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) - ) - (instance fsm_un230_next_api_data_out_m259_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m521_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C A))")) ) - (instance fsm_un230_next_api_data_out_m521_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + (instance current_state_ns_0_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (C B))")) + (instance current_state_ns_i_i_o2_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D A)")) ) - (instance fsm_un29_next_api_data_out_m6_6_03_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C A))")) + (instance un1_next_nomoredata_1_sqmuxa_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance fsm_un29_next_api_data_out_m6_6_03_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C !A))")) + (instance packet_counter_RNIHNC81_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C+(!B+A)))")) ) - (instance next_address_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un104_next_api_data_out_m9_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance packet_counter_RNIHNC81_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C+(!B+A)))")) + (instance fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance address_RNIGEKU_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) (instance fsm_un104_next_api_data_out_m519_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) @@ -89059,11 +89291,8 @@ (instance fsm_un104_next_api_data_out_m516_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un104_next_api_data_out_m260_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un104_next_api_data_out_m260_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + (instance fsm_un104_next_api_data_out_m516_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) (instance fsm_un104_next_api_data_out_m260_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) @@ -89098,15 +89327,27 @@ (instance fsm_un104_next_api_data_out_m5_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) + (instance fsm_un104_next_api_data_out_m256_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un104_next_api_data_out_m256_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) - (instance fsm_un104_next_api_data_out_m512_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un104_next_api_data_out_m512_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) + (instance fsm_un104_next_api_data_out_m256_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un104_next_api_data_out_m256_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un104_next_api_data_out_m0_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un104_next_api_data_out_m0_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un104_next_api_data_out_m513_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) @@ -89149,17 +89390,11 @@ (instance fsm_un104_next_api_data_out_m2_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un104_next_api_data_out_m10_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) - ) - (instance fsm_un104_next_api_data_out_m8_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) - ) - (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un29_next_api_data_out_m8_6_03_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (C A))")) + (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un29_next_api_data_out_m9_6_03_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) @@ -89167,18 +89402,18 @@ (instance fsm_un29_next_api_data_out_m13_6_03_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) ) + (instance fsm_un29_next_api_data_out_m6_6_03_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (C !A))")) + ) + (instance fsm_un29_next_api_data_out_m6_6_03_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (C A))")) + ) (instance fsm_un29_next_api_data_out_m6_6_03_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) (instance fsm_un29_next_api_data_out_m8_6_03_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) - (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C A))")) - ) (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) @@ -89188,8 +89423,11 @@ (instance fsm_un29_next_api_data_out_m1_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) - (instance fsm_un29_next_api_data_out_m7_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C A))")) + (instance fsm_un29_next_api_data_out_m7_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (C A))")) + ) + (instance fsm_un29_next_api_data_out_m7_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (C !A))")) ) (instance fsm_un29_next_api_data_out_m14_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) @@ -89209,6 +89447,12 @@ (instance fsm_un29_next_api_data_out_m0_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) + (instance fsm_un29_next_api_data_out_m15_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (C !A))")) + ) + (instance fsm_un29_next_api_data_out_m15_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (C A))")) + ) (instance fsm_un29_next_api_data_out_m2_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) ) @@ -89221,12 +89465,30 @@ (instance fsm_un29_next_api_data_out_m4_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (C !A))")) ) + (instance fsm_un230_next_api_data_out_m521_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) + ) + (instance fsm_un230_next_api_data_out_m265_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un230_next_api_data_out_m265_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) + ) + (instance fsm_un230_next_api_data_out_m9_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un230_next_api_data_out_m9_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un230_next_api_data_out_m265_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) (instance fsm_un230_next_api_data_out_m265_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) + (instance fsm_un230_next_api_data_out_m519_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un230_next_api_data_out_m519_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) @@ -89272,6 +89534,12 @@ (instance fsm_un230_next_api_data_out_m259_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) + (instance fsm_un230_next_api_data_out_m259_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un230_next_api_data_out_m259_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) + ) (instance fsm_un230_next_api_data_out_m3_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) @@ -89284,11 +89552,8 @@ (instance fsm_un230_next_api_data_out_m516_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m260_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m260_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + (instance fsm_un230_next_api_data_out_m516_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) (instance fsm_un230_next_api_data_out_m260_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) @@ -89302,7 +89567,7 @@ (instance fsm_un230_next_api_data_out_m4_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m4_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m517_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) (instance fsm_un230_next_api_data_out_m261_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -89314,6 +89579,9 @@ (instance fsm_un230_next_api_data_out_m261_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) + (instance fsm_un230_next_api_data_out_m261_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) + ) (instance fsm_un230_next_api_data_out_m5_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) @@ -89395,9 +89663,6 @@ (instance fsm_un230_next_api_data_out_m269_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m525_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un230_next_api_data_out_m525_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) @@ -89410,10 +89675,10 @@ (instance fsm_un230_next_api_data_out_m269_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) - (instance fsm_un230_next_api_data_out_m13_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m13_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m13_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m526_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) (instance fsm_un230_next_api_data_out_m526_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -89443,6 +89708,12 @@ (instance fsm_un230_next_api_data_out_m522_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) + (instance fsm_un230_next_api_data_out_m266_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un230_next_api_data_out_m266_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un230_next_api_data_out_m266_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) @@ -89458,9 +89729,6 @@ (instance fsm_un230_next_api_data_out_m267_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m523_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un230_next_api_data_out_m523_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) @@ -89473,9 +89741,6 @@ (instance fsm_un230_next_api_data_out_m267_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) - (instance fsm_un230_next_api_data_out_m11_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un230_next_api_data_out_m11_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) @@ -89497,12 +89762,15 @@ (instance fsm_un230_next_api_data_out_m12_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m520_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un230_next_api_data_out_m520_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) + (instance fsm_un230_next_api_data_out_m264_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un230_next_api_data_out_m264_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un230_next_api_data_out_m264_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) @@ -89515,36 +89783,24 @@ (instance fsm_un230_next_api_data_out_m8_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m270_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m270_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un230_next_api_data_out_m270_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) (instance fsm_un230_next_api_data_out_m270_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (C B))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B !A))+D (!C (!B !A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C A))")) + (instance fsm_un169_next_api_data_out_m8_6_03_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C !B+C (B A)))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (C B))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C A))")) - ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) @@ -89554,13 +89810,16 @@ (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C A))")) ) - (instance fsm_un169_next_api_data_out_m7_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C A))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_m7_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C A))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -89572,12 +89831,12 @@ (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (C B))")) - ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C A))")) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C A))")) + ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) @@ -89593,7 +89852,7 @@ (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A))+D (!C (B !A)+C !A))")) ) (instance fsm_un229_next_api_data_out_m0_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -89603,205 +89862,183 @@ (property lut_function (string "(!D (C (B A))+D (!C+(!B+!A)))")) ) (instance un225_next_api_data_out_1_axbxc3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C (!B !A))+D !C)")) - ) - (instance current_state_ns_0_o4_tz_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)))")) + (property lut_function (string "(!D (C+(B+A))+D (!C (!B !A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_m10_6_03_i_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C !B))")) ) - (instance fsm_un29_next_api_data_out_m3_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+A))+D (!C (B+A)+C A))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+A))+D (!C (B+A)+C A))")) + ) + (instance fsm_un29_next_api_data_out_m1_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+!A))+D (!C (B+!A)+C B))")) ) - (instance fsm_un29_next_api_data_out_m14_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m11_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A))+D (!C (B+A)+C A))")) + (instance fsm_un29_next_api_data_out_m2_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+!A))+D (!C (B+!A)+C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m13_6_03_i_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C !B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A))+D (!C (B+A)+C A))")) + (instance fsm_un29_next_api_data_out_m12_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) ) - (instance fsm_un29_next_api_data_out_m2_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A))+D (!C (B+!A)+C B))")) + (instance fsm_un29_next_api_data_out_m14_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C (!B !A)+C !A))")) + (instance fsm_un29_next_api_data_out_m15_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+!A))+D (C+(B !A)))")) ) - (instance fsm_un169_next_api_data_out_m7_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C (!B !A)+C !A))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+A))+D (!C (B+A)+C A))")) ) - (instance fsm_un29_next_api_data_out_m0_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m3_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+!A))+D (!C (B+!A)+C B))")) ) - (instance fsm_un29_next_api_data_out_m12_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) - ) - (instance fsm_un29_next_api_data_out_m1_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m0_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+!A))+D (!C (B+!A)+C B))")) ) - (instance fsm_un29_next_api_data_out_m11_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) - ) - (instance fsm_un29_next_api_data_out_m15_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) - ) (instance proc_us_tick_un1_local_time_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance next_global_time_write_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance current_state_ns_i_o2_i_o2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(B+A)))")) ) - (instance current_state_ns_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance current_state_ns_0_a2_0_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance next_API_READ_OUT_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance next_API_READ_OUT_i_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) + ) + (instance next_global_time_write_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) (instance fsm_or_all_tmp (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m519_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance fsm_un104_next_api_data_out_m515_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m516_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (instance fsm_un104_next_api_data_out_m512_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance fsm_un104_next_api_data_out_m513_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance fsm_un104_next_api_data_out_m514_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) - ) - (instance fsm_un230_next_api_data_out_m525_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) - ) - (instance fsm_un230_next_api_data_out_m523_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) - ) - (instance next_state_5_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C+(B !A)))")) - ) - (instance fsm_op_eq_next_state60_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance fsm_op_gt_op_gt_un6_api_read_inlto15_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance fsm_op_gt_op_gt_un6_api_read_inlto15_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance next_COMMON_CTRL_REG_STROBEc_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m1_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m4_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m6_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m5_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m7_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m9_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) (instance fsm_un230_next_api_data_out_m7_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m10_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m6_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m2_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m3_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m5_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m8_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m3_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m0_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m5_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m10_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m0_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m6_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m9_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m7_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m6_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m5_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m4_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m2_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + ) + (instance fsm_un104_next_api_data_out_m1_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) + (instance un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) + ) (instance fsm_un169_next_api_data_out_m8_6_03_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C B+C (B+A)))")) ) - (instance current_state_ns_i_a3_0_5_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance next_unknown_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (property lut_function (string "(D (C (B !A)))")) ) - (instance current_state_ns_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (!C !B+C (!B A)))")) + (instance current_state_ns_0_i_s_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C B+C (B+!A)))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B+!A))")) - ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C B+C !A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C B+C !A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (!C (B+!A)+C (!B !A)))")) + (instance next_API_DATA_OUT_15_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) @@ -89812,26 +90049,23 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance buf_API_PACKET_NUM_OUT_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C (B+A))+D (B+A))")) - ) + (instance next_API_DATA_OUT_15_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_15_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) @@ -89839,30 +90073,40 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_API_DATA_OUT_15_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_API_DATA_OUT_15_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_API_DATA_OUT_15_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (!C (B+!A)+C (!B !A)))")) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (!C (B+!A)+C (!B !A)))")) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (!C (B+!A)+C (!B !A)))")) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (!C (B+!A)+C (!B !A)))")) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) @@ -89871,34 +90115,41 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) @@ -89927,13 +90178,13 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -89948,6 +90199,13 @@ (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) @@ -89955,20 +90213,20 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) @@ -90006,145 +90264,154 @@ (instance buf_API_SEND_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(C+(B+!A)))")) ) - (instance next_Reg_low_1_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance current_state_ns_i_o4_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance current_state_ns_i_i_a2_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance current_state_ns_0_o4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance current_state_ns_i_o2_i_o2_RNI1KDC_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance packet_counter_RNIHNC81_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C (!B+A)+C (B !A)))")) ) (instance current_state_RNIQS091_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance packet_counter_RNIHNC81_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)+C (!B+A)))")) - ) - (instance current_state_ns_i_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A))+D (C (B !A)))")) + (instance current_state_ns_0_i_a2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance fsm_un169_next_api_data_out_m8_6_03_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C (B+!A)))")) + (instance next_address_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) (instance fsm_un229_next_api_data_out_m0_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B !A))")) ) - (instance fsm_un29_next_api_data_out_m13_6_03_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C B+C (B+A)))")) - ) - (instance current_state_ns_i_o2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance next_global_time_write_3_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance next_global_time_write_6_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance next_state_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance fsm_un24_api_dataready_in_RNIQQ1Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance fsm_un2_timeout_counter_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance TIMER_MS_TICK_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance fsm_op_eq_next_state60 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_op_gt_op_gt_un6_api_read_inlto15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance fsm_un230_next_api_data_out_m527_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) + (instance fsm_un230_next_api_data_out_m519_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un29_next_api_data_out_m7_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) + (instance fsm_un230_next_api_data_out_m518_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m516_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m515_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m517_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m15_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m13_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m517_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m3_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) + ) + (instance fsm_un230_next_api_data_out_m524_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un29_next_api_data_out_m4_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) + (instance fsm_un230_next_api_data_out_m4_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m520_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m517_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m524_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + ) + (instance fsm_un230_next_api_data_out_m522_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m518_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m513_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m4_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m1_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m3_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) + (instance fsm_un230_next_api_data_out_m15_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m513_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + (instance fsm_un230_next_api_data_out_m12_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m526_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + (instance fsm_un29_next_api_data_out_m4_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m521_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m520_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + (instance fsm_un29_next_api_data_out_m6_6_03_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) - (instance fsm_un230_next_api_data_out_m519_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m526_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + ) + (instance fsm_un230_next_api_data_out_m527_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un29_next_api_data_out_m6_6_03_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (B+A)))")) + (instance fsm_un104_next_api_data_out_m516_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + (instance fsm_un230_next_api_data_out_m521_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) (instance fsm_un104_next_api_data_out_m518_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m0_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) + (instance fsm_un230_next_api_data_out_m514_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m522_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m512_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m14_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m2_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) + (instance fsm_un29_next_api_data_out_m7_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m512_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m515_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m2_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m517_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m523_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m514_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m525_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m12_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m512_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m1_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m0_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -90153,6 +90420,9 @@ (instance fsm_un29_next_api_data_out_m13_6_03_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (C !A))")) ) + (instance next_timeout_counter_0_o2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) + ) (instance fsm_un169_next_api_data_out_m8_6_03_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B A))+D C)")) ) @@ -90160,85 +90430,87 @@ (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A))+D (!C (!B !A)+C !A))")) - ) - (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (!C (B !A)+C B))")) + (property lut_function (string "(!C (B !A)+C !A)")) ) (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (!C (B !A)+C B))")) + (property lut_function (string "(B !A)")) ) (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (!C (B !A)+C B))")) + (property lut_function (string "(B !A)")) + ) + (instance fsm_un29_next_api_data_out_m8_6_03_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C B+C A))")) ) - (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C (B+!A)))")) + (instance current_state_ns_0_i_s_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B A))+D C)")) ) - (instance next_state_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance current_state_RNIJ5GL1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) ) - (instance current_state_ns_i_a2_RNILBLQ_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A))")) + (instance next_API_DATA_OUT_18_i_a2_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B !A))+D (!C (B A)))")) ) - (instance current_state_ns_0_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance next_address_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B+A)+C A))")) ) - (instance next_state_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance current_state_ns_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B+A))")) ) - (instance next_API_DATA_OUT_1_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance current_state_ns_0_o2_0_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance next_API_DATA_OUT_1_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_1_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance next_state_1_sqmuxa_1_0_a2_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) ) - (instance next_API_DATA_OUT_1_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_1_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance current_state_ns_0_i_s_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) ) - (instance next_API_DATA_OUT_1_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_DAT_READ_ENABLE_OUT_3_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance current_state_RNIME6J2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A))+D (B+A))")) + ) + (instance current_state_RNINQ5J2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A))+D (B+A))")) ) - (instance next_DAT_READ_ENABLE_OUT_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance current_state_ns_i_a2_RNIMNKQ_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A))")) + (instance next_API_DATA_OUT_1_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_1_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) + (instance next_API_DATA_OUT_1_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance current_state_RNI7LPA1_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B+C (!B+A)))")) ) - (instance current_state_ns_0_a3_0_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) - ) (instance current_state_ns_0_i_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C B+C (B+!A)))")) ) - (instance next_COMMON_CTRL_REG_STROBEc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance reg_fsm_unknown_3_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C !B)+D !B)")) ) - (instance current_state_ns_0_a3_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance current_state_RNI54RK1_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) - (instance fsm_un29_next_api_data_out_m7_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A))+D (B !A))")) + (instance next_global_time_write_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance fsm_un230_next_api_data_out_m261_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance next_COMMON_CTRL_REG_STROBEc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+A)))")) ) - (instance fsm_un230_next_api_data_out_m265_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance current_state_ns_i_i_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C !B))")) ) - (instance fsm_un230_next_api_data_out_m259_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance current_state_RNIK5KI2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A+B !A)))")) + ) + (instance fsm_un2_timeout_counter_i_o2_1_RNIAGPT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+A))+D (!B+A))")) ) (instance rom_read_addr_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance current_state_RNIK5KI2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A+B !A)))")) + (instance un1_next_state_5_sqmuxa_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !A+C (B !A)))")) ) (instance fsm_un104_next_api_data_out_m519_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) @@ -90255,13 +90527,13 @@ (instance fsm_un104_next_api_data_out_m259_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m516_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)))")) - ) - (instance fsm_un104_next_api_data_out_m260_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m261_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m261_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m512_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) + ) + (instance fsm_un104_next_api_data_out_m256_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance fsm_un104_next_api_data_out_m513_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -90276,13 +90548,25 @@ (instance fsm_un104_next_api_data_out_m258_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance fsm_un29_next_api_data_out_m7_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A))+D (B !A))")) + ) (instance fsm_un29_next_api_data_out_m4_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A))+D (B !A))")) ) + (instance fsm_un230_next_api_data_out_m265_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance fsm_un230_next_api_data_out_m262_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m260_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m259_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance fsm_un230_next_api_data_out_m4_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A)))")) + ) + (instance fsm_un230_next_api_data_out_m261_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance fsm_un230_next_api_data_out_m256_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -90300,92 +90584,97 @@ (instance fsm_un230_next_api_data_out_m271_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance fsm_un230_next_api_data_out_m266_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance fsm_un230_next_api_data_out_m267_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance fsm_un230_next_api_data_out_m11_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) + ) (instance fsm_un230_next_api_data_out_m268_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m270_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m264_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance un1_next_nomoredata_1_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C (!B A))+D !B)")) + (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance fsm_un29_next_api_data_out_m11_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+!A)+D (!C (B !A)+C B))")) + (instance fsm_un29_next_api_data_out_m12_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C (B+A)))")) ) - (instance fsm_un29_next_api_data_out_m15_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m11_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C (B+A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_m10_6_03_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A+C B)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D (!C (B+A)+C B))")) + (instance current_state_ns_i_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D A)")) + ) + (instance fsm_un169_next_api_data_out_m8_6_03_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D B)")) + ) + (instance next_unknown_1_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (C B))")) ) (instance fsm_un29_next_api_data_out_m8_6_03_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !A)+D B)")) ) - (instance fsm_un29_next_api_data_out_m5_6_03_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) (instance fsm_un29_next_api_data_out_m9_6_03_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance fsm_un29_next_api_data_out_m5_6_03_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance next_unknown_1_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (C B))")) + (instance current_state_ns_0_i_s_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (B !A)))")) ) - (instance current_state_ns_0_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !B+C (!B !A)))")) + (instance current_state_ns_i_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B+A)+C A))")) ) (instance current_state_RNI5RJ72_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C !A)")) ) - (instance fsm_un29_next_api_data_out_m10_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance current_state_RNIIULQ_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C A)")) - ) - (instance fsm_un230_next_api_data_out_m14_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) - ) - (instance COMMON_REGISTERS_OUT_write_enable_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance next_API_DATA_OUT_1_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C B+C (B+A)))")) ) - (instance REGISTERS_OUT_write_enable_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) + (instance next_API_DATA_OUT_1_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_1_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C B+C (B+A)))")) ) - (instance current_state_ns_0_o2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance next_API_DATA_OUT_1_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance current_state_nss_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+!A)))")) ) - (instance fsm_un104_next_api_data_out_m0_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m6_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) ) - (instance fsm_un104_next_api_data_out_m0_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(!B+A)))")) + (instance fsm_un230_next_api_data_out_m6_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m5_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) + (instance next_API_DATA_OUT_18_i_a2_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B !A))+D (!C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m5_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (instance current_state_ns_i_i_a2_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A)+C !A)")) ) - (instance current_state_ns_0_a3_6_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance REGISTERS_OUT_write_enable_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance fsm_un230_next_api_data_out_m9_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) + (instance COMMON_REGISTERS_OUT_write_enable_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance reg_fsm_length_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C+(!B+!A)))")) + (instance fsm_un230_next_api_data_out_m9_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) - (instance current_state_ns_0_a3_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (instance COMMON_REGISTERS_OUT_write_enable_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) (instance fsm_un104_next_api_data_out_m7_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) @@ -90399,6 +90688,9 @@ (instance fsm_un104_next_api_data_out_m3_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) ) + (instance fsm_un104_next_api_data_out_m4_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(!B+A)))")) + ) (instance fsm_un104_next_api_data_out_m4_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) ) @@ -90408,131 +90700,116 @@ (instance fsm_un104_next_api_data_out_m5_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B+A)+C !B))")) ) + (instance fsm_un104_next_api_data_out_m0_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B+!A)))")) + ) (instance fsm_un104_next_api_data_out_m1_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) ) (instance fsm_un104_next_api_data_out_m2_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) ) - (instance fsm_un230_next_api_data_out_m7_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (instance fsm_un230_next_api_data_out_m9_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B+!A)))")) ) (instance fsm_un230_next_api_data_out_m7_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D A)")) ) (instance fsm_un230_next_api_data_out_m7_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) - ) - (instance fsm_un230_next_api_data_out_m6_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) - ) - (instance fsm_un230_next_api_data_out_m6_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) + (property lut_function (string "(D (C+(B+!A)))")) ) (instance fsm_un230_next_api_data_out_m3_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m3_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(!B+A)))")) + ) + (instance fsm_un230_next_api_data_out_m4_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D A)")) ) - (instance fsm_un230_next_api_data_out_m4_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C (B !A)))")) + (instance fsm_un230_next_api_data_out_m5_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B+!A)))")) ) - (instance fsm_un230_next_api_data_out_m4_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (instance fsm_un230_next_api_data_out_m5_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m0_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m0_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (property lut_function (string "(D (C+(!B+A)))")) ) (instance fsm_un230_next_api_data_out_m1_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m1_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (property lut_function (string "(D (C+(!B+A)))")) ) (instance fsm_un230_next_api_data_out_m2_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (property lut_function (string "(D (C+(!B+A)))")) ) (instance fsm_un230_next_api_data_out_m2_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m13_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m13_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) + ) + (instance fsm_un230_next_api_data_out_m14_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B A))+D A)")) ) - (instance fsm_un230_next_api_data_out_m14_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (instance fsm_un230_next_api_data_out_m14_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m15_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m15_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m10_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) - ) - (instance fsm_un230_next_api_data_out_m10_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m10_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) + (property lut_function (string "(D (C+(B+!A)))")) ) (instance fsm_un230_next_api_data_out_m11_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) - ) - (instance fsm_un230_next_api_data_out_m11_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m12_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m12_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) - ) - (instance fsm_un230_next_api_data_out_m8_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) - (instance current_state_ns_0_i_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A))+D C)")) + (instance fsm_un230_next_api_data_out_m8_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) - (instance current_state_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C B+C (B+!A)))")) + (instance fsm_un230_next_api_data_out_m8_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B+!A)))")) ) - (instance buf_rom_read_addr_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(B+!A)))")) + (instance next_state_2_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance un1_next_length_1_sqmuxa_0_a (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance fsm_un29_next_api_data_out_m9_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance COMMON_REGISTERS_OUT_write_enable_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance fsm_un29_next_api_data_out_m13_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance next_global_time_write_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance REGISTERS_OUT_write_enable_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance fsm_un169_next_api_data_out_m7_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance fsm_un29_next_api_data_out_m12_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (B !A)))")) - ) - (instance fsm_un29_next_api_data_out_m9_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) (instance next_DAT_READ_ENABLE_OUT_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !A+C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance current_state_ns_i_a3_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_ns_0_a3_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance un1_next_nomoredata_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+A))+D C)")) + (instance next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) (instance fsm_un29_next_api_data_out_m1_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) @@ -90546,81 +90823,29 @@ (instance fsm_un29_next_api_data_out_m0_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) ) + (instance fsm_un29_next_api_data_out_m15_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C (B !A)))")) + ) (instance fsm_un29_next_api_data_out_m2_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance fsm_un169_next_api_data_out_m8_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance current_state_ns_i_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D !C)")) - ) - (instance un1_next_address_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C (!B+!A)+C !A))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_m10_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance reg_fsm_length_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance current_state_ns_0_i_s_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !A+C (B !A)))")) ) - (instance current_state_ns_i_m4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (!B+!A)))")) + (instance rom_read_addr_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+A))")) ) (instance rom_read_addr_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance rom_read_addr_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance current_state_ns_i_m4_i_m2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (!C (B A)+C (!B+A)))")) ) - (instance current_state_RNI188F2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B !A))+D (!C (B+A)))")) + (instance current_state_RNI2BP74_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D (!C (B A)+C A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C+(!B !A)))")) @@ -90629,13 +90854,13 @@ (property lut_function (string "(!C (!B A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+A))+D (!C+B))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (C+!B))")) ) @@ -90657,115 +90882,124 @@ (property lut_function (string "(!C (!B A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_state_nss_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+!A)))")) - ) - (instance REGISTERS_OUT_write_enable_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance next_address_i_m2_cry_0_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un3_dat_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance next_address_i_m2_cry_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un4_dat_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance next_address_i_m2_cry_1_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance current_state_ns_0_i_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B A)))")) + (instance next_address_i_m2_cry_3_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance current_state_ns_0_i_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (!C A+C (B+A)))")) + (instance next_address_i_m2_cry_3_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance current_state_ns_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) + (instance next_address_i_m2_cry_5_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_READ_OUT_2_sqmuxa_RNI84T91 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance next_address_i_m2_cry_5_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance un1_next_nomoredata_0_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B+!A))")) + (instance next_address_i_m2_cry_7_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance REGISTERS_OUT_write_enable_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance next_address_i_m2_cry_7_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance un1_next_state_5_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) + (instance next_address_i_m2_cry_9_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance current_state_ns_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(B+A)))")) + (instance next_address_i_m2_cry_9_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance current_state_ns_i_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C (!B !A)))")) + (instance next_address_i_m2_cry_11_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance un1_next_address_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B+!A)+C !A))")) + (instance next_address_i_m2_cry_11_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_state_2_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance next_address_i_m2_cry_13_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un104_next_api_data_out_m4_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (C+B))")) + (instance next_address_i_m2_cry_13_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un104_next_api_data_out_m2_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (C+B))")) + (instance addr_counter_enable_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B+A))")) ) - (instance fsm_un104_next_api_data_out_m0_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (C (!B !A)))")) + (instance un1_next_nomoredata_0_sqmuxa_2_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) ) - (instance fsm_un230_next_api_data_out_m9_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C !B)+D (!B !A))")) + (instance current_state_ns_0_i_s_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) ) - (instance fsm_un230_next_api_data_out_m8_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C !B)+D (!B !A))")) + (instance un1_next_nomoredata_0_sqmuxa_1_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) ) - (instance fsm_un104_next_api_data_out_m3_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (C+B))")) + (instance current_state_ns_0_i_s_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (B+A))")) ) - (instance fsm_un104_next_api_data_out_m1_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (C+B))")) + (instance current_state_ns_i_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B A))+D C)")) ) - (instance fsm_un104_next_api_data_out_m7_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (C+B))")) + (instance current_state_RNIVD6M1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C A)")) ) - (instance current_state_ns_0_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (!C !A+C (B !A)))")) + (instance current_state_ns_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+!A)))")) + (instance next_timeout_counter_0_o2_0_RNIB2H31_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B !A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance length_lm_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_17_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D (!C A+C B))")) + (instance un1_next_nomoredata_0_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance next_API_DATA_OUT_17_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D (!C A+C B))")) + (instance un1_next_state_5_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + (instance current_state_ns_0_i_s_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (!C A+C (!B+A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance fsm_un230_next_api_data_out_m7_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (B !A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+!A)))")) + (instance fsm_un104_next_api_data_out_m0_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (C+B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance fsm_un230_next_api_data_out_m14_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (B !A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_17_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D (!C A+C B))")) + (instance fsm_un104_next_api_data_out_m4_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (C (!B !A)))")) ) - (instance next_API_DATA_OUT_17_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance fsm_un104_next_api_data_out_m3_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (C+B))")) ) - (instance next_API_DATA_OUT_17_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance fsm_un230_next_api_data_out_m4_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (C (!B !A)))")) + ) + (instance fsm_un104_next_api_data_out_m1_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (C+B))")) + ) + (instance fsm_un104_next_api_data_out_m2_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (C+B))")) + ) + (instance fsm_un104_next_api_data_out_m7_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (C+B))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance next_API_DATA_OUT_17_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_17_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -90773,26 +91007,16 @@ (property lut_function (string "(B A)")) ) (instance next_API_DATA_OUT_17_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance rom_read_addr_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A)))")) - ) - (instance next_API_DATA_OUT_2_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A)))")) - ) - (instance next_API_DATA_OUT_2_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) - ) - (instance next_API_DATA_OUT_2_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_17_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A)+D (!C A+C B))")) ) - (instance next_API_DATA_OUT_17_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+!A)))")) ) - (instance next_API_DATA_OUT_17_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance next_API_DATA_OUT_17_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_17_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -90800,6 +91024,19 @@ (property lut_function (string "(B A)")) ) (instance next_API_DATA_OUT_17_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_17_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance next_API_DATA_OUT_17_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance next_API_DATA_OUT_17_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_17_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C A+C B))")) + ) + (instance rom_read_addr_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A)))")) + ) (instance next_API_DATA_OUT_17_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -90807,16 +91044,25 @@ (property lut_function (string "(B A)")) ) (instance next_API_DATA_OUT_17_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_17_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C A+C B))")) + ) (instance next_API_DATA_OUT_17_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A)+D (!C A+C B))")) ) - (instance next_API_DATA_OUT_17_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance next_API_DATA_OUT_17_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C A+C B))")) ) - (instance next_API_DATA_OUT_17_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_API_DATA_OUT_2_i_m2_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance next_API_DATA_OUT_2_i_m2_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + ) + (instance next_API_DATA_OUT_2_i_m2_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_17_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C A+C B))")) ) - (instance next_API_DATA_OUT_17_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_17_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A)+D (!C A+C B))")) ) @@ -90834,6 +91080,13 @@ (property lut_function (string "(!C (!B A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+!A)))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C+(!B !A)))")) ) @@ -90841,16 +91094,6 @@ (property lut_function (string "(!C (!B A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (B A))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+!A)))")) ) @@ -90858,61 +91101,125 @@ (property lut_function (string "(!C (!B A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_13_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance length_lm_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) ) - (instance reg_fsm_unknown_3_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) + (instance length_lm_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) ) - (instance next_API_DATA_OUT_13_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance length_lm_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) ) - (instance current_state_ns_i_a3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+!A)))")) + (instance length_lm_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) ) - (instance rom_read_addr_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A)+D A)")) + (instance length_lm_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance buf_API_DATAREADY_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!A)+D (!C (B !A)+C B))")) + ) + (instance lengthe_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C (!B !A)))")) + ) + (instance next_API_SHORT_TRANSFER_OUT_f0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D A)")) ) (instance rom_read_addr_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A)+D A)")) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_13_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance rom_read_addr_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance next_API_DATA_OUT_13_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_8_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C A+C (B+A)))")) + ) + (instance next_API_DATA_OUT_2_i_m2_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance next_API_DATA_OUT_2_i_m2_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + ) + (instance next_API_DATA_OUT_2_i_m2_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_13_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance fsm_un2_timeout_counter (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance next_API_DATA_OUT_13_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance current_state_ns_0_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (!C+(B A)))")) + (instance next_API_DATA_OUT_13_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance current_state_ns_0_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !B)+D (!C A+C (!B+A)))")) + (instance current_state_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C !A)")) ) - (instance current_state_ns_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) + (instance current_state_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C !B))")) ) - (instance current_state_ns_0_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C B+C (B+!A)))")) + (instance current_state_ns_0_i_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (!C A+C (B+A)))")) + ) + (instance next_address_i_m2_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (B A))")) + ) + (instance fsm_un230_next_api_data_out_m6_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) ) (instance fsm_un230_next_api_data_out_m5_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) ) + (instance current_state_ns_0_i_s_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance current_state_ns_i_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B A)))")) + ) (instance fsm_un230_next_api_data_out_m9_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C B)")) + (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) - (instance fsm_un230_next_api_data_out_m6_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) + (instance current_state_ns_0_i_s_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B !A))")) ) (instance fsm_un230_next_api_data_out_m13_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) - (instance fsm_un230_next_api_data_out_m14_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m15_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) - (instance fsm_un230_next_api_data_out_m15_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m10_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) (instance fsm_un230_next_api_data_out_m11_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -90922,307 +91229,167 @@ (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) (instance fsm_un230_next_api_data_out_m8_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B+!A))")) - ) - (instance next_API_DATA_OUT_2_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B !A)))")) - ) - (instance next_API_DATA_OUT_2_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) - ) - (instance next_API_DATA_OUT_2_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_2_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B))")) - ) - (instance next_API_DATA_OUT_2_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) - (instance next_API_DATA_OUT_2_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_2_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A)))")) + (instance next_API_DATA_OUT_10_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_2_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + (instance next_API_DATA_OUT_10_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_2_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_2_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_2_i_m2_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A)))")) ) - (instance next_API_DATA_OUT_2_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_2_i_m2_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance next_API_DATA_OUT_2_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance reg_fsm_length_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C !A)+D B)")) - ) - (instance next_address_i_m2_cry_0_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_1_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_3_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_3_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_5_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_5_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (C+B))")) ) - (instance next_address_i_m2_cry_7_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance next_address_i_m2_cry_7_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_10_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_address_i_m2_cry_9_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C !B))")) ) - (instance next_address_i_m2_cry_9_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance next_address_i_m2_cry_11_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_2_i_m2_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C !B))")) ) - (instance next_address_i_m2_cry_11_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance next_address_i_m2_cry_13_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_2_i_m2_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) ) - (instance next_address_i_m2_cry_13_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance nomoredata_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!C+(!B A)))")) + (instance next_API_DATA_OUT_2_i_m2_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance unknown_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) ) (instance buf_DAT_READ_ENABLE_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B !A)))")) - ) - (instance dont_understand_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(B A))")) - ) - (instance next_API_DATA_OUT_13_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C B))")) - ) - (instance next_API_DATA_OUT_13_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + (property lut_function (string "(C+(B+A))")) ) - (instance next_API_DATA_OUT_13_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_13_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_13_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_state_ns_i_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C (!B !A))+D (!B !A))")) - ) - (instance next_API_DATA_OUT_13_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_13_i_m2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance un1_current_state_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A)+C !A)")) + (instance next_API_DATA_OUT_8_i_m2_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance next_API_DATA_OUT_13_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_API_DATA_OUT_8_i_m2_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (B A))")) + ) + (instance next_API_DATA_OUT_8_i_m2_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_a2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B A))+D (!C (B !A)))")) ) (instance next_API_DATA_OUT_13_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance next_API_DATA_OUT_8_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C B))")) + (property lut_function (string "(C (B !A))")) ) (instance next_API_DATA_OUT_8_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (property lut_function (string "(!D (B+A)+D C)")) ) (instance next_API_DATA_OUT_8_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_state_ns_0_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C (B+A)+C B))")) + (instance current_state_ns_0_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+A)))")) ) (instance current_state_ns_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) - ) - (instance next_address_i_m2_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)+C A))")) - ) - (instance next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance next_API_DATA_OUT_14_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D B)")) - ) - (instance next_API_DATA_OUT_14_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D B)")) - ) - (instance next_API_DATA_OUT_14_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_9_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (B+A))")) - ) - (instance next_API_DATA_OUT_9_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (property lut_function (string "(!D (!C (B+A)+C B)+D B)")) ) - (instance next_API_DATA_OUT_9_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_1_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (!C+(B+A)))")) + (instance current_state_ns_0_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance next_API_DATA_OUT_1_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_1_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (!C+(B+A)))")) ) (instance next_API_DATA_OUT_1_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_9_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (B+A))")) - ) - (instance next_API_DATA_OUT_9_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_i_m2_1_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance next_API_DATA_OUT_9_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_9_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_i_m2_1_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_9_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_i_m2_1_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_12_i_m2_1_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance next_API_DATA_OUT_9_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_9_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_i_m2_1_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_9_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_i_m2_1_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_9_i_m2_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance next_API_DATA_OUT_9_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_9_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_9_i_m2_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_9_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_9_i_m2_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_m2_2_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance next_API_DATA_OUT_9_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance unknown_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C B+C (B+!A)))")) - ) - (instance timeout_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(C (B A)))")) - ) - (instance next_API_DATA_OUT_14_i_m2_11 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D C)")) - ) - (instance next_API_DATA_OUT_14_i_m2_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_13 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D C)")) - ) - (instance next_API_DATA_OUT_14_i_m2_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A)))")) - ) - (instance next_API_DATA_OUT_12_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_12_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_10_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) - ) - (instance next_API_DATA_OUT_12_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_13_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (B A))")) - ) - (instance next_API_DATA_OUT_13_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) - ) - (instance next_API_DATA_OUT_13_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A)))")) - ) - (instance next_API_DATA_OUT_12_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) + (instance next_API_DATA_OUT_18_i_m2_2_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C (!B+!A))")) ) - (instance next_API_DATA_OUT_12_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_12_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) + (instance next_API_DATA_OUT_18_i_m2_2_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_9_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance next_API_DATA_OUT_12_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D A)")) + (instance next_API_DATA_OUT_9_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_19_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) + (instance next_API_DATA_OUT_9_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_1_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (!C+(B+A)))")) ) - (instance next_API_DATA_OUT_14_i_m2_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D C)")) + (instance next_API_DATA_OUT_1_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_14_i_m2_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_SHORT_TRANSFER_OUT_f0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) + (instance next_API_DATA_OUT_18_i_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_12_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_19_12 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_19_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_19_14 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_19_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_18_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C B+C (B !A)))")) + ) + (instance next_API_DATA_OUT_16_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_16_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -91230,56 +91397,63 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_API_DATA_OUT_16_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D B)")) + ) + (instance next_API_DATA_OUT_14_i_m2_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D B)")) + ) + (instance next_API_DATA_OUT_14_i_m2_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_18_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_16_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -91287,27 +91461,32 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_API_DATA_OUT_16_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_18_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_API_DATA_OUT_14_i_m2_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D B)")) + ) + (instance next_API_DATA_OUT_14_i_m2_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D C)")) ) - (instance next_API_DATA_OUT_18_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_14_i_m2_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D B)")) ) - (instance next_API_DATA_OUT_18_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance next_API_DATA_OUT_14_i_m2_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D C)")) ) - (instance next_API_DATA_OUT_16_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance next_API_DATA_OUT_14_i_m2_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D B)")) ) - (instance next_API_DATA_OUT_16_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance next_API_DATA_OUT_14_i_m2_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D C)")) ) - (instance next_API_DATA_OUT_16_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance next_API_DATA_OUT_14_i_m2_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_12 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_11 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C B+C (B !A)))")) ) - (instance next_API_DATA_OUT_16_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_16_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -91315,73 +91494,136 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_API_DATA_OUT_16_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_19_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_12_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_12_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_13_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_12_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_12_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_12_i_m2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_12_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_13_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_12_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_14_i_m2_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_12_i_m2_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_12_i_m2_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D B)")) + ) + (instance next_API_DATA_OUT_14_i_m2_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D C)")) + ) + (instance next_API_DATA_OUT_14_i_m2_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D B)")) + ) + (instance next_API_DATA_OUT_14_i_m2_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D C)")) + ) + (instance next_API_DATA_OUT_14_i_m2_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_12_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_12_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D B)")) + ) + (instance next_API_DATA_OUT_20_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_20_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_API_DATA_OUT_20_12 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_13 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_20_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_20_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_API_DATA_OUT_20_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_19_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_20_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_19_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_19_14 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_20_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_20_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+!A)))")) ) - (instance next_API_DATA_OUT_21_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_18_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D B)")) + (instance next_API_DATA_OUT_19_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_19_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C !A)+D (!C B))")) ) - (instance next_API_DATA_OUT_20_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D B)")) + (instance next_API_DATA_OUT_21_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_20_12 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_21_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_21_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_21_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_21_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_21_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_14 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_20_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_21_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_21_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_21_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_21_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_21_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_21_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_21_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_21_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_21_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -91389,121 +91631,119 @@ (property lut_function (string "(!C B+C A)")) ) (instance next_API_DATA_OUT_21_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_21_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_21_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_21_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_21_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (property lut_function (string "(!C A+C B)")) ) (instance next_API_DATA_OUT_21_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_API_DATA_OUT_21_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_21_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_21_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_21_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_21_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (property lut_function (string "(!C A+C B)")) ) (instance next_API_DATA_OUT_21_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_API_DATA_OUT_21_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance next_API_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_API_DATA_OUT_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance next_API_DATA_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D B)")) ) - (instance next_API_DATA_OUT_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C (!B A))")) ) - (instance next_API_DATA_OUT_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_API_DATA_OUT_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (property lut_function (string "(!D (!C B+C !A)+D (!C B))")) ) (instance next_API_DATA_OUT_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_API_DATA_OUT_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_API_DATA_OUT_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_API_DATA_OUT_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_API_DATA_OUT_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_API_DATA_OUT_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) ) + (instance next_API_DATA_OUT_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_15_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_15_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_15_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_i_m2_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_15_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_18_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_18_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_13_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B+A)+C (B A)))")) ) - (instance next_API_DATA_OUT_12_bm_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) - ) - (instance next_API_DATA_OUT_12_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) + (instance next_API_DATA_OUT_20_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B+A)+C (B A)))")) ) - (instance next_API_DATA_OUT_12_bm_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) ) - (instance next_API_DATA_OUT_12_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) (instance next_API_DATA_OUT_1_bm_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -91512,50 +91752,35 @@ (instance next_API_DATA_OUT_1_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance next_API_DATA_OUT_1_bm_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) - ) - (instance next_API_DATA_OUT_1_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) - ) - (instance current_state_ns_0_i_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (C (!B A)))")) - ) - (instance current_state_ns_0_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C+(B+A)))")) - ) - (instance fsm_un29_next_api_data_out_m8_6_03_i_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C !B))")) + (instance next_API_DATA_OUT_1_bm_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C (!B !A+B A))+D (!C !A+C (!B !A)))")) ) - (instance fsm_un29_next_api_data_out_m8_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance next_API_DATA_OUT_1_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C !B)+D (!C (B+A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m8_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A))+D (!C (!B+A)+C !B))")) + (instance next_API_DATA_OUT_1_bm_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C (!B !A+B A))+D (!C !A+C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m8_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C !B))")) + (instance next_API_DATA_OUT_1_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C !B)+D (!C (B+A)+C !B))")) ) - (instance next_API_DATA_OUT_1_bm_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) ) - (instance next_API_DATA_OUT_1_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance next_API_DATA_OUT_1_bm_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) ) - (instance next_API_DATA_OUT_1_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance next_API_DATA_OUT_1_bm_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_18_i_a2_2_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) ) - (instance next_API_DATA_OUT_1_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) - ) - (instance fsm_op_eq_next_state60_RNIRIQP1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + (instance next_API_DATA_OUT_18_i_a2_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D (!C (B A)+C (!B A)))")) ) (instance DAT_DATAREADY_IN_befored_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(B !A))")) @@ -91566,20 +91791,23 @@ (instance fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance current_state_ns_0_a3_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance API_SEND_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A)+D A)")) + ) + (instance fsm_un104_next_api_data_out_m11_8_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C (B !A))+D (B !A))")) + (instance fsm_un2_timeout_counter_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) ) - (instance next_nomoredata_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance current_state_ns_0_a3_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance next_address_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance un1_next_nomoredata_0_sqmuxa_2_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C+(B A)))")) ) - (instance COMMON_REGISTERS_OUT_write_enable_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance un1_current_state3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (!B !A))")) ) (instance next_address_i_m2_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -91635,343 +91863,343 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance global_time_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a03")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_17 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_17 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_19 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_19 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_21 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_21 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_23 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_23 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_25 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_25 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_27 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_27 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_29 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_29 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_s_0_31 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_s_0_31 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd805")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance time_since_last_trg_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a03")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_17 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_17 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_19 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_19 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_21 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_21 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_23 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_23 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_25 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_25 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_27 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_27 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_29 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_29 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_s_0_31 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_s_0_31 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd805")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_length_1_sqmuxa_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance length_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_s_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xa60a")) + (instance length_s_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_local_time_i_1_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "YES")) + (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_local_time_i_1_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_local_time_i_1_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_local_time_i_1_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_local_time_i_1_s_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_s_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un3_local_time_i_1_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) + (property INJECT1_1 (string "YES")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un3_local_time_i_1_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un3_local_time_i_1_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un3_local_time_i_1_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_s_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un3_local_time_i_1_s_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) @@ -92044,15 +92272,140 @@ (portRef Z (instanceRef timeout_counter_RNO_0)) (portRef D (instanceRef timeout_counter_0)) )) - (net DAT_DATAREADY_IN_before_Q (joined - (portRef Q (instanceRef DAT_DATAREADY_IN_before)) - (portRef C (instanceRef DAT_DATAREADY_IN_befored_1)) - (portRef B (instanceRef current_state_ns_0_a2_0_2_12)) - (portRef C (instanceRef DAT_DATAREADY_IN_before_RNIHUV01)) + (net N_5874 (joined + (portRef Z (instanceRef next_global_time_write_3_sqmuxa_0)) + (portRef B (instanceRef current_state_ns_0_i_s_6)) + (portRef A (instanceRef next_global_time_write_3_sqmuxa)) + (portRef B (instanceRef next_global_time_write_3_sqmuxa_0_RNIC9AD)) + (portRef A (instanceRef next_global_time_write_3_sqmuxa_0_RNIBN9D)) + (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_1)) + (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + )) + (net N_5834 (joined + (portRef Z (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0)) + (portRef A (instanceRef next_API_DATA_OUT_12_8)) + (portRef A (instanceRef next_API_DATA_OUT_12_13)) + (portRef A (instanceRef next_API_DATA_OUT_12_i_m2_12)) + (portRef A (instanceRef next_API_DATA_OUT_12_11)) + (portRef A (instanceRef next_API_DATA_OUT_12_10)) + (portRef A (instanceRef next_API_DATA_OUT_12_15)) + (portRef A (instanceRef next_API_DATA_OUT_12_5)) + (portRef A (instanceRef next_API_DATA_OUT_12_6)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_14)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_9_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_18_i_m2_2_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_9_i_m2_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_12_i_m2_1_2)) + (portRef C0 (instanceRef next_API_DATA_OUT_12_i_m2_1_3)) + (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) + (portRef D (instanceRef next_API_DATA_OUT_18_bm_9)) + (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + )) + (net reg_enable_pattern_m_0_0 (joined + (portRef Z (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_0)) + )) + (net regio_addr_i_7 (joined + (portRef Q (instanceRef address_7)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_0)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_1)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_6)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_5)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_3)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_2)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_8)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_12)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_13)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_10)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_11)) + (portRef C (instanceRef next_API_DATA_OUT_18_15)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_7)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_6)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_5)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_11)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_13)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_14)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_15)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_8)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_9)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_10)) + (portRef C (instanceRef next_API_DATA_OUT_10_7)) + (portRef C (instanceRef next_address_i_m2_cry_7_0_RNO)) + (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) + (portRef B (instanceRef address_RNI5G1_6)) + (portRef B (instanceRef fsm_un38_api_dataready_in_i_o2)) + (portRef B (instanceRef rom_read_addr_1_sqmuxa_2)) + (portRef B (instanceRef next_STAT_REG_STROBE_1_sqmuxa_1_0_a2_0)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_4_4)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_3_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_2)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_18_9)) + (portRef C (instanceRef address_RNI6G2_4)) + (portRef B (instanceRef address_RNIGEKU_6)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_1_RNO_14)) + (portRef C (instanceRef next_global_time_write_3_sqmuxa_0_RNIC9AD)) + (portRef B (instanceRef next_global_time_write_3_sqmuxa_0_RNIBN9D)) + (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_1)) + (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + (portRef regio_addr_i_7) + )) + (net regio_addr_i_6 (joined + (portRef Q (instanceRef address_6)) + (portRef SD (instanceRef next_API_DATA_OUT_12_0)) + (portRef SD (instanceRef next_API_DATA_OUT_12_1)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) + (portRef SD (instanceRef next_API_DATA_OUT_12_i_m2_2)) + (portRef SD (instanceRef next_API_DATA_OUT_12_i_m2_3)) + (portRef D (instanceRef next_API_DATA_OUT_12_8)) + (portRef D (instanceRef next_API_DATA_OUT_12_13)) + (portRef D (instanceRef next_API_DATA_OUT_12_i_m2_12)) + (portRef D (instanceRef next_API_DATA_OUT_12_11)) + (portRef D (instanceRef next_API_DATA_OUT_12_10)) + (portRef D (instanceRef next_API_DATA_OUT_12_15)) + (portRef D (instanceRef next_API_DATA_OUT_12_5)) + (portRef D (instanceRef next_API_DATA_OUT_12_6)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_13)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_15)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_6)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_5)) + (portRef C (instanceRef next_API_DATA_OUT_10_6)) + (portRef C (instanceRef next_address_i_m2_cry_5_0_RNO_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_8)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_10)) + (portRef D (instanceRef next_unknown_1_sqmuxa_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_11)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_12)) + (portRef D (instanceRef next_COMMON_CTRL_REG_STROBEc_3)) + (portRef C (instanceRef rom_read_addr_1_sqmuxa_1)) + (portRef A (instanceRef address_RNI5G1_6)) + (portRef A (instanceRef fsm_un38_api_dataready_in_i_o2)) + (portRef A (instanceRef next_STAT_REG_STROBE_1_sqmuxa_1_0_a2_0)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_3)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_2)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_0)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) + (portRef A (instanceRef next_API_DATA_OUT_18_bm_9)) + (portRef D (instanceRef address_RNI6G2_4)) + (portRef C (instanceRef address_RNIGEKU_6)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_1_RNO_14)) + (portRef A (instanceRef next_global_time_write_3_sqmuxa_0_RNIC9AD)) + (portRef C (instanceRef next_global_time_write_3_sqmuxa_0_RNIBN9D)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_RNO_1)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + (portRef regio_addr_i_6) )) - (net next_API_DATA_OUT_sn_m14_1 (joined - (portRef Z (instanceRef DAT_DATAREADY_IN_before_RNIHUV01)) - (portRef D (instanceRef fsm_op_eq_next_state60_RNIRIQP1)) + (net reg_enable_pattern_m_0_1 (joined + (portRef Z (instanceRef REGISTERS_OUT_write_enable_RNO_1)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_1)) )) (net global_time_9 (joined (portRef Q (instanceRef global_time_i_0_9)) @@ -92063,366 +92416,535 @@ )) (net dat_data_counter_5 (joined (portRef Q (instanceRef dat_data_counter_5)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_5_0)) (portRef B (instanceRef next_API_DATA_OUT_20_am_RNO_5)) )) (net current_state_2 (joined (portRef Q (instanceRef current_state_2)) (portRef C (instanceRef current_state_RNI5RJ72_2)) (portRef B (instanceRef current_state_RNI7LPA1_16)) - (portRef A (instanceRef current_state_ns_i_a2_RNIMNKQ_8)) + (portRef C (instanceRef current_state_RNINQ5J2_16)) (portRef B (instanceRef current_state_RNIQS091_2)) - (portRef A (instanceRef un1_current_state_4_i_a2_1)) - (portRef A (instanceRef un1_current_state_6_0_a2)) + (portRef A (instanceRef un1_current_state_5_i_a2_1)) (portRef A (instanceRef current_state_RNI9UQC_7)) - (portRef B (instanceRef current_state_ns_i_RNO_16)) (portRef C (instanceRef next_API_DATA_OUT_17_RNO_7)) - (portRef C (instanceRef next_API_DATA_OUT_17_RNO_4)) (portRef C (instanceRef next_API_DATA_OUT_17_RNO_9)) + (portRef C (instanceRef next_API_DATA_OUT_20_RNO_2)) + (portRef C (instanceRef next_API_DATA_OUT_17_RNO_11)) + (portRef C (instanceRef next_API_DATA_OUT_17_RNO_10)) + (portRef C (instanceRef next_API_DATA_OUT_am_RNO_4)) (portRef C (instanceRef next_API_DATA_OUT_17_RNO_1)) + (portRef C (instanceRef next_API_DATA_OUT_17_RNO_14)) (portRef C (instanceRef next_API_DATA_OUT_17_RNO_3)) - (portRef C (instanceRef next_API_DATA_OUT_20_RNO_13)) - (portRef C (instanceRef next_API_DATA_OUT_20_RNO_6)) - (portRef C (instanceRef next_API_DATA_OUT_17_RNO_11)) + (portRef A (instanceRef current_state_ns_i_0_RNO_16)) + (portRef C (instanceRef next_API_DATA_OUT_20_am_RNO_6)) (portRef C (instanceRef next_API_DATA_OUT_20_am_RNO_5)) )) - (net N_1554 (joined - (portRef Z (instanceRef packet_counter_RNIHNC81_1)) - (portRef B (instanceRef un1_next_length_1_sqmuxa_0_a)) - (portRef B (instanceRef buf_rom_read_addr_RNO_0)) + (net N_1556 (joined + (portRef Z (instanceRef packet_counter_RNIHNC81_0_1)) (portRef B (instanceRef current_state_RNI5RJ72_2)) - (portRef B (instanceRef current_state_RNIK5KI2_16)) (portRef B (instanceRef rom_read_addr_1_sqmuxa)) - (portRef B (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa_1)) - (portRef B (instanceRef next_state_1_sqmuxa_1)) + (portRef B (instanceRef current_state_RNIK5KI2_16)) + (portRef B (instanceRef current_state_RNINQ5J2_16)) + (portRef B (instanceRef current_state_RNIME6J2_7)) + (portRef B (instanceRef next_state_1_sqmuxa_1_0_a2_i_o2)) (portRef A (instanceRef current_state_RNIQS091_2)) (portRef D (instanceRef packet_counter_1)) + (portRef C (instanceRef buf_rom_read_addr_RNO_0)) (portRef D (instanceRef next_API_DATA_OUT_17_RNO_7)) - (portRef D (instanceRef next_API_DATA_OUT_17_RNO_4)) (portRef D (instanceRef next_API_DATA_OUT_17_RNO_9)) + (portRef D (instanceRef next_API_DATA_OUT_20_RNO_2)) + (portRef D (instanceRef next_API_DATA_OUT_17_RNO_11)) + (portRef D (instanceRef next_API_DATA_OUT_17_RNO_10)) + (portRef D (instanceRef next_API_DATA_OUT_am_RNO_4)) (portRef D (instanceRef next_API_DATA_OUT_17_RNO_1)) + (portRef D (instanceRef next_API_DATA_OUT_17_RNO_14)) (portRef D (instanceRef next_API_DATA_OUT_17_RNO_3)) - (portRef D (instanceRef next_API_DATA_OUT_20_RNO_13)) - (portRef D (instanceRef next_API_DATA_OUT_20_RNO_6)) - (portRef D (instanceRef next_API_DATA_OUT_17_RNO_11)) + (portRef D (instanceRef next_API_DATA_OUT_20_am_RNO_6)) (portRef D (instanceRef next_API_DATA_OUT_20_am_RNO_5)) )) (net next_API_DATA_OUT_20_am_1_5 (joined (portRef Z (instanceRef next_API_DATA_OUT_20_am_RNO_5)) (portRef C (instanceRef next_API_DATA_OUT_20_am_5)) )) - (net N_2687 (joined - (portRef Z (instanceRef next_API_DATA_OUT_19_14)) - (portRef A (instanceRef next_API_DATA_OUT_RNO_14)) + (net global_time_10 (joined + (portRef Q (instanceRef global_time_i_10)) + (portRef C1 (instanceRef global_time_i_cry_0_9)) + (portRef B (instanceRef next_API_DATA_OUT_4_10)) + (portRef A (instanceRef next_API_DATA_OUT_20_am_RNO_6)) + )) + (net dat_data_counter_6 (joined + (portRef Q (instanceRef dat_data_counter_6)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef B (instanceRef next_API_DATA_OUT_20_am_RNO_6)) + )) + (net next_API_DATA_OUT_20_am_1_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_am_RNO_6)) + (portRef C (instanceRef next_API_DATA_OUT_20_am_6)) )) - (net N_2669 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_14)) - (portRef B (instanceRef next_API_DATA_OUT_RNO_14)) + (net N_2695 (joined + (portRef Z (instanceRef next_API_DATA_OUT_19_15)) + (portRef A (instanceRef next_API_DATA_OUT_RNO_15)) + )) + (net N_2677 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_15)) + (portRef B (instanceRef next_API_DATA_OUT_RNO_15)) )) (net next_API_DATA_OUT_sn_N_25 (joined - (portRef Z (instanceRef address_RNI5G1_7)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_11)) - (portRef SD (instanceRef next_API_DATA_OUT_19_9)) - (portRef SD (instanceRef next_API_DATA_OUT_19_10)) - (portRef A (instanceRef next_global_time_write_0_sqmuxa)) - (portRef B (instanceRef address_RNIGEKU_0_7)) - (portRef C (instanceRef next_API_DATA_OUT_RNO_12)) - (portRef C (instanceRef next_API_DATA_OUT_RNO_14)) + (portRef Z (instanceRef address_RNI5G1_6)) + (portRef B (instanceRef next_global_time_write_0_sqmuxa)) + (portRef B (instanceRef address_RNIGEKU_0_6)) + (portRef C (instanceRef next_API_DATA_OUT_RNO_15)) )) - (net N_1553 (joined + (net N_1555 (joined (portRef Z (instanceRef packet_counter_RNIBUIU_0)) (portRef C0 (instanceRef next_API_DATA_OUT_15_0)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_2)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_6)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_8)) (portRef C0 (instanceRef next_API_DATA_OUT_15_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_13)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_i_m2_11)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_10)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_6)) (portRef C0 (instanceRef next_API_DATA_OUT_15_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_2)) (portRef A (instanceRef next_API_DATA_OUT_16_bm_0)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_3)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_14)) (portRef A (instanceRef next_API_DATA_OUT_16_bm_15)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_12)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_6)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_10)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_13)) (portRef A (instanceRef next_API_DATA_OUT_16_bm_11)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_8)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_2)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_1)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_9)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_13)) (portRef A (instanceRef next_API_DATA_OUT_16_bm_4)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_7)) - (portRef A (instanceRef un1_next_length_1_sqmuxa_0_a)) - (portRef A (instanceRef buf_rom_read_addr_RNO_0)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_14)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_9)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_10)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_12)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_1)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_3)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_2)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_8)) (portRef A (instanceRef current_state_RNI5RJ72_2)) - (portRef A (instanceRef current_state_RNIK5KI2_16)) (portRef A (instanceRef rom_read_addr_1_sqmuxa)) + (portRef A (instanceRef current_state_RNIK5KI2_16)) (portRef A (instanceRef current_state_RNI7LPA1_16)) - (portRef A (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa_1)) - (portRef A (instanceRef next_state_1_sqmuxa_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_8)) + (portRef A (instanceRef current_state_RNINQ5J2_16)) + (portRef A (instanceRef current_state_RNIME6J2_7)) + (portRef A (instanceRef next_state_1_sqmuxa_1_0_a2_i_o2)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_13)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_4)) (portRef C0 (instanceRef next_API_DATA_OUT_15_14)) - (portRef A (instanceRef buf_API_PACKET_NUM_OUT_2_0)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_9)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_15)) + (portRef A (instanceRef next_API_DATA_OUT_0_6)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_7)) (portRef A (instanceRef next_API_DATA_OUT_0_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_12)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_10)) - (portRef A (instanceRef address_RNIGEKU_0_7)) + (portRef A (instanceRef next_API_DATA_OUT_0_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_9)) + (portRef A (instanceRef address_RNIGEKU_0_6)) (portRef D (instanceRef packet_counter_0)) - (portRef A (instanceRef address_RNIGEKU_7)) - (portRef D (instanceRef next_API_DATA_OUT_RNO_12)) - (portRef D (instanceRef next_API_DATA_OUT_RNO_14)) + (portRef D (instanceRef buf_rom_read_addr_RNO_0)) + (portRef A (instanceRef address_RNIGEKU_6)) + (portRef B (instanceRef buf_API_PACKET_NUM_OUT_RNO_0)) + (portRef D (instanceRef next_API_DATA_OUT_RNO_15)) )) - (net N_2721 (joined - (portRef Z (instanceRef next_API_DATA_OUT_RNO_14)) - (portRef B (instanceRef next_API_DATA_OUT_14)) + (net N_2729 (joined + (portRef Z (instanceRef next_API_DATA_OUT_RNO_15)) + (portRef B (instanceRef next_API_DATA_OUT_15)) )) - (net N_2685 (joined - (portRef Z (instanceRef next_API_DATA_OUT_19_12)) - (portRef A (instanceRef next_API_DATA_OUT_RNO_12)) + (net N_5932 (joined + (portRef Z (instanceRef packet_counter_RNIHNC81_1)) + (portRef B (instanceRef current_state_ns_0_i_s_12)) + (portRef B (instanceRef current_state_ns_0_i_s_2)) + (portRef A (instanceRef current_state_ns_i_m4_i_m2_11)) + (portRef B (instanceRef current_state_ns_i_0_RNO_16)) )) - (net N_2667 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_12)) - (portRef B (instanceRef next_API_DATA_OUT_RNO_12)) + (net current_state_1 (joined + (portRef Q (instanceRef current_state_1)) + (portRef C (instanceRef current_state_RNO_9)) + (portRef B (instanceRef current_state_ns_i_i_a2_9)) + (portRef C (instanceRef current_state_ns_i_i_a2_2_9)) + (portRef B (instanceRef next_API_READ_OUT_i_a2_0_a2)) + (portRef B (instanceRef current_state_ns_i_0_o2_16)) + (portRef C (instanceRef current_state_ns_i_0_RNO_16)) )) - (net N_2719 (joined - (portRef Z (instanceRef next_API_DATA_OUT_RNO_12)) - (portRef B (instanceRef next_API_DATA_OUT_12)) + (net current_state_0 (joined + (portRef Q (instanceRef current_state_0)) + (portRef C (instanceRef current_state_ns_0_i_s_18)) + (portRef B (instanceRef next_timeout_counter_0_o2_0_0)) + (portRef B (instanceRef current_state_ns_0_i_s_0_6)) + (portRef A (instanceRef current_state_ns_i_0_o2_16)) + (portRef D (instanceRef current_state_ns_i_0_RNO_16)) )) - (net rom_read_addr_1_sqmuxa (joined - (portRef Z (instanceRef rom_read_addr_1_sqmuxa)) - (portRef D (instanceRef rom_read_addr_1)) - (portRef D (instanceRef rom_read_addr_2)) - (portRef D (instanceRef rom_read_addr_0)) - (portRef B (instanceRef rom_read_addr_0_1)) - (portRef B (instanceRef rom_read_addr_0_2)) - (portRef A (instanceRef fsm_un5_api_typ_in_RNI0R181)) + (net N_5991 (joined + (portRef Z (instanceRef current_state_ns_i_0_RNO_16)) + (portRef C (instanceRef current_state_ns_i_0_16)) )) - (net un5_api_typ_in (joined - (portRef Z (instanceRef fsm_un5_api_typ_in)) - (portRef D (instanceRef un1_next_address_0_sqmuxa_0)) - (portRef D (instanceRef current_state_ns_0_a3_6_6)) - (portRef C (instanceRef current_state_ns_0_a3_0_1_2)) - (portRef C (instanceRef next_DAT_READ_ENABLE_OUT_0_sqmuxa_1)) - (portRef B (instanceRef fsm_un5_api_typ_in_RNI0R181)) + (net current_state_17 (joined + (portRef Q (instanceRef current_state_17)) + (portRef D (instanceRef current_state_ns_0_i_s_4_6)) + (portRef D (instanceRef un1_next_state_5_sqmuxa_i_a2)) + (portRef B (instanceRef current_state_RNI54RK1_17)) + (portRef C (instanceRef current_state_ns_0_o2_0_a2_14)) + (portRef B (instanceRef current_state_RNISA4M1_17)) + (portRef A (instanceRef current_state_RNO_17)) )) - (net current_state_14 (joined - (portRef Q (instanceRef current_state_14)) - (portRef D (instanceRef current_state_ns_0_i_4)) - (portRef C (instanceRef current_state_ns_0_i_2)) - (portRef C (instanceRef rom_read_addr_0)) - (portRef C (instanceRef un1_next_address_0_sqmuxa)) - (portRef B (instanceRef next_DAT_READ_ENABLE_OUT_0_sqmuxa_1)) - (portRef C (instanceRef fsm_un5_api_typ_in_RNI0R181)) - )) - (net N_2052_i (joined - (portRef Z (instanceRef current_state_ns_i_o2_9)) - (portRef A (instanceRef next_address_0_sqmuxa)) - (portRef A (instanceRef un1_next_address_0_sqmuxa_0)) - (portRef A (instanceRef reg_fsm_length_3_15)) - (portRef A (instanceRef current_state_ns_0_a3_6_6)) - (portRef A (instanceRef current_state_ns_0_a3_0_1_2)) - (portRef A (instanceRef next_DAT_READ_ENABLE_OUT_0_sqmuxa_1)) - (portRef A (instanceRef next_state_0_sqmuxa_1)) - (portRef A (instanceRef current_state_ns_i_o2_15)) - (portRef A (instanceRef current_state_ns_0_o4_7)) - (portRef A (instanceRef current_state_ns_i_o4_0_9)) - (portRef C (instanceRef addr_counter_enable_RNO)) - (portRef D (instanceRef fsm_un5_api_typ_in_RNI0R181)) + (net current_state_18 (joined + (portRef Q (instanceRef current_state_18)) + (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_o2)) + (portRef B (instanceRef DAT_DATAREADY_IN_befored)) + (portRef C (instanceRef current_state_nss_0_i_0)) + (portRef B (instanceRef reg_fsm_unknown_3_iv_0)) + (portRef B (instanceRef current_state_ns_0_a3_0_1)) + (portRef B (instanceRef nomoredata_RNO)) + (portRef B (instanceRef timeout_RNO)) + (portRef A (instanceRef current_state_RNI2T4Q_18)) + (portRef B (instanceRef current_state_ns_i_a3_0)) + (portRef C (instanceRef current_state_RNO_17)) )) - (net rom_read_addr_sn_N_2_i (joined - (portRef Z (instanceRef fsm_un5_api_typ_in_RNI0R181)) - (portRef SP (instanceRef buf_rom_read_addr_2)) - (portRef SP (instanceRef buf_rom_read_addr_1)) - (portRef SP (instanceRef buf_rom_read_addr_0)) + (net N_2101_1 (joined + (portRef Z (instanceRef current_state_ns_0_a3_0_1_1)) + (portRef D (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_o2)) + (portRef A (instanceRef current_state_nss_0_i_0)) + (portRef A (instanceRef current_state_ns_0_a3_0_1)) + (portRef D (instanceRef current_state_RNO_17)) )) - (net N_4509 (joined - (portRef Z (instanceRef address_RNIS84Q_7)) - (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_3)) - (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_1)) - (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + (net current_state_ns_0_i_1 (joined + (portRef Z (instanceRef current_state_RNO_17)) + (portRef D (instanceRef current_state_17)) )) - (net regio_addr_i_fast_0 (joined - (portRef Q (instanceRef address_fast_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m512_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m516_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m515_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m518_6_03_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) + (net m11_6_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_6_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) + )) + (net m11_8_03 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03)) + )) + (net N_113 (joined + (portRef Z (instanceRef next_API_READ_OUT_i_a2_0_a2)) + (portRef A (instanceRef next_timeout_counter_0_o2_0_RNIB2H31_0)) + (portRef A (instanceRef buf_API_READ_OUT_RNO)) + (portRef A (instanceRef current_state_RNO_1)) + )) + (net current_state_9 (joined + (portRef Q (instanceRef current_state_9)) + (portRef C (instanceRef current_state_ns_0_i_s_2_6)) + (portRef B (instanceRef current_state_ns_i_i_0_9)) + (portRef C (instanceRef current_state_ns_i_i_a2_9)) + (portRef B (instanceRef current_state_RNIJ5GL1_15)) + (portRef B (instanceRef next_address_1_sqmuxa_1)) + (portRef B (instanceRef un1_dat_data_counter_cry_0_0_RNO)) + (portRef B (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) + (portRef B (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + (portRef B (instanceRef buf_API_READ_OUT_RNO)) + (portRef C (instanceRef current_state_RNO_1)) + )) + (net N_88_i (joined + (portRef Z (instanceRef N_88_i_0_a2)) + (portRef A (instanceRef current_state_RNO_9)) + (portRef A (instanceRef current_state_ns_0_i_3)) + (portRef A (instanceRef current_state_RNIJ5GL1_15)) + (portRef A (instanceRef un1_dat_data_counter_cry_0_0_RNO)) + (portRef C (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + (portRef C (instanceRef buf_API_READ_OUT_RNO)) + (portRef D (instanceRef current_state_RNO_1)) + )) + (net N_38 (joined + (portRef Z (instanceRef current_state_RNO_1)) + (portRef D (instanceRef current_state_1)) + )) + (net next_global_time_write_2_sqmuxa (joined + (portRef Z (instanceRef next_global_time_write_3_sqmuxa_0_RNIC9AD)) + (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) + (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) + (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) + )) + (net regio_addr_i_1 (joined + (portRef Q (instanceRef address_1)) + (portRef (member regio_addr_i 0) (instanceRef pattern_gen_inst)) (portRef C (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_1)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m15_4_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m68_4_03)) + (portRef A (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m0_6_03_0_1_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m2_6_03_0_1_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_0_tz)) + (portRef B (instanceRef un225_next_api_data_out_1_axbxc3)) + (portRef B (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_o2_1)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_2_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) + (portRef B (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_3_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_2_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) + (portRef B (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_0)) + (portRef B (instanceRef un225_next_api_data_out_1_p4)) + (portRef B (instanceRef next_API_DATA_OUT_13_i_m2_bm_11)) + (portRef B (instanceRef next_API_DATA_OUT_13_bm_13)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) - (portRef C (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_84_0_o2)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m4_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m3_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m0_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m2_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m1_4_03)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m516_4_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m582_4_03)) - (portRef D (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m203_4_03)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m78_4_03)) - (portRef B (instanceRef un225_next_api_data_out_1_ac0_1)) - (portRef D (instanceRef un225_next_api_data_out_1_p4)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_am)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_bm)) - (portRef C (instanceRef next_API_DATA_OUT_12_RNO_14)) - (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m9_6_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m10_6_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m8_6_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2)) + (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) )) - (net reg_enable_pattern_m_0_0 (joined - (portRef Z (instanceRef REGISTERS_OUT_write_enable_RNO_0)) - (portRef D (instanceRef REGISTERS_OUT_write_enable_0)) + (net regio_addr_i_4_0 (joined + (portRef Q (instanceRef address_4_0)) + (portRef (member regio_addr_i_4 0) (instanceRef pattern_gen_inst)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_13)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_13)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_4)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_4)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_14)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_14)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_15)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_15)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_am)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_7)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_7)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_9)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_9)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m8_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m3_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2)) + (portRef C (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) )) - (net un22_api_dataready_in (joined - (portRef Z (instanceRef fsm_un22_api_dataready_in)) - (portRef C (instanceRef reg_fsm_length_3_15)) - (portRef C (instanceRef next_state_0_sqmuxa_1)) - (portRef D (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) - (portRef A (instanceRef addr_counter_enable_RNO)) + (net reg_enable_pattern_m_1 (joined + (portRef Z (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) + (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_1)) )) - (net current_state_11 (joined - (portRef Q (instanceRef current_state_11)) - (portRef D (instanceRef current_state_ns_0_i_7)) - (portRef C (instanceRef un1_next_address_0_sqmuxa_0)) - (portRef C (instanceRef current_state_ns_i_a3_0_9)) - (portRef B (instanceRef addr_counter_enable_RNO)) + (net N_87 (joined + (portRef Z (instanceRef next_address_1_sqmuxa_i_o2)) + (portRef A (instanceRef current_state_ns_0_i_s_4_6)) + (portRef A (instanceRef un1_next_state_5_sqmuxa_i_a2)) + (portRef A (instanceRef current_state_RNI54RK1_17)) + (portRef A (instanceRef current_state_ns_0_o2_0_a2_14)) + (portRef B (instanceRef current_state_ns_0_a3_7)) + (portRef A (instanceRef next_address_1_sqmuxa_1)) + (portRef D (instanceRef current_state_RNISA4M1_17)) + (portRef B (instanceRef current_state_RNO_17)) + (portRef N_87) + )) + (net N_2078_0 (joined + (portRef Z (instanceRef current_state_RNISA4M1_17)) + (portRef A (instanceRef current_state_ns_0_i_5)) + (portRef A (instanceRef current_state_ns_0_i_4)) + (portRef A (instanceRef current_state_ns_0_i_7)) + (portRef A (instanceRef current_state_ns_i_0_15)) + )) + (net current_state_14 (joined + (portRef Q (instanceRef current_state_14)) + (portRef C (instanceRef rom_read_addr_0)) + (portRef B (instanceRef un1_next_address_0_sqmuxa_0_a2_0)) + (portRef B (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U)) + (portRef A (instanceRef current_state_ns_0_i_RNO_4)) + (portRef B (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U_0)) + (portRef A (instanceRef current_state_ns_0_a2_0_o2_RNIK67T_2)) + (portRef A (instanceRef current_state_ns_0_i_s_RNO_2)) + )) + (net N_5931 (joined + (portRef Z (instanceRef current_state_ns_0_a2_0_o2_2)) + (portRef B (instanceRef current_state_ns_i_0_0_15)) + (portRef B (instanceRef current_state_ns_0_a2_0_o2_RNIK67T_2)) + (portRef B (instanceRef current_state_ns_0_a2_0_o2_RNIEG9I_2)) + (portRef B (instanceRef current_state_ns_0_i_s_RNO_2)) + )) + (net N_90 (joined + (portRef Z (instanceRef current_state_ns_i_o2_i_o2_15)) + (portRef A (instanceRef current_state_ns_i_0_0_15)) + (portRef A (instanceRef current_state_ns_i_o2_i_o2_RNI1KDC_15)) + (portRef C (instanceRef current_state_ns_0_i_RNO_5)) + (portRef C (instanceRef current_state_ns_0_i_RNO_4)) + (portRef D (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U_0)) + (portRef D (instanceRef current_state_ns_0_a2_0_o2_RNIK67T_2)) + (portRef D (instanceRef current_state_ns_0_a2_0_o2_RNIEG9I_2)) + (portRef D (instanceRef current_state_ns_0_i_s_RNO_2)) + )) + (net N_121 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_RNO_2)) + (portRef A (instanceRef current_state_ns_0_i_s_2)) )) (net current_state_3 (joined (portRef Q (instanceRef current_state_3)) - (portRef D (instanceRef current_state_ns_i_a3_15)) - (portRef B (instanceRef un1_next_address_0_sqmuxa_0)) - (portRef B (instanceRef reg_fsm_length_3_15)) - (portRef B (instanceRef current_state_ns_0_a3_6_6)) - (portRef B (instanceRef next_state_0_sqmuxa_1)) - (portRef B (instanceRef current_state_ns_i_am_8)) - (portRef D (instanceRef addr_counter_enable_RNO)) - )) - (net un1_next_state_0_sqmuxa_0_i (joined - (portRef Z (instanceRef addr_counter_enable_RNO)) - (portRef SP (instanceRef addr_counter_enable)) + (portRef C (instanceRef un1_current_state3)) + (portRef A (instanceRef addr_counter_enable_RNO)) + (portRef D (instanceRef current_state_ns_i_0_0_15)) + (portRef B (instanceRef next_address_i_o2_0)) + (portRef A (instanceRef fsm_un22_api_dataready_in_RNILM2I)) + (portRef A (instanceRef current_state_ns_0_a2_0_o2_RNIEG9I_2)) )) - (net N_4494 (joined - (portRef Z (instanceRef fsm_un24_api_dataready_in_RNIQQ1Q)) - (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) - (portRef B (instanceRef current_state_ns_0_i_6)) - (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_2)) - (portRef B (instanceRef address_RNI0T3Q_5)) - (portRef A (instanceRef address_RNIS84Q_7)) + (net buf_APL_PACKET_NUM_OUT_9 (joined + (portRef (member buf_apl_packet_num_out 2)) + (portRef (member buf_apl_packet_num_out 2) (instanceRef the_addresses)) + (portRef C (instanceRef current_state_ns_i_0_0_15)) + (portRef B (instanceRef current_state_ns_i_o2_i_o2_RNI1KDC_15)) + (portRef A (instanceRef fsm_un24_api_dataready_in_i)) + (portRef A (instanceRef fsm_un22_api_dataready_in)) + (portRef A (instanceRef N_88_i_0_a2)) + (portRef A (instanceRef next_address_1_sqmuxa_i_o2)) + (portRef B (instanceRef current_state_ns_0_i_RNO_5)) + (portRef B (instanceRef current_state_ns_0_i_RNO_4)) + (portRef C (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U_0)) + (portRef C (instanceRef current_state_ns_0_a2_0_o2_RNIK67T_2)) + (portRef C (instanceRef current_state_ns_0_a2_0_o2_RNIEG9I_2)) + (portRef C (instanceRef current_state_ns_0_i_s_RNO_2)) )) - (net next_global_time_write_6_sqmuxa (joined - (portRef Z (instanceRef next_global_time_write_6_sqmuxa)) - (portRef C (instanceRef current_state_ns_0_i_18)) - (portRef A (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + (net N_132 (joined + (portRef Z (instanceRef current_state_ns_0_a2_0_o2_RNIEG9I_2)) + (portRef A (instanceRef current_state_ns_0_i_s_6)) + (portRef A (instanceRef un1_next_state_5_sqmuxa_i)) + (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_i)) )) - (net current_state_9 (joined - (portRef Q (instanceRef current_state_9)) - (portRef C (instanceRef current_state_ns_i_9)) - (portRef D (instanceRef current_state_ns_0_i_17)) - (portRef B (instanceRef current_state_RNIIULQ_15)) - (portRef C (instanceRef current_state_ns_0_2_6)) - (portRef B (instanceRef next_Reg_low_1_sqmuxa_2)) - (portRef B (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) - (portRef B (instanceRef next_address_1_sqmuxa_1)) - (portRef B (instanceRef current_state_ns_i_a3_0_9)) - (portRef B (instanceRef buf_API_READ_OUT_RNO)) - (portRef B (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + (net N_5982 (joined + (portRef Z (instanceRef current_state_ns_0_a2_0_o2_RNIK67T_2)) + (portRef A (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) + (portRef B (instanceRef current_state_ns_0_i_s_10)) )) - (net N_2060_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_3)) - (portRef A (instanceRef current_state_ns_0_i_3)) - (portRef A (instanceRef current_state_ns_0_i_17)) - (portRef A (instanceRef current_state_ns_i_1_9)) - (portRef A (instanceRef next_global_time_write_3_sqmuxa)) - (portRef A (instanceRef current_state_RNIIULQ_15)) - (portRef A (instanceRef next_Reg_low_1_sqmuxa_2)) - (portRef C (instanceRef buf_API_READ_OUT_RNO)) - (portRef C (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + (net rom_read_addr_1_sqmuxa (joined + (portRef Z (instanceRef rom_read_addr_1_sqmuxa)) + (portRef D (instanceRef rom_read_addr_0)) + (portRef B (instanceRef rom_read_addr_0_2)) + (portRef B (instanceRef rom_read_addr_0_1)) + (portRef A (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U)) + (portRef A (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U_0)) + )) + (net rom_read_addr_sn_N_2 (joined + (portRef Z (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U_0)) + (portRef rom_read_addr_sn_N_2 (instanceRef board_rom)) + (portRef C (instanceRef rom_read_addr_2)) + (portRef C (instanceRef rom_read_addr_1)) )) (net GND (joined (portRef GND) (portRef GND (instanceRef board_rom)) (portRef GND (instanceRef the_addresses)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) + (portRef GND (instanceRef pattern_gen_inst)) (portRef C1 (instanceRef un3_local_time_i_1_s_7_0)) (portRef B1 (instanceRef un3_local_time_i_1_s_7_0)) (portRef A1 (instanceRef un3_local_time_i_1_s_7_0)) @@ -92445,13 +92967,73 @@ (portRef B1 (instanceRef un3_local_time_i_1_cry_0_0)) (portRef C0 (instanceRef un3_local_time_i_1_cry_0_0)) (portRef A0 (instanceRef un3_local_time_i_1_cry_0_0)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) + (portRef C1 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef B1 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef A1 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef C0 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef B0 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef C1 (instanceRef length_s_0_15)) + (portRef B1 (instanceRef length_s_0_15)) + (portRef A1 (instanceRef length_s_0_15)) + (portRef C0 (instanceRef length_s_0_15)) + (portRef C1 (instanceRef length_cry_0_13)) + (portRef C0 (instanceRef length_cry_0_13)) + (portRef C1 (instanceRef length_cry_0_11)) + (portRef C0 (instanceRef length_cry_0_11)) + (portRef C1 (instanceRef length_cry_0_9)) + (portRef C0 (instanceRef length_cry_0_9)) + (portRef C1 (instanceRef length_cry_0_7)) + (portRef C0 (instanceRef length_cry_0_7)) + (portRef C1 (instanceRef length_cry_0_5)) + (portRef C0 (instanceRef length_cry_0_5)) + (portRef C1 (instanceRef length_cry_0_3)) + (portRef C0 (instanceRef length_cry_0_3)) + (portRef C1 (instanceRef length_cry_0_1)) + (portRef C0 (instanceRef length_cry_0_1)) + (portRef CIN (instanceRef length_cry_0_0)) + (portRef C1 (instanceRef length_cry_0_0)) + (portRef C0 (instanceRef length_cry_0_0)) + (portRef B0 (instanceRef length_cry_0_0)) + (portRef A0 (instanceRef length_cry_0_0)) + (portRef C1 (instanceRef global_time_i_s_0_31)) + (portRef B1 (instanceRef global_time_i_s_0_31)) + (portRef A1 (instanceRef global_time_i_s_0_31)) + (portRef CIN (instanceRef global_time_i_cry_0_0)) + (portRef C0 (instanceRef global_time_i_cry_0_0)) + (portRef A0 (instanceRef global_time_i_cry_0_0)) (portRef C1 (instanceRef time_since_last_trg_i_s_0_31)) (portRef B1 (instanceRef time_since_last_trg_i_s_0_31)) (portRef A1 (instanceRef time_since_last_trg_i_s_0_31)) @@ -92490,12 +93072,6 @@ (portRef B1 (instanceRef time_since_last_trg_i_cry_0_0)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_0)) (portRef A0 (instanceRef time_since_last_trg_i_cry_0_0)) - (portRef C1 (instanceRef global_time_i_s_0_31)) - (portRef B1 (instanceRef global_time_i_s_0_31)) - (portRef A1 (instanceRef global_time_i_s_0_31)) - (portRef CIN (instanceRef global_time_i_cry_0_0)) - (portRef C0 (instanceRef global_time_i_cry_0_0)) - (portRef A0 (instanceRef global_time_i_cry_0_0)) (portRef C1 (instanceRef next_address_i_m2_s_15_0)) (portRef B1 (instanceRef next_address_i_m2_s_15_0)) (portRef A1 (instanceRef next_address_i_m2_s_15_0)) @@ -92533,71 +93109,50 @@ (portRef C0 (instanceRef next_address_i_m2_cry_0_0)) (portRef A0 (instanceRef next_address_i_m2_cry_0_0)) )) + (net N_21_i (joined + (portRef Z (instanceRef buf_API_READ_OUT_RNO)) + (portRef D (instanceRef buf_API_READ_OUT)) + )) + (net N_5984 (joined + (portRef Z (instanceRef current_state_ns_0_i_a2_18)) + (portRef B (instanceRef current_state_ns_0_i_s_18)) + (portRef A (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + )) (net next_DAT_WRITE_ENABLE_OUT_0_i (joined (portRef Z (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) (portRef D (instanceRef buf_DAT_WRITE_ENABLE_OUT)) )) - (net global_time_15 (joined - (portRef Q (instanceRef global_time_i_15)) - (portRef C0 (instanceRef global_time_i_cry_0_15)) - (portRef B (instanceRef next_API_DATA_OUT_4_15)) - (portRef A (instanceRef next_API_DATA_OUT_17_RNO_11)) - )) - (net dat_data_counter_11 (joined - (portRef Q (instanceRef dat_data_counter_11)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef B (instanceRef next_API_DATA_OUT_17_RNO_11)) - )) - (net N_2478 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_11)) - (portRef A (instanceRef next_API_DATA_OUT_17_11)) - )) - (net global_time_10 (joined - (portRef Q (instanceRef global_time_i_10)) - (portRef C1 (instanceRef global_time_i_cry_0_9)) - (portRef B (instanceRef next_API_DATA_OUT_15_am_10)) - (portRef A (instanceRef next_API_DATA_OUT_20_RNO_6)) - )) - (net dat_data_counter_6 (joined - (portRef Q (instanceRef dat_data_counter_6)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef B (instanceRef next_API_DATA_OUT_20_RNO_6)) - )) - (net N_2473 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_RNO_6)) - (portRef A (instanceRef next_API_DATA_OUT_20_6)) - )) - (net global_time_17 (joined - (portRef Q (instanceRef global_time_i_17)) - (portRef C0 (instanceRef global_time_i_cry_0_17)) - (portRef B (instanceRef next_API_DATA_OUT_6_1)) - (portRef A (instanceRef next_API_DATA_OUT_20_RNO_13)) - )) - (net dat_data_counter_13 (joined - (portRef Q (instanceRef dat_data_counter_13)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef B (instanceRef next_API_DATA_OUT_20_RNO_13)) - )) - (net N_2480 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_RNO_13)) - (portRef A (instanceRef next_API_DATA_OUT_20_13)) - )) (net global_time_7 (joined (portRef Q (instanceRef global_time_i_0_7)) (portRef C0 (instanceRef global_time_i_cry_0_7)) + (portRef B (instanceRef next_API_DATA_OUT_15_am_7)) (portRef B (instanceRef TIMER_MS_TICK_0_sqmuxa_7)) - (portRef B (instanceRef next_API_DATA_OUT_4_7)) (portRef A (instanceRef next_API_DATA_OUT_17_RNO_3)) )) (net dat_data_counter_3 (joined (portRef Q (instanceRef dat_data_counter_3)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_3_0)) (portRef B (instanceRef next_API_DATA_OUT_17_RNO_3)) )) - (net N_2470 (joined + (net N_2477 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_3)) (portRef A (instanceRef next_API_DATA_OUT_17_3)) )) + (net global_time_18 (joined + (portRef Q (instanceRef global_time_i_18)) + (portRef C1 (instanceRef global_time_i_cry_0_17)) + (portRef B (instanceRef next_API_DATA_OUT_6_2)) + (portRef A (instanceRef next_API_DATA_OUT_17_RNO_14)) + )) + (net dat_data_counter_14 (joined + (portRef Q (instanceRef dat_data_counter_14)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef B (instanceRef next_API_DATA_OUT_17_RNO_14)) + )) + (net N_2488 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_14)) + (portRef A (instanceRef next_API_DATA_OUT_17_14)) + )) (net global_time_5 (joined (portRef Q (instanceRef global_time_i_0_5)) (portRef C0 (instanceRef global_time_i_cry_0_5)) @@ -92607,586 +93162,639 @@ )) (net dat_data_counter_1 (joined (portRef Q (instanceRef dat_data_counter_1)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_1_0)) (portRef B (instanceRef next_API_DATA_OUT_17_RNO_1)) )) - (net N_2468 (joined + (net N_2475 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_1)) (portRef A (instanceRef next_API_DATA_OUT_17_1)) )) + (net global_time_8 (joined + (portRef Q (instanceRef global_time_i_0_8)) + (portRef C1 (instanceRef global_time_i_cry_0_7)) + (portRef C (instanceRef TIMER_MS_TICK_0_sqmuxa_7)) + (portRef B (instanceRef next_API_DATA_OUT_4_8)) + (portRef A (instanceRef next_API_DATA_OUT_am_RNO_4)) + )) + (net dat_data_counter_4 (joined + (portRef Q (instanceRef dat_data_counter_4)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef B (instanceRef next_API_DATA_OUT_am_RNO_4)) + )) + (net N_2478 (joined + (portRef Z (instanceRef next_API_DATA_OUT_am_RNO_4)) + (portRef A (instanceRef next_API_DATA_OUT_am_4)) + )) + (net global_time_14 (joined + (portRef Q (instanceRef global_time_i_14)) + (portRef C1 (instanceRef global_time_i_cry_0_13)) + (portRef B (instanceRef next_API_DATA_OUT_15_am_14)) + (portRef A (instanceRef next_API_DATA_OUT_17_RNO_10)) + )) + (net dat_data_counter_10 (joined + (portRef Q (instanceRef dat_data_counter_10)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef B (instanceRef next_API_DATA_OUT_17_RNO_10)) + )) + (net N_2484 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_10)) + (portRef A (instanceRef next_API_DATA_OUT_17_10)) + )) + (net global_time_15 (joined + (portRef Q (instanceRef global_time_i_15)) + (portRef C0 (instanceRef global_time_i_cry_0_15)) + (portRef B (instanceRef next_API_DATA_OUT_15_am_15)) + (portRef A (instanceRef next_API_DATA_OUT_17_RNO_11)) + )) + (net dat_data_counter_11 (joined + (portRef Q (instanceRef dat_data_counter_11)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef B (instanceRef next_API_DATA_OUT_17_RNO_11)) + )) + (net N_2485 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_11)) + (portRef A (instanceRef next_API_DATA_OUT_17_11)) + )) + (net global_time_6 (joined + (portRef Q (instanceRef global_time_i_0_6)) + (portRef C1 (instanceRef global_time_i_cry_0_5)) + (portRef C (instanceRef TIMER_MS_TICK_0_sqmuxa_8)) + (portRef B (instanceRef next_API_DATA_OUT_4_6)) + (portRef A (instanceRef next_API_DATA_OUT_20_RNO_2)) + )) + (net dat_data_counter_2 (joined + (portRef Q (instanceRef dat_data_counter_2)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef B (instanceRef next_API_DATA_OUT_20_RNO_2)) + )) + (net N_2476 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_RNO_2)) + (portRef A (instanceRef next_API_DATA_OUT_20_2)) + )) (net global_time_13 (joined (portRef Q (instanceRef global_time_i_13)) (portRef C0 (instanceRef global_time_i_cry_0_13)) - (portRef B (instanceRef next_API_DATA_OUT_4_13)) + (portRef B (instanceRef next_API_DATA_OUT_15_am_13)) (portRef A (instanceRef next_API_DATA_OUT_17_RNO_9)) )) (net dat_data_counter_9 (joined (portRef Q (instanceRef dat_data_counter_9)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_9_0)) (portRef B (instanceRef next_API_DATA_OUT_17_RNO_9)) )) - (net N_2476 (joined + (net N_2483 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_9)) (portRef A (instanceRef next_API_DATA_OUT_17_9)) )) - (net global_time_8 (joined - (portRef Q (instanceRef global_time_i_0_8)) - (portRef C1 (instanceRef global_time_i_cry_0_7)) - (portRef B (instanceRef next_API_DATA_OUT_15_am_8)) - (portRef C (instanceRef TIMER_MS_TICK_0_sqmuxa_7)) - (portRef A (instanceRef next_API_DATA_OUT_17_RNO_4)) - )) - (net dat_data_counter_4 (joined - (portRef Q (instanceRef dat_data_counter_4)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef B (instanceRef next_API_DATA_OUT_17_RNO_4)) - )) - (net N_2471 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_4)) - (portRef A (instanceRef next_API_DATA_OUT_17_4)) - )) (net global_time_11 (joined (portRef Q (instanceRef global_time_i_11)) (portRef C0 (instanceRef global_time_i_cry_0_11)) - (portRef B (instanceRef next_API_DATA_OUT_4_11)) + (portRef B (instanceRef next_API_DATA_OUT_4_i_m2_11)) (portRef A (instanceRef next_API_DATA_OUT_17_RNO_7)) )) (net dat_data_counter_7 (joined (portRef Q (instanceRef dat_data_counter_7)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_7_0)) (portRef B (instanceRef next_API_DATA_OUT_17_RNO_7)) )) - (net N_2474 (joined + (net N_2481 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_7)) (portRef A (instanceRef next_API_DATA_OUT_17_7)) )) - (net N_2133 (joined - (portRef Z (instanceRef next_API_READ_OUT_2_sqmuxa)) - (portRef B (instanceRef next_API_READ_OUT_2_sqmuxa_RNI84T91)) - (portRef C (instanceRef current_state_ns_0_i_17)) - (portRef A (instanceRef buf_API_READ_OUT_RNO)) - )) - (net next_API_READ_OUT_0 (joined - (portRef Z (instanceRef buf_API_READ_OUT_RNO)) - (portRef D (instanceRef buf_API_READ_OUT)) - )) (net packet_counter_1 (joined (portRef Q (instanceRef packet_counter_1)) - (portRef D (instanceRef current_state_ns_i_a2_8)) + (portRef D (instanceRef packet_counter_RNIHNC81_1_1)) (portRef D (instanceRef packet_counter_RNIHNC81_0_1)) - (portRef D (instanceRef packet_counter_RNIHNC81_1)) - (portRef B (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef B (instanceRef packet_counter_RNIHNC81_1)) )) (net packet_counter_0 (joined (portRef Q (instanceRef packet_counter_0)) - (portRef C (instanceRef current_state_ns_i_a2_8)) + (portRef C (instanceRef packet_counter_RNIHNC81_1_1)) (portRef C (instanceRef packet_counter_RNIHNC81_0_1)) - (portRef C (instanceRef packet_counter_RNIHNC81_1)) (portRef C (instanceRef packet_counter_RNIBUIU_0)) - (portRef C (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef C (instanceRef packet_counter_RNIHNC81_1)) )) - (net N_2064_0 (joined - (portRef Z (instanceRef packet_counter_RNIHNC81_1_1)) - (portRef A (instanceRef current_state_ns_0_i_12)) - (portRef A (instanceRef current_state_ns_0_i_2)) - (portRef C (instanceRef current_state_ns_i_16)) - (portRef A (instanceRef current_state_ns_i_m4_11)) + (net N_2108 (joined + (portRef Z (instanceRef current_state_ns_0_i_RNO_4)) + (portRef B (instanceRef current_state_ns_0_i_4)) )) - (net regio_addr_i_6 (joined - (portRef Q (instanceRef address_6)) - (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) - (portRef D (instanceRef next_API_DATA_OUT_18_bm_8)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_6)) - (portRef SD (instanceRef next_API_DATA_OUT_12_3)) - (portRef SD (instanceRef next_API_DATA_OUT_12_4)) - (portRef SD (instanceRef next_API_DATA_OUT_12_1)) - (portRef SD (instanceRef next_API_DATA_OUT_12_0)) - (portRef SD (instanceRef next_API_DATA_OUT_12_2)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) - (portRef D (instanceRef next_API_DATA_OUT_12_14)) - (portRef D (instanceRef next_API_DATA_OUT_12_15)) - (portRef D (instanceRef next_API_DATA_OUT_12_12)) - (portRef D (instanceRef next_API_DATA_OUT_12_6)) - (portRef C0 (instanceRef next_API_DATA_OUT_12_10)) - (portRef D (instanceRef next_API_DATA_OUT_12_13)) - (portRef D (instanceRef next_API_DATA_OUT_12_5)) - (portRef D (instanceRef next_API_DATA_OUT_12_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_12_7)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_8)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_12)) - (portRef C0 (instanceRef next_API_DATA_OUT_1_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_1_6)) - (portRef D (instanceRef next_API_DATA_OUT_14_bm_10)) - (portRef C (instanceRef next_address_i_m2_cry_5_0_RNO_0)) - (portRef D (instanceRef next_unknown_1_sqmuxa_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_1_13)) - (portRef C0 (instanceRef next_API_DATA_OUT_1_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_1_11)) - (portRef D (instanceRef next_COMMON_CTRL_REG_STROBEc_6)) - (portRef C (instanceRef rom_read_addr_1_sqmuxa_1)) - (portRef A (instanceRef address_RNI5G1_7)) - (portRef A (instanceRef fsm_un38_api_dataready_in_i_o2)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_3)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_2)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_0)) - (portRef A (instanceRef next_API_DATA_OUT_18_bm_9)) - (portRef D (instanceRef address_RNI6G2_4)) - (portRef C (instanceRef address_RNIGEKU_7)) - (portRef A (instanceRef address_RNI0T3Q_5)) - (portRef D (instanceRef address_RNIS84Q_7)) - (portRef regio_addr_i_6) + (net next_global_time_write_1_sqmuxa (joined + (portRef Z (instanceRef next_global_time_write_3_sqmuxa_0_RNIBN9D)) + (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_3)) + (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_2)) )) - (net regio_addr_i_7 (joined - (portRef Q (instanceRef address_7)) - (portRef C0 (instanceRef next_API_DATA_OUT_18_14)) - (portRef C0 (instanceRef next_API_DATA_OUT_18_12)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_0)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_3)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_6)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_4)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_2)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_1)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_5)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_15)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_13)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_18_8)) - (portRef SD (instanceRef next_API_DATA_OUT_18_10)) - (portRef SD (instanceRef next_API_DATA_OUT_18_7)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_7)) - (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_6)) - (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_8)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_14)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_9)) - (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_13)) - (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_12)) - (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_10)) - (portRef C (instanceRef next_address_i_m2_cry_7_0_RNO)) - (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc_8)) - (portRef B (instanceRef rom_read_addr_1_sqmuxa_2)) - (portRef B (instanceRef address_RNI5G1_7)) - (portRef B (instanceRef fsm_un38_api_dataready_in_i_o2)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_7)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_2)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_0)) - (portRef C0 (instanceRef next_API_DATA_OUT_18_9)) - (portRef C (instanceRef address_RNI6G2_4)) - (portRef B (instanceRef address_RNIGEKU_7)) - (portRef C (instanceRef address_RNI0T3Q_5)) - (portRef C (instanceRef address_RNIS84Q_7)) - (portRef regio_addr_i_7) - )) - (net next_global_time_write_2_sqmuxa (joined - (portRef Z (instanceRef address_RNI0T3Q_5)) - (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) - (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) - )) - (net ctrl_reg_14 (joined - (portRef Q (instanceRef gen_regout_0_gen_regoutff1_14_gen_regoutff_buf_REGISTERS_OUT_14)) - (portRef A (instanceRef next_API_DATA_OUT_12_RNO_14)) - )) - (net un254_next_api_data_out_14 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) - (portRef B (instanceRef next_API_DATA_OUT_12_RNO_14)) - )) - (net regio_addr_i_1_1 (joined - (portRef Q (instanceRef address_1_1)) - (portRef (member regio_addr_i_1 0) (instanceRef pattern_gen_inst)) - (portRef A (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m523_6_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m525_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m0_6_03_0_1_tz)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m7_6_03_0_1_tz)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m2_6_03_0_1_tz)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2)) - (portRef A (instanceRef un225_next_api_data_out_1_axbxc3)) - (portRef A (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) - (portRef B (instanceRef address_0_rep1_RNIKOAD)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m13_6_03_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_0_1)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_12)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m8_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m10_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m9_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) - (portRef A (instanceRef un225_next_api_data_out_1_ac0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_3_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_2_1)) - (portRef A (instanceRef un225_next_api_data_out_1_p4)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_11)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_13)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m263_6_03_0)) - (portRef D (instanceRef next_API_DATA_OUT_12_RNO_14)) - (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_0)) - (portRef (member regio_addr_i_1 0)) - )) - (net N_2414 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_RNO_14)) - (portRef A (instanceRef next_API_DATA_OUT_12_14)) - )) - (net current_state_0 (joined - (portRef Q (instanceRef current_state_0)) - (portRef B (instanceRef current_state_ns_0_i_18)) - (portRef C (instanceRef un1_next_nomoredata_1_sqmuxa_0)) - (portRef B (instanceRef current_state_ns_0_0_6)) - (portRef A (instanceRef current_state_ns_i_o4_16)) - (portRef D (instanceRef current_state_ns_i_RNO_16)) - (portRef A (instanceRef current_state_RNI20CG_8)) - )) - (net N_2062_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_6)) - (portRef A (instanceRef next_nomoredata_1_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_i_10)) - (portRef B (instanceRef current_state_ns_i_16)) - (portRef A (instanceRef un1_next_nomoredata_1_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_i_18)) - (portRef A (instanceRef un1_next_nomoredata_1_sqmuxa_0)) - (portRef A (instanceRef next_API_READ_OUT_2_sqmuxa)) - (portRef B (instanceRef current_state_RNI20CG_8)) - )) - (net regio_dataready_i (joined - (portRef regio_dataready_i) - (portRef B (instanceRef next_nomoredata_1_sqmuxa)) - (portRef C (instanceRef DAT_DATAREADY_IN_befored)) - (portRef D (instanceRef current_state_ns_i_m4_11)) - (portRef B (instanceRef next_state_2_sqmuxa_0)) - (portRef A (instanceRef current_state_ns_0_a2_0_2_12)) - (portRef SP (instanceRef buf_DAT_DATA_IN_31)) - (portRef SP (instanceRef buf_DAT_DATA_IN_30)) - (portRef SP (instanceRef buf_DAT_DATA_IN_29)) - (portRef SP (instanceRef buf_DAT_DATA_IN_28)) - (portRef SP (instanceRef buf_DAT_DATA_IN_27)) - (portRef SP (instanceRef buf_DAT_DATA_IN_26)) - (portRef SP (instanceRef buf_DAT_DATA_IN_25)) - (portRef SP (instanceRef buf_DAT_DATA_IN_24)) - (portRef SP (instanceRef buf_DAT_DATA_IN_23)) - (portRef SP (instanceRef buf_DAT_DATA_IN_22)) - (portRef SP (instanceRef buf_DAT_DATA_IN_21)) - (portRef SP (instanceRef buf_DAT_DATA_IN_20)) - (portRef SP (instanceRef buf_DAT_DATA_IN_19)) - (portRef SP (instanceRef buf_DAT_DATA_IN_18)) - (portRef SP (instanceRef buf_DAT_DATA_IN_17)) - (portRef SP (instanceRef buf_DAT_DATA_IN_16)) - (portRef SP (instanceRef buf_DAT_DATA_IN_15)) - (portRef SP (instanceRef buf_DAT_DATA_IN_14)) - (portRef SP (instanceRef buf_DAT_DATA_IN_13)) - (portRef SP (instanceRef buf_DAT_DATA_IN_12)) - (portRef SP (instanceRef buf_DAT_DATA_IN_11)) - (portRef SP (instanceRef buf_DAT_DATA_IN_10)) - (portRef SP (instanceRef buf_DAT_DATA_IN_9)) - (portRef SP (instanceRef buf_DAT_DATA_IN_8)) - (portRef SP (instanceRef buf_DAT_DATA_IN_7)) - (portRef SP (instanceRef buf_DAT_DATA_IN_6)) - (portRef SP (instanceRef buf_DAT_DATA_IN_5)) - (portRef SP (instanceRef buf_DAT_DATA_IN_4)) - (portRef SP (instanceRef buf_DAT_DATA_IN_3)) - (portRef SP (instanceRef buf_DAT_DATA_IN_2)) - (portRef SP (instanceRef buf_DAT_DATA_IN_1)) - (portRef SP (instanceRef buf_DAT_DATA_IN_0)) - (portRef C (instanceRef current_state_RNI20CG_8)) - (portRef D (instanceRef DAT_DATAREADY_IN_before_RNIHUV01)) + (net un22_api_dataready_in (joined + (portRef Z (instanceRef fsm_un22_api_dataready_in)) + (portRef C (instanceRef next_state_0_sqmuxa)) + (portRef D (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) + (portRef B (instanceRef fsm_un22_api_dataready_in_RNILM2I)) )) - (net current_state_8 (joined - (portRef Q (instanceRef current_state_8)) - (portRef C (instanceRef next_nomoredata_1_sqmuxa)) - (portRef C (instanceRef current_state_ns_i_m4_11)) - (portRef A (instanceRef next_state_2_sqmuxa_0)) - (portRef D (instanceRef current_state_RNI20CG_8)) + (net N_86 (joined + (portRef Z (instanceRef current_state_ns_i_o2_i_o2_9)) + (portRef A (instanceRef current_state_ns_0_a3_7)) + (portRef A (instanceRef next_state_0_sqmuxa)) + (portRef A (instanceRef current_state_ns_i_o2_i_o2_15)) + (portRef D (instanceRef fsm_un22_api_dataready_in_RNILM2I)) )) - (net un1_current_state_9_0_0 (joined - (portRef Z (instanceRef current_state_RNI20CG_8)) - (portRef C (instanceRef next_API_READ_OUT_2_sqmuxa_RNI84T91)) + (net next_state_0_sqmuxa_1 (joined + (portRef Z (instanceRef fsm_un22_api_dataready_in_RNILM2I)) + (portRef C (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) + (portRef C (instanceRef current_state_RNO_10)) + (portRef C (instanceRef length_lm_0_14)) + (portRef C (instanceRef length_lm_0_13)) + (portRef C (instanceRef length_lm_0_12)) + (portRef C (instanceRef length_lm_0_11)) + (portRef C (instanceRef length_lm_0_10)) + (portRef C (instanceRef length_lm_0_9)) + (portRef C (instanceRef length_lm_0_8)) + (portRef C (instanceRef length_lm_0_7)) + (portRef C (instanceRef length_lm_0_6)) + (portRef C (instanceRef length_lm_0_5)) + (portRef C (instanceRef length_lm_0_4)) + (portRef C (instanceRef length_lm_0_3)) + (portRef C (instanceRef length_lm_0_2)) + (portRef C (instanceRef length_lm_0_1)) + (portRef C (instanceRef length_lm_0_0)) + (portRef B (instanceRef length_lm_0_15)) )) - (net next_API_DATA_OUT_sn_N_26 (joined - (portRef Z (instanceRef address_RNIGEKU_7)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_0)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_3)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_6)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_4)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_2)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_1)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_5)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_15)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_13)) - (portRef C (instanceRef next_API_DATA_OUT_19_7)) - (portRef SD (instanceRef next_API_DATA_OUT_19_8)) - (portRef SD (instanceRef next_API_DATA_OUT_19_14)) - (portRef SD (instanceRef next_API_DATA_OUT_19_12)) + (net current_state_13 (joined + (portRef Q (instanceRef current_state_13)) + (portRef C (instanceRef current_state_ns_0_i_3)) + (portRef A (instanceRef un1_next_address_0_sqmuxa_0_a2_0)) + (portRef A (instanceRef current_state_ns_0_i_RNO_5)) )) - (net N_2069_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_1_RNI8P211_17)) - (portRef B (instanceRef current_state_ns_0_i_17)) - (portRef B (instanceRef current_state_ns_i_1_9)) - (portRef A (instanceRef current_state_ns_0_2_6)) + (net N_2110 (joined + (portRef Z (instanceRef current_state_ns_0_i_RNO_5)) + (portRef B (instanceRef current_state_ns_0_i_5)) )) - (net buf_API_DATA_OUT_5 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_5)) - (portRef B (instanceRef next_API_DATA_OUT_10_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) + (net un254_next_api_data_out_14 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_1_RNO_14)) )) - (net ADR_DATA_OUT_5 (joined - (portRef (member adr_data_out 10) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) + (net N_367 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_1_RNO_14)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_1_14)) )) (net current_state_5 (joined (portRef Q (instanceRef current_state_5)) (portRef (member current_state 0) (instanceRef the_addresses)) - (portRef B (instanceRef current_state_ns_0_0_12)) + (portRef C (instanceRef API_SEND_OUT)) + (portRef B (instanceRef current_state_ns_0_i_s_0_12)) (portRef B (instanceRef current_state_ns_0_i_13)) - (portRef B (instanceRef buf_API_PACKET_NUM_OUT_2_0)) (portRef C (instanceRef ADR_READ_IN)) - (portRef B (instanceRef un10_current_state_i_a2)) (portRef B (instanceRef buf_API_PACKET_NUM_OUT_2_2)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) - (portRef C (instanceRef buf_API_DATA_OUT_RNIATLF_15)) + (portRef B (instanceRef un10_current_state_i_a2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) (portRef C (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) + (portRef C (instanceRef buf_API_READ_OUT_RNIVRB4)) + (portRef C (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) (portRef C (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + (portRef C (instanceRef buf_API_DATA_OUT_RNIATLF_15)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) + (portRef C (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) (portRef C (instanceRef buf_API_DATA_OUT_RNI45LF_12)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) (portRef C (instanceRef buf_API_DATA_OUT_RNIGU9Q_9)) (portRef C (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) - (portRef C (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) - (portRef C (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) - (portRef C (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) - (portRef C (instanceRef buf_API_SEND_OUT_RNI0FRI)) - (portRef C (instanceRef buf_API_READ_OUT_RNIVRB4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) (portRef C (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) - )) - (net current_state_4 (joined - (portRef Q (instanceRef current_state_4)) - (portRef (member current_state 1) (instanceRef the_addresses)) - (portRef A (instanceRef current_state_ns_0_a3_0_0_13)) - (portRef C (instanceRef current_state_ns_0_0_6)) - (portRef A (instanceRef next_dont_understand_1_sqmuxa)) - (portRef B (instanceRef ADR_READ_IN)) - (portRef A (instanceRef un10_current_state_i_a2)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) - (portRef D (instanceRef buf_API_DATA_OUT_RNIATLF_15)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI45LF_12)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) - (portRef D (instanceRef buf_API_DATA_OUT_RNIGU9Q_9)) - (portRef D (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) - (portRef D (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) - (portRef D (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) - (portRef D (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) - (portRef D (instanceRef buf_API_SEND_OUT_RNI0FRI)) - (portRef D (instanceRef buf_API_READ_OUT_RNIVRB4)) - (portRef D (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) - )) - (net buf_APL_DATA_IN_53 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) - (portRef (member buf_apl_data_in 10)) - )) - (net buf_API_DATA_OUT_4 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_4)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) - )) - (net ADR_DATA_OUT_4 (joined - (portRef (member adr_data_out 11) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) - )) - (net buf_APL_DATA_IN_52 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) - (portRef (member buf_apl_data_in 11)) - )) - (net buf_API_DATA_OUT_2 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_2)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) - )) - (net ADR_DATA_OUT_2 (joined - (portRef (member adr_data_out 13) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) - )) - (net buf_APL_DATA_IN_50 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) - (portRef (member buf_apl_data_in 13)) - )) - (net buf_API_DATA_OUT_8 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_8)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_8)) - (portRef A (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + (portRef A (instanceRef buf_API_PACKET_NUM_OUT_RNO_0)) )) - (net ADR_DATA_OUT_8 (joined - (portRef (member adr_data_out 7) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + (net state_3 (joined + (portRef state_2 (instanceRef the_addresses)) + (portRef C (instanceRef buf_API_PACKET_NUM_OUT_RNIRHV91_0)) + (portRef C (instanceRef buf_API_PACKET_NUM_OUT_RNO_0)) )) - (net buf_APL_DATA_IN_56 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) - (portRef (member buf_apl_data_in 7)) + (net state_1 (joined + (portRef state_0 (instanceRef the_addresses)) + (portRef D (instanceRef buf_API_PACKET_NUM_OUT_RNIRHV91_0)) + (portRef D (instanceRef buf_API_PACKET_NUM_OUT_RNO_0)) )) - (net buf_API_READ_OUT (joined - (portRef Q (instanceRef buf_API_READ_OUT)) - (portRef A (instanceRef buf_API_READ_OUT_RNIVRB4)) + (net buf_API_PACKET_NUM_OUT_2_0 (joined + (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_RNO_0)) + (portRef D (instanceRef buf_API_PACKET_NUM_OUT_0)) )) - (net ADR_READ_OUT (joined - (portRef ADR_READ_OUT (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_READ_OUT_RNIVRB4)) + (net current_state_11 (joined + (portRef Q (instanceRef current_state_11)) + (portRef B (instanceRef addr_counter_enable_RNO)) + (portRef C (instanceRef current_state_ns_i_i_0_9)) + (portRef C (instanceRef current_state_ns_0_a3_7)) + (portRef C (instanceRef next_address_i_o2_0)) + (portRef A (instanceRef current_state_ns_i_i_a2_RNO_9)) )) - (net buf_APL_READ_IN_3 (joined - (portRef Z (instanceRef buf_API_READ_OUT_RNIVRB4)) - (portRef (member buf_apl_read_in 0)) + (net current_state_ns_i_i_o2_0_9 (joined + (portRef Z (instanceRef current_state_ns_i_i_a2_RNO_9)) + (portRef D (instanceRef current_state_ns_i_i_a2_9)) )) - (net delayed_buf_API_SEND_OUT_Q (joined - (portRef delayed_buf_API_SEND_OUT_Q (instanceRef the_addresses)) - (portRef D (instanceRef current_state_ns_0_0_12)) - (portRef D (instanceRef current_state_ns_0_i_14)) - (portRef D (instanceRef current_state_ns_0_i_13)) - (portRef A (instanceRef buf_API_SEND_OUT_RNI0FRI)) + (net un1_tmg_edge_found_i_axb_8 (joined + (portRef un1_tmg_edge_found_i_axb_8) + (portRef B (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2)) )) - (net buf_API_SEND_OUT (joined - (portRef Q (instanceRef buf_API_SEND_OUT)) - (portRef B (instanceRef buf_API_SEND_OUT_RNI0FRI)) + (net m264_6_03 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2)) + (portRef C (instanceRef next_API_DATA_OUT_8_bm_8)) )) - (net un1_THE_ENDPOINT_6 (joined - (portRef Z (instanceRef buf_API_SEND_OUT_RNI0FRI)) - (portRef (member un1_the_endpoint 0)) + (net regio_addr_i_2_0 (joined + (portRef Q (instanceRef address_2_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m512_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m516_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m516_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m515_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m518_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m519_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m519_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_6)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_3)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m514_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m513_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2)) )) - (net buf_API_PACKET_NUM_OUT_Q_2 (joined - (portRef Q (instanceRef buf_API_PACKET_NUM_OUT_2)) - (portRef A (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) + (net common_stat_reg_i_57 (joined + (portRef common_stat_reg_i_57) + (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2)) )) - (net buf_APL_PACKET_NUM_IN_2 (joined - (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) - (portRef buf_APL_PACKET_NUM_IN_2) + (net regio_addr_i_2 (joined + (portRef Q (instanceRef address_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) + (portRef B (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1)) + (portRef C (instanceRef next_API_DATA_OUT_16_am_2)) + (portRef C (instanceRef next_address_i_m2_cry_1_0_RNO_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m512_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m0_6_03_0_1_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m2_6_03_0_1_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_0_tz)) + (portRef C (instanceRef un225_next_api_data_out_1_axbxc3)) + (portRef C (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_o2_1)) + (portRef B (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_2_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m8_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) + (portRef C (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0)) + (portRef A (instanceRef address_RNITT_4)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_3_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_2_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) + (portRef B (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) + (portRef C (instanceRef un225_next_api_data_out_1_p4)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_am)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_bm)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_am)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_bm)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_am)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_bm)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_am)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_bm)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m9_6_03_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m10_6_03_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m8_6_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2)) + (portRef regio_addr_i_2) )) - (net buf_API_DATA_OUT_0 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_0)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) + (net N_482 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_10)) )) - (net ADR_DATA_OUT_0 (joined - (portRef (member adr_data_out 15) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) + (net next_API_DATA_OUT_sn_N_26 (joined + (portRef Z (instanceRef address_RNIGEKU_6)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_0)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_1)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_6)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_5)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_3)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_2)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_8)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_12)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_13)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_10)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_11)) + (portRef SD (instanceRef next_API_DATA_OUT_19_4)) + (portRef SD (instanceRef next_API_DATA_OUT_19_7)) + (portRef SD (instanceRef next_API_DATA_OUT_19_14)) + (portRef SD (instanceRef next_API_DATA_OUT_19_15)) + (portRef SD (instanceRef next_API_DATA_OUT_19_9)) )) - (net buf_APL_DATA_IN_48 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) - (portRef (member buf_apl_data_in 15)) + (net buf_api_stat_fifo_to_apl_106 (joined + (portRef (member buf_api_stat_fifo_to_apl 0)) + (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef the_addresses)) + (portRef B (instanceRef current_state_ns_0_i_s_2_6)) + (portRef B (instanceRef next_state_0_sqmuxa)) + (portRef B (instanceRef next_global_time_write_3_sqmuxa_0)) + (portRef B (instanceRef current_state_ns_0_i_a2_18)) + (portRef B (instanceRef current_state_ns_i_i_a2_2_9)) + (portRef A (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) + (portRef C (instanceRef next_unknown_1_sqmuxa_1)) + (portRef D (instanceRef current_state_ns_i_o2_i_o2_15)) + (portRef C (instanceRef next_address_1_sqmuxa_i_o2_0)) + (portRef D (instanceRef next_COMMON_CTRL_REG_STROBE_1)) + (portRef B (instanceRef current_state_ns_0_a3_0_1_1)) + (portRef B (instanceRef N_88_i_0_a2)) + (portRef B (instanceRef next_address_1_sqmuxa_i_o2)) + (portRef C (instanceRef fsm_un22_api_dataready_in_RNILM2I)) )) - (net buf_API_DATA_OUT_1 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_1)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) + (net buf_APL_PACKET_NUM_OUT_11 (joined + (portRef (member buf_apl_packet_num_out 0)) + (portRef (member buf_apl_packet_num_out 0) (instanceRef the_addresses)) + (portRef C (instanceRef current_state_ns_i_o2_i_o2_15)) + (portRef C (instanceRef fsm_un24_api_dataready_in_i)) + (portRef C (instanceRef fsm_un22_api_dataready_in)) + (portRef B (instanceRef next_address_1_sqmuxa_i_o2_0)) + (portRef C (instanceRef N_88_i_0_a2)) + (portRef C (instanceRef next_address_1_sqmuxa_i_o2)) )) - (net ADR_DATA_OUT_1 (joined - (portRef (member adr_data_out 14) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) + (net buf_APL_PACKET_NUM_OUT_10 (joined + (portRef (member buf_apl_packet_num_out 1)) + (portRef (member buf_apl_packet_num_out 1) (instanceRef the_addresses)) + (portRef B (instanceRef current_state_ns_i_o2_i_o2_15)) + (portRef B (instanceRef fsm_un24_api_dataready_in_i)) + (portRef B (instanceRef fsm_un22_api_dataready_in)) + (portRef A (instanceRef next_address_1_sqmuxa_i_o2_0)) + (portRef D (instanceRef N_88_i_0_a2)) + (portRef D (instanceRef next_address_1_sqmuxa_i_o2)) )) - (net buf_APL_DATA_IN_49 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) - (portRef (member buf_apl_data_in 14)) + (net regio_addr_i_1_0 (joined + (portRef Q (instanceRef address_1_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m515_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m518_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m519_6_03_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_a2_4)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_4)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_1)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8_RNO)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m587_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m207_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m589_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m578_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m205_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m577_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m581_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m4_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m591_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m586_4_03)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_am)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_bm)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_am)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m9_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m10_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m8_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) )) - (net buf_API_DATA_OUT_3 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_3)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_3)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + (net temperature_1 (joined + (portRef (member temperature 10)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) )) - (net ADR_DATA_OUT_3 (joined - (portRef (member adr_data_out 12) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + (net N_506 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_5)) )) - (net buf_APL_DATA_IN_51 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) - (portRef (member buf_apl_data_in 12)) + (net buf_API_DATA_OUT_4 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_4)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_4)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) )) - (net buf_API_DATA_OUT_6 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_6)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_6)) - (portRef A (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) + (net ADR_DATA_OUT_4 (joined + (portRef (member adr_data_out 11) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) )) - (net ADR_DATA_OUT_6 (joined - (portRef (member adr_data_out 9) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) + (net current_state_4 (joined + (portRef Q (instanceRef current_state_4)) + (portRef (member current_state 1) (instanceRef the_addresses)) + (portRef A (instanceRef current_state_ns_0_a3_0_0_13)) + (portRef D (instanceRef API_SEND_OUT)) + (portRef C (instanceRef current_state_ns_0_i_s_0_6)) + (portRef A (instanceRef next_dont_understand_1_sqmuxa)) + (portRef B (instanceRef ADR_READ_IN)) + (portRef A (instanceRef un10_current_state_i_a2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) + (portRef D (instanceRef buf_API_READ_OUT_RNIVRB4)) + (portRef D (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + (portRef D (instanceRef buf_API_DATA_OUT_RNIATLF_15)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) + (portRef D (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI45LF_12)) + (portRef D (instanceRef buf_API_DATA_OUT_RNIGU9Q_9)) + (portRef D (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) )) - (net buf_APL_DATA_IN_54 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) - (portRef (member buf_apl_data_in 9)) + (net buf_APL_DATA_IN_4 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) + (portRef buf_APL_DATA_IN_4) )) (net buf_API_DATA_OUT_7 (joined (portRef Q (instanceRef buf_API_DATA_OUT_7)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_7)) + (portRef B (instanceRef next_API_DATA_OUT_10_7)) (portRef A (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) )) (net ADR_DATA_OUT_7 (joined (portRef (member adr_data_out 8) (instanceRef the_addresses)) (portRef B (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) )) - (net buf_APL_DATA_IN_55 (joined + (net buf_APL_DATA_IN_7 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) - (portRef (member buf_apl_data_in 8)) + (portRef buf_APL_DATA_IN_7) )) (net buf_API_DATA_OUT_9 (joined (portRef Q (instanceRef buf_API_DATA_OUT_9)) @@ -93197,22 +93805,9 @@ (portRef (member adr_data_out 6) (instanceRef the_addresses)) (portRef B (instanceRef buf_API_DATA_OUT_RNIGU9Q_9)) )) - (net buf_APL_DATA_IN_57 (joined + (net buf_API_DATA_OUT_RNIGU9Q_9 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNIGU9Q_9)) - (portRef (member buf_apl_data_in 6)) - )) - (net buf_API_DATA_OUT_10 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_10)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_10)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) - )) - (net ADR_DATA_OUT_10 (joined - (portRef (member adr_data_out 5) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) - )) - (net buf_APL_DATA_IN_58 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) - (portRef (member buf_apl_data_in 5)) + (portRef (member buf_api_data_out_rnigu9q 0)) )) (net buf_API_DATA_OUT_12 (joined (portRef Q (instanceRef buf_API_DATA_OUT_12)) @@ -93223,35 +93818,48 @@ (portRef (member adr_data_out 3) (instanceRef the_addresses)) (portRef B (instanceRef buf_API_DATA_OUT_RNI45LF_12)) )) - (net buf_APL_DATA_IN_60 (joined + (net buf_APL_DATA_IN_12 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNI45LF_12)) - (portRef (member buf_apl_data_in 3)) + (portRef buf_APL_DATA_IN_12) )) - (net buf_API_DATA_OUT_13 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_13)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_13)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + (net buf_API_DATA_OUT_6 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_6)) + (portRef B (instanceRef next_API_DATA_OUT_10_6)) + (portRef A (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) )) - (net ADR_DATA_OUT_13 (joined - (portRef (member adr_data_out 2) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + (net ADR_DATA_OUT_6 (joined + (portRef (member adr_data_out 9) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) )) - (net buf_APL_DATA_IN_61 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) - (portRef (member buf_apl_data_in 2)) + (net buf_APL_DATA_IN_6 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) + (portRef buf_APL_DATA_IN_6) )) - (net buf_API_DATA_OUT_14 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_14)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) + (net buf_API_DATA_OUT_5 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_5)) + (portRef B (instanceRef next_API_DATA_OUT_10_5)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) )) - (net ADR_DATA_OUT_14 (joined - (portRef (member adr_data_out 1) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) + (net ADR_DATA_OUT_5 (joined + (portRef (member adr_data_out 10) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) )) - (net buf_APL_DATA_IN_62 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) - (portRef (member buf_apl_data_in 1)) + (net buf_APL_DATA_IN_5 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) + (portRef buf_APL_DATA_IN_5) + )) + (net buf_API_DATA_OUT_3 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_3)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + )) + (net ADR_DATA_OUT_3 (joined + (portRef (member adr_data_out 12) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + )) + (net buf_APL_DATA_IN_3 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + (portRef buf_APL_DATA_IN_3) )) (net buf_API_DATA_OUT_15 (joined (portRef Q (instanceRef buf_API_DATA_OUT_15)) @@ -93262,9 +93870,22 @@ (portRef (member adr_data_out 0) (instanceRef the_addresses)) (portRef B (instanceRef buf_API_DATA_OUT_RNIATLF_15)) )) - (net buf_APL_DATA_IN_63 (joined + (net buf_APL_DATA_IN_15 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNIATLF_15)) - (portRef (member buf_apl_data_in 0)) + (portRef buf_APL_DATA_IN_15) + )) + (net buf_API_DATA_OUT_13 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_13)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_13)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + )) + (net ADR_DATA_OUT_13 (joined + (portRef (member adr_data_out 2) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + )) + (net buf_APL_DATA_IN_13 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + (portRef buf_APL_DATA_IN_13) )) (net buf_API_DATA_OUT_11 (joined (portRef Q (instanceRef buf_API_DATA_OUT_11)) @@ -93275,299 +93896,444 @@ (portRef (member adr_data_out 4) (instanceRef the_addresses)) (portRef B (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) )) - (net buf_APL_DATA_IN_59 (joined + (net buf_APL_DATA_IN_11 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) - (portRef (member buf_apl_data_in 4)) + (portRef buf_APL_DATA_IN_11) )) - (net current_state_1 (joined - (portRef Q (instanceRef current_state_1)) - (portRef B (instanceRef current_state_ns_i_9)) - (portRef D (instanceRef current_state_ns_i_1_9)) - (portRef B (instanceRef un1_next_nomoredata_1_sqmuxa)) - (portRef B (instanceRef next_API_READ_OUT_2_sqmuxa)) - (portRef A (instanceRef current_state_ns_i_a3_0_9)) - (portRef B (instanceRef current_state_ns_i_o4_16)) - (portRef C (instanceRef current_state_ns_i_RNO_16)) + (net buf_API_DATA_OUT_10 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_10)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_10)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) )) - (net N_2076 (joined - (portRef Z (instanceRef current_state_ns_i_RNO_16)) - (portRef D (instanceRef current_state_ns_i_16)) + (net ADR_DATA_OUT_10 (joined + (portRef (member adr_data_out 5) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) )) - (net length_15 (joined - (portRef Q (instanceRef length_15)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_5)) - (portRef D (instanceRef fsm_op_eq_next_state60_1_0)) - (portRef A (instanceRef current_state_ns_i_a3_0_5_RNO_8)) + (net buf_APL_DATA_IN_10 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) + (portRef buf_APL_DATA_IN_10) )) - (net length_14 (joined - (portRef Q (instanceRef length_14)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) - (portRef C (instanceRef fsm_op_eq_next_state60_1_0)) - (portRef B (instanceRef current_state_ns_i_a3_0_5_RNO_8)) + (net buf_API_DATA_OUT_1 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_1)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_1)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) )) - (net length_1 (joined - (portRef Q (instanceRef length_1)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_5)) - (portRef B (instanceRef fsm_op_eq_next_state60_1)) - (portRef C (instanceRef current_state_ns_i_a3_0_5_RNO_8)) + (net ADR_DATA_OUT_1 (joined + (portRef (member adr_data_out 14) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) )) - (net length_0 (joined - (portRef Q (instanceRef length_0)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef C (instanceRef reg_fsm_length_3_0)) - (portRef A (instanceRef fsm_op_eq_next_state60_1)) - (portRef D (instanceRef current_state_ns_i_a3_0_5_RNO_8)) + (net buf_APL_DATA_IN_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) + (portRef buf_APL_DATA_IN_1) )) - (net current_state_ns_i_a3_0_3_8 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_5_RNO_8)) - (portRef B (instanceRef current_state_ns_i_a3_0_5_8)) + (net buf_API_DATA_OUT_0 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_0)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_0)) + (portRef A (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) )) - (net length_13 (joined - (portRef Q (instanceRef length_13)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_5)) - (portRef B (instanceRef fsm_op_eq_next_state60_1_0)) - (portRef A (instanceRef current_state_ns_i_a3_0_RNO_0_8)) + (net ADR_DATA_OUT_0 (joined + (portRef (member adr_data_out 15) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) )) - (net length_12 (joined - (portRef Q (instanceRef length_12)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) - (portRef A (instanceRef fsm_op_eq_next_state60_1_0)) - (portRef B (instanceRef current_state_ns_i_a3_0_RNO_0_8)) + (net buf_APL_DATA_IN_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) + (portRef buf_APL_DATA_IN_0) )) - (net length_11 (joined - (portRef Q (instanceRef length_11)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef B (instanceRef fsm_op_eq_next_state60_6)) - (portRef C (instanceRef current_state_ns_i_a3_0_RNO_0_8)) + (net buf_API_PACKET_NUM_OUT_Q_2 (joined + (portRef Q (instanceRef buf_API_PACKET_NUM_OUT_2)) + (portRef A (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) )) - (net length_10 (joined - (portRef Q (instanceRef length_10)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef A (instanceRef fsm_op_eq_next_state60_6)) - (portRef D (instanceRef current_state_ns_i_a3_0_RNO_0_8)) + (net buf_APL_PACKET_NUM_IN_2 (joined + (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) + (portRef buf_APL_PACKET_NUM_IN_2) )) - (net current_state_ns_i_a3_0_1_8 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_RNO_0_8)) - (portRef C (instanceRef current_state_ns_i_a3_0_8)) + (net buf_API_READ_OUT (joined + (portRef Q (instanceRef buf_API_READ_OUT)) + (portRef A (instanceRef buf_API_READ_OUT_RNIVRB4)) )) - (net length_9 (joined - (portRef Q (instanceRef length_9)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) - (portRef D (instanceRef fsm_op_eq_next_state60_4_0)) - (portRef A (instanceRef current_state_ns_i_a3_0_RNO_8)) + (net ADR_READ_OUT (joined + (portRef ADR_READ_OUT (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_READ_OUT_RNIVRB4)) )) - (net length_8 (joined - (portRef Q (instanceRef length_8)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) - (portRef C (instanceRef fsm_op_eq_next_state60_4_0)) - (portRef B (instanceRef current_state_ns_i_a3_0_RNO_8)) + (net buf_APL_READ_IN_3 (joined + (portRef Z (instanceRef buf_API_READ_OUT_RNIVRB4)) + (portRef (member buf_apl_read_in 0)) )) - (net length_7 (joined - (portRef Q (instanceRef length_7)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) - (portRef D (instanceRef fsm_op_eq_next_state60_3_0)) - (portRef C (instanceRef current_state_ns_i_a3_0_RNO_8)) + (net buf_API_DATA_OUT_14 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_14)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_14)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) )) - (net length_6 (joined - (portRef Q (instanceRef length_6)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) - (portRef C (instanceRef fsm_op_eq_next_state60_3_0)) - (portRef D (instanceRef current_state_ns_i_a3_0_RNO_8)) + (net ADR_DATA_OUT_14 (joined + (portRef (member adr_data_out 1) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) )) - (net current_state_ns_i_a3_0_0_8 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_RNO_8)) - (portRef B (instanceRef current_state_ns_i_a3_0_8)) + (net buf_APL_DATA_IN_14 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) + (portRef buf_APL_DATA_IN_14) )) - (net stat_reg_60 (joined - (portRef stat_reg_60) - (portRef A (instanceRef fsm_un104_next_api_data_out_m12_8_03_0_0)) + (net buf_API_DATA_OUT_2 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_2)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_2)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) )) - (net m12_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m12_8_03_0_0)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_12)) + (net ADR_DATA_OUT_2 (joined + (portRef (member adr_data_out 13) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) )) - (net stat_reg_62 (joined - (portRef stat_reg_62) - (portRef A (instanceRef fsm_un104_next_api_data_out_m14_8_03_0_0)) + (net buf_APL_DATA_IN_2 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) + (portRef buf_APL_DATA_IN_2) + )) + (net buf_API_DATA_OUT_8 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_8)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_8)) + (portRef A (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + )) + (net ADR_DATA_OUT_8 (joined + (portRef (member adr_data_out 7) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + )) + (net buf_APL_DATA_IN_8 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + (portRef buf_APL_DATA_IN_8) + )) + (net buf_API_PACKET_NUM_OUT_Q_0 (joined + (portRef Q (instanceRef buf_API_PACKET_NUM_OUT_0)) + (portRef A (instanceRef buf_API_PACKET_NUM_OUT_RNIRHV91_0)) + )) + (net buf_APL_PACKET_NUM_IN_0 (joined + (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_RNIRHV91_0)) + (portRef buf_APL_PACKET_NUM_IN_0) + )) + (net stat_reg_63 (joined + (portRef stat_reg_63) + (portRef A (instanceRef fsm_un104_next_api_data_out_m15_8_03_0_0)) )) (net regio_addr_i_4 (joined (portRef Q (instanceRef address_4)) - (portRef (member regio_addr_i 0) (instanceRef pattern_gen_inst)) (portRef C (instanceRef next_API_DATA_OUT_16_am_4)) - (portRef C (instanceRef next_address_i_m2_cry_3_0_RNO_0)) (portRef D (instanceRef fsm_un104_next_api_data_out_m7_8_03_0_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m2_8_03_0_0)) (portRef D (instanceRef fsm_un104_next_api_data_out_m1_8_03_0_0)) (portRef D (instanceRef fsm_un104_next_api_data_out_m3_8_03_0_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m2_8_03_0_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + (portRef C (instanceRef next_address_i_m2_cry_3_0_RNO_0)) (portRef C (instanceRef fsm_un229_next_api_data_out_m0_8_03_1)) (portRef C (instanceRef next_global_time_write_0_sqmuxa)) + (portRef D (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0)) (portRef C (instanceRef address_RNITT_4)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_2_1)) (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_1_1)) (portRef A (instanceRef rom_read_addr_1_sqmuxa_2)) (portRef B (instanceRef address_RNI6G2_4)) (portRef B (instanceRef fsm_un104_next_api_data_out_m14_8_03_0_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m12_8_03_0_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m13_8_03_0_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m15_8_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) (portRef regio_addr_i_4) )) - (net regio_addr_i_3 (joined + (net regio_addr_i_3_d0 (joined (portRef Q (instanceRef address_3)) - (portRef (member regio_addr_i 1) (instanceRef pattern_gen_inst)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_3)) (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_8)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_13)) (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_15)) (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_9)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_12)) - (portRef C (instanceRef next_API_DATA_OUT_14_am_10)) - (portRef D (instanceRef next_API_DATA_OUT_8_am_8)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_7)) - (portRef C (instanceRef next_address_i_m2_cry_3_0_RNO)) - (portRef D (instanceRef next_API_DATA_OUT_2_am_13)) - (portRef D (instanceRef next_API_DATA_OUT_2_am_5)) - (portRef D (instanceRef next_API_DATA_OUT_2_am_15)) - (portRef D (instanceRef next_API_DATA_OUT_2_am_11)) + (portRef C (instanceRef next_API_DATA_OUT_16_am_3)) + (portRef D (instanceRef next_API_DATA_OUT_8_bm_8)) + (portRef D (instanceRef next_API_DATA_OUT_8_i_m2_bm_10)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_8)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_11)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_12)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_5)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_10)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22)) - (portRef D (instanceRef next_API_DATA_OUT_2_am_6)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_6)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) (portRef C (instanceRef fsm_un104_next_api_data_out_m7_8_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m2_8_03_0_0)) (portRef C (instanceRef fsm_un104_next_api_data_out_m1_8_03_0_0)) (portRef C (instanceRef fsm_un104_next_api_data_out_m3_8_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m2_8_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + (portRef C (instanceRef next_address_i_m2_cry_3_0_RNO)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14)) - (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0)) - (portRef D (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) - (portRef D (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) - (portRef D (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0)) - (portRef D (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) - (portRef C (instanceRef un225_next_api_data_out_1_axbxc3)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) + (portRef D (instanceRef un225_next_api_data_out_1_axbxc3)) + (portRef C (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0)) + (portRef D (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0)) (portRef B (instanceRef address_RNITT_4)) - (portRef C (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_2_1)) (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_1_1)) - (portRef C (instanceRef un225_next_api_data_out_1_p4)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) + (portRef D (instanceRef un225_next_api_data_out_1_p4)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_3)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_2)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) (portRef C (instanceRef fsm_un104_next_api_data_out_m14_8_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m12_8_03_0_0)) - (portRef regio_addr_i_3) + (portRef C (instanceRef fsm_un104_next_api_data_out_m13_8_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m15_8_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) + (portRef regio_addr_i_3_d0) + )) + (net m15_8_03_0_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m15_8_03_0_0)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_15)) + )) + (net stat_reg_61 (joined + (portRef stat_reg_61) + (portRef A (instanceRef fsm_un104_next_api_data_out_m13_8_03_0_0)) + )) + (net m13_8_03_0_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m13_8_03_0_0)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_13)) + )) + (net stat_reg_62 (joined + (portRef stat_reg_62) + (portRef A (instanceRef fsm_un104_next_api_data_out_m14_8_03_0_0)) )) (net m14_8_03_0_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m14_8_03_0_0)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) )) - (net un1_tmg_edge_found_i_axb_7 (joined - (portRef un1_tmg_edge_found_i_axb_7) - (portRef A (instanceRef fsm_un169_next_api_data_out_m263_6_03_0)) + (net stat_reg_43 (joined + (portRef stat_reg_43) + (portRef A (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) )) - (net m263_6_03_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m263_6_03_0)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_7)) + (net m11_6_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03)) )) - (net regio_addr_i_5 (joined + (net stat_reg_56 (joined + (portRef stat_reg_56) + (portRef A (instanceRef fsm_un104_next_api_data_out_m8_6_03_0)) + )) + (net m8_6_03_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m8_6_03_0)) + (portRef B (instanceRef next_API_DATA_OUT_1_am_8)) + )) + (net stat_reg_58 (joined + (portRef stat_reg_58) + (portRef A (instanceRef fsm_un104_next_api_data_out_m10_6_03_0)) + )) + (net m10_6_03_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m10_6_03_0)) + (portRef B (instanceRef next_API_DATA_OUT_1_am_10)) + )) + (net stat_reg_57 (joined + (portRef stat_reg_57) + (portRef A (instanceRef fsm_un104_next_api_data_out_m9_6_03_0)) + )) + (net m9_6_03_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m9_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) + )) + (net buf_APL_TYP_OUT_0 (joined + (portRef (member buf_apl_typ_out 2)) + (portRef A (instanceRef current_state_ns_0_i_o2_17)) + (portRef A (instanceRef current_state_ns_i_o2_i_o2_9)) + (portRef A (instanceRef current_state_ns_0_a3_0_1_1)) + (portRef D (instanceRef current_state_ns_i_i_a2_RNO_9)) + )) + (net buf_APL_TYP_OUT_2 (joined + (portRef (member buf_apl_typ_out 0)) + (portRef C (instanceRef current_state_ns_0_i_o2_17)) + (portRef C (instanceRef current_state_ns_i_o2_i_o2_9)) + (portRef C (instanceRef current_state_ns_0_a3_0_1_1)) + (portRef B (instanceRef current_state_ns_i_i_a2_RNO_9)) + )) + (net buf_APL_TYP_OUT_1 (joined + (portRef (member buf_apl_typ_out 1)) + (portRef B (instanceRef current_state_ns_0_i_o2_17)) + (portRef B (instanceRef current_state_ns_i_o2_i_o2_9)) + (portRef D (instanceRef current_state_ns_0_a3_0_1_1)) + (portRef C (instanceRef current_state_ns_i_i_a2_RNO_9)) + )) + (net regio_addr_i_0 (joined + (portRef Q (instanceRef address_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m579_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m203_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m576_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m206_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m585_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m204_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m582_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m584_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m588_4_03)) + (portRef A (instanceRef fsm_un254_next_api_data_out_m75_4_03)) + (portRef A (instanceRef fsm_un254_next_api_data_out_m11_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m12_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m68_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m204_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m71_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_84_0_o2)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m11_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m4_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m3_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m12_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m15_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m0_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m2_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m6_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m14_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m8_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m1_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m578_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m577_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m581_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m579_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m576_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m583_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m582_4_03)) + (portRef A (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m5_4_03)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_1)) + (portRef A (instanceRef fsm_un254_next_api_data_out_m71_4_03)) + (portRef A (instanceRef fsm_un254_next_api_data_out_m68_4_03)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_m238)) + (portRef A (instanceRef fsm_un193_next_api_data_out_m324)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m128)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m238)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m324)) + (portRef A (instanceRef next_API_DATA_OUT_4_8)) + (portRef A (instanceRef next_API_DATA_OUT_6_8)) + (portRef A (instanceRef next_API_DATA_OUT_4_2)) + (portRef A (instanceRef next_API_DATA_OUT_6_2)) + (portRef A (instanceRef next_API_DATA_OUT_4_1)) + (portRef A (instanceRef next_API_DATA_OUT_6_1)) + (portRef A (instanceRef next_API_DATA_OUT_4_i_m2_11)) + (portRef A (instanceRef next_API_DATA_OUT_6_i_m2_11)) + (portRef A (instanceRef next_API_DATA_OUT_4_3)) + (portRef A (instanceRef next_API_DATA_OUT_6_3)) + (portRef A (instanceRef next_API_DATA_OUT_4_12)) + (portRef A (instanceRef next_API_DATA_OUT_6_12)) + (portRef A (instanceRef next_API_DATA_OUT_4_10)) + (portRef A (instanceRef next_API_DATA_OUT_6_10)) + (portRef A (instanceRef next_API_DATA_OUT_4_6)) + (portRef A (instanceRef next_API_DATA_OUT_4_0)) + (portRef A (instanceRef next_API_DATA_OUT_6_0)) + (portRef A (instanceRef next_API_DATA_OUT_6_6)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m407)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) + (portRef A (instanceRef next_API_DATA_OUT_4_5)) + (portRef A (instanceRef next_API_DATA_OUT_6_5)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m296)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m74_4_03_3)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m72_4_03_3)) + (portRef A (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_0)) + (portRef A (instanceRef un225_next_api_data_out_1_p4)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_am)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_bm)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_bm)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_am)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_bm)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) + )) + (net N_761 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) + (portRef B (instanceRef next_API_DATA_OUT_8_i_m2_bm_10)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) + )) + (net regio_addr_i_5_d0 (joined (portRef Q (instanceRef address_5)) - (portRef C (instanceRef next_API_DATA_OUT_18_am_8)) + (portRef C0 (instanceRef next_API_DATA_OUT_13_15)) (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_13_10)) - (portRef C (instanceRef next_API_DATA_OUT_10_5)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_8)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + (portRef C (instanceRef next_API_DATA_OUT_13_8)) + (portRef C (instanceRef next_API_DATA_OUT_13_10)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_13)) (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_15)) (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_9)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_12)) - (portRef D (instanceRef next_API_DATA_OUT_14_am_10)) (portRef C (instanceRef next_API_DATA_OUT_13_3)) - (portRef C (instanceRef next_API_DATA_OUT_13_14)) + (portRef C (instanceRef next_API_DATA_OUT_13_i_m2_12)) (portRef C (instanceRef next_API_DATA_OUT_13_6)) - (portRef C (instanceRef next_API_DATA_OUT_13_12)) - (portRef C0 (instanceRef next_API_DATA_OUT_13_7)) - (portRef C (instanceRef next_address_i_m2_cry_5_0_RNO)) - (portRef C0 (instanceRef next_API_DATA_OUT_2_13)) - (portRef C0 (instanceRef next_API_DATA_OUT_2_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_2_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_2_11)) - (portRef C (instanceRef next_API_DATA_OUT_13_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_8)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_11)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_5)) + (portRef C (instanceRef next_API_DATA_OUT_10_5)) (portRef C (instanceRef next_API_DATA_OUT_13_0)) (portRef C (instanceRef next_API_DATA_OUT_13_2)) + (portRef C (instanceRef next_API_DATA_OUT_13_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_10)) (portRef C (instanceRef next_API_DATA_OUT_13_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_2_6)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_6)) + (portRef C (instanceRef next_address_i_m2_cry_5_0_RNO)) (portRef D (instanceRef current_state_RNI7LPA1_16)) (portRef D (instanceRef next_global_time_write_0_sqmuxa)) + (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc_3)) (portRef B (instanceRef rom_read_addr_1_sqmuxa_1)) - (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc_8)) - (portRef C0 (instanceRef next_API_DATA_OUT_13_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_13_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_13_11)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_4_4)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_3_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_13_i_m2_11)) (portRef C0 (instanceRef next_API_DATA_OUT_13_13)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_3)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_2)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_0)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) (portRef A (instanceRef next_API_DATA_OUT_18_am_9)) (portRef A (instanceRef address_RNI6G2_4)) - (portRef D (instanceRef address_RNI0T3Q_5)) - (portRef regio_addr_i_5) + (portRef D (instanceRef next_global_time_write_3_sqmuxa_0_RNIC9AD)) + (portRef regio_addr_i_5_d0) )) (net next_API_DATA_OUT_sn_N_33 (joined (portRef Z (instanceRef address_RNI6G2_4)) (portRef D (instanceRef current_state_RNIK5KI2_16)) )) - (net next_state_5_sqmuxa_1 (joined - (portRef Z (instanceRef next_state_5_sqmuxa_1)) - (portRef D (instanceRef un1_next_state_5_sqmuxa)) - (portRef A (instanceRef dont_understand_RNO)) + (net un12_dat_addr_in_2 (joined + (portRef Z (instanceRef rom_read_addr_1_sqmuxa_2)) + (portRef D (instanceRef rom_read_addr_1_sqmuxa)) + (portRef A (instanceRef buf_rom_read_addr_RNO_0)) + )) + (net rom_read_addr_1_sqmuxa_1 (joined + (portRef Z (instanceRef rom_read_addr_1_sqmuxa_1)) + (portRef C (instanceRef rom_read_addr_1_sqmuxa)) + (portRef B (instanceRef buf_rom_read_addr_RNO_0)) )) - (net next_dont_understand_1_sqmuxa (joined - (portRef Z (instanceRef next_dont_understand_1_sqmuxa)) - (portRef C (instanceRef un1_next_state_5_sqmuxa)) - (portRef B (instanceRef dont_understand_RNO)) + (net rom_read_addr_1_sqmuxa_i (joined + (portRef Z (instanceRef buf_rom_read_addr_RNO_0)) + (portRef D (instanceRef buf_rom_read_addr_0)) )) - (net N_2112 (joined - (portRef Z (instanceRef current_state_ns_0_a3_6_6)) - (portRef A (instanceRef current_state_ns_0_i_6)) - (portRef B (instanceRef un1_next_state_5_sqmuxa)) - (portRef C (instanceRef dont_understand_RNO)) - )) - (net N_2053_i (joined - (portRef Z (instanceRef next_address_1_sqmuxa)) - (portRef A (instanceRef un1_next_state_5_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_i_1)) - (portRef A (instanceRef current_state_ns_0_o2_5)) - (portRef B (instanceRef current_state_ns_0_o4_7)) - (portRef A (instanceRef next_address_1_sqmuxa_1)) - (portRef A (instanceRef next_operation_0_sqmuxa)) - (portRef D (instanceRef dont_understand_RNO)) + (net N_5911 (joined + (portRef Z (instanceRef current_state_ns_i_o2_i_o2_RNI1KDC_15)) + (portRef A (instanceRef rom_read_addr_0)) + (portRef B (instanceRef current_state_ns_0_i_3)) + (portRef A (instanceRef next_address_i_o2_0)) + (portRef C (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U)) )) - (net un1_next_state_5_sqmuxa_i_i (joined - (portRef Z (instanceRef dont_understand_RNO)) - (portRef D (instanceRef dont_understand)) + (net rom_read_addr_sn_N_2_i (joined + (portRef Z (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U)) + (portRef SP (instanceRef buf_rom_read_addr_2)) + (portRef SP (instanceRef buf_rom_read_addr_1)) + (portRef SP (instanceRef buf_rom_read_addr_0)) )) (net next_API_DATA_OUT_18_bm_9 (joined (portRef Z (instanceRef next_API_DATA_OUT_18_bm_9)) @@ -93577,57 +94343,69 @@ (portRef Z (instanceRef next_API_DATA_OUT_18_am_9)) (portRef BLUT (instanceRef next_API_DATA_OUT_18_9)) )) - (net N_2664 (joined + (net N_2671 (joined (portRef Z (instanceRef next_API_DATA_OUT_18_9)) (portRef A (instanceRef next_API_DATA_OUT_bm_9)) )) - (net reg_enable_pattern_m_1_0 (joined - (portRef Z (instanceRef address_0_rep1_RNIKOAD)) - (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) - (portRef C (instanceRef next_API_DATA_OUT_18_bm_8)) - (portRef C (instanceRef next_API_DATA_OUT_12_14)) - (portRef C (instanceRef next_API_DATA_OUT_12_15)) - (portRef C (instanceRef next_API_DATA_OUT_12_12)) - (portRef C (instanceRef next_API_DATA_OUT_12_6)) - (portRef D (instanceRef next_API_DATA_OUT_12_am_10)) - (portRef C (instanceRef next_API_DATA_OUT_12_13)) - (portRef C (instanceRef next_API_DATA_OUT_12_5)) - (portRef C (instanceRef next_API_DATA_OUT_12_11)) - (portRef D (instanceRef next_API_DATA_OUT_12_am_7)) - (portRef C0 (instanceRef next_API_DATA_OUT_9_2)) - (portRef C0 (instanceRef next_API_DATA_OUT_9_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_9_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_9_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_9_0)) - (portRef B (instanceRef next_API_DATA_OUT_18_bm_9)) - )) (net un254_next_api_data_out_9 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) - (portRef C (instanceRef next_API_DATA_OUT_18_bm_9)) + (portRef B (instanceRef next_API_DATA_OUT_18_bm_9)) )) (net OUT9_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_8_03)) - (portRef D (instanceRef next_API_DATA_OUT_18_bm_9)) - )) - (net next_STAT_REG_STROBE_4_0 (joined - (portRef Z (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_10)) - (portRef C0 (instanceRef next_API_DATA_OUT_8_8)) - (portRef B (instanceRef next_global_time_write_0_sqmuxa)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_15)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_4)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_11)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_13)) - (portRef B (instanceRef next_API_DATA_OUT_18_am_9)) + (portRef C (instanceRef next_API_DATA_OUT_18_bm_9)) )) (net un193_next_api_data_out_9 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) - (portRef C (instanceRef next_API_DATA_OUT_18_am_9)) + (portRef B (instanceRef next_API_DATA_OUT_18_am_9)) )) (net un169_next_api_data_out_9 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) + (portRef C (instanceRef next_API_DATA_OUT_18_am_9)) + )) + (net N_5833 (joined + (portRef Z (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_8_8)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_0_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_8_i_m2_10)) + (portRef A (instanceRef next_API_DATA_OUT_8_15)) + (portRef A (instanceRef next_global_time_write_0_sqmuxa)) + (portRef D (instanceRef next_API_DATA_OUT_13_i_m2_am_11)) + (portRef D (instanceRef next_API_DATA_OUT_13_am_13)) (portRef D (instanceRef next_API_DATA_OUT_18_am_9)) )) + (net next_API_DATA_OUT_14_i_m2_bm_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_1)) + )) + (net next_API_DATA_OUT_14_i_m2_am_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_1)) + )) + (net N_6119 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_1)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_1)) + )) + (net un134_next_api_data_out_1 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) + )) + (net m1_8_03_0_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_8_03_0_0)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) + )) + (net m1_8_03 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) + )) + (net un59_next_api_data_out_1 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) + )) + (net m1_6_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m1_6_03)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) + )) (net next_API_DATA_OUT_14_i_m2_bm_0 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_0)) (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_0)) @@ -93636,9 +94414,9 @@ (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_0)) (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_0)) )) - (net N_79 (joined + (net N_6715 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_0)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_0)) )) (net un134_next_api_data_out_0 (joined (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7)) @@ -93648,8 +94426,8 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_0)) )) - (net m0_8_03_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_1)) + (net m0_8_03 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_0)) )) (net un59_next_api_data_out_0 (joined @@ -93668,7 +94446,7 @@ (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_2)) (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_2)) )) - (net N_5828 (joined + (net N_6716 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_2)) (portRef B (instanceRef next_API_DATA_OUT_21_am_2)) )) @@ -93700,9 +94478,9 @@ (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_3)) (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_3)) )) - (net N_82 (joined + (net N_6717 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_3)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_3)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_3)) )) (net un134_next_api_data_out_3 (joined (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10)) @@ -93724,119 +94502,116 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m3_6_03)) (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_3)) )) - (net next_API_DATA_OUT_14_i_m2_bm_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_1)) - )) - (net next_API_DATA_OUT_14_i_m2_am_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_1)) - )) - (net N_80 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_1)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_1)) - )) - (net un134_next_api_data_out_1 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) - )) - (net m1_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_8_03_0_0)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) - )) - (net m1_8_03 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) - )) - (net un59_next_api_data_out_1 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) - )) - (net m1_6_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m1_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) - )) - (net next_API_DATA_OUT_14_i_m2_bm_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_7)) - )) - (net next_API_DATA_OUT_14_i_m2_am_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_7)) - )) - (net N_86 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_7)) - (portRef A (instanceRef next_API_DATA_OUT_19_7)) - )) - (net un134_next_api_data_out_7 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) - )) - (net m7_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_8_03_0_0)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) - )) - (net m7_8_03 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) + (net un169_next_api_data_out_13_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) )) - (net un59_next_api_data_out_7 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + (net un169_next_api_data_out_13_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) )) - (net m7_6_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + (net un169_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_0_4)) )) - (net current_state_ns_i_bm_8 (joined - (portRef Z (instanceRef current_state_ns_i_bm_8)) - (portRef ALUT (instanceRef current_state_ns_i_8)) + (net un1_tmg_edge_found_i_axb_4 (joined + (portRef un1_tmg_edge_found_i_axb_4) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) )) - (net current_state_ns_i_am_8 (joined - (portRef Z (instanceRef current_state_ns_i_am_8)) - (portRef BLUT (instanceRef current_state_ns_i_8)) + (net m68_4_03_4 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) )) - (net current_state_10 (joined - (portRef Q (instanceRef current_state_10)) - (portRef B (instanceRef fsm_op_eq_next_state60_RNIRIQP1)) - (portRef B (instanceRef current_state_RNI188F2_7)) - (portRef D (instanceRef un1_next_length_1_sqmuxa_0_a)) - (portRef B (instanceRef current_state_ns_0_a3_0_12)) - (portRef C (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa_1)) - (portRef B (instanceRef current_state_ns_i_a2_RNILBLQ_8)) - (portRef C (instanceRef next_state_1_sqmuxa_1)) - (portRef C (instanceRef buf_API_SEND_OUT_RNO)) - (portRef C (instanceRef un1_current_state_6_0_a2)) - (portRef A (instanceRef reg_fsm_timeout_3_f0)) - (portRef A (instanceRef reg_fsm_nomoredata_3_f0)) - (portRef C0 (instanceRef current_state_ns_i_8)) + (net m4_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) )) - (net N_2031_0 (joined - (portRef Z (instanceRef current_state_ns_i_8)) - (portRef D (instanceRef current_state_10)) + (net m4_6_03_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) )) - (net N_2116 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_8)) - (portRef B (instanceRef current_state_ns_0_i_12)) - (portRef A (instanceRef current_state_ns_i_am_8)) - (portRef A (instanceRef current_state_ns_i_bm_8)) - )) - (net next_api_data_out276 (joined - (portRef Z (instanceRef current_state_ns_i_a2_8)) - (portRef C (instanceRef current_state_ns_0_a3_0_12)) - (portRef C (instanceRef current_state_ns_i_a2_RNIMNKQ_8)) - (portRef C (instanceRef current_state_ns_i_a2_RNILBLQ_8)) - (portRef B (instanceRef current_state_ns_i_bm_8)) - )) - (net N_2048_0_tz (joined - (portRef Z (instanceRef current_state_ns_0_o4_tz_12)) - (portRef A (instanceRef current_state_ns_0_a3_0_12)) - (portRef C (instanceRef current_state_ns_i_bm_8)) - )) - (net N_2055_0 (joined - (portRef Z (instanceRef current_state_ns_i_o2_15)) - (portRef A (instanceRef current_state_ns_i_15)) - (portRef C (instanceRef current_state_ns_i_am_8)) + (net m8_6_03_1_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) + (portRef A (instanceRef next_API_DATA_OUT_1_am_8)) + (portRef A (instanceRef next_API_DATA_OUT_1_am_10)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m268_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m526_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m258_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m257_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m256_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m516_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m519_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m2_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m0_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_3)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) )) (net next_API_DATA_OUT_13_bm_13 (joined (portRef Z (instanceRef next_API_DATA_OUT_13_bm_13)) @@ -93846,7 +94621,7 @@ (portRef Z (instanceRef next_API_DATA_OUT_13_am_13)) (portRef BLUT (instanceRef next_API_DATA_OUT_13_13)) )) - (net N_2582 (joined + (net N_2589 (joined (portRef Z (instanceRef next_API_DATA_OUT_13_13)) (portRef B (instanceRef next_API_DATA_OUT_21_bm_13)) )) @@ -93860,528 +94635,461 @@ )) (net un169_next_api_data_out_13 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_13)) + (portRef A (instanceRef next_API_DATA_OUT_13_am_13)) )) (net un168_next_api_data_out_23_0 (joined (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_13)) + (portRef B (instanceRef next_API_DATA_OUT_13_am_13)) )) (net OUT40_1 (joined (portRef Z (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_10)) - (portRef A (instanceRef next_API_DATA_OUT_9_bm_2)) - (portRef A (instanceRef next_API_DATA_OUT_9_bm_3)) - (portRef A (instanceRef next_API_DATA_OUT_9_bm_4)) - (portRef A (instanceRef next_API_DATA_OUT_9_bm_1)) - (portRef A (instanceRef next_API_DATA_OUT_9_bm_0)) - (portRef B (instanceRef next_API_DATA_OUT_8_bm_8)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_15)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_4)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_11)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_13)) + (portRef A (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_m2_2_am_4)) + (portRef A (instanceRef next_API_DATA_OUT_9_i_m2_am_0)) + (portRef A (instanceRef next_API_DATA_OUT_12_i_m2_1_am_2)) + (portRef A (instanceRef next_API_DATA_OUT_12_i_m2_1_am_3)) + (portRef B (instanceRef next_API_DATA_OUT_8_am_8)) + (portRef B (instanceRef next_API_DATA_OUT_8_i_m2_am_10)) + (portRef B (instanceRef next_API_DATA_OUT_8_15)) + (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14)) + (portRef C (instanceRef next_API_DATA_OUT_13_i_m2_am_11)) + (portRef C (instanceRef next_API_DATA_OUT_13_am_13)) )) - (net next_API_DATA_OUT_13_bm_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_bm_11)) - (portRef ALUT (instanceRef next_API_DATA_OUT_13_11)) + (net next_API_DATA_OUT_13_i_m2_bm_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_i_m2_bm_11)) + (portRef ALUT (instanceRef next_API_DATA_OUT_13_i_m2_11)) )) - (net next_API_DATA_OUT_13_am_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_am_11)) - (portRef BLUT (instanceRef next_API_DATA_OUT_13_11)) + (net next_API_DATA_OUT_13_i_m2_am_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_i_m2_am_11)) + (portRef BLUT (instanceRef next_API_DATA_OUT_13_i_m2_11)) )) - (net N_2580 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_11)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_11)) + (net N_293 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_i_m2_11)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_11)) )) (net common_ctrl_reg_75 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_75_buf_COMMON_CTRL_REG_OUT_75)) - (portRef A (instanceRef next_API_DATA_OUT_13_bm_11)) + (portRef A (instanceRef next_API_DATA_OUT_13_i_m2_bm_11)) )) - (net regio_addr_i_0 (joined - (portRef Q (instanceRef address_0)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m8_6_03_1_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m10_6_03_1_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_3)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m521_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m581_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m72_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m8_4_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m581_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m9_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m73_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m5_4_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m199_4_03)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m128)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m296)) - (portRef A (instanceRef next_API_DATA_OUT_4_2)) - (portRef A (instanceRef next_API_DATA_OUT_6_2)) - (portRef A (instanceRef next_API_DATA_OUT_4_6)) - (portRef A (instanceRef next_API_DATA_OUT_6_6)) - (portRef A (instanceRef next_API_DATA_OUT_4_1)) - (portRef A (instanceRef next_API_DATA_OUT_6_1)) - (portRef A (instanceRef next_API_DATA_OUT_4_3)) - (portRef A (instanceRef next_API_DATA_OUT_6_3)) - (portRef A (instanceRef next_API_DATA_OUT_6_13)) - (portRef A (instanceRef next_API_DATA_OUT_4_13)) - (portRef A (instanceRef next_API_DATA_OUT_6_0)) - (portRef A (instanceRef next_API_DATA_OUT_4_0)) - (portRef A (instanceRef next_API_DATA_OUT_4_5)) - (portRef A (instanceRef next_API_DATA_OUT_6_5)) - (portRef A (instanceRef next_API_DATA_OUT_4_15)) - (portRef A (instanceRef next_API_DATA_OUT_6_15)) - (portRef A (instanceRef next_API_DATA_OUT_6_11)) - (portRef A (instanceRef next_API_DATA_OUT_4_11)) - (portRef A (instanceRef next_API_DATA_OUT_6_4)) - (portRef A (instanceRef next_API_DATA_OUT_4_4)) - (portRef A (instanceRef next_API_DATA_OUT_6_7)) - (portRef A (instanceRef next_API_DATA_OUT_4_7)) - (portRef C (instanceRef next_API_DATA_OUT_13_bm_11)) + (net regio_addr_i_3_0 (joined + (portRef Q (instanceRef address_3_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) + (portRef A (instanceRef un225_next_api_data_out_1_axbxc3)) + (portRef A (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m520_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m12_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m524_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m523_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m15_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m527_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m14_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m526_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m526_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m13_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m514_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m513_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_3)) + (portRef C (instanceRef next_API_DATA_OUT_13_i_m2_bm_11)) (portRef C (instanceRef next_API_DATA_OUT_13_bm_13)) - (portRef regio_addr_i_0) + (portRef (member regio_addr_i_3 0)) )) (net N_325 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_m324)) - (portRef D (instanceRef next_API_DATA_OUT_13_bm_11)) + (portRef D (instanceRef next_API_DATA_OUT_13_i_m2_bm_11)) )) (net un169_next_api_data_out_11 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_11)) + (portRef A (instanceRef next_API_DATA_OUT_13_i_m2_am_11)) )) (net un168_next_api_data_out_21_0 (joined (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_11)) + (portRef B (instanceRef next_API_DATA_OUT_13_i_m2_am_11)) )) - (net next_API_DATA_OUT_13_bm_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_bm_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_13_4)) + (net un169_next_api_data_out_23_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) )) - (net next_API_DATA_OUT_13_am_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_am_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_13_4)) + (net un169_next_api_data_out_23_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) )) - (net N_2573 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_4)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_4)) + (net un169_next_api_data_out_14 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_1_14)) )) - (net common_ctrl_reg_68 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_68_buf_COMMON_CTRL_REG_OUT_68)) - (portRef A (instanceRef next_API_DATA_OUT_13_bm_4)) + (net regio_addr_i_5_0 (joined + (portRef Q (instanceRef address_5_0)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_2_1_14)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_12)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_11)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_10)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_8)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_5)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_6)) + (portRef C (instanceRef next_API_DATA_OUT_16_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_8)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_11)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_12)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_5)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_10)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_bm)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_bm)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_bm)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_6)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_bm)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) + (portRef C (instanceRef next_address_i_m2_cry_0_0_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_bm)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_bm)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_bm)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) + (portRef C (instanceRef rom_read_addr_0_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03)) + (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i)) + (portRef C (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) + (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_1)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m525_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m523_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m14_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m518_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m516_6_03_0_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m526_6_03_0_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m520_6_03_0_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m15_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m13_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) + (portRef (member regio_addr_i_5 0)) )) - (net m4_4_03_4 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_m4_4_03)) - (portRef D (instanceRef next_API_DATA_OUT_13_bm_4)) + (net N_228 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m527_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m526_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m258_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m514_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m257_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m513_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m512_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m516_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m515_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m518_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m519_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m14_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m518_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) )) - (net un169_next_api_data_out_4 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_4)) + (net un1_tmg_edge_found_i_axb_14 (joined + (portRef un1_tmg_edge_found_i_axb_14) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) )) - (net un168_next_api_data_out_14_0 (joined - (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_4)) + (net m14_6_03_3 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) )) - (net next_API_DATA_OUT_13_bm_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_bm_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_13_15)) + (net m14_6_03_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) )) - (net next_API_DATA_OUT_13_am_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_am_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_13_15)) + (net m14_6_03_0_1_tz (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) )) - (net N_2584 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_15)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_15)) + (net next_API_DATA_OUT_18_i_0_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_0_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_18_i_0_4)) )) - (net common_ctrl_reg_79 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_79_buf_COMMON_CTRL_REG_OUT_79)) - (portRef A (instanceRef next_API_DATA_OUT_13_bm_15)) + (net next_API_DATA_OUT_18_i_0_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_0_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_18_i_0_4)) + )) + (net N_5831 (joined + (portRef Z (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_0)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_1_7)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_2_7)) + (portRef A (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_18_i_0_4)) + )) + (net next_API_DATA_OUT_18_i_0_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_0_4)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_4)) + )) + (net N_5865 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_4_4)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_2_14)) + (portRef A (instanceRef next_unknown_1_sqmuxa_3)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_2_7)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_0_am_4)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_0_bm_4)) + )) + (net N_5835 (joined + (portRef Z (instanceRef fsm_un38_api_dataready_in_i_o2)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_1_7)) + (portRef B (instanceRef next_unknown_1_sqmuxa_1)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_0_am_4)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_0_bm_4)) + )) + (net un254_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_0_bm_4)) + )) + (net un193_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_0_bm_4)) + )) + (net ctrl_reg_4 (joined + (portRef Q (instanceRef gen_regout_0_gen_regoutff1_4_gen_regoutff_buf_REGISTERS_OUT_4)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_0_am_4)) + )) + (net un169_next_api_data_out_14_am_RNO_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) + )) + (net un169_next_api_data_out_14_am_RNO_2 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) + )) + (net m5_6_03_i_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am)) + )) + (net un1_prev_trg_reg_1_axb_5 (joined + (portRef un1_prev_trg_reg_1_axb_5) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) + )) + (net un1_invalid_trg_axb_5 (joined + (portRef un1_invalid_trg_axb_5) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) )) - (net regio_addr_i_2_1 (joined - (portRef Q (instanceRef address_2_1)) + (net regio_addr_i_1_1 (joined + (portRef Q (instanceRef address_1_1)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_2_14)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_2_1_14)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_12)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_12)) (portRef B (instanceRef next_API_DATA_OUT_1_bm_11)) (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_11)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_15)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_15)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_13)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_13)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03_1_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1_0)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_6)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_6)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_10)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_10)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_8)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_8)) (portRef B (instanceRef next_API_DATA_OUT_1_bm_5)) (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_5)) - (portRef B (instanceRef next_API_DATA_OUT_12_bm_7)) - (portRef B (instanceRef next_API_DATA_OUT_12_bm_1_7)) - (portRef B (instanceRef next_API_DATA_OUT_12_bm_10)) - (portRef B (instanceRef next_API_DATA_OUT_12_bm_1_10)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_6)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_6)) + (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) (portRef C (instanceRef next_API_DATA_OUT_16_am_1)) - (portRef C (instanceRef next_API_DATA_OUT_13_bm_10)) - (portRef C (instanceRef next_API_DATA_OUT_13_bm_7)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_8)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_11)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_12)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_5)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_10)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_6)) (portRef C (instanceRef next_address_i_m2_cry_1_0_RNO)) - (portRef C (instanceRef next_API_DATA_OUT_2_bm_13)) - (portRef C (instanceRef next_API_DATA_OUT_2_bm_5)) - (portRef C (instanceRef next_API_DATA_OUT_2_bm_15)) - (portRef C (instanceRef next_API_DATA_OUT_2_bm_11)) - (portRef C (instanceRef next_API_DATA_OUT_2_bm_6)) - (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_3)) - (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_1)) (portRef C (instanceRef rom_read_addr_0_2)) - (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_RNO_3)) + (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_RNO_2)) (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7)) (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8)) (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9)) (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) + (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) + (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) (portRef C0 (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0)) - (portRef C0 (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0)) - (portRef C0 (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0)) - (portRef C0 (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0)) + (portRef C0 (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0)) + (portRef C0 (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0)) + (portRef C0 (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_15)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_4)) - (portRef (member regio_addr_i_2 0)) - )) - (net regio_addr_i_1_0 (joined - (portRef Q (instanceRef address_1_0)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_11)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_15)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_13)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_6)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_5)) - (portRef A (instanceRef next_API_DATA_OUT_12_bm_1_7)) - (portRef A (instanceRef next_API_DATA_OUT_12_bm_1_10)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_0)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_10)) - (portRef C (instanceRef next_API_DATA_OUT_8_am_8)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_7)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_7)) - (portRef C (instanceRef next_address_i_m2_cry_0_0_RNO_0)) - (portRef B (instanceRef next_API_DATA_OUT_2_bm_13)) - (portRef B (instanceRef next_API_DATA_OUT_2_bm_5)) - (portRef B (instanceRef next_API_DATA_OUT_2_bm_15)) - (portRef B (instanceRef next_API_DATA_OUT_2_bm_11)) - (portRef B (instanceRef next_API_DATA_OUT_2_bm_6)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) - (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_3)) - (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_1)) - (portRef C (instanceRef rom_read_addr_0_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m7_6_03)) - (portRef C (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) - (portRef D (instanceRef REGISTERS_OUT_write_enable_RNO_2)) - (portRef C (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) - (portRef D (instanceRef next_COMMON_CTRL_REG_STROBEc)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - (portRef A (instanceRef next_API_DATA_OUT_15_bm_8)) - (portRef A (instanceRef next_API_DATA_OUT_15_am_8)) - (portRef A (instanceRef next_API_DATA_OUT_15_bm_14)) - (portRef A (instanceRef next_API_DATA_OUT_15_am_14)) - (portRef A (instanceRef next_API_DATA_OUT_15_bm_9)) - (portRef A (instanceRef next_API_DATA_OUT_15_am_9)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_am)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) - (portRef A (instanceRef next_API_DATA_OUT_15_bm_12)) - (portRef A (instanceRef next_API_DATA_OUT_15_am_12)) - (portRef A (instanceRef next_API_DATA_OUT_15_bm_10)) - (portRef A (instanceRef next_API_DATA_OUT_15_am_10)) - (portRef C (instanceRef next_API_DATA_OUT_13_bm_15)) - (portRef C (instanceRef next_API_DATA_OUT_13_bm_4)) - (portRef (member regio_addr_i_1 1)) - )) - (net m15_4_03_4 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_m15_4_03)) - (portRef D (instanceRef next_API_DATA_OUT_13_bm_15)) - )) - (net un169_next_api_data_out_15 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_15)) - )) - (net un168_next_api_data_out_25_0 (joined - (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_15)) - )) - (net un169_next_api_data_out_14_am_RNO_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) - )) - (net un169_next_api_data_out_14_am_RNO_2 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) - )) - (net regio_addr_i_2_d0 (joined - (portRef Q (instanceRef address_2)) - (portRef (member regio_addr_i 2) (instanceRef pattern_gen_inst)) - (portRef B (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m8_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_2)) - (portRef C (instanceRef next_address_i_m2_cry_1_0_RNO_0)) - (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0)) - (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) - (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) - (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0)) - (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m523_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m525_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m0_6_03_0_1_tz)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m7_6_03_0_1_tz)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m2_6_03_0_1_tz)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2)) - (portRef B (instanceRef un225_next_api_data_out_1_axbxc3)) - (portRef B (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m13_6_03_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_0_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_12)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m8_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m10_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef address_RNITT_4)) - (portRef B (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m9_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_3_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_2_1)) - (portRef B (instanceRef un225_next_api_data_out_1_p4)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_am)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_bm)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_am)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_bm)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_am)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_bm)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_am)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_bm)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m263_6_03_0)) - (portRef regio_addr_i_2_d0) - )) - (net m5_6_03_i_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am)) - )) - (net regio_addr_i_0_rep2 (joined - (portRef Q (instanceRef address_0_rep2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m523_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m523_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m525_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m519_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m519_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_4)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m591_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m586_4_03)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m583_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m590_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m582_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m588_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m13_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m77_4_03)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m8_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m10_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m583_4_03)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m9_6_03_1)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_am)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_bm)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_am)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_bm)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) - )) - (net un1_prev_trg_reg_1_axb_5 (joined - (portRef un1_prev_trg_reg_1_axb_5) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) - )) - (net un1_invalid_trg_axb_5 (joined - (portRef un1_invalid_trg_axb_5) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) + (portRef regio_addr_i_1_1) )) (net stat_lvl1_handler_37 (joined (portRef stat_lvl1_handler_37) @@ -94391,162 +95099,89 @@ (portRef stat_lvl1_handler_5) (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) )) - (net m264_6_03_0_0_bm (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_bm)) - (portRef ALUT (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0)) + (net m260_6_03_0_0_bm (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_bm)) + (portRef ALUT (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0)) )) - (net m264_6_03_0_0_am (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_am)) - (portRef BLUT (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0)) + (net m260_6_03_0_0_am (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_am)) + (portRef BLUT (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0)) )) - (net m264_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03_1)) - )) - (net stat_reg_488 (joined - (portRef stat_reg_488) - (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_bm)) + (net m260_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m4_8_03_1)) )) - (net stat_reg_456 (joined - (portRef stat_reg_456) - (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_bm)) + (net stat_reg_500 (joined + (portRef stat_reg_500) + (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_bm)) )) - (net stat_reg_424 (joined - (portRef stat_reg_424) - (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_am)) + (net stat_reg_468 (joined + (portRef stat_reg_468) + (portRef C (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_bm)) )) - (net stat_reg_392 (joined - (portRef stat_reg_392) - (portRef D (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_am)) + (net stat_reg_436 (joined + (portRef stat_reg_436) + (portRef C (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_am)) )) - (net m266_6_03_0_0_bm (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_bm)) - (portRef ALUT (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0)) + (net stat_reg_404 (joined + (portRef stat_reg_404) + (portRef D (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_am)) )) - (net m266_6_03_0_0_am (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_am)) - (portRef BLUT (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0)) + (net m270_6_03_0_0_bm (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_bm)) + (portRef ALUT (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0)) )) - (net m266_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03_1)) + (net m270_6_03_0_0_am (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_am)) + (portRef BLUT (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0)) )) - (net stat_reg_490 (joined - (portRef stat_reg_490) - (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_bm)) + (net m270_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03_1)) )) - (net stat_reg_458 (joined - (portRef stat_reg_458) - (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_bm)) + (net stat_reg_494 (joined + (portRef stat_reg_494) + (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_bm)) )) - (net stat_reg_426 (joined - (portRef stat_reg_426) - (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_am)) + (net stat_reg_462 (joined + (portRef stat_reg_462) + (portRef C (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_bm)) )) - (net stat_reg_394 (joined - (portRef stat_reg_394) - (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_am)) + (net stat_reg_430 (joined + (portRef stat_reg_430) + (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_am)) )) - (net m256_6_03_0_0_bm (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_bm)) - (portRef ALUT (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0)) + (net stat_reg_398 (joined + (portRef stat_reg_398) + (portRef C (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_am)) )) - (net m256_6_03_0_0_am (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_am)) - (portRef BLUT (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0)) + (net m260_6_03_0_bm_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_bm)) + (portRef ALUT (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0)) )) - (net m256_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_1)) + (net m260_6_03_0_am_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_am)) + (portRef BLUT (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0)) )) - (net stat_reg_496 (joined - (portRef stat_reg_496) - (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_bm)) + (net m260_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m4_8_03_1)) )) - (net stat_reg_464 (joined - (portRef stat_reg_464) - (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_bm)) + (net stat_reg_484 (joined + (portRef stat_reg_484) + (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_bm)) )) - (net regio_addr_i_0_rep1 (joined - (portRef Q (instanceRef address_0_rep1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m513_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m515_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) - (portRef C (instanceRef address_0_rep1_RNIKOAD)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m13_6_03_0)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m4_4_03)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8_RNO)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m207_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m578_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m577_4_03)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m579_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m516_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m576_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m206_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m204_4_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m207_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m6_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m14_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m8_4_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m578_4_03)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m577_4_03)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m579_4_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m11_4_03)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m15_4_03)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m15_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m12_4_03)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m238)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m324)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m128)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m238)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m407)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m324)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m296)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_am)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_bm)) + (net stat_reg_452 (joined + (portRef stat_reg_452) + (portRef C (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_bm)) )) - (net stat_reg_432 (joined - (portRef stat_reg_432) - (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_am)) + (net stat_reg_420 (joined + (portRef stat_reg_420) + (portRef C (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_am)) )) - (net stat_reg_400 (joined - (portRef stat_reg_400) - (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_am)) + (net stat_reg_388 (joined + (portRef stat_reg_388) + (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_am)) )) (net m263_6_03_0_0_bm (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_bm)) @@ -94587,7 +95222,7 @@ (portRef D (instanceRef us_tick_i)) )) (net unknown_3 (joined - (portRef Z (instanceRef reg_fsm_unknown_3_iv)) + (portRef Z (instanceRef reg_fsm_unknown_3_iv_0)) (portRef D (instanceRef unknown)) )) (net un1_next_nomoredata_0_sqmuxa_3_0_i (joined @@ -94623,17 +95258,14 @@ (portRef CK (instanceRef address_4)) (portRef CK (instanceRef address_3)) (portRef CK (instanceRef address_2)) - (portRef CK (instanceRef address_0_rep1)) - (portRef CK (instanceRef address_0_rep2_1)) - (portRef CK (instanceRef address_1_1)) (portRef CK (instanceRef address_1)) - (portRef CK (instanceRef address_0_rep1_1)) + (portRef CK (instanceRef address_1_1)) (portRef CK (instanceRef address_1_0)) - (portRef CK (instanceRef address_2_1)) + (portRef CK (instanceRef address_2_0)) + (portRef CK (instanceRef address_4_0)) + (portRef CK (instanceRef address_5_0)) (portRef CK (instanceRef address_0)) - (portRef CK (instanceRef address_0_rep2)) - (portRef CK (instanceRef address_fast_0)) - (portRef CK (instanceRef address_fast_1_0)) + (portRef CK (instanceRef address_3_0)) (portRef CK (instanceRef buf_API_DATAREADY_OUT)) (portRef CK (instanceRef buf_API_DATA_OUT_15)) (portRef CK (instanceRef buf_API_DATA_OUT_14)) @@ -95100,24 +95732,6 @@ (portRef VCC) (portRef VCC (instanceRef board_rom)) (portRef VCC (instanceRef the_addresses)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) (portRef D1 (instanceRef un3_local_time_i_1_s_7_0)) (portRef D0 (instanceRef un3_local_time_i_1_s_7_0)) (portRef D1 (instanceRef un3_local_time_i_1_cry_5_0)) @@ -95129,58 +95743,58 @@ (portRef D1 (instanceRef un3_local_time_i_1_cry_0_0)) (portRef D0 (instanceRef un3_local_time_i_1_cry_0_0)) (portRef B0 (instanceRef un3_local_time_i_1_cry_0_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef D1 (instanceRef time_since_last_trg_i_s_0_31)) - (portRef D0 (instanceRef time_since_last_trg_i_s_0_31)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_29)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_29)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_27)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_27)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_25)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_25)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_23)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_23)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_21)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_21)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_19)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_19)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_17)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_17)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_15)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_15)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_13)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_13)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_11)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_11)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_9)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_9)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_7)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_7)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_5)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_5)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_3)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_3)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_1)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_1)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_0)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_0)) + (portRef D1 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef D0 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef D1 (instanceRef length_s_0_15)) + (portRef D0 (instanceRef length_s_0_15)) + (portRef B0 (instanceRef length_s_0_15)) + (portRef D1 (instanceRef length_cry_0_13)) + (portRef B1 (instanceRef length_cry_0_13)) + (portRef D0 (instanceRef length_cry_0_13)) + (portRef B0 (instanceRef length_cry_0_13)) + (portRef D1 (instanceRef length_cry_0_11)) + (portRef B1 (instanceRef length_cry_0_11)) + (portRef D0 (instanceRef length_cry_0_11)) + (portRef B0 (instanceRef length_cry_0_11)) + (portRef D1 (instanceRef length_cry_0_9)) + (portRef B1 (instanceRef length_cry_0_9)) + (portRef D0 (instanceRef length_cry_0_9)) + (portRef B0 (instanceRef length_cry_0_9)) + (portRef D1 (instanceRef length_cry_0_7)) + (portRef B1 (instanceRef length_cry_0_7)) + (portRef D0 (instanceRef length_cry_0_7)) + (portRef B0 (instanceRef length_cry_0_7)) + (portRef D1 (instanceRef length_cry_0_5)) + (portRef B1 (instanceRef length_cry_0_5)) + (portRef D0 (instanceRef length_cry_0_5)) + (portRef B0 (instanceRef length_cry_0_5)) + (portRef D1 (instanceRef length_cry_0_3)) + (portRef B1 (instanceRef length_cry_0_3)) + (portRef D0 (instanceRef length_cry_0_3)) + (portRef B0 (instanceRef length_cry_0_3)) + (portRef D1 (instanceRef length_cry_0_1)) + (portRef B1 (instanceRef length_cry_0_1)) + (portRef D0 (instanceRef length_cry_0_1)) + (portRef B0 (instanceRef length_cry_0_1)) + (portRef D1 (instanceRef length_cry_0_0)) + (portRef B1 (instanceRef length_cry_0_0)) + (portRef D0 (instanceRef length_cry_0_0)) (portRef D1 (instanceRef global_time_i_s_0_31)) (portRef D0 (instanceRef global_time_i_s_0_31)) (portRef D1 (instanceRef global_time_i_cry_0_29)) @@ -95215,6 +95829,40 @@ (portRef D0 (instanceRef global_time_i_cry_0_1)) (portRef D1 (instanceRef global_time_i_cry_0_0)) (portRef D0 (instanceRef global_time_i_cry_0_0)) + (portRef D1 (instanceRef time_since_last_trg_i_s_0_31)) + (portRef D0 (instanceRef time_since_last_trg_i_s_0_31)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_29)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_29)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_27)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_27)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_25)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_25)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_23)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_23)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_21)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_21)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_19)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_19)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_17)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_17)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_15)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_15)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_13)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_13)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_11)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_11)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_9)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_9)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_7)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_7)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_5)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_5)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_3)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_3)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_1)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_1)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_0)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_0)) (portRef D1 (instanceRef next_address_i_m2_s_15_0)) (portRef D0 (instanceRef next_address_i_m2_s_15_0)) (portRef D1 (instanceRef next_address_i_m2_cry_13_0)) @@ -95234,8 +95882,8 @@ (portRef D1 (instanceRef next_address_i_m2_cry_0_0)) (portRef D0 (instanceRef next_address_i_m2_cry_0_0)) )) - (net next_API_READ_OUT_2_sqmuxa_RNI84T91 (joined - (portRef Z (instanceRef next_API_READ_OUT_2_sqmuxa_RNI84T91)) + (net next_timeout_counter_0_o2_0_RNIB2H31_0 (joined + (portRef Z (instanceRef next_timeout_counter_0_o2_0_RNIB2H31_0)) (portRef CD (instanceRef timeout_counter_5)) (portRef CD (instanceRef timeout_counter_4)) (portRef CD (instanceRef timeout_counter_3)) @@ -95288,19 +95936,19 @@ )) (net timeout_counter_5 (joined (portRef Q (instanceRef timeout_counter_5)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2)) + (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0)) + (portRef B (instanceRef current_state_ns_i_i_o2_1_8)) (portRef C (instanceRef timeout_RNO)) - (portRef B (instanceRef fsm_un2_timeout_counter)) - (portRef B (instanceRef current_state_ns_0_o4_tz_12)) (portRef B (instanceRef un2_next_timeout_counter_axbxc5)) - (portRef C (instanceRef reg_fsm_timeout_3_f0)) - (portRef A (instanceRef current_state_ns_0_o4_6)) + (portRef A (instanceRef reg_fsm_unknown_3_iv_0_a2_1_0)) )) - (net timeout_3 (joined - (portRef Z (instanceRef reg_fsm_timeout_3_f0)) + (net N_5897_i (joined + (portRef Z (instanceRef timeout_RNO)) (portRef D (instanceRef timeout)) )) - (net un1_next_nomoredata_0_sqmuxa_2_0_i (joined - (portRef Z (instanceRef timeout_RNO)) + (net un1_next_nomoredata_0_sqmuxa_2_i (joined + (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_2_i)) (portRef SP (instanceRef timeout)) )) (net final_reset_iso_1 (joined @@ -95543,22 +96191,6 @@ (portRef CD (instanceRef gen_regout_3_gen_regoutff1_98_gen_regoutff_buf_REGISTERS_OUT_98)) (portRef CD (instanceRef gen_regout_3_gen_regoutff1_99_gen_regoutff_buf_REGISTERS_OUT_99)) (portRef CD (instanceRef global_time_write)) - (portRef CD (instanceRef length_15)) - (portRef CD (instanceRef length_14)) - (portRef CD (instanceRef length_13)) - (portRef CD (instanceRef length_12)) - (portRef CD (instanceRef length_11)) - (portRef CD (instanceRef length_10)) - (portRef CD (instanceRef length_9)) - (portRef CD (instanceRef length_8)) - (portRef CD (instanceRef length_7)) - (portRef CD (instanceRef length_6)) - (portRef CD (instanceRef length_5)) - (portRef CD (instanceRef length_4)) - (portRef CD (instanceRef length_3)) - (portRef CD (instanceRef length_2)) - (portRef CD (instanceRef length_1)) - (portRef CD (instanceRef length_0)) (portRef CD (instanceRef nomoredata)) (portRef CD (instanceRef packet_counter_1)) (portRef CD (instanceRef packet_counter_0)) @@ -95648,7 +96280,7 @@ (net time_since_last_trg_4 (joined (portRef Q (instanceRef time_since_last_trg_i_4)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_3)) - (portRef C (instanceRef next_API_DATA_OUT_4_4)) + (portRef C (instanceRef next_API_DATA_OUT_15_am_4)) )) (net time_since_last_trg_i_s_5 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_5)) @@ -95675,7 +96307,7 @@ (net time_since_last_trg_7 (joined (portRef Q (instanceRef time_since_last_trg_i_7)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_7)) - (portRef C (instanceRef next_API_DATA_OUT_4_7)) + (portRef C (instanceRef next_API_DATA_OUT_15_am_7)) )) (net time_since_last_trg_i_s_8 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_7)) @@ -95684,7 +96316,7 @@ (net time_since_last_trg_8 (joined (portRef Q (instanceRef time_since_last_trg_i_8)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_7)) - (portRef C (instanceRef next_API_DATA_OUT_15_am_8)) + (portRef C (instanceRef next_API_DATA_OUT_4_8)) )) (net time_since_last_trg_i_s_9 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_9)) @@ -95702,7 +96334,7 @@ (net time_since_last_trg_10 (joined (portRef Q (instanceRef time_since_last_trg_i_10)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_9)) - (portRef C (instanceRef next_API_DATA_OUT_15_am_10)) + (portRef C (instanceRef next_API_DATA_OUT_4_10)) )) (net time_since_last_trg_i_s_11 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_11)) @@ -95711,7 +96343,7 @@ (net time_since_last_trg_11 (joined (portRef Q (instanceRef time_since_last_trg_i_11)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_11)) - (portRef C (instanceRef next_API_DATA_OUT_4_11)) + (portRef C (instanceRef next_API_DATA_OUT_4_i_m2_11)) )) (net time_since_last_trg_i_s_12 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_11)) @@ -95720,7 +96352,7 @@ (net time_since_last_trg_12 (joined (portRef Q (instanceRef time_since_last_trg_i_12)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_11)) - (portRef C (instanceRef next_API_DATA_OUT_15_am_12)) + (portRef C (instanceRef next_API_DATA_OUT_4_12)) )) (net time_since_last_trg_i_s_13 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_13)) @@ -95729,7 +96361,7 @@ (net time_since_last_trg_13 (joined (portRef Q (instanceRef time_since_last_trg_i_13)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_13)) - (portRef C (instanceRef next_API_DATA_OUT_4_13)) + (portRef C (instanceRef next_API_DATA_OUT_15_am_13)) )) (net time_since_last_trg_i_s_14 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_13)) @@ -95747,7 +96379,7 @@ (net time_since_last_trg_15 (joined (portRef Q (instanceRef time_since_last_trg_i_15)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_15)) - (portRef C (instanceRef next_API_DATA_OUT_4_15)) + (portRef C (instanceRef next_API_DATA_OUT_15_am_15)) )) (net time_since_last_trg_i_s_16 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_15)) @@ -95792,7 +96424,7 @@ (net time_since_last_trg_20 (joined (portRef Q (instanceRef time_since_last_trg_i_20)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_19)) - (portRef C (instanceRef next_API_DATA_OUT_6_4)) + (portRef C (instanceRef next_API_DATA_OUT_15_bm_4)) )) (net time_since_last_trg_i_s_21 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_21)) @@ -95819,7 +96451,7 @@ (net time_since_last_trg_23 (joined (portRef Q (instanceRef time_since_last_trg_i_23)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_23)) - (portRef C (instanceRef next_API_DATA_OUT_6_7)) + (portRef C (instanceRef next_API_DATA_OUT_15_bm_7)) )) (net time_since_last_trg_i_s_24 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_23)) @@ -95828,7 +96460,7 @@ (net time_since_last_trg_24 (joined (portRef Q (instanceRef time_since_last_trg_i_24)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_23)) - (portRef C (instanceRef next_API_DATA_OUT_15_bm_8)) + (portRef C (instanceRef next_API_DATA_OUT_6_8)) )) (net time_since_last_trg_i_s_25 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_25)) @@ -95846,7 +96478,7 @@ (net time_since_last_trg_26 (joined (portRef Q (instanceRef time_since_last_trg_i_26)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_25)) - (portRef C (instanceRef next_API_DATA_OUT_15_bm_10)) + (portRef C (instanceRef next_API_DATA_OUT_6_10)) )) (net time_since_last_trg_i_s_27 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_27)) @@ -95855,7 +96487,7 @@ (net time_since_last_trg_27 (joined (portRef Q (instanceRef time_since_last_trg_i_27)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_27)) - (portRef C (instanceRef next_API_DATA_OUT_6_11)) + (portRef C (instanceRef next_API_DATA_OUT_6_i_m2_11)) )) (net time_since_last_trg_i_s_28 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_27)) @@ -95864,7 +96496,7 @@ (net time_since_last_trg_28 (joined (portRef Q (instanceRef time_since_last_trg_i_28)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_27)) - (portRef C (instanceRef next_API_DATA_OUT_15_bm_12)) + (portRef C (instanceRef next_API_DATA_OUT_6_12)) )) (net time_since_last_trg_i_s_29 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_29)) @@ -95873,7 +96505,7 @@ (net time_since_last_trg_29 (joined (portRef Q (instanceRef time_since_last_trg_i_29)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_29)) - (portRef C (instanceRef next_API_DATA_OUT_6_13)) + (portRef C (instanceRef next_API_DATA_OUT_15_bm_13)) )) (net time_since_last_trg_i_s_30 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_29)) @@ -95891,13 +96523,10 @@ (net time_since_last_trg_31 (joined (portRef Q (instanceRef time_since_last_trg_i_31)) (portRef C0 (instanceRef time_since_last_trg_i_s_0_31)) - (portRef C (instanceRef next_API_DATA_OUT_6_15)) + (portRef C (instanceRef next_API_DATA_OUT_15_bm_15)) )) - (net N_2058_0 (joined - (portRef Z (instanceRef next_operation_0_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_i_1_6)) - (portRef A (instanceRef current_state_ns_0_o2_4)) - (portRef A (instanceRef current_state_ns_0_a3_0_14)) + (net N_91_i (joined + (portRef Z (instanceRef current_state_RNI54RK1_17)) (portRef SP (instanceRef saved_operation_3)) (portRef SP (instanceRef saved_operation_2)) (portRef SP (instanceRef saved_operation_1)) @@ -95911,10 +96540,35 @@ (portRef Q (instanceRef saved_operation_1)) (portRef (member buf_apl_dtype_in 2)) )) + (net buf_APL_DATA_OUT_50 (joined + (portRef (member buf_apl_data_out 13)) + (portRef (member buf_apl_data_out 13) (instanceRef the_addresses)) + (portRef A (instanceRef length_lm_0_2)) + (portRef B (instanceRef next_address_i_m2_cry_1_0_RNO_0)) + (portRef D (instanceRef current_state_ns_0_a3_0_14)) + (portRef C (instanceRef current_state_ns_0_i_a2_0_0_6)) + (portRef D (instanceRef saved_Reg_high_2)) + (portRef D (instanceRef saved_Reg_low_2)) + (portRef D (instanceRef saved_operation_2)) + (portRef A (instanceRef current_state_RNISA4M1_17)) + )) (net buf_APL_DTYPE_IN_14 (joined (portRef Q (instanceRef saved_operation_2)) (portRef (member buf_apl_dtype_in 1)) )) + (net buf_APL_DATA_OUT_51 (joined + (portRef (member buf_apl_data_out 12)) + (portRef (member buf_apl_data_out 12) (instanceRef the_addresses)) + (portRef A (instanceRef length_lm_0_3)) + (portRef B (instanceRef next_address_i_m2_cry_3_0_RNO)) + (portRef C (instanceRef current_state_ns_0_i_s_4_6)) + (portRef C (instanceRef un1_next_state_5_sqmuxa_i_a2)) + (portRef B (instanceRef current_state_ns_0_o2_0_a2_14)) + (portRef D (instanceRef saved_Reg_high_3)) + (portRef D (instanceRef saved_Reg_low_3)) + (portRef D (instanceRef saved_operation_3)) + (portRef C (instanceRef current_state_RNISA4M1_17)) + )) (net buf_APL_DTYPE_IN_15 (joined (portRef Q (instanceRef saved_operation_3)) (portRef (member buf_apl_dtype_in 0)) @@ -95922,19 +96576,21 @@ (net buf_APL_DATA_OUT_48 (joined (portRef (member buf_apl_data_out 15)) (portRef (member buf_apl_data_out 15) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_0_0_RNO_0)) - (portRef B (instanceRef reg_fsm_length_3_0)) - (portRef B (instanceRef current_state_ns_i_a3_15)) + (portRef C (instanceRef current_state_ns_0_i_5)) + (portRef C (instanceRef current_state_ns_0_i_4)) + (portRef C (instanceRef current_state_ns_0_i_7)) + (portRef B (instanceRef current_state_ns_i_0_15)) + (portRef A (instanceRef length_lm_0_0)) + (portRef B (instanceRef next_address_i_m2_cry_0_0_RNO_0)) (portRef A (instanceRef rom_read_addr_0_1)) - (portRef B (instanceRef current_state_ns_0_o2_4)) - (portRef A (instanceRef current_state_ns_0_a3_0_0_5)) - (portRef A (instanceRef current_state_ns_0_o4_0_6)) + (portRef B (instanceRef current_state_ns_0_a3_0_14)) + (portRef A (instanceRef current_state_ns_0_i_a2_0_0_6)) (portRef D (instanceRef saved_Reg_high_0)) (portRef D (instanceRef saved_Reg_low_0)) (portRef D (instanceRef saved_operation_0)) )) - (net un1_next_Reg_low_1_sqmuxa_1_0_i (joined - (portRef Z (instanceRef current_state_RNIIULQ_15)) + (net un1_next_Reg_low_1_sqmuxa_0_i (joined + (portRef Z (instanceRef current_state_RNIJ5GL1_15)) (portRef SP (instanceRef saved_Reg_low_15)) (portRef SP (instanceRef saved_Reg_low_14)) (portRef SP (instanceRef saved_Reg_low_13)) @@ -95955,45 +96611,19 @@ (net buf_APL_DATA_OUT_49 (joined (portRef (member buf_apl_data_out 14)) (portRef (member buf_apl_data_out 14) (instanceRef the_addresses)) - (portRef C (instanceRef current_state_ns_0_i_4)) - (portRef A (instanceRef next_address_i_m2_cry_1_0_RNO)) - (portRef C (instanceRef current_state_ns_i_a3_15)) + (portRef D (instanceRef current_state_ns_0_i_5)) + (portRef D (instanceRef current_state_ns_0_i_4)) + (portRef D (instanceRef current_state_ns_0_i_7)) + (portRef C (instanceRef current_state_ns_i_0_15)) + (portRef A (instanceRef length_lm_0_1)) + (portRef B (instanceRef next_address_i_m2_cry_1_0_RNO)) (portRef A (instanceRef rom_read_addr_0_2)) - (portRef A (instanceRef reg_fsm_length_3_1)) - (portRef B (instanceRef current_state_ns_0_a3_0_0_5)) - (portRef B (instanceRef current_state_ns_0_o4_0_6)) + (portRef C (instanceRef current_state_ns_0_a3_0_14)) + (portRef B (instanceRef current_state_ns_0_i_a2_0_0_6)) (portRef D (instanceRef saved_Reg_high_1)) (portRef D (instanceRef saved_Reg_low_1)) (portRef D (instanceRef saved_operation_1)) )) - (net buf_APL_DATA_OUT_50 (joined - (portRef (member buf_apl_data_out 13)) - (portRef (member buf_apl_data_out 13) (instanceRef the_addresses)) - (portRef C (instanceRef current_state_ns_0_i_1_6)) - (portRef A (instanceRef next_address_i_m2_cry_1_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_2)) - (portRef C (instanceRef current_state_ns_0_o2_4)) - (portRef C (instanceRef current_state_ns_0_a3_0_14)) - (portRef B (instanceRef current_state_ns_0_o2_5)) - (portRef B (instanceRef next_state_5_sqmuxa_1)) - (portRef D (instanceRef saved_Reg_high_2)) - (portRef D (instanceRef saved_Reg_low_2)) - (portRef D (instanceRef saved_operation_2)) - )) - (net buf_APL_DATA_OUT_51 (joined - (portRef (member buf_apl_data_out 12)) - (portRef (member buf_apl_data_out 12) (instanceRef the_addresses)) - (portRef D (instanceRef current_state_ns_0_i_1_6)) - (portRef A (instanceRef next_address_i_m2_cry_3_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_3)) - (portRef D (instanceRef current_state_ns_0_o2_4)) - (portRef D (instanceRef current_state_ns_0_a3_0_14)) - (portRef C (instanceRef current_state_ns_0_o2_5)) - (portRef C (instanceRef next_state_5_sqmuxa_1)) - (portRef D (instanceRef saved_Reg_high_3)) - (portRef D (instanceRef saved_Reg_low_3)) - (portRef D (instanceRef saved_operation_3)) - )) (net un1_next_Reg_high_0_sqmuxa_0_i (joined (portRef Z (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) (portRef SP (instanceRef saved_Reg_high_15)) @@ -96016,95 +96646,95 @@ (net buf_APL_DATA_OUT_52 (joined (portRef (member buf_apl_data_out 11)) (portRef (member buf_apl_data_out 11) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_3_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_4)) + (portRef A (instanceRef length_lm_0_4)) + (portRef B (instanceRef next_address_i_m2_cry_3_0_RNO_0)) (portRef D (instanceRef saved_Reg_high_4)) (portRef D (instanceRef saved_Reg_low_4)) )) (net buf_APL_DATA_OUT_53 (joined (portRef (member buf_apl_data_out 10)) (portRef (member buf_apl_data_out 10) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_5_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_5)) + (portRef A (instanceRef length_lm_0_5)) + (portRef B (instanceRef next_address_i_m2_cry_5_0_RNO)) (portRef D (instanceRef saved_Reg_high_5)) (portRef D (instanceRef saved_Reg_low_5)) )) (net buf_APL_DATA_OUT_54 (joined (portRef (member buf_apl_data_out 9)) (portRef (member buf_apl_data_out 9) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_5_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_6)) + (portRef A (instanceRef length_lm_0_6)) + (portRef B (instanceRef next_address_i_m2_cry_5_0_RNO_0)) (portRef D (instanceRef saved_Reg_high_6)) (portRef D (instanceRef saved_Reg_low_6)) )) (net buf_APL_DATA_OUT_55 (joined (portRef (member buf_apl_data_out 8)) (portRef (member buf_apl_data_out 8) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_7_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_7)) + (portRef A (instanceRef length_lm_0_7)) + (portRef B (instanceRef next_address_i_m2_cry_7_0_RNO)) (portRef D (instanceRef saved_Reg_high_7)) (portRef D (instanceRef saved_Reg_low_7)) )) (net buf_APL_DATA_OUT_56 (joined (portRef (member buf_apl_data_out 7)) (portRef (member buf_apl_data_out 7) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_7_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_8)) - (portRef A (instanceRef current_state_ns_0_a2_4_2)) + (portRef A (instanceRef length_lm_0_8)) + (portRef B (instanceRef next_address_i_m2_cry_7_0_RNO_0)) + (portRef A (instanceRef current_state_ns_0_a2_0_o2_4_2)) (portRef D (instanceRef saved_Reg_high_8)) (portRef D (instanceRef saved_Reg_low_8)) )) (net buf_APL_DATA_OUT_57 (joined (portRef (member buf_apl_data_out 6)) (portRef (member buf_apl_data_out 6) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_9_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_9)) - (portRef B (instanceRef current_state_ns_0_a2_4_2)) + (portRef A (instanceRef length_lm_0_9)) + (portRef B (instanceRef next_address_i_m2_cry_9_0_RNO)) + (portRef A (instanceRef current_state_ns_0_a2_0_o2_2)) (portRef D (instanceRef saved_Reg_high_9)) (portRef D (instanceRef saved_Reg_low_9)) )) (net buf_APL_DATA_OUT_58 (joined (portRef (member buf_apl_data_out 5)) (portRef (member buf_apl_data_out 5) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_9_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_10)) - (portRef C (instanceRef current_state_ns_0_a2_4_2)) + (portRef A (instanceRef length_lm_0_10)) + (portRef B (instanceRef next_address_i_m2_cry_9_0_RNO_0)) + (portRef B (instanceRef current_state_ns_0_a2_0_o2_4_2)) (portRef D (instanceRef saved_Reg_high_10)) (portRef D (instanceRef saved_Reg_low_10)) )) (net buf_APL_DATA_OUT_59 (joined (portRef (member buf_apl_data_out 4)) (portRef (member buf_apl_data_out 4) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_11_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_11)) - (portRef D (instanceRef current_state_ns_0_a2_4_2)) + (portRef A (instanceRef length_lm_0_11)) + (portRef B (instanceRef next_address_i_m2_cry_11_0_RNO)) + (portRef B (instanceRef current_state_ns_0_a2_0_o2_2)) (portRef D (instanceRef saved_Reg_high_11)) (portRef D (instanceRef saved_Reg_low_11)) )) (net buf_APL_DATA_OUT_60 (joined (portRef (member buf_apl_data_out 3)) (portRef (member buf_apl_data_out 3) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_11_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_12)) - (portRef A (instanceRef current_state_ns_0_a2_2)) + (portRef A (instanceRef length_lm_0_12)) + (portRef B (instanceRef next_address_i_m2_cry_11_0_RNO_0)) + (portRef A (instanceRef current_state_ns_0_a2_0_o2_3_2)) (portRef D (instanceRef saved_Reg_high_12)) (portRef D (instanceRef saved_Reg_low_12)) )) (net buf_APL_DATA_OUT_61 (joined (portRef (member buf_apl_data_out 2)) (portRef (member buf_apl_data_out 2) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_13_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_13)) - (portRef A (instanceRef current_state_ns_0_a2_3_2)) + (portRef A (instanceRef length_lm_0_13)) + (portRef B (instanceRef next_address_i_m2_cry_13_0_RNO)) + (portRef C (instanceRef current_state_ns_0_a2_0_o2_4_2)) (portRef D (instanceRef saved_Reg_high_13)) (portRef D (instanceRef saved_Reg_low_13)) )) (net buf_APL_DATA_OUT_62 (joined (portRef (member buf_apl_data_out 1)) (portRef (member buf_apl_data_out 1) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_13_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_14)) - (portRef B (instanceRef current_state_ns_0_a2_3_2)) + (portRef A (instanceRef length_lm_0_14)) + (portRef B (instanceRef next_address_i_m2_cry_13_0_RNO_0)) + (portRef B (instanceRef current_state_ns_0_a2_0_o2_3_2)) (portRef D (instanceRef saved_Reg_high_14)) (portRef D (instanceRef saved_Reg_low_14)) )) @@ -96112,29 +96742,25 @@ (portRef (member buf_apl_data_out 0)) (portRef (member buf_apl_data_out 0) (instanceRef the_addresses)) (portRef A0 (instanceRef next_address_i_m2_s_15_0)) - (portRef B (instanceRef current_state_ns_0_a2_2)) + (portRef D (instanceRef current_state_ns_0_a2_0_o2_4_2)) (portRef D (instanceRef addr_counter_enable)) (portRef D (instanceRef saved_Reg_high_15)) (portRef D (instanceRef saved_Reg_low_15)) )) - (net nomoredata_3 (joined - (portRef Z (instanceRef reg_fsm_nomoredata_3_f0)) + (net N_5896_i (joined + (portRef Z (instanceRef nomoredata_RNO)) (portRef D (instanceRef nomoredata)) )) - (net un1_next_nomoredata_0_sqmuxa_1_0_i (joined - (portRef Z (instanceRef nomoredata_RNO)) + (net un1_next_nomoredata_0_sqmuxa_1_i (joined + (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_1_i)) (portRef SP (instanceRef nomoredata)) )) (net buf_APL_ERROR_PATTERN_IN_14 (joined (portRef Q (instanceRef nomoredata)) (portRef buf_APL_ERROR_PATTERN_IN_14) )) - (net next_COMMON_CTRL_REG_STROBEc (joined + (net next_COMMON_CTRL_REG_STROBEc_i (joined (portRef Z (instanceRef next_COMMON_CTRL_REG_STROBEc)) - (portRef D (instanceRef next_COMMON_CTRL_REG_STROBE_1)) - )) - (net regio_addr_iZ0Z_1 (joined - (portRef Q (instanceRef address_1)) (portRef CD (instanceRef next_COMMON_CTRL_REG_STROBE_1)) )) (net next_COMMON_CTRL_REG_STROBE_1 (joined @@ -96204,96 +96830,184 @@ (portRef A0 (instanceRef un3_local_time_i_1_s_7_0)) (portRef D (instanceRef proc_us_tick_un1_local_time_i_4)) )) - (net length_3_0 (joined - (portRef Z (instanceRef reg_fsm_length_3_0)) + (net length_lm_0 (joined + (portRef Z (instanceRef length_lm_0_0)) (portRef D (instanceRef length_0)) )) - (net length_3_1 (joined - (portRef Z (instanceRef reg_fsm_length_3_1)) + (net lengthe_0_i (joined + (portRef Z (instanceRef lengthe_0_i)) + (portRef SP (instanceRef length_15)) + (portRef SP (instanceRef length_14)) + (portRef SP (instanceRef length_13)) + (portRef SP (instanceRef length_12)) + (portRef SP (instanceRef length_11)) + (portRef SP (instanceRef length_10)) + (portRef SP (instanceRef length_9)) + (portRef SP (instanceRef length_8)) + (portRef SP (instanceRef length_7)) + (portRef SP (instanceRef length_6)) + (portRef SP (instanceRef length_5)) + (portRef SP (instanceRef length_4)) + (portRef SP (instanceRef length_3)) + (portRef SP (instanceRef length_2)) + (portRef SP (instanceRef length_1)) + (portRef SP (instanceRef length_0)) + )) + (net length_0 (joined + (portRef Q (instanceRef length_0)) + (portRef A1 (instanceRef length_cry_0_0)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_2_8)) + )) + (net length_lm_1 (joined + (portRef Z (instanceRef length_lm_0_1)) (portRef D (instanceRef length_1)) )) - (net length_3_2 (joined - (portRef Z (instanceRef reg_fsm_length_3_2)) + (net length_1 (joined + (portRef Q (instanceRef length_1)) + (portRef A0 (instanceRef length_cry_0_1)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_2_8)) + (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) + )) + (net length_lm_2 (joined + (portRef Z (instanceRef length_lm_0_2)) (portRef D (instanceRef length_2)) )) (net length_2 (joined (portRef Q (instanceRef length_2)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) - (portRef A (instanceRef fsm_op_eq_next_state60_2)) + (portRef A1 (instanceRef length_cry_0_1)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_2_8)) + (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) )) - (net length_3_3 (joined - (portRef Z (instanceRef reg_fsm_length_3_3)) + (net length_lm_3 (joined + (portRef Z (instanceRef length_lm_0_3)) (portRef D (instanceRef length_3)) )) (net length_3 (joined (portRef Q (instanceRef length_3)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) - (portRef B (instanceRef fsm_op_eq_next_state60_2)) + (portRef A0 (instanceRef length_cry_0_3)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_2_8)) + (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) )) - (net length_3_4 (joined - (portRef Z (instanceRef reg_fsm_length_3_4)) + (net length_lm_4 (joined + (portRef Z (instanceRef length_lm_0_4)) (portRef D (instanceRef length_4)) )) (net length_4 (joined (portRef Q (instanceRef length_4)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef C (instanceRef current_state_ns_i_a3_0_5_8)) - (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_5)) - (portRef A (instanceRef fsm_op_eq_next_state60_3_0)) + (portRef A1 (instanceRef length_cry_0_3)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_2_9)) + (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) )) - (net length_3_5 (joined - (portRef Z (instanceRef reg_fsm_length_3_5)) + (net length_lm_5 (joined + (portRef Z (instanceRef length_lm_0_5)) (portRef D (instanceRef length_5)) )) (net length_5 (joined (portRef Q (instanceRef length_5)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef D (instanceRef current_state_ns_i_a3_0_5_8)) - (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) - (portRef B (instanceRef fsm_op_eq_next_state60_3_0)) + (portRef A0 (instanceRef length_cry_0_5)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_2_9)) + (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_10)) )) - (net length_3_6 (joined - (portRef Z (instanceRef reg_fsm_length_3_6)) + (net length_lm_6 (joined + (portRef Z (instanceRef length_lm_0_6)) (portRef D (instanceRef length_6)) )) - (net length_3_7 (joined - (portRef Z (instanceRef reg_fsm_length_3_7)) + (net length_6 (joined + (portRef Q (instanceRef length_6)) + (portRef A1 (instanceRef length_cry_0_5)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_2_9)) + (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_10)) + )) + (net length_lm_7 (joined + (portRef Z (instanceRef length_lm_0_7)) (portRef D (instanceRef length_7)) )) - (net length_3_8 (joined - (portRef Z (instanceRef reg_fsm_length_3_8)) + (net length_7 (joined + (portRef Q (instanceRef length_7)) + (portRef A0 (instanceRef length_cry_0_7)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_2_9)) + (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_10)) + )) + (net length_lm_8 (joined + (portRef Z (instanceRef length_lm_0_8)) (portRef D (instanceRef length_8)) )) - (net length_3_9 (joined - (portRef Z (instanceRef reg_fsm_length_3_9)) + (net length_8 (joined + (portRef Q (instanceRef length_8)) + (portRef A1 (instanceRef length_cry_0_7)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_2_10)) + (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_10)) + )) + (net length_lm_9 (joined + (portRef Z (instanceRef length_lm_0_9)) (portRef D (instanceRef length_9)) )) - (net length_3_10 (joined - (portRef Z (instanceRef reg_fsm_length_3_10)) + (net length_9 (joined + (portRef Q (instanceRef length_9)) + (portRef A0 (instanceRef length_cry_0_9)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_2_10)) + (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) + )) + (net length_lm_10 (joined + (portRef Z (instanceRef length_lm_0_10)) (portRef D (instanceRef length_10)) )) - (net length_3_11 (joined - (portRef Z (instanceRef reg_fsm_length_3_11)) + (net length_10 (joined + (portRef Q (instanceRef length_10)) + (portRef A1 (instanceRef length_cry_0_9)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_2_10)) + (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) + )) + (net length_lm_11 (joined + (portRef Z (instanceRef length_lm_0_11)) (portRef D (instanceRef length_11)) )) - (net length_3_12 (joined - (portRef Z (instanceRef reg_fsm_length_3_12)) + (net length_11 (joined + (portRef Q (instanceRef length_11)) + (portRef A0 (instanceRef length_cry_0_11)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_2_10)) + (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) + )) + (net length_lm_12 (joined + (portRef Z (instanceRef length_lm_0_12)) (portRef D (instanceRef length_12)) )) - (net length_3_13 (joined - (portRef Z (instanceRef reg_fsm_length_3_13)) + (net length_12 (joined + (portRef Q (instanceRef length_12)) + (portRef A1 (instanceRef length_cry_0_11)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_2_11)) + (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) + )) + (net length_lm_13 (joined + (portRef Z (instanceRef length_lm_0_13)) (portRef D (instanceRef length_13)) )) - (net length_3_14 (joined - (portRef Z (instanceRef reg_fsm_length_3_14)) + (net length_13 (joined + (portRef Q (instanceRef length_13)) + (portRef A0 (instanceRef length_cry_0_13)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_2_11)) + (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) + )) + (net length_lm_14 (joined + (portRef Z (instanceRef length_lm_0_14)) (portRef D (instanceRef length_14)) )) - (net length_3_15 (joined - (portRef Z (instanceRef reg_fsm_length_3_15)) + (net length_14 (joined + (portRef Q (instanceRef length_14)) + (portRef A1 (instanceRef length_cry_0_13)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_2_11)) + (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) + )) + (net length_lm_15 (joined + (portRef Z (instanceRef length_lm_0_15)) (portRef D (instanceRef length_15)) )) + (net length_15 (joined + (portRef Q (instanceRef length_15)) + (portRef A0 (instanceRef length_s_0_15)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_2_11)) + (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) + )) (net next_global_time_write_3_sqmuxa (joined (portRef Z (instanceRef next_global_time_write_3_sqmuxa)) (portRef D (instanceRef global_time_write)) @@ -96419,8 +97133,8 @@ (portRef Q (instanceRef global_time_i_0_4)) (portRef C1 (instanceRef global_time_i_cry_0_3)) (portRef C (instanceRef next_API_DATA_OUT_17_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_15_am_4)) (portRef A (instanceRef TIMER_MS_TICK_0_sqmuxa_7)) - (portRef B (instanceRef next_API_DATA_OUT_4_4)) )) (net global_time_i_s_5 (joined (portRef S0 (instanceRef global_time_i_cry_0_5)) @@ -96430,13 +97144,6 @@ (portRef S1 (instanceRef global_time_i_cry_0_5)) (portRef D (instanceRef global_time_i_0_6)) )) - (net global_time_6 (joined - (portRef Q (instanceRef global_time_i_0_6)) - (portRef C1 (instanceRef global_time_i_cry_0_5)) - (portRef C (instanceRef next_API_DATA_OUT_17_am_2)) - (portRef C (instanceRef TIMER_MS_TICK_0_sqmuxa_8)) - (portRef B (instanceRef next_API_DATA_OUT_4_6)) - )) (net global_time_i_s_7 (joined (portRef S0 (instanceRef global_time_i_cry_0_7)) (portRef D (instanceRef global_time_i_0_7)) @@ -96465,7 +97172,7 @@ (portRef Q (instanceRef global_time_i_12)) (portRef C1 (instanceRef global_time_i_cry_0_11)) (portRef C (instanceRef next_API_DATA_OUT_17_am_8)) - (portRef B (instanceRef next_API_DATA_OUT_15_am_12)) + (portRef B (instanceRef next_API_DATA_OUT_4_12)) )) (net global_time_i_s_13 (joined (portRef S0 (instanceRef global_time_i_cry_0_13)) @@ -96475,12 +97182,6 @@ (portRef S1 (instanceRef global_time_i_cry_0_13)) (portRef D (instanceRef global_time_i_14)) )) - (net global_time_14 (joined - (portRef Q (instanceRef global_time_i_14)) - (portRef C1 (instanceRef global_time_i_cry_0_13)) - (portRef C (instanceRef next_API_DATA_OUT_17_am_10)) - (portRef B (instanceRef next_API_DATA_OUT_15_am_14)) - )) (net global_time_i_s_15 (joined (portRef S0 (instanceRef global_time_i_cry_0_15)) (portRef D (instanceRef global_time_i_15)) @@ -96499,16 +97200,16 @@ (portRef S0 (instanceRef global_time_i_cry_0_17)) (portRef D (instanceRef global_time_i_17)) )) + (net global_time_17 (joined + (portRef Q (instanceRef global_time_i_17)) + (portRef C0 (instanceRef global_time_i_cry_0_17)) + (portRef C (instanceRef next_API_DATA_OUT_17_am_13)) + (portRef B (instanceRef next_API_DATA_OUT_6_1)) + )) (net global_time_i_s_18 (joined (portRef S1 (instanceRef global_time_i_cry_0_17)) (portRef D (instanceRef global_time_i_18)) )) - (net global_time_18 (joined - (portRef Q (instanceRef global_time_i_18)) - (portRef C1 (instanceRef global_time_i_cry_0_17)) - (portRef C (instanceRef next_API_DATA_OUT_17_am_14)) - (portRef B (instanceRef next_API_DATA_OUT_6_2)) - )) (net global_time_i_s_19 (joined (portRef S0 (instanceRef global_time_i_cry_0_19)) (portRef D (instanceRef global_time_i_19)) @@ -96526,7 +97227,7 @@ (net global_time_20 (joined (portRef Q (instanceRef global_time_i_20)) (portRef C1 (instanceRef global_time_i_cry_0_19)) - (portRef B (instanceRef next_API_DATA_OUT_6_4)) + (portRef B (instanceRef next_API_DATA_OUT_15_bm_4)) )) (net global_time_i_s_21 (joined (portRef S0 (instanceRef global_time_i_cry_0_21)) @@ -96553,7 +97254,7 @@ (net global_time_23 (joined (portRef Q (instanceRef global_time_i_23)) (portRef C0 (instanceRef global_time_i_cry_0_23)) - (portRef B (instanceRef next_API_DATA_OUT_6_7)) + (portRef B (instanceRef next_API_DATA_OUT_15_bm_7)) )) (net global_time_i_s_24 (joined (portRef S1 (instanceRef global_time_i_cry_0_23)) @@ -96562,7 +97263,7 @@ (net global_time_24 (joined (portRef Q (instanceRef global_time_i_24)) (portRef C1 (instanceRef global_time_i_cry_0_23)) - (portRef B (instanceRef next_API_DATA_OUT_15_bm_8)) + (portRef B (instanceRef next_API_DATA_OUT_6_8)) )) (net global_time_i_s_25 (joined (portRef S0 (instanceRef global_time_i_cry_0_25)) @@ -96580,7 +97281,7 @@ (net global_time_26 (joined (portRef Q (instanceRef global_time_i_26)) (portRef C1 (instanceRef global_time_i_cry_0_25)) - (portRef B (instanceRef next_API_DATA_OUT_15_bm_10)) + (portRef B (instanceRef next_API_DATA_OUT_6_10)) )) (net global_time_i_s_27 (joined (portRef S0 (instanceRef global_time_i_cry_0_27)) @@ -96589,7 +97290,7 @@ (net global_time_27 (joined (portRef Q (instanceRef global_time_i_27)) (portRef C0 (instanceRef global_time_i_cry_0_27)) - (portRef B (instanceRef next_API_DATA_OUT_6_11)) + (portRef B (instanceRef next_API_DATA_OUT_6_i_m2_11)) )) (net global_time_i_s_28 (joined (portRef S1 (instanceRef global_time_i_cry_0_27)) @@ -96598,7 +97299,7 @@ (net global_time_28 (joined (portRef Q (instanceRef global_time_i_28)) (portRef C1 (instanceRef global_time_i_cry_0_27)) - (portRef B (instanceRef next_API_DATA_OUT_15_bm_12)) + (portRef B (instanceRef next_API_DATA_OUT_6_12)) )) (net global_time_i_s_29 (joined (portRef S0 (instanceRef global_time_i_cry_0_29)) @@ -96607,7 +97308,7 @@ (net global_time_29 (joined (portRef Q (instanceRef global_time_i_29)) (portRef C0 (instanceRef global_time_i_cry_0_29)) - (portRef B (instanceRef next_API_DATA_OUT_6_13)) + (portRef B (instanceRef next_API_DATA_OUT_15_bm_13)) )) (net global_time_i_s_30 (joined (portRef S1 (instanceRef global_time_i_cry_0_29)) @@ -96625,7 +97326,7 @@ (net global_time_31 (joined (portRef Q (instanceRef global_time_i_31)) (portRef C0 (instanceRef global_time_i_s_0_31)) - (portRef B (instanceRef next_API_DATA_OUT_6_15)) + (portRef B (instanceRef next_API_DATA_OUT_15_bm_15)) )) (net regio_data_out_i_0 (joined (portRef Q (instanceRef saved_Reg_low_0)) @@ -96695,6 +97396,11 @@ (portRef D (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_4)) (portRef (member regio_data_out_i 27)) )) + (net common_ctrl_reg_4 (joined + (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_4)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_0_am_4)) + (portRef common_ctrl_reg_4) + )) (net regio_data_out_i_5 (joined (portRef Q (instanceRef saved_Reg_low_5)) (portRef B0 (instanceRef global_time_i_cry_0_5)) @@ -96737,7 +97443,7 @@ )) (net common_ctrl_reg_7 (joined (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_7)) - (portRef B (instanceRef fsm_un193_next_api_data_out_m296)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_2_7)) )) (net regio_data_out_i_8 (joined (portRef Q (instanceRef saved_Reg_low_8)) @@ -96845,7 +97551,7 @@ )) (net common_ctrl_reg_14 (joined (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_14)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21_RNO)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_2_1_14)) )) (net regio_data_out_i_15 (joined (portRef Q (instanceRef saved_Reg_low_15)) @@ -97164,7 +97870,7 @@ )) (net ctrl_reg_127 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_127_gen_regoutff_buf_REGISTERS_OUT_127)) - (portRef D (instanceRef next_API_DATA_OUT_1_bm_15)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) )) (net ctrl_reg_126 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_126_gen_regoutff_buf_REGISTERS_OUT_126)) @@ -97172,11 +97878,11 @@ )) (net ctrl_reg_125 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_125_gen_regoutff_buf_REGISTERS_OUT_125)) - (portRef D (instanceRef next_API_DATA_OUT_1_bm_13)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) )) (net ctrl_reg_124 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_124_gen_regoutff_buf_REGISTERS_OUT_124)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_12)) )) (net ctrl_reg_123 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_123_gen_regoutff_buf_REGISTERS_OUT_123)) @@ -97184,7 +97890,7 @@ )) (net ctrl_reg_122 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_122_gen_regoutff_buf_REGISTERS_OUT_122)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_1_10)) )) (net ctrl_reg_121 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_121_gen_regoutff_buf_REGISTERS_OUT_121)) @@ -97192,7 +97898,7 @@ )) (net ctrl_reg_120 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_120_gen_regoutff_buf_REGISTERS_OUT_120)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_1_8)) )) (net ctrl_reg_119 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_119_gen_regoutff_buf_REGISTERS_OUT_119)) @@ -97208,7 +97914,7 @@ )) (net ctrl_reg_116 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_116_gen_regoutff_buf_REGISTERS_OUT_116)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO)) )) (net ctrl_reg_115 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_115_gen_regoutff_buf_REGISTERS_OUT_115)) @@ -97232,11 +97938,11 @@ )) (net ctrl_reg_110 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_110_gen_regoutff_buf_REGISTERS_OUT_110)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m78_4_03)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) )) (net ctrl_reg_109 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_109_gen_regoutff_buf_REGISTERS_OUT_109)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m77_4_03)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) )) (net ctrl_reg_108 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_108_gen_regoutff_buf_REGISTERS_OUT_108)) @@ -97244,23 +97950,23 @@ )) (net ctrl_reg_107 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_107_gen_regoutff_buf_REGISTERS_OUT_107)) - (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_bm)) + (portRef C (instanceRef fsm_un254_next_api_data_out_m75_4_03)) )) (net ctrl_reg_106 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_106_gen_regoutff_buf_REGISTERS_OUT_106)) - (portRef D (instanceRef next_API_DATA_OUT_12_bm_10)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) )) (net ctrl_reg_105 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_105_gen_regoutff_buf_REGISTERS_OUT_105)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m73_4_03)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) )) (net ctrl_reg_104 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_104_gen_regoutff_buf_REGISTERS_OUT_104)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m72_4_03)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) )) (net ctrl_reg_103 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_103_gen_regoutff_buf_REGISTERS_OUT_103)) - (portRef D (instanceRef next_API_DATA_OUT_12_bm_7)) + (portRef C (instanceRef fsm_un254_next_api_data_out_m71_4_03)) )) (net ctrl_reg_102 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_102_gen_regoutff_buf_REGISTERS_OUT_102)) @@ -97272,7 +97978,7 @@ )) (net ctrl_reg_100 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_100_gen_regoutff_buf_REGISTERS_OUT_100)) - (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) + (portRef C (instanceRef fsm_un254_next_api_data_out_m68_4_03)) )) (net REGISTERS_OUT_write_enable_2 (joined (portRef Q (instanceRef REGISTERS_OUT_write_enable_2)) @@ -97354,11 +98060,11 @@ )) (net ctrl_reg_61 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_61_gen_regoutff_buf_REGISTERS_OUT_61)) - (portRef D (instanceRef next_API_DATA_OUT_1_bm_1_13)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) )) (net ctrl_reg_60 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_60_gen_regoutff_buf_REGISTERS_OUT_60)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_1_12)) )) (net ctrl_reg_59 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_59_gen_regoutff_buf_REGISTERS_OUT_59)) @@ -97370,11 +98076,11 @@ )) (net ctrl_reg_46 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_46_gen_regoutff_buf_REGISTERS_OUT_46)) - (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) )) (net ctrl_reg_45 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_45_gen_regoutff_buf_REGISTERS_OUT_45)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m13_4_03)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) )) (net ctrl_reg_44 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_44_gen_regoutff_buf_REGISTERS_OUT_44)) @@ -97382,7 +98088,7 @@ )) (net ctrl_reg_43 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_43_gen_regoutff_buf_REGISTERS_OUT_43)) - (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_am)) + (portRef C (instanceRef fsm_un254_next_api_data_out_m11_4_03)) )) (net REGISTERS_OUT_write_enable_0 (joined (portRef Q (instanceRef REGISTERS_OUT_write_enable_0)) @@ -97421,15 +98127,15 @@ )) (net ctrl_reg_9 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_9_gen_regoutff_buf_REGISTERS_OUT_9)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m9_4_03)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) )) (net ctrl_reg_8 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_8_gen_regoutff_buf_REGISTERS_OUT_8)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m8_4_03)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) )) (net ctrl_reg_7 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_7_gen_regoutff_buf_REGISTERS_OUT_7)) - (portRef C (instanceRef next_API_DATA_OUT_12_bm_1_7)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_1_7)) )) (net ctrl_reg_6 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_6_gen_regoutff_buf_REGISTERS_OUT_6)) @@ -97439,17 +98145,13 @@ (portRef Q (instanceRef gen_regout_0_gen_regoutff1_5_gen_regoutff_buf_REGISTERS_OUT_5)) (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_am)) )) - (net ctrl_reg_4 (joined - (portRef Q (instanceRef gen_regout_0_gen_regoutff1_4_gen_regoutff_buf_REGISTERS_OUT_4)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - )) (net ctrl_reg_3 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_3_gen_regoutff_buf_REGISTERS_OUT_3)) (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) )) (net ctrl_reg_31 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_31_gen_regoutff_buf_REGISTERS_OUT_31)) - (portRef C (instanceRef next_API_DATA_OUT_1_bm_1_15)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) )) (net ctrl_reg_30 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_30_gen_regoutff_buf_REGISTERS_OUT_30)) @@ -97461,11 +98163,11 @@ )) (net ctrl_reg_29 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_29_gen_regoutff_buf_REGISTERS_OUT_29)) - (portRef C (instanceRef next_API_DATA_OUT_1_bm_1_13)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) )) (net ctrl_reg_28 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_28_gen_regoutff_buf_REGISTERS_OUT_28)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_1_12)) )) (net ctrl_reg_27 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_27_gen_regoutff_buf_REGISTERS_OUT_27)) @@ -97473,7 +98175,7 @@ )) (net ctrl_reg_26 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_26_gen_regoutff_buf_REGISTERS_OUT_26)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_10)) )) (net ctrl_reg_25 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_25_gen_regoutff_buf_REGISTERS_OUT_25)) @@ -97481,7 +98183,7 @@ )) (net ctrl_reg_24 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_24_gen_regoutff_buf_REGISTERS_OUT_24)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_8)) )) (net ctrl_reg_23 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_23_gen_regoutff_buf_REGISTERS_OUT_23)) @@ -97497,7 +98199,7 @@ )) (net ctrl_reg_20 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_20_gen_regoutff_buf_REGISTERS_OUT_20)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO_0)) )) (net ctrl_reg_1 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_1_gen_regoutff_buf_REGISTERS_OUT_1)) @@ -97523,21 +98225,21 @@ (portRef Q (instanceRef gen_regout_0_gen_regoutff1_15_gen_regoutff_buf_REGISTERS_OUT_15)) (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) )) + (net ctrl_reg_14 (joined + (portRef Q (instanceRef gen_regout_0_gen_regoutff1_14_gen_regoutff_buf_REGISTERS_OUT_14)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) + )) (net ctrl_reg_13 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_13_gen_regoutff_buf_REGISTERS_OUT_13)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m13_4_03)) - )) - (net ctrl_reg_12 (joined - (portRef Q (instanceRef gen_regout_0_gen_regoutff1_12_gen_regoutff_buf_REGISTERS_OUT_12)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) )) (net ctrl_reg_11 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_11_gen_regoutff_buf_REGISTERS_OUT_11)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_am)) + (portRef B (instanceRef fsm_un254_next_api_data_out_m11_4_03)) )) (net ctrl_reg_10 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_10_gen_regoutff_buf_REGISTERS_OUT_10)) - (portRef C (instanceRef next_API_DATA_OUT_12_bm_1_10)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) )) (net ctrl_reg_0 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_0_gen_regoutff_buf_REGISTERS_OUT_0)) @@ -97584,15 +98286,15 @@ )) (net common_ctrl_reg_92 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_92_buf_COMMON_CTRL_REG_OUT_92)) - (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_12)) )) (net med_ctrl_op_8 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_91_buf_COMMON_CTRL_REG_OUT_91)) - (portRef D (instanceRef next_API_DATA_OUT_2_bm_11)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_11)) )) (net common_ctrl_reg_90 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_90_buf_COMMON_CTRL_REG_OUT_90)) - (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_10)) )) (net common_ctrl_reg_89 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_89_buf_COMMON_CTRL_REG_OUT_89)) @@ -97600,7 +98302,7 @@ )) (net common_ctrl_reg_88 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_88_buf_COMMON_CTRL_REG_OUT_88)) - (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_8)) )) (net common_ctrl_reg_87 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_87_buf_COMMON_CTRL_REG_OUT_87)) @@ -97608,11 +98310,11 @@ )) (net common_ctrl_reg_86 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_86_buf_COMMON_CTRL_REG_OUT_86)) - (portRef D (instanceRef next_API_DATA_OUT_2_bm_6)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_6)) )) (net common_ctrl_reg_85 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_85_buf_COMMON_CTRL_REG_OUT_85)) - (portRef D (instanceRef next_API_DATA_OUT_2_bm_5)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_5)) )) (net common_ctrl_reg_84 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_84_buf_COMMON_CTRL_REG_OUT_84)) @@ -97634,9 +98336,13 @@ (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_80_buf_COMMON_CTRL_REG_OUT_80)) (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) )) + (net common_ctrl_reg_79 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_79_buf_COMMON_CTRL_REG_OUT_79)) + (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + )) (net common_ctrl_reg_78 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_78_buf_COMMON_CTRL_REG_OUT_78)) - (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_2_14)) )) (net common_ctrl_reg_76 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_76_buf_COMMON_CTRL_REG_OUT_76)) @@ -97644,7 +98350,7 @@ )) (net common_ctrl_reg_74 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_74_buf_COMMON_CTRL_REG_OUT_74)) - (portRef D (instanceRef next_API_DATA_OUT_13_bm_10)) + (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) )) (net common_ctrl_reg_73 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_73_buf_COMMON_CTRL_REG_OUT_73)) @@ -97656,7 +98362,7 @@ )) (net common_ctrl_reg_71 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_71_buf_COMMON_CTRL_REG_OUT_71)) - (portRef D (instanceRef next_API_DATA_OUT_13_bm_7)) + (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) )) (net common_ctrl_reg_70 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_70_buf_COMMON_CTRL_REG_OUT_70)) @@ -97666,6 +98372,10 @@ (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_69_buf_COMMON_CTRL_REG_OUT_69)) (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) )) + (net common_ctrl_reg_68 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_68_buf_COMMON_CTRL_REG_OUT_68)) + (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + )) (net common_ctrl_reg_67 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_67_buf_COMMON_CTRL_REG_OUT_67)) (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) @@ -97781,20 +98491,24 @@ (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_48_buf_COMMON_CTRL_REG_OUT_48)) (portRef C (instanceRef fsm_un59_next_api_data_out_m0_4_03)) )) - (net un1_next_nomoredata_0_sqmuxa_0_i (joined - (portRef Z (instanceRef dont_understand_RNO_0)) + (net un1_next_state_5_sqmuxa_i (joined + (portRef Z (instanceRef un1_next_state_5_sqmuxa_i)) + (portRef D (instanceRef dont_understand)) + )) + (net un1_next_nomoredata_0_sqmuxa_i (joined + (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_i)) (portRef SP (instanceRef dont_understand)) )) (net buf_APL_ERROR_PATTERN_IN_0 (joined (portRef Q (instanceRef dont_understand)) (portRef buf_APL_ERROR_PATTERN_IN_0) )) - (net un1_next_Reg_low_1_sqmuxa_cry_0_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) + (net un1_dat_data_counter_cry_0_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_0_0)) (portRef D (instanceRef dat_data_counter_0)) )) - (net current_state_RNISS3Q_18 (joined - (portRef Z (instanceRef current_state_RNISS3Q_18)) + (net current_state_RNI2T4Q_18 (joined + (portRef Z (instanceRef current_state_RNI2T4Q_18)) (portRef CD (instanceRef dat_data_counter_15)) (portRef CD (instanceRef dat_data_counter_14)) (portRef CD (instanceRef dat_data_counter_13)) @@ -97814,11 +98528,11 @@ )) (net dat_data_counter_0 (joined (portRef Q (instanceRef dat_data_counter_0)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_0_0)) (portRef B (instanceRef next_API_DATA_OUT_17_am_0)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_1_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) + (net un1_dat_data_counter_cry_1_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_1_0)) (portRef D (instanceRef dat_data_counter_1)) )) (net reset_i_fast_1_i (joined @@ -97845,17 +98559,14 @@ (portRef SP (instanceRef address_4)) (portRef SP (instanceRef address_3)) (portRef SP (instanceRef address_2)) - (portRef SP (instanceRef address_0_rep1)) - (portRef SP (instanceRef address_0_rep2_1)) - (portRef SP (instanceRef address_1_1)) (portRef SP (instanceRef address_1)) - (portRef SP (instanceRef address_0_rep1_1)) + (portRef SP (instanceRef address_1_1)) (portRef SP (instanceRef address_1_0)) - (portRef SP (instanceRef address_2_1)) + (portRef SP (instanceRef address_2_0)) + (portRef SP (instanceRef address_4_0)) + (portRef SP (instanceRef address_5_0)) (portRef SP (instanceRef address_0)) - (portRef SP (instanceRef address_0_rep2)) - (portRef SP (instanceRef address_fast_0)) - (portRef SP (instanceRef address_fast_1_0)) + (portRef SP (instanceRef address_3_0)) (portRef SP (instanceRef buf_API_PACKET_NUM_OUT_2)) (portRef SP (instanceRef buf_API_PACKET_NUM_OUT_0)) (portRef SP (instanceRef buf_API_SHORT_TRANSFER_OUT)) @@ -97876,106 +98587,92 @@ (portRef SP (instanceRef dat_data_counter_1)) (portRef SP (instanceRef dat_data_counter_0)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_1_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) + (net un1_dat_data_counter_cry_1_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_1_0)) (portRef D (instanceRef dat_data_counter_2)) )) - (net dat_data_counter_2 (joined - (portRef Q (instanceRef dat_data_counter_2)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef A (instanceRef next_API_DATA_OUT_17_am_2)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_3_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) + (net un1_dat_data_counter_cry_3_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_3_0)) (portRef D (instanceRef dat_data_counter_3)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_3_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) + (net un1_dat_data_counter_cry_3_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_3_0)) (portRef D (instanceRef dat_data_counter_4)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_5_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) + (net un1_dat_data_counter_cry_5_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_5_0)) (portRef D (instanceRef dat_data_counter_5)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_5_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) + (net un1_dat_data_counter_cry_5_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_5_0)) (portRef D (instanceRef dat_data_counter_6)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_7_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) + (net un1_dat_data_counter_cry_7_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_7_0)) (portRef D (instanceRef dat_data_counter_7)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_7_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) + (net un1_dat_data_counter_cry_7_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_7_0)) (portRef D (instanceRef dat_data_counter_8)) )) (net dat_data_counter_8 (joined (portRef Q (instanceRef dat_data_counter_8)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_7_0)) (portRef B (instanceRef next_API_DATA_OUT_17_am_8)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_9_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) + (net un1_dat_data_counter_cry_9_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_9_0)) (portRef D (instanceRef dat_data_counter_9)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_9_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) + (net un1_dat_data_counter_cry_9_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_9_0)) (portRef D (instanceRef dat_data_counter_10)) )) - (net dat_data_counter_10 (joined - (portRef Q (instanceRef dat_data_counter_10)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef B (instanceRef next_API_DATA_OUT_17_am_10)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_11_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) + (net un1_dat_data_counter_cry_11_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_11_0)) (portRef D (instanceRef dat_data_counter_11)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_11_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) + (net un1_dat_data_counter_cry_11_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_11_0)) (portRef D (instanceRef dat_data_counter_12)) )) (net dat_data_counter_12 (joined (portRef Q (instanceRef dat_data_counter_12)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_11_0)) (portRef B (instanceRef next_API_DATA_OUT_17_am_12)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_13_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) + (net un1_dat_data_counter_cry_13_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_13_0)) (portRef D (instanceRef dat_data_counter_13)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_13_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef D (instanceRef dat_data_counter_14)) + (net dat_data_counter_13 (joined + (portRef Q (instanceRef dat_data_counter_13)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef B (instanceRef next_API_DATA_OUT_17_am_13)) )) - (net dat_data_counter_14 (joined - (portRef Q (instanceRef dat_data_counter_14)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef B (instanceRef next_API_DATA_OUT_17_am_14)) + (net un1_dat_data_counter_cry_13_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef D (instanceRef dat_data_counter_14)) )) - (net un1_next_Reg_low_1_sqmuxa_s_15_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) + (net un1_dat_data_counter_s_15_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_s_15_0)) (portRef D (instanceRef dat_data_counter_15)) )) (net dat_data_counter_15 (joined (portRef Q (instanceRef dat_data_counter_15)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) + (portRef A0 (instanceRef un1_dat_data_counter_s_15_0)) (portRef B (instanceRef next_API_DATA_OUT_17_am_15)) )) - (net current_state_ns_0_i_18 (joined - (portRef Z (instanceRef current_state_ns_0_i_18)) + (net N_5895 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_18)) (portRef D (instanceRef current_state_0)) )) - (net current_state_ns_0_i_17 (joined - (portRef Z (instanceRef current_state_ns_0_i_17)) - (portRef D (instanceRef current_state_1)) - )) - (net N_2043_0 (joined - (portRef Z (instanceRef current_state_ns_i_16)) + (net N_2050_0 (joined + (portRef Z (instanceRef current_state_ns_i_0_16)) (portRef D (instanceRef current_state_2)) )) - (net N_2041_0 (joined - (portRef Z (instanceRef current_state_ns_i_15)) + (net N_2048_0 (joined + (portRef Z (instanceRef current_state_ns_i_0_15)) (portRef D (instanceRef current_state_3)) )) (net current_state_ns_0_i_14 (joined @@ -97986,8 +98683,8 @@ (portRef Z (instanceRef current_state_ns_0_i_13)) (portRef D (instanceRef current_state_5)) )) - (net current_state_ns_0_i_12 (joined - (portRef Z (instanceRef current_state_ns_0_i_12)) + (net N_5891 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_12)) (portRef D (instanceRef current_state_6)) )) (net current_state_6 (joined @@ -97995,51 +98692,70 @@ (portRef B (instanceRef current_state_nss_0_i_0)) (portRef A (instanceRef current_state_ns_i_a3_0)) )) - (net current_state_ns_11 (joined - (portRef Z (instanceRef current_state_ns_i_m4_11)) + (net N_5970 (joined + (portRef Z (instanceRef current_state_ns_i_m4_i_m2_11)) (portRef D (instanceRef current_state_7)) )) (net current_state_7 (joined (portRef Q (instanceRef current_state_7)) - (portRef A (instanceRef current_state_RNI188F2_7)) - (portRef B (instanceRef current_state_ns_i_m4_11)) - (portRef A (instanceRef current_state_ns_i_a2_RNILBLQ_8)) + (portRef B (instanceRef current_state_RNI2BP74_7)) + (portRef B (instanceRef current_state_ns_i_m4_i_m2_11)) + (portRef C (instanceRef current_state_RNIME6J2_7)) (portRef B (instanceRef buf_API_SEND_OUT_RNO)) - (portRef B (instanceRef un1_current_state_6_0_a2)) (portRef B (instanceRef current_state_RNI9UQC_7)) )) - (net current_state_ns_0_i_10 (joined - (portRef Z (instanceRef current_state_ns_0_i_10)) + (net N_5894 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_10)) (portRef D (instanceRef current_state_8)) )) - (net N_2033_0 (joined - (portRef Z (instanceRef current_state_ns_i_9)) + (net current_state_8 (joined + (portRef Q (instanceRef current_state_8)) + (portRef C (instanceRef current_state_ns_0_i_s_10)) + (portRef C (instanceRef current_state_ns_i_m4_i_m2_11)) + (portRef B (instanceRef current_state_ns_0_i_s_1_6)) + (portRef C (instanceRef next_timeout_counter_0_o2_0_0)) + (portRef B (instanceRef un1_next_nomoredata_1_sqmuxa_i_o2)) + )) + (net N_48_i_0 (joined + (portRef Z (instanceRef current_state_RNO_9)) (portRef D (instanceRef current_state_9)) )) + (net N_5890_i (joined + (portRef Z (instanceRef current_state_RNO_10)) + (portRef D (instanceRef current_state_10)) + )) + (net current_state_10 (joined + (portRef Q (instanceRef current_state_10)) + (portRef B (instanceRef current_state_RNO_10)) + (portRef C (instanceRef lengthe_0_i)) + (portRef C (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef B (instanceRef current_state_RNIVD6M1_10)) + (portRef C (instanceRef current_state_RNI2BP74_7)) + (portRef C (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) + (portRef D (instanceRef current_state_RNIME6J2_7)) + (portRef C (instanceRef next_state_1_sqmuxa_1_0_a2_i_o2)) + (portRef C (instanceRef buf_API_SEND_OUT_RNO)) + (portRef A (instanceRef nomoredata_RNO)) + (portRef A (instanceRef timeout_RNO)) + )) (net current_state_ns_0_i_7 (joined (portRef Z (instanceRef current_state_ns_0_i_7)) (portRef D (instanceRef current_state_11)) )) - (net current_state_ns_0_i_6 (joined - (portRef Z (instanceRef current_state_ns_0_i_6)) + (net N_46 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_6)) (portRef D (instanceRef current_state_12)) )) (net current_state_12 (joined (portRef Q (instanceRef current_state_12)) (portRef B (instanceRef next_API_SHORT_TRANSFER_OUT_f0)) - (portRef C (instanceRef current_state_ns_0_0_12)) - (portRef B (instanceRef un1_current_state_4_i_a2_1)) + (portRef C (instanceRef current_state_ns_0_i_s_0_12)) + (portRef B (instanceRef un1_current_state_5_i_a2_1)) )) (net current_state_ns_0_i_5 (joined (portRef Z (instanceRef current_state_ns_0_i_5)) (portRef D (instanceRef current_state_13)) )) - (net current_state_13 (joined - (portRef Q (instanceRef current_state_13)) - (portRef C (instanceRef current_state_ns_0_i_5)) - (portRef B (instanceRef un1_next_address_0_sqmuxa)) - (portRef C (instanceRef current_state_ns_0_i_3)) - )) (net current_state_ns_0_i_4 (joined (portRef Z (instanceRef current_state_ns_0_i_4)) (portRef D (instanceRef current_state_14)) @@ -98051,75 +98767,47 @@ (net current_state_15 (joined (portRef Q (instanceRef current_state_15)) (portRef D (instanceRef current_state_ns_0_i_3)) - (portRef B (instanceRef next_global_time_write_3_sqmuxa)) - (portRef C (instanceRef current_state_RNIIULQ_15)) - (portRef B (instanceRef fsm_un24_api_dataready_in_RNIQQ1Q)) - (portRef B (instanceRef next_global_time_write_6_sqmuxa)) + (portRef C (instanceRef current_state_RNIJ5GL1_15)) + (portRef C (instanceRef next_global_time_write_3_sqmuxa_0)) + (portRef C (instanceRef current_state_ns_0_i_a2_18)) (portRef C (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) - (portRef C (instanceRef next_unknown_1_sqmuxa_1)) - (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc_6)) + (portRef D (instanceRef next_unknown_1_sqmuxa_1)) + (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc_3)) )) - (net current_state_ns_0_i_2 (joined - (portRef Z (instanceRef current_state_ns_0_i_2)) + (net N_35 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_2)) (portRef D (instanceRef current_state_16)) )) (net current_state_16 (joined (portRef Q (instanceRef current_state_16)) - (portRef D (instanceRef current_state_ns_0_i_2)) + (portRef C (instanceRef current_state_ns_0_i_s_2)) (portRef C (instanceRef current_state_RNIK5KI2_16)) (portRef C (instanceRef current_state_RNI7LPA1_16)) - (portRef B (instanceRef current_state_ns_i_a2_RNIMNKQ_8)) + (portRef D (instanceRef current_state_RNINQ5J2_16)) (portRef A (instanceRef rom_read_addr_1_sqmuxa_1)) - (portRef C (instanceRef un1_current_state_4_i_a2_1)) - (portRef D (instanceRef un1_current_state_6_0_a2)) + (portRef C (instanceRef un1_current_state_5_i_a2_1)) (portRef C (instanceRef current_state_RNI9UQC_7)) )) - (net current_state_ns_0_i_1 (joined - (portRef Z (instanceRef current_state_ns_0_i_1)) - (portRef D (instanceRef current_state_17)) - )) - (net current_state_17 (joined - (portRef Q (instanceRef current_state_17)) - (portRef C (instanceRef current_state_ns_0_i_1)) - (portRef D (instanceRef current_state_ns_0_o2_5)) - (portRef D (instanceRef next_state_5_sqmuxa_1)) - (portRef B (instanceRef next_operation_0_sqmuxa)) - )) (net current_state_nss_0_i_0 (joined (portRef Z (instanceRef current_state_nss_0_i_0)) (portRef D (instanceRef current_state_18)) )) - (net current_state_18 (joined - (portRef Q (instanceRef current_state_18)) - (portRef B (instanceRef DAT_DATAREADY_IN_befored)) - (portRef B (instanceRef dont_understand_RNO_0)) - (portRef A (instanceRef reg_fsm_unknown_3_iv)) - (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_1_0)) - (portRef C (instanceRef current_state_nss_0_i_0)) - (portRef D (instanceRef current_state_ns_0_i_1)) - (portRef B (instanceRef reg_fsm_timeout_3_f0)) - (portRef B (instanceRef reg_fsm_nomoredata_3_f0)) - (portRef A (instanceRef current_state_RNISS3Q_18)) - (portRef B (instanceRef current_state_ns_i_a3_0)) - )) - (net rom_read_addr_1_sqmuxa_i (joined - (portRef Z (instanceRef buf_rom_read_addr_RNO_0)) - (portRef D (instanceRef buf_rom_read_addr_0)) - )) (net buf_rom_read_addr_0 (joined (portRef Q (instanceRef buf_rom_read_addr_0)) (portRef B (instanceRef rom_read_addr_0)) )) - (net N_2338 (joined + (net N_2345 (joined (portRef Z (instanceRef rom_read_addr_0_1)) + (portRef N_2345 (instanceRef board_rom)) (portRef A (instanceRef rom_read_addr_1)) (portRef D (instanceRef buf_rom_read_addr_1)) )) (net buf_rom_read_addr_1 (joined (portRef Q (instanceRef buf_rom_read_addr_1)) + (portRef (member buf_rom_read_addr 0) (instanceRef board_rom)) (portRef B (instanceRef rom_read_addr_1)) )) - (net N_2339 (joined + (net N_2346 (joined (portRef Z (instanceRef rom_read_addr_0_2)) (portRef A (instanceRef rom_read_addr_2)) (portRef D (instanceRef buf_rom_read_addr_2)) @@ -98152,6 +98840,50 @@ (portRef (member regio_data_in_i 30)) (portRef D (instanceRef buf_DAT_DATA_IN_1)) )) + (net regio_dataready_i (joined + (portRef regio_dataready_i) + (portRef C (instanceRef DAT_DATAREADY_IN_befored)) + (portRef D (instanceRef current_state_ns_0_i_s_10)) + (portRef D (instanceRef current_state_ns_i_m4_i_m2_11)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_1_RNIAGPT)) + (portRef D (instanceRef current_state_ns_0_i_s_1_6)) + (portRef D (instanceRef next_timeout_counter_0_o2_0_0)) + (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0)) + (portRef C (instanceRef un1_next_nomoredata_1_sqmuxa_i_o2)) + (portRef C (instanceRef current_state_ns_i_i_o2_1_8)) + (portRef SP (instanceRef buf_DAT_DATA_IN_31)) + (portRef SP (instanceRef buf_DAT_DATA_IN_30)) + (portRef SP (instanceRef buf_DAT_DATA_IN_29)) + (portRef SP (instanceRef buf_DAT_DATA_IN_28)) + (portRef SP (instanceRef buf_DAT_DATA_IN_27)) + (portRef SP (instanceRef buf_DAT_DATA_IN_26)) + (portRef SP (instanceRef buf_DAT_DATA_IN_25)) + (portRef SP (instanceRef buf_DAT_DATA_IN_24)) + (portRef SP (instanceRef buf_DAT_DATA_IN_23)) + (portRef SP (instanceRef buf_DAT_DATA_IN_22)) + (portRef SP (instanceRef buf_DAT_DATA_IN_21)) + (portRef SP (instanceRef buf_DAT_DATA_IN_20)) + (portRef SP (instanceRef buf_DAT_DATA_IN_19)) + (portRef SP (instanceRef buf_DAT_DATA_IN_18)) + (portRef SP (instanceRef buf_DAT_DATA_IN_17)) + (portRef SP (instanceRef buf_DAT_DATA_IN_16)) + (portRef SP (instanceRef buf_DAT_DATA_IN_15)) + (portRef SP (instanceRef buf_DAT_DATA_IN_14)) + (portRef SP (instanceRef buf_DAT_DATA_IN_13)) + (portRef SP (instanceRef buf_DAT_DATA_IN_12)) + (portRef SP (instanceRef buf_DAT_DATA_IN_11)) + (portRef SP (instanceRef buf_DAT_DATA_IN_10)) + (portRef SP (instanceRef buf_DAT_DATA_IN_9)) + (portRef SP (instanceRef buf_DAT_DATA_IN_8)) + (portRef SP (instanceRef buf_DAT_DATA_IN_7)) + (portRef SP (instanceRef buf_DAT_DATA_IN_6)) + (portRef SP (instanceRef buf_DAT_DATA_IN_5)) + (portRef SP (instanceRef buf_DAT_DATA_IN_4)) + (portRef SP (instanceRef buf_DAT_DATA_IN_3)) + (portRef SP (instanceRef buf_DAT_DATA_IN_2)) + (portRef SP (instanceRef buf_DAT_DATA_IN_1)) + (portRef SP (instanceRef buf_DAT_DATA_IN_0)) + )) (net buf_DAT_DATA_IN_1 (joined (portRef Q (instanceRef buf_DAT_DATA_IN_1)) (portRef B (instanceRef next_API_DATA_OUT_16_bm_1)) @@ -98194,7 +98926,7 @@ )) (net buf_DAT_DATA_IN_6 (joined (portRef Q (instanceRef buf_DAT_DATA_IN_6)) - (portRef B (instanceRef next_API_DATA_OUT_16_bm_6)) + (portRef B (instanceRef next_API_DATA_OUT_0_6)) )) (net regio_data_in_i_7 (joined (portRef (member regio_data_in_i 24)) @@ -98202,7 +98934,7 @@ )) (net buf_DAT_DATA_IN_7 (joined (portRef Q (instanceRef buf_DAT_DATA_IN_7)) - (portRef B (instanceRef next_API_DATA_OUT_16_bm_7)) + (portRef B (instanceRef next_API_DATA_OUT_0_7)) )) (net regio_data_in_i_8 (joined (portRef (member regio_data_in_i 23)) @@ -98322,7 +99054,7 @@ )) (net buf_DAT_DATA_IN_22 (joined (portRef Q (instanceRef buf_DAT_DATA_IN_22)) - (portRef C (instanceRef next_API_DATA_OUT_16_bm_6)) + (portRef C (instanceRef next_API_DATA_OUT_0_6)) )) (net regio_data_in_i_23 (joined (portRef (member regio_data_in_i 8)) @@ -98330,7 +99062,7 @@ )) (net buf_DAT_DATA_IN_23 (joined (portRef Q (instanceRef buf_DAT_DATA_IN_23)) - (portRef C (instanceRef next_API_DATA_OUT_16_bm_7)) + (portRef C (instanceRef next_API_DATA_OUT_0_7)) )) (net regio_data_in_i_24 (joined (portRef (member regio_data_in_i 7)) @@ -98406,17 +99138,13 @@ (portRef A (instanceRef buf_API_SEND_OUT_RNO)) (portRef D (instanceRef buf_API_SEND_OUT)) )) - (net N_1955_i (joined + (net N_1957_i (joined (portRef Z (instanceRef buf_API_SEND_OUT_RNO)) (portRef SP (instanceRef buf_API_SEND_OUT)) )) - (net buf_API_PACKET_NUM_OUT_2_0 (joined - (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_2_0)) - (portRef D (instanceRef buf_API_PACKET_NUM_OUT_0)) - )) - (net buf_API_PACKET_NUM_OUT_Q_0 (joined - (portRef Q (instanceRef buf_API_PACKET_NUM_OUT_0)) - (portRef D (instanceRef API_PACKET_NUM_OUT_0)) + (net buf_API_SEND_OUT (joined + (portRef Q (instanceRef buf_API_SEND_OUT)) + (portRef B (instanceRef API_SEND_OUT)) )) (net buf_API_PACKET_NUM_OUT_2_2 (joined (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_2_2)) @@ -98486,234 +99214,96 @@ (portRef Z (instanceRef next_API_DATA_OUT_15)) (portRef D (instanceRef buf_API_DATA_OUT_15)) )) - (net un1_current_state_10_0 (joined - (portRef Z (instanceRef un1_current_state_10)) + (net N_5893_i (joined + (portRef Z (instanceRef buf_API_DATAREADY_OUT_RNO)) (portRef D (instanceRef buf_API_DATAREADY_OUT)) )) (net buf_API_DATAREADY_OUT (joined (portRef Q (instanceRef buf_API_DATAREADY_OUT)) (portRef B (instanceRef DAT_DATAREADY_IN_befored_1)) - (portRef B (instanceRef current_state_ns_i_a2_8)) + (portRef B (instanceRef packet_counter_RNIHNC81_1_1)) (portRef B (instanceRef packet_counter_RNIHNC81_0_1)) - (portRef B (instanceRef packet_counter_RNIHNC81_1)) (portRef B (instanceRef packet_counter_RNIBUIU_0)) - (portRef D (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef D (instanceRef packet_counter_RNIHNC81_1)) (portRef buf_API_DATAREADY_OUT) )) - (net N_136 (joined + (net N_5951 (joined (portRef S1 (instanceRef next_address_i_m2_cry_0_0)) - (portRef D (instanceRef address_0_rep1)) - (portRef D (instanceRef address_0_rep2_1)) - (portRef D (instanceRef address_0_rep1_1)) (portRef D (instanceRef address_1_0)) + (portRef D (instanceRef address_2_0)) + (portRef D (instanceRef address_4_0)) + (portRef D (instanceRef address_5_0)) (portRef D (instanceRef address_0)) - (portRef D (instanceRef address_0_rep2)) - (portRef D (instanceRef address_fast_0)) - (portRef D (instanceRef address_fast_1_0)) + (portRef D (instanceRef address_3_0)) )) - (net regio_addr_i_fast_1_0 (joined - (portRef Q (instanceRef address_fast_1_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m518_6_03_0_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03_0_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_4)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m516_6_03_2)) - (portRef D (instanceRef un225_next_api_data_out_1_axbxc3)) - (portRef C (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_2)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_2)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m514_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m513_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_3)) - )) - (net N_137 (joined + (net next_address_i_m2_cry_1_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_1_0)) - (portRef D (instanceRef address_1_1)) (portRef D (instanceRef address_1)) - (portRef D (instanceRef address_2_1)) - )) - (net regio_addr_i_0_rep2_1 (joined - (portRef Q (instanceRef address_0_rep2_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_1_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_bm)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m526_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m520_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_0)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_am)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_am)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m523_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m525_6_03_2)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m7_6_03_3)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m7_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m520_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_2)) + (portRef D (instanceRef address_1_1)) )) - (net N_138 (joined + (net next_address_i_m2_cry_1_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_1_0)) (portRef D (instanceRef address_2)) )) - (net N_139 (joined + (net next_address_i_m2_cry_3_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_3_0)) (portRef D (instanceRef address_3)) )) - (net N_140 (joined + (net next_address_i_m2_cry_3_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_3_0)) (portRef D (instanceRef address_4)) )) - (net N_141 (joined + (net N_5956 (joined (portRef S0 (instanceRef next_address_i_m2_cry_5_0)) (portRef D (instanceRef address_5)) )) - (net N_142 (joined + (net next_address_i_m2_cry_5_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_5_0)) (portRef D (instanceRef address_6)) )) - (net N_143 (joined + (net next_address_i_m2_cry_7_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_7_0)) (portRef D (instanceRef address_7)) )) - (net N_144 (joined + (net next_address_i_m2_cry_7_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_7_0)) (portRef D (instanceRef address_8)) )) - (net N_145 (joined + (net next_address_i_m2_cry_9_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_9_0)) (portRef D (instanceRef address_9)) )) - (net N_146 (joined + (net next_address_i_m2_cry_9_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_9_0)) (portRef D (instanceRef address_10)) )) - (net N_147 (joined + (net next_address_i_m2_cry_11_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_11_0)) (portRef D (instanceRef address_11)) )) - (net N_148 (joined + (net next_address_i_m2_cry_11_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_11_0)) (portRef D (instanceRef address_12)) )) - (net N_149 (joined + (net next_address_i_m2_cry_13_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_13_0)) (portRef D (instanceRef address_13)) )) - (net N_150 (joined + (net next_address_i_m2_cry_13_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_13_0)) (portRef D (instanceRef address_14)) )) - (net N_151 (joined + (net next_address_i_m2_s_15_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_s_15_0)) (portRef D (instanceRef address_15)) )) + (net un1_next_state_0_sqmuxa_1_0_i (joined + (portRef Z (instanceRef addr_counter_enable_RNO)) + (portRef SP (instanceRef addr_counter_enable)) + )) (net addr_counter_enable (joined (portRef Q (instanceRef addr_counter_enable)) - (portRef A (instanceRef next_address_i_m2_cry_0_0_RNO)) + (portRef B (instanceRef next_address_i_m2_cry_0_0_RNO)) )) (net TIMER_MS_TICK_0_sqmuxa (joined (portRef Z (instanceRef TIMER_MS_TICK_0_sqmuxa)) @@ -98723,10 +99313,6 @@ (portRef Q (instanceRef TIMER_MS_TICK)) (portRef (member timer_ticks 0)) )) - (net reg_enable_pattern_m_0_1 (joined - (portRef Z (instanceRef REGISTERS_OUT_write_enable_RNO_1)) - (portRef D (instanceRef REGISTERS_OUT_write_enable_1)) - )) (net reg_enable_pattern_m_0_2 (joined (portRef Z (instanceRef REGISTERS_OUT_write_enable_RNO_2)) (portRef D (instanceRef REGISTERS_OUT_write_enable_2)) @@ -98739,14 +99325,17 @@ (portRef Z (instanceRef DAT_DATAREADY_IN_befored)) (portRef D (instanceRef DAT_DATAREADY_IN_before)) )) + (net DAT_DATAREADY_IN_before_Q (joined + (portRef Q (instanceRef DAT_DATAREADY_IN_before)) + (portRef C (instanceRef DAT_DATAREADY_IN_befored_1)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_1_RNIAGPT)) + (portRef D (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0)) + (portRef D (instanceRef current_state_ns_i_i_o2_1_8)) + )) (net reg_enable_pattern_m_0 (joined (portRef Z (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_0)) )) - (net reg_enable_pattern_m_1 (joined - (portRef Z (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) - (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_1)) - )) (net reg_enable_pattern_m_2 (joined (portRef Z (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_2)) @@ -98764,75 +99353,61 @@ (portRef Z (instanceRef un225_next_api_data_out_1_p4)) (portRef B (instanceRef fsm_un229_next_api_data_out_m0_8_03_1)) )) - (net DONT_UNDERSTAND_OUT_Q (joined - (portRef DONT_UNDERSTAND_OUT_Q (instanceRef the_addresses)) - (portRef C (instanceRef current_state_ns_0_a3_0_0_13)) - (portRef B (instanceRef next_dont_understand_1_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_o4_1_6)) - )) - (net ADDRESS_REJECTED_Q (joined - (portRef ADDRESS_REJECTED_Q (instanceRef the_addresses)) - (portRef B (instanceRef current_state_ns_0_a3_0_0_13)) - (portRef C (instanceRef next_dont_understand_1_sqmuxa)) - (portRef B (instanceRef current_state_ns_0_o4_1_6)) - )) - (net N_2068_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_1_6)) - (portRef A (instanceRef current_state_ns_0_0_6)) - )) - (net N_2067_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_0_6)) - (portRef B (instanceRef current_state_ns_0_i_1_6)) - (portRef A (instanceRef current_state_ns_0_i_7)) - (portRef B (instanceRef current_state_ns_0_a3_0_14)) - (portRef A (instanceRef next_state_5_sqmuxa_1)) - )) - (net N_4487 (joined - (portRef Z (instanceRef fsm_un38_api_dataready_in_i_o2)) - (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_2)) - (portRef A (instanceRef next_unknown_1_sqmuxa_1)) + (net N_1958 (joined + (portRef Z (instanceRef un10_current_state_i_a2)) + (portRef N_1958 (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_PACKET_NUM_OUT_RNIRHV91_0)) + (portRef N_1958) )) - (net N_2059_0 (joined - (portRef Z (instanceRef current_state_ns_i_o4_16)) - (portRef A (instanceRef current_state_ns_i_16)) + (net ADR_PACKET_NUM_OUT_2 (joined + (portRef (member adr_packet_num_out 0) (instanceRef the_addresses)) + (portRef B (instanceRef current_state_ns_0_i_14)) + (portRef A (instanceRef current_state_ns_0_i_13)) + (portRef A (instanceRef buf_API_PACKET_NUM_OUT_2_2)) + (portRef B (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) + (portRef (member adr_packet_num_out 0)) )) (net m8_6_03_2_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_2_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m525_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m523_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) (portRef A (instanceRef fsm_un104_next_api_data_out_m518_6_03_0_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m526_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m520_6_03_0_0)) (portRef B (instanceRef fsm_un29_next_api_data_out_m4_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m12_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m268_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m11_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m15_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m14_6_03_2)) @@ -98847,155 +99422,57 @@ (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m6_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m2_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m7_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) - )) - (net N_228 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m527_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m526_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m258_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m514_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m257_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m513_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m512_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m515_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m518_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m519_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m14_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m8_6_03_1_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m10_6_03_1_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m518_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03_0)) - )) - (net N_2137_2 (joined - (portRef Z (instanceRef current_state_ns_0_a2_0_2_12)) - (portRef A (instanceRef fsm_un4_dat_dataready_in)) - (portRef A (instanceRef fsm_un3_dat_dataready_in)) - (portRef A (instanceRef current_state_ns_0_o4_tz_12)) - )) - (net ADR_PACKET_NUM_OUT_2 (joined - (portRef (member adr_packet_num_out 0) (instanceRef the_addresses)) - (portRef B (instanceRef current_state_ns_0_i_14)) - (portRef A (instanceRef current_state_ns_0_i_13)) - (portRef A (instanceRef buf_API_PACKET_NUM_OUT_2_2)) - (portRef B (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) - (portRef (member adr_packet_num_out 0)) - )) - (net regio_addr_i_10 (joined - (portRef Q (instanceRef address_10)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_10)) - (portRef C (instanceRef next_address_i_m2_cry_9_0_RNO_0)) - (portRef A (instanceRef fsm_or_all_tmp_2)) - (portRef regio_addr_i_10) - )) - (net regio_addr_i_11 (joined - (portRef Q (instanceRef address_11)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_11)) - (portRef C (instanceRef next_address_i_m2_cry_11_0_RNO)) - (portRef B (instanceRef fsm_or_all_tmp_2)) - (portRef regio_addr_i_11) - )) - (net N_2116_7 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_6)) - (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) - (portRef A (instanceRef fsm_op_eq_next_state60_4_0)) - )) - (net N_2116_3 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_2)) - (portRef B (instanceRef fsm_op_eq_next_state60)) - (portRef A (instanceRef current_state_ns_i_a3_0_5_8)) - )) - (net N_2116_2 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_1)) - (portRef A (instanceRef fsm_op_eq_next_state60)) )) (net m8_6_03_3_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_3_1)) - (portRef A (instanceRef next_API_DATA_OUT_2_am_15)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_11)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_12)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) (portRef A (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m11_6_03)) (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_1)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_2)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_2)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m7_6_03_3)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03_3)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m268_6_03_3)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m11_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_3)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_3)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m13_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m2_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m258_6_03_3)) @@ -99006,366 +99483,285 @@ (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03_3)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m6_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_3)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m2_6_03_3)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m0_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m3_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m1_6_03_3)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) (portRef C (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_6)) (portRef A (instanceRef fsm_un104_next_api_data_out_m2_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_3)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_3)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m7_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_3)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03_3)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_a2_4)) (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_4)) )) - (net m8_6_03_1_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m14_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m7_8_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m520_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m268_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m523_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m525_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m258_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m257_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m256_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m516_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m2_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m0_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_3)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m521_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (net N_5913 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_1)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_1_RNIAGPT)) + (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0)) + (portRef A (instanceRef current_state_ns_i_i_o2_1_8)) + )) + (net N_5916 (joined + (portRef Z (instanceRef current_state_ns_i_0_o2_16)) + (portRef B (instanceRef current_state_ns_i_0_16)) + (portRef A (instanceRef un1_next_nomoredata_1_sqmuxa_i_o2)) + )) + (net N_5856 (joined + (portRef Z (instanceRef next_STAT_REG_STROBE_1_sqmuxa_1_0_a2_0)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_4)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_14)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_7)) + )) + (net regio_addr_i_10 (joined + (portRef Q (instanceRef address_10)) + (portRef C (instanceRef next_API_DATA_OUT_16_am_10)) + (portRef C (instanceRef next_address_i_m2_cry_9_0_RNO_0)) + (portRef A (instanceRef fsm_or_all_tmp_2)) + (portRef regio_addr_i_10) + )) + (net regio_addr_i_11 (joined + (portRef Q (instanceRef address_11)) + (portRef C (instanceRef next_API_DATA_OUT_16_am_11)) + (portRef C (instanceRef next_address_i_m2_cry_11_0_RNO)) + (portRef B (instanceRef fsm_or_all_tmp_2)) + (portRef regio_addr_i_11) + )) + (net N_2142_2 (joined + (portRef Z (instanceRef fsm_or_all_tmp_2)) + (portRef A (instanceRef fsm_or_all_tmp)) + )) + (net DONT_UNDERSTAND_OUT_Q (joined + (portRef DONT_UNDERSTAND_OUT_Q (instanceRef the_addresses)) + (portRef C (instanceRef current_state_ns_0_a3_0_0_13)) + (portRef B (instanceRef next_dont_understand_1_sqmuxa)) + (portRef A (instanceRef current_state_ns_0_o4_1_6)) + )) + (net ADDRESS_REJECTED_Q (joined + (portRef ADDRESS_REJECTED_Q (instanceRef the_addresses)) + (portRef B (instanceRef current_state_ns_0_a3_0_0_13)) + (portRef C (instanceRef next_dont_understand_1_sqmuxa)) + (portRef B (instanceRef current_state_ns_0_o4_1_6)) + )) + (net N_2075_0 (joined + (portRef Z (instanceRef current_state_ns_0_o4_1_6)) + (portRef A (instanceRef current_state_ns_0_i_s_0_6)) + )) + (net ctrl_reg_56 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_56_gen_regoutff_buf_REGISTERS_OUT_56)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m72_4_03_3)) + (portRef ctrl_reg_56) + )) + (net m8_4_03_3 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m72_4_03_3)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_8)) + (portRef C (instanceRef next_API_DATA_OUT_1_am_8)) )) (net m6_8_03_0_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_1_1)) - (portRef C (instanceRef next_API_DATA_OUT_9_am_2)) - (portRef C (instanceRef next_API_DATA_OUT_9_am_3)) - (portRef C (instanceRef next_API_DATA_OUT_9_am_4)) - (portRef C (instanceRef next_API_DATA_OUT_9_am_1)) - (portRef D (instanceRef next_API_DATA_OUT_1_am_5)) (portRef D (instanceRef next_API_DATA_OUT_1_am_6)) - (portRef C (instanceRef next_API_DATA_OUT_9_am_0)) + (portRef C (instanceRef next_API_DATA_OUT_9_bm_1)) + (portRef C (instanceRef next_API_DATA_OUT_9_i_m2_bm_0)) + (portRef C (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_2)) + (portRef C (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_3)) + (portRef D (instanceRef next_API_DATA_OUT_1_am_5)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03)) (portRef A (instanceRef fsm_un230_next_api_data_out_m12_8_03)) (portRef A (instanceRef fsm_un230_next_api_data_out_m11_8_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03)) (portRef A (instanceRef fsm_un230_next_api_data_out_m15_8_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m14_8_03)) (portRef A (instanceRef fsm_un230_next_api_data_out_m13_8_03)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03)) (portRef A (instanceRef fsm_un230_next_api_data_out_m9_8_03)) (portRef C (instanceRef fsm_un230_next_api_data_out_m5_8_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m14_8_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m4_8_03_1)) (portRef A (instanceRef fsm_un230_next_api_data_out_m7_8_03_1)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_8_03_1)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m4_8_03_1)) )) - (net m5_8_03_1_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_2_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_8_03_0_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m4_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m7_8_03_2)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (net ctrl_reg_58 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_58_gen_regoutff_buf_REGISTERS_OUT_58)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m74_4_03_3)) + (portRef ctrl_reg_58) )) - (net OUT772_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_1)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_8)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) - (portRef C (instanceRef next_API_DATA_OUT_14_bm_10)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m4_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m2_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m4_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m3_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m6_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) - (portRef B (instanceRef next_API_DATA_OUT_1_am_13)) - (portRef B (instanceRef next_API_DATA_OUT_1_am_15)) - (portRef B (instanceRef next_API_DATA_OUT_1_am_11)) + (net m10_4_03_3 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m74_4_03_3)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_10)) + (portRef C (instanceRef next_API_DATA_OUT_1_am_10)) )) - (net reset_i_fast_r7 (joined - (portRef reset_i_fast_r7) - (portRef B (instanceRef current_state_RNISS3Q_18)) + (net regio_nomoredata_i (joined + (portRef regio_nomoredata_i) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2)) + (portRef D (instanceRef un1_next_nomoredata_0_sqmuxa_1_i)) + (portRef C (instanceRef next_state_2_sqmuxa_1)) + (portRef C (instanceRef nomoredata_RNO)) + (portRef B (instanceRef reg_fsm_unknown_3_iv_0_a2_1_0)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_1)) )) - (net next_STAT_REG_STROBE_1_4 (joined - (portRef Z (instanceRef un225_next_api_data_out_1_ac0_1)) - (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0)) - (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) - (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) - (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0)) - (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) + (net unknown_3_iv_0_a2_1_0 (joined + (portRef Z (instanceRef reg_fsm_unknown_3_iv_0_a2_1_0)) + (portRef C (instanceRef reg_fsm_unknown_3_iv_0)) )) - (net next_state_2_sqmuxa_0 (joined - (portRef Z (instanceRef next_state_2_sqmuxa_0)) - (portRef D (instanceRef current_state_ns_0_i_10)) + (net un1_next_address_0_sqmuxa_0_a2_0 (joined + (portRef Z (instanceRef un1_next_address_0_sqmuxa_0_a2_0)) + (portRef D (instanceRef next_address_i_o2_0)) )) - (net N_4501 (joined - (portRef Z (instanceRef next_COMMON_CTRL_REG_STROBEc_8)) - (portRef C (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) - (portRef A (instanceRef next_unknown_1_sqmuxa_3)) - (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc)) + (net stat_lvl1_handler_41 (joined + (portRef stat_lvl1_handler_41) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_2)) )) - (net current_state_ns_0_a2_3_2 (joined - (portRef Z (instanceRef current_state_ns_0_a2_3_2)) - (portRef C (instanceRef current_state_ns_0_a2_2)) + (net m9_6_03_i_a2_3_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) )) (net un1_local_time_i_3 (joined (portRef Z (instanceRef proc_us_tick_un1_local_time_i_3)) (portRef A (instanceRef proc_us_tick_un1_local_time_i)) )) - (net current_state_ns_0_a3_0_0_5 (joined - (portRef Z (instanceRef current_state_ns_0_a3_0_0_5)) - (portRef D (instanceRef current_state_ns_0_i_5)) + (net current_state_ns_0_a2_0_o2_3_2 (joined + (portRef Z (instanceRef current_state_ns_0_a2_0_o2_3_2)) + (portRef C (instanceRef current_state_ns_0_a2_0_o2_2)) )) (net N_297 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m296)) (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) )) - (net N_2425 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_7)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_7)) - )) - (net N_2457 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_7)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_7)) - )) - (net N_325_0 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_m324)) - (portRef A (instanceRef next_API_DATA_OUT_2_bm_11)) - )) - (net N_2422 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_4)) - )) - (net N_2454 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_4)) - )) - (net N_2429 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_11)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_11)) - )) - (net N_2461 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_11)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_11)) - )) - (net N_2465 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_15)) - )) - (net N_2433 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_15)) - )) - (net N_2455 (joined + (net N_2462 (joined (portRef Z (instanceRef next_API_DATA_OUT_6_5)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_5)) )) - (net N_2423 (joined + (net N_2430 (joined (portRef Z (instanceRef next_API_DATA_OUT_4_5)) (portRef BLUT (instanceRef next_API_DATA_OUT_15_5)) )) - (net N_2418 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_0)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_0)) + (net common_ctrl_reg_41 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_41_buf_COMMON_CTRL_REG_OUT_41)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) + (portRef common_ctrl_reg_41) + )) + (net N_408 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + )) + (net N_408_0 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_m407)) + (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + )) + (net N_2463 (joined + (portRef Z (instanceRef next_API_DATA_OUT_6_6)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_6)) )) - (net N_2450 (joined + (net N_2457 (joined (portRef Z (instanceRef next_API_DATA_OUT_6_0)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_0)) )) + (net N_2425 (joined + (portRef Z (instanceRef next_API_DATA_OUT_4_0)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_0)) + )) (net N_2431 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_13)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_13)) + (portRef Z (instanceRef next_API_DATA_OUT_4_6)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_6)) )) - (net N_2463 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_13)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_13)) + (net N_2467 (joined + (portRef Z (instanceRef next_API_DATA_OUT_6_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_10)) )) - (net N_408 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_m407)) - (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (net N_2435 (joined + (portRef Z (instanceRef next_API_DATA_OUT_4_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_10)) + )) + (net N_2469 (joined + (portRef Z (instanceRef next_API_DATA_OUT_6_12)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_12)) )) - (net N_2453 (joined + (net N_2437 (joined + (portRef Z (instanceRef next_API_DATA_OUT_4_12)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_12)) + )) + (net N_2460 (joined (portRef Z (instanceRef next_API_DATA_OUT_6_3)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_3)) )) - (net N_2421 (joined + (net N_2428 (joined (portRef Z (instanceRef next_API_DATA_OUT_4_3)) (portRef BLUT (instanceRef next_API_DATA_OUT_15_3)) )) - (net N_2451 (joined + (net N_5837 (joined + (portRef Z (instanceRef next_API_DATA_OUT_6_i_m2_11)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_i_m2_11)) + )) + (net N_5836 (joined + (portRef Z (instanceRef next_API_DATA_OUT_4_i_m2_11)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_i_m2_11)) + )) + (net N_2458 (joined (portRef Z (instanceRef next_API_DATA_OUT_6_1)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_1)) )) - (net N_2419 (joined + (net N_2426 (joined (portRef Z (instanceRef next_API_DATA_OUT_4_1)) (portRef BLUT (instanceRef next_API_DATA_OUT_15_1)) )) - (net N_2456 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_6)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_6)) - )) - (net N_2424 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_6)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_6)) - )) - (net N_2452 (joined + (net N_2459 (joined (portRef Z (instanceRef next_API_DATA_OUT_6_2)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_2)) )) - (net N_2420 (joined + (net N_2427 (joined (portRef Z (instanceRef next_API_DATA_OUT_4_2)) (portRef BLUT (instanceRef next_API_DATA_OUT_15_2)) )) + (net N_2465 (joined + (portRef Z (instanceRef next_API_DATA_OUT_6_8)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_8)) + )) + (net N_2433 (joined + (portRef Z (instanceRef next_API_DATA_OUT_4_8)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_8)) + )) + (net N_325_0 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_m324)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_11)) + )) (net N_239_0 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m238)) - (portRef A (instanceRef next_API_DATA_OUT_2_bm_13)) + (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20)) )) (net N_129_0 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m128)) - (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) - )) - (net common_ctrl_reg_41 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_41_buf_COMMON_CTRL_REG_OUT_41)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) - (portRef common_ctrl_reg_41) - )) - (net N_408_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_10)) )) (net common_ctrl_reg_43 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_43_buf_COMMON_CTRL_REG_OUT_43)) (portRef C (instanceRef fsm_un193_next_api_data_out_m324)) (portRef common_ctrl_reg_43) )) - (net common_ctrl_reg_39 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_39_buf_COMMON_CTRL_REG_OUT_39)) - (portRef C (instanceRef fsm_un193_next_api_data_out_m296)) - (portRef common_ctrl_reg_39) - )) - (net N_297_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_m296)) - (portRef A (instanceRef next_API_DATA_OUT_13_bm_7)) - )) (net common_ctrl_reg_45 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_45_buf_COMMON_CTRL_REG_OUT_45)) (portRef C (instanceRef fsm_un193_next_api_data_out_m238)) @@ -99373,17 +99769,17 @@ )) (net common_ctrl_reg_10 (joined (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_10)) - (portRef B (instanceRef fsm_un193_next_api_data_out_m128)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17_RNO)) (portRef common_ctrl_reg_10) )) (net common_ctrl_reg_42 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_42_buf_COMMON_CTRL_REG_OUT_42)) - (portRef C (instanceRef fsm_un193_next_api_data_out_m128)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17_RNO)) (portRef common_ctrl_reg_42) )) (net N_129_0_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_m128)) - (portRef A (instanceRef next_API_DATA_OUT_13_bm_10)) + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) )) (net timer_ticks_0 (joined (portRef Q (instanceRef us_tick_i)) @@ -99391,97 +99787,53 @@ (portRef B (instanceRef global_time_ie_0_i)) (portRef (member timer_ticks 1)) )) - (net ctrl_reg_78 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_78_gen_regoutff_buf_REGISTERS_OUT_78)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m78_4_03)) - (portRef ctrl_reg_78) - )) - (net m78_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m78_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) - )) - (net regio_nomoredata_i (joined - (portRef regio_nomoredata_i) - (portRef D (instanceRef nomoredata_RNO)) - (portRef C (instanceRef next_state_2_sqmuxa_1)) - (portRef C (instanceRef fsm_un4_dat_dataready_in)) - (portRef C (instanceRef fsm_un3_dat_dataready_in)) - (portRef C (instanceRef current_state_ns_0_o4_tz_12)) - (portRef B (instanceRef current_state_ns_0_o4_6)) - (portRef C (instanceRef reg_fsm_nomoredata_3_f0)) - (portRef B (instanceRef DAT_DATAREADY_IN_before_RNIHUV01)) - )) - (net regio_unknown_addr_i (joined - (portRef regio_unknown_addr_i) - (portRef C (instanceRef reg_fsm_unknown_3_iv)) - (portRef D (instanceRef next_state_2_sqmuxa_1)) - (portRef D (instanceRef fsm_un4_dat_dataready_in)) - (portRef D (instanceRef fsm_un3_dat_dataready_in)) - (portRef D (instanceRef current_state_ns_0_o4_tz_12)) - (portRef C (instanceRef current_state_ns_0_o4_6)) - (portRef A (instanceRef DAT_DATAREADY_IN_before_RNIHUV01)) - )) - (net buf_api_stat_fifo_to_apl_106 (joined - (portRef (member buf_api_stat_fifo_to_apl 0)) - (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef the_addresses)) - (portRef A (instanceRef fsm_un24_api_dataready_in_RNIQQ1Q)) - (portRef A (instanceRef next_global_time_write_6_sqmuxa)) - (portRef D (instanceRef current_state_ns_i_o4_0_9)) - (portRef A (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) - (portRef B (instanceRef next_unknown_1_sqmuxa_1)) - (portRef A (instanceRef current_state_ns_0_a3_0_1_1)) - (portRef A (instanceRef current_state_ns_i_o2_9)) - (portRef D (instanceRef current_state_ns_0_o4_3)) - (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) - (portRef D (instanceRef next_address_1_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_o4_1_17)) - (portRef C (instanceRef current_state_ns_0_o4_1_RNI8P211_17)) + (net common_ctrl_reg_38 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_38_buf_COMMON_CTRL_REG_OUT_38)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) + (portRef common_ctrl_reg_38) )) - (net N_2069_0_1 (joined - (portRef Z (instanceRef current_state_ns_0_o4_1_17)) - (portRef A (instanceRef current_state_ns_0_o4_1_RNI8P211_17)) - (portRef N_2069_0_1) + (net m6_4_03_4 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) )) - (net buf_APL_PACKET_NUM_OUT_9 (joined - (portRef (member buf_apl_packet_num_out 2)) - (portRef (member buf_apl_packet_num_out 2) (instanceRef the_addresses)) - (portRef D (instanceRef next_address_0_sqmuxa)) - (portRef C (instanceRef current_state_ns_i_15)) - (portRef A (instanceRef current_state_ns_0_o4_3)) - (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) - (portRef A (instanceRef fsm_un24_api_dataready_in)) - (portRef A (instanceRef fsm_un22_api_dataready_in)) - (portRef A (instanceRef fsm_un5_api_typ_in)) - (portRef A (instanceRef next_address_1_sqmuxa)) - (portRef D (instanceRef current_state_ns_i_am_8)) + (net ctrl_reg_68 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_68_gen_regoutff_buf_REGISTERS_OUT_68)) + (portRef B (instanceRef fsm_un254_next_api_data_out_m68_4_03)) + (portRef ctrl_reg_68) )) - (net m12_4_03_4 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_m12_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) + (net m68_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_m68_4_03)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) )) - (net m15_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_m15_4_03)) - (portRef A (instanceRef next_API_DATA_OUT_2_bm_15)) + (net ctrl_reg_71 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_71_gen_regoutff_buf_REGISTERS_OUT_71)) + (portRef B (instanceRef fsm_un254_next_api_data_out_m71_4_03)) + (portRef ctrl_reg_71) )) - (net ctrl_reg_41 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_41_gen_regoutff_buf_REGISTERS_OUT_41)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m9_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) - (portRef ctrl_reg_41) + (net m71_4_03_4 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_m71_4_03)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) )) - (net m9_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + (net N_83 (joined + (portRef Z (instanceRef next_address_1_sqmuxa_i_o2_0)) + (portRef A (instanceRef current_state_ns_i_i_a2_9)) )) - (net ctrl_reg_48 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_48_gen_regoutff_buf_REGISTERS_OUT_48)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) - (portRef ctrl_reg_48) + (net buf_api_stat_fifo_to_int_110 (joined + (portRef (member buf_api_stat_fifo_to_int 0)) + (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef the_addresses)) + (portRef A (instanceRef DAT_DATAREADY_IN_befored_1)) + (portRef B (instanceRef lengthe_0_i)) + (portRef B (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) + (portRef A (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef A (instanceRef packet_counter_RNIHNC81_0_1)) + (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc_3)) + (portRef A (instanceRef packet_counter_RNIBUIU_0)) + (portRef A (instanceRef ADR_READ_IN)) + (portRef A (instanceRef packet_counter_RNIHNC81_1)) )) - (net m0_6_03_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) + (net ADR_READ_IN (joined + (portRef Z (instanceRef ADR_READ_IN)) + (portRef ADR_READ_IN (instanceRef the_addresses)) )) (net un1_invalid_trg_axb_9 (joined (portRef un1_invalid_trg_axb_9) @@ -99493,164 +99845,52 @@ )) (net m201_4_03_4 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) - )) - (net common_ctrl_reg_15 (joined - (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_15)) - (portRef B (instanceRef fsm_un193_next_api_data_out_m15_4_03)) - (portRef common_ctrl_reg_15) - )) - (net common_ctrl_reg_47 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_47_buf_COMMON_CTRL_REG_OUT_47)) - (portRef C (instanceRef fsm_un193_next_api_data_out_m15_4_03)) - (portRef common_ctrl_reg_47) - )) - (net stat_lvl1_handler_4 (joined - (portRef stat_lvl1_handler_4) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) - )) - (net stat_lvl1_handler_36 (joined - (portRef stat_lvl1_handler_36) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) - )) - (net m68_4_03_4 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) - )) - (net un1_spurious_trg_1_axb_7 (joined - (portRef un1_spurious_trg_1_axb_7) - (portRef A (instanceRef fsm_un29_next_api_data_out_m199_4_03)) - )) - (net un1_timing_trg_found_1_axb_7 (joined - (portRef un1_timing_trg_found_1_axb_7) - (portRef B (instanceRef fsm_un29_next_api_data_out_m199_4_03)) - )) - (net m199_4_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m199_4_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03)) - )) - (net temperature_7 (joined - (portRef (member temperature 4)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m11_4_03)) - )) - (net common_stat_reg_i_58 (joined - (portRef common_stat_reg_i_58) - (portRef B (instanceRef fsm_un29_next_api_data_out_m11_4_03)) - )) - (net m11_4_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m11_4_03)) - (portRef B (instanceRef next_API_DATA_OUT_2_am_11)) - )) - (net un1_spurious_trg_1_axb_11 (joined - (portRef un1_spurious_trg_1_axb_11) - (portRef A (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) )) - (net un1_timing_trg_found_1_axb_11 (joined - (portRef un1_timing_trg_found_1_axb_11) - (portRef B (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + (net common_ctrl_reg_5 (joined + (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_5)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) + (portRef common_ctrl_reg_5) )) - (net m203_4_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m203_4_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) + (net common_ctrl_reg_37 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_37_buf_COMMON_CTRL_REG_OUT_37)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) + (portRef common_ctrl_reg_37) )) (net m5_4_03_4 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_m5_4_03)) - (portRef A (instanceRef next_API_DATA_OUT_2_bm_5)) - )) - (net ctrl_reg_73 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_73_gen_regoutff_buf_REGISTERS_OUT_73)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m73_4_03)) - (portRef ctrl_reg_73) - )) - (net m73_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m73_4_03)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) - )) - (net m9_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m9_4_03)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) )) - (net ctrl_reg_57 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_57_gen_regoutff_buf_REGISTERS_OUT_57)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m9_6_03_1)) - (portRef ctrl_reg_57) + (net m5_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_m5_4_03)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_5)) )) - (net m9_6_03_1_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m9_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) + (net un1_invalid_trg_axb_0 (joined + (portRef un1_invalid_trg_axb_0) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) )) - (net buf_APL_PACKET_NUM_OUT_10 (joined - (portRef (member buf_apl_packet_num_out 1)) - (portRef (member buf_apl_packet_num_out 1) (instanceRef the_addresses)) - (portRef C (instanceRef next_address_0_sqmuxa)) - (portRef B (instanceRef current_state_ns_i_o2_15)) - (portRef B (instanceRef current_state_ns_i_o4_0_9)) - (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc_6)) - (portRef B (instanceRef current_state_ns_0_o4_3)) - (portRef B (instanceRef fsm_un24_api_dataready_in)) - (portRef B (instanceRef fsm_un22_api_dataready_in)) - (portRef B (instanceRef fsm_un5_api_typ_in)) - (portRef B (instanceRef next_address_1_sqmuxa)) + (net un1_prev_trg_reg_1_axb_0 (joined + (portRef un1_prev_trg_reg_1_axb_0) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) )) - (net buf_APL_PACKET_NUM_OUT_11 (joined - (portRef (member buf_apl_packet_num_out 0)) - (portRef (member buf_apl_packet_num_out 0) (instanceRef the_addresses)) - (portRef B (instanceRef next_address_0_sqmuxa)) - (portRef C (instanceRef current_state_ns_i_o2_15)) - (portRef C (instanceRef current_state_ns_i_o4_0_9)) - (portRef C (instanceRef current_state_ns_0_o4_3)) - (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) - (portRef C (instanceRef fsm_un24_api_dataready_in)) - (portRef C (instanceRef fsm_un22_api_dataready_in)) - (portRef C (instanceRef fsm_un5_api_typ_in)) - (portRef C (instanceRef next_address_1_sqmuxa)) + (net m192_4_03_4 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) )) - (net N_180 (joined + (net N_5867 (joined (portRef Z (instanceRef address_RNITT_4)) - (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) - (portRef A (instanceRef address_0_rep1_RNIKOAD)) - (portRef B (instanceRef address_RNIS84Q_7)) - (portRef N_180) - )) - (net buf_api_stat_fifo_to_int_110 (joined - (portRef (member buf_api_stat_fifo_to_int 0)) - (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef the_addresses)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef A (instanceRef DAT_DATAREADY_IN_befored_1)) - (portRef A (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) - (portRef C (instanceRef un1_next_length_1_sqmuxa_0_a)) - (portRef A (instanceRef current_state_ns_i_a2_8)) - (portRef A (instanceRef packet_counter_RNIHNC81_0_1)) - (portRef A (instanceRef packet_counter_RNIHNC81_1)) - (portRef D (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) - (portRef A (instanceRef ADR_READ_IN)) - (portRef A (instanceRef packet_counter_RNIBUIU_0)) - (portRef A (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef N_5867 (instanceRef pattern_gen_inst)) + (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_3)) + (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) + (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_2)) + (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) + (portRef N_5867) )) - (net N_2519_1 (joined + (net N_2526_1 (joined (portRef Z (instanceRef current_state_RNI9UQC_7)) - (portRef A (instanceRef fsm_op_eq_next_state60_RNIRIQP1)) - (portRef C (instanceRef current_state_ns_0_i_12)) - )) - (net ADR_READ_IN (joined - (portRef Z (instanceRef ADR_READ_IN)) - (portRef ADR_READ_IN (instanceRef the_addresses)) + (portRef A (instanceRef current_state_ns_0_i_s_12)) + (portRef A (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef A (instanceRef current_state_RNIVD6M1_10)) )) (net stat_reg_598 (joined (portRef stat_reg_598) @@ -99676,6 +99916,18 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m583_4_03)) (portRef D (instanceRef fsm_un104_next_api_data_out_m519_6_03)) )) + (net stat_reg_592 (joined + (portRef stat_reg_592) + (portRef B (instanceRef fsm_un104_next_api_data_out_m576_4_03)) + )) + (net stat_reg_624 (joined + (portRef stat_reg_624) + (portRef C (instanceRef fsm_un104_next_api_data_out_m576_4_03)) + )) + (net m576_4_03_4 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m576_4_03)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m512_6_03)) + )) (net ctrl_reg_55 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_55_gen_regoutff_buf_REGISTERS_OUT_55)) (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am)) @@ -99686,18 +99938,6 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) )) - (net stat_reg_532 (joined - (portRef stat_reg_532) - (portRef B (instanceRef fsm_un104_next_api_data_out_m516_4_03)) - )) - (net stat_reg_564 (joined - (portRef stat_reg_564) - (portRef C (instanceRef fsm_un104_next_api_data_out_m516_4_03)) - )) - (net m516_4_03_4 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_4_03)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03)) - )) (net stat_reg_595 (joined (portRef stat_reg_595) (portRef B (instanceRef fsm_un104_next_api_data_out_m579_4_03)) @@ -99732,7 +99972,7 @@ )) (net ctrl_reg_52 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_52_gen_regoutff_buf_REGISTERS_OUT_52)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO_0)) (portRef D (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) (portRef ctrl_reg_52) )) @@ -99762,6 +100002,16 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m581_4_03)) (portRef C (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) )) + (net ctrl_reg_48 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_48_gen_regoutff_buf_REGISTERS_OUT_48)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) + (portRef ctrl_reg_48) + )) + (net m0_6_03_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) + )) (net stat_reg_593 (joined (portRef stat_reg_593) (portRef B (instanceRef fsm_un104_next_api_data_out_m577_4_03)) @@ -99794,26 +100044,6 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) )) - (net ctrl_reg_58 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_58_gen_regoutff_buf_REGISTERS_OUT_58)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m10_6_03_1)) - (portRef ctrl_reg_58) - )) - (net m10_6_03_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m10_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m10_6_03_1_0)) - )) - (net ctrl_reg_56 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_56_gen_regoutff_buf_REGISTERS_OUT_56)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m8_6_03_1)) - (portRef ctrl_reg_56) - )) - (net m8_6_03_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m8_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m8_6_03_1_0)) - )) (net stat_reg_594 (joined (portRef stat_reg_594) (portRef B (instanceRef fsm_un104_next_api_data_out_m578_4_03)) @@ -99832,15 +100062,15 @@ )) (net m8_4_03_4 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m8_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_8)) )) (net m14_4_03_4 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m14_4_03)) (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) )) - (net m6_4_03_4 (joined + (net m6_4_03_4_0 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m6_4_03)) - (portRef A (instanceRef next_API_DATA_OUT_2_bm_6)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_6)) )) (net m2_4_03_4 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m2_4_03)) @@ -99855,14 +100085,46 @@ (portRef Z (instanceRef fsm_un59_next_api_data_out_m0_4_03)) (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) )) + (net m15_4_03_4 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_m15_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + )) + (net m12_4_03_4 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_m12_4_03)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_12)) + )) (net m3_4_03_4 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m3_4_03)) (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) )) - (net m4_4_03_4_0 (joined + (net m4_4_03_4 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m4_4_03)) (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) )) + (net un1_spurious_trg_1_axb_11 (joined + (portRef un1_spurious_trg_1_axb_11) + (portRef A (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + )) + (net un1_timing_trg_found_1_axb_11 (joined + (portRef un1_timing_trg_found_1_axb_11) + (portRef B (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + )) + (net m203_4_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_11)) + )) + (net temperature_7 (joined + (portRef (member temperature 4)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m11_4_03)) + )) + (net common_stat_reg_i_58 (joined + (portRef common_stat_reg_i_58) + (portRef B (instanceRef fsm_un29_next_api_data_out_m11_4_03)) + )) + (net m11_4_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m11_4_03)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) + )) (net stat_lvl1_handler_26 (joined (portRef stat_lvl1_handler_26) (portRef A (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_84_0_o2)) @@ -99875,13 +100137,29 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_84_0_o2)) (portRef A (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) )) - (net N_761 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) + (net stat_lvl1_handler_23 (joined + (portRef stat_lvl1_handler_23) + (portRef A (instanceRef fsm_un29_next_api_data_out_m71_4_03)) + )) + (net stat_lvl1_handler_55 (joined + (portRef stat_lvl1_handler_55) + (portRef B (instanceRef fsm_un29_next_api_data_out_m71_4_03)) + )) + (net m71_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m71_4_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + )) + (net un1_spurious_trg_1_axb_12 (joined + (portRef un1_spurious_trg_1_axb_12) + (portRef A (instanceRef fsm_un29_next_api_data_out_m204_4_03)) + )) + (net un1_timing_trg_found_1_axb_12 (joined + (portRef un1_timing_trg_found_1_axb_12) + (portRef B (instanceRef fsm_un29_next_api_data_out_m204_4_03)) + )) + (net m204_4_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m204_4_03)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_12)) )) (net stat_lvl1_handler_20 (joined (portRef stat_lvl1_handler_20) @@ -99891,65 +100169,34 @@ (portRef stat_lvl1_handler_52) (portRef B (instanceRef fsm_un29_next_api_data_out_m68_4_03)) )) - (net m68_4_03_4_0 (joined + (net m68_4_03_4_1 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m68_4_03)) (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03)) )) - (net un1_spurious_trg_1_axb_15 (joined - (portRef un1_spurious_trg_1_axb_15) - (portRef A (instanceRef fsm_un29_next_api_data_out_m207_4_03)) - )) - (net un1_timing_trg_found_1_axb_15 (joined - (portRef un1_timing_trg_found_1_axb_15) - (portRef B (instanceRef fsm_un29_next_api_data_out_m207_4_03)) - )) - (net m207_4_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m207_4_03)) - (portRef C (instanceRef next_API_DATA_OUT_2_am_15)) - )) - (net temperature_11 (joined - (portRef (member temperature 0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m15_4_03)) - )) - (net common_stat_reg_i_62 (joined - (portRef common_stat_reg_i_62) - (portRef B (instanceRef fsm_un29_next_api_data_out_m15_4_03)) - )) - (net m15_4_03_4_1 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_4_03)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_1)) - )) - (net ctrl_reg_40 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_40_gen_regoutff_buf_REGISTERS_OUT_40)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m8_6_03_1_0)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m8_4_03)) - (portRef ctrl_reg_40) - )) - (net m8_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m8_4_03)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (net temperature_8 (joined + (portRef (member temperature 3)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m12_4_03)) )) - (net ctrl_reg_72 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_72_gen_regoutff_buf_REGISTERS_OUT_72)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m72_4_03)) - (portRef ctrl_reg_72) + (net common_stat_reg_i_59 (joined + (portRef common_stat_reg_i_59) + (portRef B (instanceRef fsm_un29_next_api_data_out_m12_4_03)) )) - (net m72_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m72_4_03)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (net m12_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_4_03)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_1)) )) - (net ctrl_reg_77 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_77_gen_regoutff_buf_REGISTERS_OUT_77)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m77_4_03)) - (portRef ctrl_reg_77) + (net m11_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_m11_4_03)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) )) - (net m77_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m77_4_03)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + (net ctrl_reg_75 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_75_gen_regoutff_buf_REGISTERS_OUT_75)) + (portRef B (instanceRef fsm_un254_next_api_data_out_m75_4_03)) + (portRef ctrl_reg_75) )) - (net m13_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m13_4_03)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + (net m75_4_03_4 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_m75_4_03)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) )) (net stat_reg_588 (joined (portRef stat_reg_588) @@ -99961,7 +100208,19 @@ )) (net m588_4_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m588_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) + )) + (net stat_reg_584 (joined + (portRef stat_reg_584) + (portRef B (instanceRef fsm_un230_next_api_data_out_m584_4_03)) + )) + (net stat_reg_616 (joined + (portRef stat_reg_616) + (portRef C (instanceRef fsm_un230_next_api_data_out_m584_4_03)) + )) + (net m584_4_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m584_4_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03_2)) )) (net stat_reg_582 (joined (portRef stat_reg_582) @@ -99973,7 +100232,7 @@ )) (net m582_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m582_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) )) (net stat_reg_204 (joined (portRef stat_reg_204) @@ -99983,21 +100242,31 @@ (portRef stat_reg_236) (portRef C (instanceRef fsm_un230_next_api_data_out_m204_4_03)) )) - (net m204_4_03_4 (joined + (net m204_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m204_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) )) - (net stat_reg_590 (joined - (portRef stat_reg_590) - (portRef B (instanceRef fsm_un230_next_api_data_out_m590_4_03)) + (net ctrl_reg_41 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_41_gen_regoutff_buf_REGISTERS_OUT_41)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) + (portRef ctrl_reg_41) )) - (net stat_reg_622 (joined - (portRef stat_reg_622) - (portRef C (instanceRef fsm_un230_next_api_data_out_m590_4_03)) + (net m9_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + )) + (net stat_reg_585 (joined + (portRef stat_reg_585) + (portRef B (instanceRef fsm_un230_next_api_data_out_m585_4_03)) )) - (net m590_4_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m590_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03_2)) + (net stat_reg_617 (joined + (portRef stat_reg_617) + (portRef C (instanceRef fsm_un230_next_api_data_out_m585_4_03)) + )) + (net m585_4_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m585_4_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03_2)) )) (net stat_reg_206 (joined (portRef stat_reg_206) @@ -100009,19 +100278,7 @@ )) (net m206_4_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m206_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) - )) - (net stat_reg_583 (joined - (portRef stat_reg_583) - (portRef B (instanceRef fsm_un230_next_api_data_out_m583_4_03)) - )) - (net stat_reg_615 (joined - (portRef stat_reg_615) - (portRef C (instanceRef fsm_un230_next_api_data_out_m583_4_03)) - )) - (net m583_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m583_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m7_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) )) (net stat_reg_576 (joined (portRef stat_reg_576) @@ -100031,13 +100288,25 @@ (portRef stat_reg_608) (portRef C (instanceRef fsm_un230_next_api_data_out_m576_4_03)) )) - (net m576_4_03_4 (joined + (net m576_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m576_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) + )) + (net stat_reg_203 (joined + (portRef stat_reg_203) + (portRef B (instanceRef fsm_un230_next_api_data_out_m203_4_03)) + )) + (net stat_reg_235 (joined + (portRef stat_reg_235) + (portRef C (instanceRef fsm_un230_next_api_data_out_m203_4_03)) + )) + (net m203_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m203_4_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m11_6_03)) )) (net ctrl_reg_39 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_39_gen_regoutff_buf_REGISTERS_OUT_39)) - (portRef D (instanceRef next_API_DATA_OUT_12_bm_1_7)) + (portRef D (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) (portRef D (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) (portRef ctrl_reg_39) )) @@ -100045,18 +100314,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) )) - (net stat_reg_516 (joined - (portRef stat_reg_516) - (portRef B (instanceRef fsm_un230_next_api_data_out_m516_4_03)) - )) - (net stat_reg_548 (joined - (portRef stat_reg_548) - (portRef C (instanceRef fsm_un230_next_api_data_out_m516_4_03)) - )) - (net m516_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m516_4_03)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m4_8_03_2)) - )) (net stat_reg_579 (joined (portRef stat_reg_579) (portRef B (instanceRef fsm_un230_next_api_data_out_m579_4_03)) @@ -100067,7 +100324,7 @@ )) (net m579_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m579_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) )) (net stat_reg_586 (joined (portRef stat_reg_586) @@ -100079,7 +100336,7 @@ )) (net m586_4_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m586_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) )) (net stat_reg_591 (joined (portRef stat_reg_591) @@ -100091,7 +100348,7 @@ )) (net m591_4_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m591_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) )) (net ctrl_reg_38 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_38_gen_regoutff_buf_REGISTERS_OUT_38)) @@ -100103,6 +100360,14 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) )) + (net stat_reg_36 (joined + (portRef stat_reg_36) + (portRef C (instanceRef fsm_un230_next_api_data_out_m4_4_03)) + )) + (net m4_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_4_03)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03)) + )) (net ctrl_reg_37 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_37_gen_regoutff_buf_REGISTERS_OUT_37)) (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_am)) @@ -100123,7 +100388,7 @@ )) (net m581_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m581_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) )) (net stat_reg_577 (joined (portRef stat_reg_577) @@ -100135,18 +100400,40 @@ )) (net m577_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m577_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + )) + (net stat_reg_205 (joined + (portRef stat_reg_205) + (portRef B (instanceRef fsm_un230_next_api_data_out_m205_4_03)) + )) + (net stat_reg_237 (joined + (portRef stat_reg_237) + (portRef C (instanceRef fsm_un230_next_api_data_out_m205_4_03)) + )) + (net m205_4_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m205_4_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) )) (net ctrl_reg_42 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_42_gen_regoutff_buf_REGISTERS_OUT_42)) - (portRef D (instanceRef next_API_DATA_OUT_12_bm_1_10)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) (portRef D (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) (portRef ctrl_reg_42) )) - (net m10_6_03_1_0 (joined + (net m10_6_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) )) + (net ctrl_reg_40 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_40_gen_regoutff_buf_REGISTERS_OUT_40)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m8_6_03_1)) + (portRef ctrl_reg_40) + )) + (net m8_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_0_1)) + )) (net stat_reg_578 (joined (portRef stat_reg_578) (portRef B (instanceRef fsm_un230_next_api_data_out_m578_4_03)) @@ -100157,7 +100444,19 @@ )) (net m578_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m578_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) + )) + (net stat_reg_589 (joined + (portRef stat_reg_589) + (portRef B (instanceRef fsm_un230_next_api_data_out_m589_4_03)) + )) + (net stat_reg_621 (joined + (portRef stat_reg_621) + (portRef C (instanceRef fsm_un230_next_api_data_out_m589_4_03)) + )) + (net m589_4_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m589_4_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) )) (net stat_reg_207 (joined (portRef stat_reg_207) @@ -100167,9 +100466,21 @@ (portRef stat_reg_239) (portRef C (instanceRef fsm_un230_next_api_data_out_m207_4_03)) )) - (net m207_4_03_4_0 (joined + (net m207_4_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m207_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) + )) + (net stat_reg_587 (joined + (portRef stat_reg_587) + (portRef B (instanceRef fsm_un230_next_api_data_out_m587_4_03)) + )) + (net stat_reg_619 (joined + (portRef stat_reg_619) + (portRef C (instanceRef fsm_un230_next_api_data_out_m587_4_03)) + )) + (net m587_4_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m587_4_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) )) (net common_ctrl_reg_1 (joined (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_1)) @@ -100190,42 +100501,10 @@ (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO)) (portRef common_ctrl_reg_40) )) - (net m8_4_03_4_1 (joined + (net m8_4_03_4_0 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO)) (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) )) - (net common_ctrl_reg_5 (joined - (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_5)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) - (portRef common_ctrl_reg_5) - )) - (net common_ctrl_reg_37 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_37_buf_COMMON_CTRL_REG_OUT_37)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) - (portRef common_ctrl_reg_37) - )) - (net m5_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) - )) - (net common_ctrl_reg_46 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_46_buf_COMMON_CTRL_REG_OUT_46)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21_RNO)) - (portRef common_ctrl_reg_46) - )) - (net m14_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - )) - (net common_ctrl_reg_38 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_38_buf_COMMON_CTRL_REG_OUT_38)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) - (portRef common_ctrl_reg_38) - )) - (net m6_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) - )) (net common_ctrl_reg_2 (joined (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_2)) (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9_RNO)) @@ -100249,12 +100528,26 @@ (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7_RNO)) (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) )) + (net common_ctrl_reg_15 (joined + (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_15)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22_RNO)) + (portRef common_ctrl_reg_15) + )) + (net common_ctrl_reg_47 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_47_buf_COMMON_CTRL_REG_OUT_47)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22_RNO)) + (portRef common_ctrl_reg_47) + )) + (net m15_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + )) (net common_ctrl_reg_44 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_44_buf_COMMON_CTRL_REG_OUT_44)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO)) (portRef common_ctrl_reg_44) )) - (net m12_4_03_4_0 (joined + (net m12_4_03_4_1 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO)) (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) )) @@ -100267,24 +100560,6 @@ (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10_RNO)) (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) )) - (net common_ctrl_reg_4 (joined - (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_4)) - (portRef B (instanceRef fsm_un193_next_api_data_out_m4_4_03)) - (portRef common_ctrl_reg_4) - )) - (net common_ctrl_reg_36 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_36_buf_COMMON_CTRL_REG_OUT_36)) - (portRef C (instanceRef fsm_un193_next_api_data_out_m4_4_03)) - (portRef common_ctrl_reg_36) - )) - (net un1_prev_trg_reg_1_axb_8 (joined - (portRef un1_prev_trg_reg_1_axb_8) - (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_12)) - )) - (net N_597 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_12)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_4)) - )) (net stat_lvl1_handler_1 (joined (portRef stat_lvl1_handler_1) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_1)) @@ -100309,79 +100584,74 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_1)) (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) )) + (net stat_lvl1_handler_4 (joined + (portRef stat_lvl1_handler_4) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + )) + (net stat_lvl1_handler_36 (joined + (portRef stat_lvl1_handler_36) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + )) (net trg_int_number_i_15 (joined (portRef (member trg_int_number_i 0)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO)) )) - (net m15_4_03_4_2 (joined + (net m15_4_03_4_1 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) )) - (net stat_lvl1_handler_0 (joined - (portRef stat_lvl1_handler_0) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) - )) - (net stat_lvl1_handler_32 (joined - (portRef stat_lvl1_handler_32) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) + (net m4_4_03_4_1 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO_0)) + (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) )) - (net m64_4_03_4 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) + (net ctrl_reg_84 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_84_gen_regoutff_buf_REGISTERS_OUT_84)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO)) + (portRef ctrl_reg_84) )) - (net trg_code_i_5 (joined - (portRef (member trg_code_i 2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_0_1)) + (net m68_4_03_4_2 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO)) + (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) )) - (net m13_6_03_i_a2_0_0 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_0_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0)) + (net N_5997 (joined + (portRef Z (instanceRef current_state_ns_0_i_a2_0_0_6)) + (portRef B (instanceRef current_state_ns_0_i_s_4_6)) + (portRef B (instanceRef un1_next_state_5_sqmuxa_i_a2)) )) - (net N_935 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_12)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m10_6_03_i)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) - (portRef A (instanceRef next_API_DATA_OUT_1_am_13)) - (portRef A (instanceRef next_API_DATA_OUT_1_am_15)) - (portRef A (instanceRef next_API_DATA_OUT_1_am_11)) + (net N_93 (joined + (portRef Z (instanceRef current_state_ns_0_i_o2_17)) + (portRef A (instanceRef current_state_ns_0_i_s_2_6)) + (portRef A (instanceRef current_state_ns_i_i_a2_2_9)) + (portRef B (instanceRef current_state_RNO_1)) + (portRef N_93) + )) + (net N_4489 (joined + (portRef Z (instanceRef fsm_un24_api_dataready_in_i)) + (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc)) + (portRef A (instanceRef next_global_time_write_3_sqmuxa_0)) + (portRef A (instanceRef current_state_ns_0_i_a2_18)) + (portRef A (instanceRef next_unknown_1_sqmuxa_1)) )) - (net regio_write_ack_i (joined - (portRef regio_write_ack_i) - (portRef D (instanceRef un1_next_nomoredata_1_sqmuxa)) - (portRef D (instanceRef current_state_ns_0_i_18)) - (portRef D (instanceRef un1_next_nomoredata_1_sqmuxa_0)) - (portRef D (instanceRef current_state_ns_0_0_6)) - (portRef C (instanceRef next_API_READ_OUT_2_sqmuxa)) - (portRef D (instanceRef current_state_ns_i_a3_0_9)) - (portRef A (instanceRef current_state_ns_i_RNO_16)) + (net trg_information_i_9 (joined + (portRef (member trg_information_i 6)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) )) - (net N_2118 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_9)) - (portRef C (instanceRef current_state_ns_i_1_9)) + (net N_535 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) )) (net trg_code_i_1 (joined (portRef (member trg_code_i 6)) (portRef D (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) )) - (net N_535 (joined + (net N_535_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) (portRef B (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_2)) )) - (net un24_api_dataready_in (joined - (portRef Z (instanceRef fsm_un24_api_dataready_in)) - (portRef D (instanceRef fsm_un24_api_dataready_in_RNIQQ1Q)) - (portRef D (instanceRef next_global_time_write_6_sqmuxa)) - (portRef D (instanceRef next_unknown_1_sqmuxa_1)) - )) - (net N_1959 (joined - (portRef Z (instanceRef un1_current_state_6_0_a2)) - (portRef A (instanceRef un1_current_state_10)) + (net next_dont_understand_1_sqmuxa (joined + (portRef Z (instanceRef next_dont_understand_1_sqmuxa)) + (portRef C (instanceRef un1_next_state_5_sqmuxa_i)) + (portRef D (instanceRef un1_next_nomoredata_0_sqmuxa_i)) )) (net trg_number_i_1 (joined (portRef (member trg_number_i 2)) @@ -100391,22 +100661,6 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) )) - (net stat_reg_45 (joined - (portRef stat_reg_45) - (portRef D (instanceRef fsm_un230_next_api_data_out_m13_6_03_0)) - )) - (net m13_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) - )) - (net stat_reg_43 (joined - (portRef stat_reg_43) - (portRef D (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) - )) - (net m11_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) - )) (net trg_information_i_8 (joined (portRef (member trg_information_i 7)) (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) @@ -100431,21 +100685,33 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) )) - (net current_state_ns_0_a2_4_2 (joined - (portRef Z (instanceRef current_state_ns_0_a2_4_2)) - (portRef D (instanceRef current_state_ns_0_a2_2)) - )) (net un1_local_time_i_4 (joined (portRef Z (instanceRef proc_us_tick_un1_local_time_i_4)) (portRef B (instanceRef proc_us_tick_un1_local_time_i)) )) - (net un1_tmg_edge_found_i_axb_8 (joined - (portRef un1_tmg_edge_found_i_axb_8) - (portRef A (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2_0)) + (net current_state_ns_0_a2_0_o2_4_2 (joined + (portRef Z (instanceRef current_state_ns_0_a2_0_o2_4_2)) + (portRef D (instanceRef current_state_ns_0_a2_0_o2_2)) )) - (net m264_6_03_0_a2_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2_0)) - (portRef B (instanceRef next_API_DATA_OUT_8_am_8)) + (net un6_api_read_inlto15_7 (joined + (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) + (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15)) + )) + (net un6_api_read_inlto15_8 (joined + (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) + (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15)) + )) + (net un6_api_read_inlto15_9 (joined + (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) + (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15)) + )) + (net un6_api_read_inlto15_10 (joined + (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_10)) + (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15)) + )) + (net un1_current_state_5_i_a2_1 (joined + (portRef Z (instanceRef un1_current_state_5_i_a2_1)) + (portRef D (instanceRef buf_API_SEND_OUT_RNO)) )) (net TIMER_MS_TICK_0_sqmuxa_6 (joined (portRef Z (instanceRef TIMER_MS_TICK_0_sqmuxa_6)) @@ -100459,17 +100725,29 @@ (portRef Z (instanceRef TIMER_MS_TICK_0_sqmuxa_8)) (portRef C (instanceRef TIMER_MS_TICK_0_sqmuxa)) )) - (net un1_current_state_4_i_a2_1 (joined - (portRef Z (instanceRef un1_current_state_4_i_a2_1)) - (portRef D (instanceRef buf_API_SEND_OUT_RNO)) + (net un1_timing_trg_found_1_axb_8 (joined + (portRef un1_timing_trg_found_1_axb_8) + (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_2_0)) + )) + (net m8_6_03_i_a2_2_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_2_0)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_0)) + )) + (net un2_timeout_counter_i_o2_2_8 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_2_8)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_2)) )) - (net next_state60_1 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_1_0)) - (portRef B (instanceRef fsm_op_eq_next_state60_4_0)) + (net un2_timeout_counter_i_o2_2_9 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_2_9)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_2)) )) - (net next_state60_3 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_3_0)) - (portRef C (instanceRef fsm_op_eq_next_state60)) + (net un2_timeout_counter_i_o2_2_10 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_2_10)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_2)) + )) + (net un2_timeout_counter_i_o2_2_11 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_2_11)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_2)) )) (net regio_addr_i_9 (joined (portRef Q (instanceRef address_9)) @@ -100503,42 +100781,27 @@ (portRef Z (instanceRef fsm_or_all_tmp_3)) (portRef B (instanceRef fsm_or_all_tmp)) )) - (net un6_api_read_inlto15_5 (joined - (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_5)) - (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) - )) - (net un6_api_read_inlto15_7 (joined - (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) - (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) - )) - (net rom_read_addr_1_sqmuxa_1 (joined - (portRef Z (instanceRef rom_read_addr_1_sqmuxa_1)) - (portRef C (instanceRef buf_rom_read_addr_RNO_0)) - (portRef C (instanceRef rom_read_addr_1_sqmuxa)) + (net next_COMMON_CTRL_REG_STROBEc_3 (joined + (portRef Z (instanceRef next_COMMON_CTRL_REG_STROBEc_3)) + (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc)) )) (net next_COMMON_CTRL_REG_STROBEc_4 (joined (portRef Z (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) - (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc_6)) - )) - (net N_1956 (joined - (portRef Z (instanceRef un10_current_state_i_a2)) - (portRef N_1956 (instanceRef the_addresses)) - (portRef A (instanceRef API_PACKET_NUM_OUT_0)) - (portRef N_1956) + (portRef D (instanceRef next_COMMON_CTRL_REG_STROBEc)) )) - (net state_1 (joined - (portRef state_0 (instanceRef the_addresses)) - (portRef C (instanceRef buf_API_PACKET_NUM_OUT_2_0)) - (portRef B (instanceRef API_PACKET_NUM_OUT_0)) + (net common_ctrl_reg_36 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_36_buf_COMMON_CTRL_REG_OUT_36)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef common_ctrl_reg_36) )) - (net state_3 (joined - (portRef state_2 (instanceRef the_addresses)) - (portRef D (instanceRef buf_API_PACKET_NUM_OUT_2_0)) - (portRef C (instanceRef API_PACKET_NUM_OUT_0)) + (net common_ctrl_reg_39 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_39_buf_COMMON_CTRL_REG_OUT_39)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef common_ctrl_reg_39) )) - (net buf_APL_PACKET_NUM_IN_0 (joined - (portRef Z (instanceRef API_PACKET_NUM_OUT_0)) - (portRef buf_APL_PACKET_NUM_IN_0) + (net un193_next_api_data_out_7 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_2_7)) )) (net un1_spurious_trg_1_axb_10 (joined (portRef un1_spurious_trg_1_axb_10) @@ -100554,76 +100817,87 @@ )) (net un1_invalid_trg_axb_10 (joined (portRef un1_invalid_trg_axb_10) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_a2_4)) )) (net un1_prev_trg_reg_1_axb_10 (joined (portRef un1_prev_trg_reg_1_axb_10) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_a2_4)) )) (net N_487_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO)) + (portRef Z (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_a2_4)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m10_6_03_i)) )) - (net stat_lvl1_handler_14 (joined - (portRef stat_lvl1_handler_14) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (net stat_lvl1_handler_6 (joined + (portRef stat_lvl1_handler_6) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) )) - (net stat_lvl1_handler_46 (joined - (portRef stat_lvl1_handler_46) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (net stat_lvl1_handler_38 (joined + (portRef stat_lvl1_handler_38) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) )) - (net m14_6_03_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) + (net N_574 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) )) - (net buf_APL_TYP_OUT_0 (joined - (portRef (member buf_apl_typ_out 2)) - (portRef B (instanceRef current_state_ns_0_a3_0_1_1)) - (portRef B (instanceRef current_state_ns_i_o2_9)) - (portRef B (instanceRef current_state_ns_0_o4_1_17)) + (net un1_invalid_trg_axb_6 (joined + (portRef un1_invalid_trg_axb_6) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) )) - (net buf_APL_TYP_OUT_1 (joined - (portRef (member buf_apl_typ_out 1)) - (portRef C (instanceRef current_state_ns_0_a3_0_1_1)) - (portRef C (instanceRef current_state_ns_i_o2_9)) - (portRef C (instanceRef current_state_ns_0_o4_1_17)) + (net un1_prev_trg_reg_1_axb_6 (joined + (portRef un1_prev_trg_reg_1_axb_6) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) )) - (net buf_APL_TYP_OUT_2 (joined - (portRef (member buf_apl_typ_out 0)) - (portRef D (instanceRef current_state_ns_0_a3_0_1_1)) - (portRef D (instanceRef current_state_ns_i_o2_9)) - (portRef B (instanceRef current_state_ns_0_o4_1_RNI8P211_17)) + (net N_576 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) )) - (net N_2094_1 (joined - (portRef Z (instanceRef current_state_ns_0_a3_0_1_1)) - (portRef A (instanceRef dont_understand_RNO_0)) - (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_1_0)) - (portRef A (instanceRef current_state_nss_0_i_0)) - (portRef B (instanceRef current_state_ns_0_i_1)) + (net stat_lvl1_handler_11 (joined + (portRef stat_lvl1_handler_11) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) )) - (net un1_spurious_trg_1_axb_12 (joined - (portRef un1_spurious_trg_1_axb_12) - (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03_3)) + (net stat_lvl1_handler_43 (joined + (portRef stat_lvl1_handler_43) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) )) - (net un1_timing_trg_found_1_axb_12 (joined - (portRef un1_timing_trg_found_1_axb_12) - (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03_3)) + (net m11_6_03_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) )) - (net m12_6_03_3 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03_3)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03)) + (net un1_invalid_trg_axb_11 (joined + (portRef un1_invalid_trg_axb_11) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) )) - (net temperature_8 (joined - (portRef (member temperature 3)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03_0)) + (net un1_prev_trg_reg_1_axb_11 (joined + (portRef un1_prev_trg_reg_1_axb_11) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) )) - (net common_stat_reg_i_59 (joined - (portRef common_stat_reg_i_59) - (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03_0)) + (net m11_6_03_3 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) )) - (net m12_6_03_0 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03)) + (net un1_spurious_trg_1_axb_5 (joined + (portRef un1_spurious_trg_1_axb_5) + (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + )) + (net un1_timing_trg_found_1_axb_5 (joined + (portRef un1_timing_trg_found_1_axb_5) + (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + )) + (net N_505 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) + )) + (net un1_spurious_trg_1_axb_8 (joined + (portRef un1_spurious_trg_1_axb_8) + (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + )) + (net common_stat_reg_i_55 (joined + (portRef common_stat_reg_i_55) + (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + )) + (net N_945 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) )) (net un1_spurious_trg_1_axb_9 (joined (portRef un1_spurious_trg_1_axb_9) @@ -100637,243 +100911,60 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2)) (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_2)) )) - (net temperature_3 (joined - (portRef (member temperature 8)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) - )) - (net common_stat_reg_i_54 (joined - (portRef common_stat_reg_i_54) - (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) - )) - (net m7_6_03_0 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03)) - )) - (net N_2706 (joined - (portRef Z (instanceRef address_RNIGEKU_0_7)) - (portRef C (instanceRef next_API_DATA_OUT_bm_7)) - (portRef C (instanceRef next_API_DATA_OUT_bm_8)) - (portRef C (instanceRef next_API_DATA_OUT_bm_9)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_0)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_6)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_2)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_13)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_11)) - (portRef SD (instanceRef next_API_DATA_OUT_21_10)) - )) - (net stat_reg_261 (joined - (portRef stat_reg_261) - (portRef C (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) - )) - (net stat_reg_293 (joined - (portRef stat_reg_293) - (portRef D (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) - )) - (net m261_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03)) - )) - (net stat_reg_517 (joined - (portRef stat_reg_517) - (portRef C (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) - )) - (net stat_reg_549 (joined - (portRef stat_reg_549) - (portRef D (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) - )) - (net m517_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) - )) - (net stat_reg_137 (joined - (portRef stat_reg_137) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) - )) - (net stat_reg_169 (joined - (portRef stat_reg_169) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) - )) - (net m9_6_03_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) - )) - (net stat_reg_201 (joined - (portRef stat_reg_201) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) - )) - (net stat_reg_233 (joined - (portRef stat_reg_233) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) - )) - (net m9_6_03_3 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) - )) - (net stat_reg_265 (joined - (portRef stat_reg_265) - (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) - )) - (net stat_reg_297 (joined - (portRef stat_reg_297) - (portRef D (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) - )) - (net m265_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03)) - )) - (net stat_reg_329 (joined - (portRef stat_reg_329) - (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) - )) - (net stat_reg_361 (joined - (portRef stat_reg_361) - (portRef D (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) - )) - (net m265_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03)) - )) - (net stat_reg_144 (joined - (portRef stat_reg_144) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) - )) - (net stat_reg_176 (joined - (portRef stat_reg_176) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) - )) - (net m0_6_03_2 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) - )) - (net stat_reg_208 (joined - (portRef stat_reg_208) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) - )) - (net stat_reg_240 (joined - (portRef stat_reg_240) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) - )) - (net m0_6_03_3 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) - )) - (net stat_reg_336 (joined - (portRef stat_reg_336) - (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) - )) - (net stat_reg_368 (joined - (portRef stat_reg_368) - (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) - )) - (net m256_6_03_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_8_03_1)) - )) - (net stat_reg_259 (joined - (portRef stat_reg_259) - (portRef C (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) - )) - (net stat_reg_291 (joined - (portRef stat_reg_291) - (portRef D (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) - )) - (net m259_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03)) - )) - (net stat_reg_323 (joined - (portRef stat_reg_323) - (portRef C (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) - )) - (net stat_reg_355 (joined - (portRef stat_reg_355) - (portRef D (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) - )) - (net m259_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03)) - )) - (net stat_reg_521 (joined - (portRef stat_reg_521) - (portRef C (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) - )) - (net stat_reg_553 (joined - (portRef stat_reg_553) - (portRef D (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) - )) - (net m521_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) - )) - (net stat_reg_585 (joined - (portRef stat_reg_585) - (portRef C (instanceRef fsm_un230_next_api_data_out_m521_6_03_1)) - )) - (net stat_reg_617 (joined - (portRef stat_reg_617) - (portRef D (instanceRef fsm_un230_next_api_data_out_m521_6_03_1)) - )) - (net m521_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m521_6_03_1)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_8_03_0_0)) - )) - (net un1_invalid_trg_axb_12 (joined - (portRef un1_invalid_trg_axb_12) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) - )) - (net un1_prev_trg_reg_1_axb_12 (joined - (portRef un1_prev_trg_reg_1_axb_12) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) - )) - (net m12_6_03_3_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) - )) - (net un1_spurious_trg_1_axb_6 (joined - (portRef un1_spurious_trg_1_axb_6) - (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) - )) - (net un1_timing_trg_found_1_axb_6 (joined - (portRef un1_timing_trg_found_1_axb_6) - (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) - )) - (net N_576 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) - (portRef A (instanceRef next_API_DATA_OUT_2_am_6)) + (net stat_lvl1_handler_39 (joined + (portRef stat_lvl1_handler_39) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0)) )) - (net temperature_2 (joined - (portRef (member temperature 9)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + (net m7_6_03_1_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am)) )) - (net common_stat_reg_i_53 (joined - (portRef common_stat_reg_i_53) - (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + (net N_2103 (joined + (portRef Z (instanceRef current_state_ns_0_a3_0_1)) + (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_i)) )) - (net N_577 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) - (portRef B (instanceRef next_API_DATA_OUT_2_am_6)) + (net N_5934 (joined + (portRef Z (instanceRef current_state_ns_i_i_o2_1_8)) + (portRef C (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) + (portRef C (instanceRef current_state_ns_i_i_a2_0_8)) )) - (net next_address_1_sqmuxa_1 (joined - (portRef Z (instanceRef next_address_1_sqmuxa_1)) - (portRef C (instanceRef next_address_i_m2_cry_0_0_RNO)) + (net N_5936 (joined + (portRef Z (instanceRef un1_next_nomoredata_1_sqmuxa_i_o2)) + (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_o2)) + (portRef A (instanceRef reg_fsm_unknown_3_iv_0)) )) (net un59_next_api_data_out_9 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_9)) )) - (net stat_reg_57 (joined - (portRef stat_reg_57) + (net ctrl_reg_57 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_57_gen_regoutff_buf_REGISTERS_OUT_57)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) (portRef D (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) + (portRef ctrl_reg_57) )) (net m9_6_03_4_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) )) + (net N_2713 (joined + (portRef Z (instanceRef address_RNIGEKU_0_6)) + (portRef C (instanceRef next_API_DATA_OUT_bm_9)) + (portRef C (instanceRef next_API_DATA_OUT_bm_7)) + (portRef B (instanceRef next_API_DATA_OUT_bm_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_6)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_5)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_2)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_8)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_13)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_10)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_11)) + (portRef C (instanceRef next_API_DATA_OUT_21_14)) + )) (net stat_reg_535 (joined (portRef stat_reg_535) (portRef C (instanceRef fsm_un104_next_api_data_out_m519_6_03_0)) @@ -100930,7 +101021,7 @@ (portRef stat_reg_311) (portRef D (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) )) - (net m263_6_03_0_1 (joined + (net m263_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03)) )) @@ -101086,7 +101177,7 @@ (portRef stat_reg_371) (portRef D (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) )) - (net m259_6_03_1_0 (joined + (net m259_6_03_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03)) )) @@ -101098,7 +101189,7 @@ (portRef stat_reg_307) (portRef D (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) )) - (net m259_6_03_0_0 (joined + (net m259_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03)) )) @@ -101136,31 +101227,19 @@ )) (net m516_6_03_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m516_6_03)) - )) - (net stat_reg_404 (joined - (portRef stat_reg_404) - (portRef C (instanceRef fsm_un104_next_api_data_out_m260_6_03_2)) - )) - (net stat_reg_436 (joined - (portRef stat_reg_436) - (portRef D (instanceRef fsm_un104_next_api_data_out_m260_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03_0_0)) )) - (net m260_6_03_2 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_2)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m260_6_03)) - )) - (net stat_reg_468 (joined - (portRef stat_reg_468) - (portRef C (instanceRef fsm_un104_next_api_data_out_m260_6_03_3)) + (net stat_reg_532 (joined + (portRef stat_reg_532) + (portRef C (instanceRef fsm_un104_next_api_data_out_m516_6_03_0)) )) - (net stat_reg_500 (joined - (portRef stat_reg_500) - (portRef D (instanceRef fsm_un104_next_api_data_out_m260_6_03_3)) + (net stat_reg_564 (joined + (portRef stat_reg_564) + (portRef D (instanceRef fsm_un104_next_api_data_out_m516_6_03_0)) )) - (net m260_6_03_3 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_3)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m260_6_03)) + (net m516_6_03_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) )) (net stat_reg_340 (joined (portRef stat_reg_340) @@ -101172,7 +101251,7 @@ )) (net m260_6_03_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m4_8_03_1)) )) (net stat_reg_276 (joined (portRef stat_reg_276) @@ -101184,7 +101263,7 @@ )) (net m260_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m4_8_03_1)) )) (net stat_reg_212 (joined (portRef stat_reg_212) @@ -101218,7 +101297,7 @@ (portRef stat_reg_565) (portRef D (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) )) - (net m517_6_03_0_0 (joined + (net m517_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) (portRef B (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) )) @@ -101266,7 +101345,7 @@ (portRef stat_reg_309) (portRef D (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) )) - (net m261_6_03_0_0 (joined + (net m261_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03)) )) @@ -101294,6 +101373,18 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m5_6_03_2)) (portRef B (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) )) + (net stat_reg_336 (joined + (portRef stat_reg_336) + (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) + )) + (net stat_reg_368 (joined + (portRef stat_reg_368) + (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) + )) + (net m256_6_03_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03)) + )) (net stat_reg_272 (joined (portRef stat_reg_272) (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) @@ -101304,19 +101395,7 @@ )) (net m256_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_1)) - )) - (net stat_reg_592 (joined - (portRef stat_reg_592) - (portRef C (instanceRef fsm_un104_next_api_data_out_m512_6_03_1)) - )) - (net stat_reg_624 (joined - (portRef stat_reg_624) - (portRef D (instanceRef fsm_un104_next_api_data_out_m512_6_03_1)) - )) - (net m512_6_03_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m512_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03_0_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03)) )) (net stat_reg_528 (joined (portRef stat_reg_528) @@ -101328,7 +101407,55 @@ )) (net m512_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m512_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03)) + )) + (net stat_reg_464 (joined + (portRef stat_reg_464) + (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_3)) + )) + (net stat_reg_496 (joined + (portRef stat_reg_496) + (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_3)) + )) + (net m256_6_03_3 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_3)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03)) + )) + (net stat_reg_400 (joined + (portRef stat_reg_400) + (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_2)) + )) + (net stat_reg_432 (joined + (portRef stat_reg_432) + (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_2)) + )) + (net m256_6_03_2 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03)) + )) + (net stat_reg_208 (joined + (portRef stat_reg_208) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) + )) + (net stat_reg_240 (joined + (portRef stat_reg_240) + (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) + )) + (net m0_6_03_3 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) + )) + (net stat_reg_144 (joined + (portRef stat_reg_144) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) + )) + (net stat_reg_176 (joined + (portRef stat_reg_176) + (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) + )) + (net m0_6_03_2 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) )) (net stat_reg_529 (joined (portRef stat_reg_529) @@ -101414,79 +101541,6 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_6_03_2)) (portRef B (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) )) - (net regio_addr_i_0_rep1_1 (joined - (portRef Q (instanceRef address_0_rep1_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m512_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m14_6_03_0_1)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m15_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m3_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m520_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m12_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m524_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m15_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m527_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m14_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m526_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m13_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m13_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m518_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_6)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m514_6_03_0)) - (portRef regio_addr_i_0_rep1_1) - )) (net stat_reg_530 (joined (portRef stat_reg_530) (portRef C (instanceRef fsm_un104_next_api_data_out_m514_6_03_0)) @@ -101571,37 +101625,18 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m2_6_03_2)) (portRef B (instanceRef fsm_un104_next_api_data_out_m2_8_03_0)) )) - (net stat_reg_58 (joined - (portRef stat_reg_58) - (portRef D (instanceRef fsm_un104_next_api_data_out_m10_6_03_1_0)) - )) - (net m10_6_03_4_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m10_6_03_1_0)) - (portRef A (instanceRef next_API_DATA_OUT_14_bm_10)) - )) - (net stat_reg_56 (joined - (portRef stat_reg_56) - (portRef D (instanceRef fsm_un104_next_api_data_out_m8_6_03_1_0)) - )) - (net m8_6_03_4_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m8_6_03_1_0)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_8)) - )) - (net un59_next_api_data_out_10 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) - (portRef B (instanceRef next_API_DATA_OUT_14_am_10)) - )) - (net un1_spurious_trg_1_axb_8 (joined - (portRef un1_spurious_trg_1_axb_8) - (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + (net common_ctrl_reg_93 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_93_buf_COMMON_CTRL_REG_OUT_93)) + (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20)) + (portRef common_ctrl_reg_93) )) - (net common_stat_reg_i_55 (joined - (portRef common_stat_reg_i_55) - (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + (net un59_next_api_data_out_13 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_13)) )) - (net N_945 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) + (net un59_next_api_data_out_7 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) )) (net stat_lvl1_handler_25 (joined (portRef stat_lvl1_handler_25) @@ -101625,7 +101660,31 @@ )) (net N_608 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_6)) - (portRef A (instanceRef next_API_DATA_OUT_2_am_13)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m13_6_03_i)) + )) + (net temperature_2 (joined + (portRef (member temperature 9)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + )) + (net common_stat_reg_i_53 (joined + (portRef common_stat_reg_i_53) + (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + )) + (net N_577 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) + )) + (net un1_spurious_trg_1_axb_6 (joined + (portRef un1_spurious_trg_1_axb_6) + (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) + )) + (net un1_timing_trg_found_1_axb_6 (joined + (portRef un1_timing_trg_found_1_axb_6) + (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) + )) + (net N_576_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_6)) )) (net stat_lvl1_handler_22 (joined (portRef stat_lvl1_handler_22) @@ -101635,9 +101694,9 @@ (portRef stat_lvl1_handler_54) (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) )) - (net N_574 (joined + (net N_574_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_6)) )) (net stat_lvl1_handler_24 (joined (portRef stat_lvl1_handler_24) @@ -101649,27 +101708,7 @@ )) (net N_523 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i)) - )) - (net temperature_1 (joined - (portRef (member temperature 10)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) - )) - (net N_506 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) - (portRef B (instanceRef next_API_DATA_OUT_2_am_5)) - )) - (net un1_spurious_trg_1_axb_5 (joined - (portRef un1_spurious_trg_1_axb_5) - (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) - )) - (net un1_timing_trg_found_1_axb_5 (joined - (portRef un1_timing_trg_found_1_axb_5) - (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) - )) - (net N_505 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_8)) )) (net stat_lvl1_handler_21 (joined (portRef stat_lvl1_handler_21) @@ -101681,7 +101720,7 @@ )) (net N_503 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_1)) - (portRef A (instanceRef next_API_DATA_OUT_2_am_5)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_5)) )) (net un1_spurious_trg_1_axb_1 (joined (portRef un1_spurious_trg_1_axb_1) @@ -101707,18 +101746,30 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m1_6_03_1)) (portRef B (instanceRef fsm_un29_next_api_data_out_m1_6_03)) )) - (net stat_lvl1_handler_23 (joined - (portRef stat_lvl1_handler_23) - (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_1)) + (net un1_spurious_trg_1_axb_7 (joined + (portRef un1_spurious_trg_1_axb_7) + (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03_3)) )) - (net stat_lvl1_handler_55 (joined - (portRef stat_lvl1_handler_55) - (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_1)) + (net un1_timing_trg_found_1_axb_7 (joined + (portRef un1_timing_trg_found_1_axb_7) + (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_3)) )) - (net m7_6_03_1_1 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_1)) + (net m7_6_03_3_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_3)) (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) )) + (net temperature_3 (joined + (portRef (member temperature 8)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) + )) + (net common_stat_reg_i_54 (joined + (portRef common_stat_reg_i_54) + (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) + )) + (net m7_6_03_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + )) (net un1_spurious_trg_1_axb_14 (joined (portRef un1_spurious_trg_1_axb_14) (portRef A (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) @@ -101727,7 +101778,7 @@ (portRef un1_timing_trg_found_1_axb_14) (portRef B (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) )) - (net m14_6_03_3 (joined + (net m14_6_03_3_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m14_6_03)) )) @@ -101791,6 +101842,30 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m0_6_03_1)) (portRef B (instanceRef fsm_un29_next_api_data_out_m0_6_03)) )) + (net temperature_11 (joined + (portRef (member temperature 0)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03_0)) + )) + (net common_stat_reg_i_62 (joined + (portRef common_stat_reg_i_62) + (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03_0)) + )) + (net m15_6_03_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03)) + )) + (net un1_spurious_trg_1_axb_15 (joined + (portRef un1_spurious_trg_1_axb_15) + (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03_3)) + )) + (net un1_timing_trg_found_1_axb_15 (joined + (portRef un1_timing_trg_found_1_axb_15) + (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03_3)) + )) + (net m15_6_03_3 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03_3)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03)) + )) (net un1_spurious_trg_1_axb_2 (joined (portRef un1_spurious_trg_1_axb_2) (portRef A (instanceRef fsm_un29_next_api_data_out_m2_6_03_3)) @@ -101835,10 +101910,70 @@ (portRef common_stat_reg_i_51) (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) )) - (net m4_6_03_0 (joined + (net m4_6_03_0_1 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) (portRef A (instanceRef fsm_un29_next_api_data_out_m4_6_03)) )) + (net stat_reg_521 (joined + (portRef stat_reg_521) + (portRef C (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) + )) + (net stat_reg_553 (joined + (portRef stat_reg_553) + (portRef D (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) + )) + (net m521_6_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) + )) + (net stat_reg_329 (joined + (portRef stat_reg_329) + (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) + )) + (net stat_reg_361 (joined + (portRef stat_reg_361) + (portRef D (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) + )) + (net m265_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03)) + )) + (net stat_reg_265 (joined + (portRef stat_reg_265) + (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) + )) + (net stat_reg_297 (joined + (portRef stat_reg_297) + (portRef D (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) + )) + (net m265_6_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03)) + )) + (net stat_reg_201 (joined + (portRef stat_reg_201) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) + )) + (net stat_reg_233 (joined + (portRef stat_reg_233) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) + )) + (net m9_6_03_3 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) + )) + (net stat_reg_137 (joined + (portRef stat_reg_137) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) + )) + (net stat_reg_169 (joined + (portRef stat_reg_169) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) + )) + (net m9_6_03_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) + )) (net stat_reg_457 (joined (portRef stat_reg_457) (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03_3)) @@ -101863,6 +101998,18 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03)) )) + (net stat_reg_583 (joined + (portRef stat_reg_583) + (portRef C (instanceRef fsm_un230_next_api_data_out_m519_6_03_1)) + )) + (net stat_reg_615 (joined + (portRef stat_reg_615) + (portRef D (instanceRef fsm_un230_next_api_data_out_m519_6_03_1)) + )) + (net m519_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m519_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m7_8_03_0_0)) + )) (net stat_reg_519 (joined (portRef stat_reg_519) (portRef C (instanceRef fsm_un230_next_api_data_out_m519_6_03_0)) @@ -101895,7 +102042,7 @@ (portRef stat_reg_295) (portRef D (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) )) - (net m263_6_03_0_2 (joined + (net m263_6_03_0_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) (portRef B (instanceRef fsm_un230_next_api_data_out_m7_8_03_1)) )) @@ -101907,9 +102054,9 @@ (portRef stat_reg_231) (portRef D (instanceRef fsm_un230_next_api_data_out_m7_6_03_3)) )) - (net m7_6_03_3_0 (joined + (net m7_6_03_3_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) )) (net stat_reg_135 (joined (portRef stat_reg_135) @@ -101921,7 +102068,7 @@ )) (net m7_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) )) (net stat_reg_518 (joined (portRef stat_reg_518) @@ -101993,7 +102140,7 @@ )) (net m6_6_03_3_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) )) (net stat_reg_134 (joined (portRef stat_reg_134) @@ -102005,7 +102152,7 @@ )) (net m6_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) )) (net stat_reg_515 (joined (portRef stat_reg_515) @@ -102043,6 +102190,30 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m259_6_03)) )) + (net stat_reg_323 (joined + (portRef stat_reg_323) + (portRef C (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) + )) + (net stat_reg_355 (joined + (portRef stat_reg_355) + (portRef D (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) + )) + (net m259_6_03_1_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03)) + )) + (net stat_reg_259 (joined + (portRef stat_reg_259) + (portRef C (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) + )) + (net stat_reg_291 (joined + (portRef stat_reg_291) + (portRef D (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) + )) + (net m259_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03)) + )) (net stat_reg_195 (joined (portRef stat_reg_195) (portRef C (instanceRef fsm_un230_next_api_data_out_m3_6_03_3)) @@ -102065,7 +102236,7 @@ )) (net m3_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) )) (net stat_reg_35 (joined (portRef stat_reg_35) @@ -102073,7 +102244,7 @@ )) (net m3_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) )) (net stat_reg_580 (joined (portRef stat_reg_580) @@ -102087,29 +102258,17 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m516_6_03_1)) (portRef B (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) )) - (net stat_reg_388 (joined - (portRef stat_reg_388) - (portRef C (instanceRef fsm_un230_next_api_data_out_m260_6_03_2)) - )) - (net stat_reg_420 (joined - (portRef stat_reg_420) - (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03_2)) - )) - (net m260_6_03_2_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m260_6_03)) - )) - (net stat_reg_452 (joined - (portRef stat_reg_452) - (portRef C (instanceRef fsm_un230_next_api_data_out_m260_6_03_3)) + (net stat_reg_516 (joined + (portRef stat_reg_516) + (portRef C (instanceRef fsm_un230_next_api_data_out_m516_6_03_0)) )) - (net stat_reg_484 (joined - (portRef stat_reg_484) - (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03_3)) + (net stat_reg_548 (joined + (portRef stat_reg_548) + (portRef D (instanceRef fsm_un230_next_api_data_out_m516_6_03_0)) )) - (net m260_6_03_3_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03)) + (net m516_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m516_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_8_03_0_0)) )) (net stat_reg_324 (joined (portRef stat_reg_324) @@ -102121,7 +102280,7 @@ )) (net m260_6_03_1_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m4_8_03_1)) )) (net stat_reg_260 (joined (portRef stat_reg_260) @@ -102131,9 +102290,9 @@ (portRef stat_reg_292) (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) )) - (net m260_6_03_0_0 (joined + (net m260_6_03_0_2 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_8_03_1)) )) (net stat_reg_196 (joined (portRef stat_reg_196) @@ -102157,15 +102316,19 @@ )) (net m4_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m4_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m4_6_03)) )) - (net stat_reg_36 (joined - (portRef stat_reg_36) - (portRef D (instanceRef fsm_un230_next_api_data_out_m4_6_03_0)) + (net stat_reg_517 (joined + (portRef stat_reg_517) + (portRef C (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) )) - (net m4_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m4_8_03_0)) + (net stat_reg_549 (joined + (portRef stat_reg_549) + (portRef D (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) + )) + (net m517_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) )) (net stat_reg_453 (joined (portRef stat_reg_453) @@ -102203,6 +102366,18 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m261_6_03_1)) (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03)) )) + (net stat_reg_261 (joined + (portRef stat_reg_261) + (portRef C (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) + )) + (net stat_reg_293 (joined + (portRef stat_reg_293) + (portRef D (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) + )) + (net m261_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03)) + )) (net stat_reg_197 (joined (portRef stat_reg_197) (portRef C (instanceRef fsm_un230_next_api_data_out_m5_6_03_3)) @@ -102213,7 +102388,7 @@ )) (net m5_6_03_3_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) )) (net stat_reg_133 (joined (portRef stat_reg_133) @@ -102225,7 +102400,7 @@ )) (net m5_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) )) (net stat_reg_320 (joined (portRef stat_reg_320) @@ -102247,7 +102422,7 @@ (portRef stat_reg_288) (portRef D (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) )) - (net m256_6_03_0_1 (joined + (net m256_6_03_0_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m256_6_03)) )) @@ -102271,7 +102446,7 @@ (portRef stat_reg_480) (portRef D (instanceRef fsm_un230_next_api_data_out_m256_6_03_3)) )) - (net m256_6_03_3 (joined + (net m256_6_03_3_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m256_6_03_3)) (portRef D (instanceRef fsm_un230_next_api_data_out_m256_6_03)) )) @@ -102283,7 +102458,7 @@ (portRef stat_reg_416) (portRef D (instanceRef fsm_un230_next_api_data_out_m256_6_03_2)) )) - (net m256_6_03_2 (joined + (net m256_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m256_6_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m256_6_03)) )) @@ -102309,7 +102484,7 @@ )) (net m0_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) )) (net stat_reg_32 (joined (portRef stat_reg_32) @@ -102317,7 +102492,7 @@ )) (net m0_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) )) (net stat_reg_513 (joined (portRef stat_reg_513) @@ -102401,7 +102576,7 @@ )) (net m1_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) )) (net stat_reg_33 (joined (portRef stat_reg_33) @@ -102409,7 +102584,7 @@ )) (net m1_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) )) (net stat_reg_514 (joined (portRef stat_reg_514) @@ -102493,7 +102668,7 @@ )) (net m2_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) )) (net stat_reg_34 (joined (portRef stat_reg_34) @@ -102501,7 +102676,7 @@ )) (net m2_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) )) (net stat_reg_397 (joined (portRef stat_reg_397) @@ -102515,18 +102690,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m269_6_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m269_6_03)) )) - (net stat_reg_589 (joined - (portRef stat_reg_589) - (portRef C (instanceRef fsm_un230_next_api_data_out_m525_6_03_1)) - )) - (net stat_reg_621 (joined - (portRef stat_reg_621) - (portRef D (instanceRef fsm_un230_next_api_data_out_m525_6_03_1)) - )) - (net m525_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m525_6_03_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) - )) (net stat_reg_525 (joined (portRef stat_reg_525) (portRef C (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) @@ -102537,7 +102700,7 @@ )) (net m525_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m525_6_03_0_0)) )) (net stat_reg_461 (joined (portRef stat_reg_461) @@ -102575,18 +102738,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m269_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03)) )) - (net stat_reg_205 (joined - (portRef stat_reg_205) - (portRef C (instanceRef fsm_un230_next_api_data_out_m13_6_03_3)) - )) - (net stat_reg_237 (joined - (portRef stat_reg_237) - (portRef D (instanceRef fsm_un230_next_api_data_out_m13_6_03_3)) - )) - (net m13_6_03_3 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) - )) (net stat_reg_141 (joined (portRef stat_reg_141) (portRef C (instanceRef fsm_un230_next_api_data_out_m13_6_03_2)) @@ -102597,7 +102748,19 @@ )) (net m13_6_03_2 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m13_6_03_0_1)) + )) + (net stat_reg_590 (joined + (portRef stat_reg_590) + (portRef C (instanceRef fsm_un230_next_api_data_out_m526_6_03_1)) + )) + (net stat_reg_622 (joined + (portRef stat_reg_622) + (portRef D (instanceRef fsm_un230_next_api_data_out_m526_6_03_1)) + )) + (net m526_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m526_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03_0_0)) )) (net stat_reg_526 (joined (portRef stat_reg_526) @@ -102695,76 +102858,51 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m15_6_03_2)) (portRef B (instanceRef fsm_un230_next_api_data_out_m15_6_03_0_1)) )) - (net stat_reg_522 (joined - (portRef stat_reg_522) - (portRef C (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) - )) - (net stat_reg_554 (joined - (portRef stat_reg_554) - (portRef D (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) - )) - (net m522_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) - )) - (net stat_reg_330 (joined - (portRef stat_reg_330) - (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) - )) - (net stat_reg_362 (joined - (portRef stat_reg_362) - (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) - )) - (net m266_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03_1)) - )) (net N_228_1 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1)) - (portRef A (instanceRef next_API_DATA_OUT_2_am_11)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_bm)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_bm)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_bm)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_bm)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_bm)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m4_8_03_2)) (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03)) (portRef A (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) (portRef A (instanceRef fsm_un230_next_api_data_out_m14_6_03_0_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) (portRef A (instanceRef fsm_un230_next_api_data_out_m15_6_03_0_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_4)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m13_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) (portRef A (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) (portRef A (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) (portRef A (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m520_6_03_0)) @@ -102773,6 +102911,57 @@ (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m523_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_0)) + (portRef N_228_1) + )) + (net stat_reg_522 (joined + (portRef stat_reg_522) + (portRef C (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) + )) + (net stat_reg_554 (joined + (portRef stat_reg_554) + (portRef D (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) + )) + (net m522_6_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + )) + (net stat_reg_458 (joined + (portRef stat_reg_458) + (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_3)) + )) + (net stat_reg_490 (joined + (portRef stat_reg_490) + (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_3)) + )) + (net m266_6_03_3 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_3)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03)) + )) + (net stat_reg_394 (joined + (portRef stat_reg_394) + (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_2)) + )) + (net stat_reg_426 (joined + (portRef stat_reg_426) + (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_2)) + )) + (net m266_6_03_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03)) + )) + (net stat_reg_330 (joined + (portRef stat_reg_330) + (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) + )) + (net stat_reg_362 (joined + (portRef stat_reg_362) + (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) + )) + (net m266_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03)) )) (net stat_reg_266 (joined (portRef stat_reg_266) @@ -102784,7 +102973,7 @@ )) (net m266_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03)) )) (net stat_reg_202 (joined (portRef stat_reg_202) @@ -102796,7 +102985,7 @@ )) (net m10_6_03_3 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) )) (net stat_reg_138 (joined (portRef stat_reg_138) @@ -102808,7 +102997,7 @@ )) (net m10_6_03_2 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) )) (net stat_reg_395 (joined (portRef stat_reg_395) @@ -102822,18 +103011,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m267_6_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m267_6_03)) )) - (net stat_reg_587 (joined - (portRef stat_reg_587) - (portRef C (instanceRef fsm_un230_next_api_data_out_m523_6_03_1)) - )) - (net stat_reg_619 (joined - (portRef stat_reg_619) - (portRef D (instanceRef fsm_un230_next_api_data_out_m523_6_03_1)) - )) - (net m523_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m523_6_03_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) - )) (net stat_reg_523 (joined (portRef stat_reg_523) (portRef C (instanceRef fsm_un230_next_api_data_out_m523_6_03_0)) @@ -102844,7 +103021,7 @@ )) (net m523_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m523_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m523_6_03_0_0)) )) (net stat_reg_459 (joined (portRef stat_reg_459) @@ -102882,18 +103059,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m267_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03)) )) - (net stat_reg_203 (joined - (portRef stat_reg_203) - (portRef C (instanceRef fsm_un230_next_api_data_out_m11_6_03_3)) - )) - (net stat_reg_235 (joined - (portRef stat_reg_235) - (portRef D (instanceRef fsm_un230_next_api_data_out_m11_6_03_3)) - )) - (net m11_6_03_3 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) - )) (net stat_reg_139 (joined (portRef stat_reg_139) (portRef C (instanceRef fsm_un230_next_api_data_out_m11_6_03_2)) @@ -102904,7 +103069,7 @@ )) (net m11_6_03_2 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m11_6_03)) )) (net stat_reg_524 (joined (portRef stat_reg_524) @@ -102978,18 +103143,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_6_03_2)) (portRef B (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) )) - (net stat_reg_584 (joined - (portRef stat_reg_584) - (portRef C (instanceRef fsm_un230_next_api_data_out_m520_6_03_1)) - )) - (net stat_reg_616 (joined - (portRef stat_reg_616) - (portRef D (instanceRef fsm_un230_next_api_data_out_m520_6_03_1)) - )) - (net m520_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m520_6_03_1)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m8_8_03_0_0)) - )) (net stat_reg_520 (joined (portRef stat_reg_520) (portRef C (instanceRef fsm_un230_next_api_data_out_m520_6_03_0)) @@ -103002,6 +103155,30 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m520_6_03_0)) (portRef B (instanceRef fsm_un230_next_api_data_out_m520_6_03_0_0)) )) + (net stat_reg_456 (joined + (portRef stat_reg_456) + (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03_3)) + )) + (net stat_reg_488 (joined + (portRef stat_reg_488) + (portRef D (instanceRef fsm_un230_next_api_data_out_m264_6_03_3)) + )) + (net m264_6_03_3 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_3)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m264_6_03)) + )) + (net stat_reg_392 (joined + (portRef stat_reg_392) + (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03_2)) + )) + (net stat_reg_424 (joined + (portRef stat_reg_424) + (portRef D (instanceRef fsm_un230_next_api_data_out_m264_6_03_2)) + )) + (net m264_6_03_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03)) + )) (net stat_reg_328 (joined (portRef stat_reg_328) (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) @@ -103012,7 +103189,7 @@ )) (net m264_6_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m8_8_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03)) )) (net stat_reg_264 (joined (portRef stat_reg_264) @@ -103024,7 +103201,7 @@ )) (net m264_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03)) )) (net stat_reg_200 (joined (portRef stat_reg_200) @@ -103036,7 +103213,7 @@ )) (net m8_6_03_3 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03_3)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m8_6_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03_0)) )) (net stat_reg_136 (joined (portRef stat_reg_136) @@ -103048,31 +103225,7 @@ )) (net m8_6_03_2 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03)) - )) - (net stat_reg_462 (joined - (portRef stat_reg_462) - (portRef C (instanceRef fsm_un230_next_api_data_out_m270_6_03_3)) - )) - (net stat_reg_494 (joined - (portRef stat_reg_494) - (portRef D (instanceRef fsm_un230_next_api_data_out_m270_6_03_3)) - )) - (net m270_6_03_3 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m270_6_03)) - )) - (net stat_reg_398 (joined - (portRef stat_reg_398) - (portRef C (instanceRef fsm_un230_next_api_data_out_m270_6_03_2)) - )) - (net stat_reg_430 (joined - (portRef stat_reg_430) - (portRef D (instanceRef fsm_un230_next_api_data_out_m270_6_03_2)) - )) - (net m270_6_03_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m270_6_03)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03_0)) )) (net stat_reg_334 (joined (portRef stat_reg_334) @@ -103084,7 +103237,7 @@ )) (net m270_6_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03_1)) )) (net stat_reg_270 (joined (portRef stat_reg_270) @@ -103096,31 +103249,31 @@ )) (net m270_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03_1)) )) - (net un1_invalid_trg_axb_11 (joined - (portRef un1_invalid_trg_axb_11) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) + (net un193_next_api_data_out_10 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) + (portRef B (instanceRef next_API_DATA_OUT_13_10)) )) - (net un1_prev_trg_reg_1_axb_11 (joined - (portRef un1_prev_trg_reg_1_axb_11) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) + (net trg_int_number_i_11 (joined + (portRef (member trg_int_number_i 4)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) )) - (net m11_6_03_3_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) + (net m11_4_03_4_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) )) - (net stat_lvl1_handler_11 (joined - (portRef stat_lvl1_handler_11) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) + (net un1_prev_trg_reg_1_axb_8 (joined + (portRef un1_prev_trg_reg_1_axb_8) + (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_o2_1)) )) - (net stat_lvl1_handler_43 (joined - (portRef stat_lvl1_handler_43) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) + (net trg_int_number_i_8 (joined + (portRef (member trg_int_number_i 7)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_o2_1)) )) - (net m11_6_03_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) + (net N_945_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_o2_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_2)) )) (net un1_invalid_trg_axb_13 (joined (portRef un1_invalid_trg_axb_13) @@ -103134,30 +103287,6 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) )) - (net un1_invalid_trg_axb_6 (joined - (portRef un1_invalid_trg_axb_6) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) - )) - (net un1_prev_trg_reg_1_axb_6 (joined - (portRef un1_prev_trg_reg_1_axb_6) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) - )) - (net N_576_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) - )) - (net stat_lvl1_handler_6 (joined - (portRef stat_lvl1_handler_6) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) - )) - (net stat_lvl1_handler_38 (joined - (portRef stat_lvl1_handler_38) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) - )) - (net N_574_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) - )) (net stat_lvl1_handler_13 (joined (portRef stat_lvl1_handler_13) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1)) @@ -103194,37 +103323,45 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_0)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am)) )) - (net stat_lvl1_handler_39 (joined - (portRef stat_lvl1_handler_39) - (portRef C (instanceRef fsm_un169_next_api_data_out_m7_6_03_1)) - )) - (net m7_6_03_1_2 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m7_6_03_1)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m7_6_03)) - )) (net un1_invalid_trg_axb_7 (joined (portRef un1_invalid_trg_axb_7) - (portRef A (instanceRef fsm_un169_next_api_data_out_m7_6_03_3)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1)) )) (net un1_prev_trg_reg_1_axb_7 (joined (portRef un1_prev_trg_reg_1_axb_7) - (portRef B (instanceRef fsm_un169_next_api_data_out_m7_6_03_3)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1)) )) - (net m7_6_03_3_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m7_6_03_3)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m7_6_03)) + (net m7_6_03_3_2 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am)) )) (net un1_invalid_trg_axb_14 (joined (portRef un1_invalid_trg_axb_14) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) )) (net un1_prev_trg_reg_1_axb_14 (joined (portRef un1_prev_trg_reg_1_axb_14) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) )) - (net m14_6_03_3_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) + (net stat_lvl1_handler_14 (joined + (portRef stat_lvl1_handler_14) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + )) + (net stat_lvl1_handler_46 (joined + (portRef stat_lvl1_handler_46) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + )) + (net un1_invalid_trg_axb_12 (joined + (portRef un1_invalid_trg_axb_12) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) + )) + (net un1_prev_trg_reg_1_axb_12 (joined + (portRef un1_prev_trg_reg_1_axb_12) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) + )) + (net m12_6_03_3 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) )) (net stat_lvl1_handler_44 (joined (portRef stat_lvl1_handler_44) @@ -103258,18 +103395,6 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_0)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) )) - (net un1_invalid_trg_axb_0 (joined - (portRef un1_invalid_trg_axb_0) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) - )) - (net un1_prev_trg_reg_1_axb_0 (joined - (portRef un1_prev_trg_reg_1_axb_0) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) - )) - (net m0_6_03_3_2 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) - )) (net link_and_reset_status_0 (joined (portRef (member link_and_reset_status 3)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0)) @@ -103282,6 +103407,18 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) )) + (net stat_lvl1_handler_0 (joined + (portRef stat_lvl1_handler_0) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) + )) + (net stat_lvl1_handler_32 (joined + (portRef stat_lvl1_handler_32) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) + )) + (net m0_6_03_1_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) + )) (net un1_invalid_trg_axb_15 (joined (portRef un1_invalid_trg_axb_15) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) @@ -103290,7 +103427,7 @@ (portRef un1_prev_trg_reg_1_axb_15) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) )) - (net m15_6_03_3 (joined + (net m15_6_03_3_0 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) )) @@ -103344,94 +103481,79 @@ )) (net stat_lvl1_handler_12 (joined (portRef stat_lvl1_handler_12) - (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0)) )) (net trg_int_number_i_4 (joined (portRef (member trg_int_number_i 11)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) - )) - (net m4_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) )) (net un225_next_api_data_out_1_axbxc3 (joined (portRef Z (instanceRef un225_next_api_data_out_1_axbxc3)) - (portRef A (instanceRef next_API_DATA_OUT_8_bm_8)) + (portRef A (instanceRef next_API_DATA_OUT_8_am_8)) + (portRef A (instanceRef next_API_DATA_OUT_8_i_m2_am_10)) + (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14)) + (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) + (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) + (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) (portRef A (instanceRef fsm_un229_next_api_data_out_m0_8_03_1)) )) (net stat_lvl1_handler_42 (joined (portRef stat_lvl1_handler_42) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_0_tz)) )) (net trg_information_i_10 (joined (portRef (member trg_information_i 5)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_0_tz)) )) (net m10_6_03_i_0_tz (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1)) - )) - (net common_stat_reg_i_50 (joined - (portRef common_stat_reg_i_50) - (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) - )) - (net trg_type_i_3 (joined - (portRef (member trg_type_i 0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) - )) - (net m3_6_03_0_1_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03)) - )) - (net stat_lvl1_handler_62 (joined - (portRef stat_lvl1_handler_62) - (portRef A (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) - )) - (net trg_code_i_6 (joined - (portRef (member trg_code_i 1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) - )) - (net m14_6_03_0_0_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m14_6_03)) + (portRef Z (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_0_tz)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_1)) )) (net trg_information_i_14 (joined (portRef (member trg_information_i 1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) )) (net trg_int_number_i_14 (joined (portRef (member trg_int_number_i 1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) )) - (net m14_6_03_0_1_tz (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) + (net trg_information_i_7 (joined + (portRef (member trg_information_i 8)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO)) )) - (net stat_lvl1_handler_41 (joined - (portRef stat_lvl1_handler_41) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (net trg_int_number_i_7 (joined + (portRef (member trg_int_number_i 8)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO)) )) - (net trg_information_i_9 (joined - (portRef (member trg_information_i 6)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (net m7_6_03_0_1_tz (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am)) )) - (net m9_6_03_i_0_tz (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) + (net common_stat_reg_i_48 (joined + (portRef common_stat_reg_i_48) + (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) )) - (net trg_information_i_12 (joined - (portRef (member trg_information_i 3)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) + (net trg_type_i_1 (joined + (portRef (member trg_type_i 2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) )) - (net trg_int_number_i_12 (joined - (portRef (member trg_int_number_i 3)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) + (net m1_6_03_0_1_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03)) )) - (net m12_6_03_0_1_tz (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) + (net stat_lvl1_handler_59 (joined + (portRef stat_lvl1_handler_59) + (portRef A (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) + )) + (net trg_code_i_3 (joined + (portRef (member trg_code_i 4)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) + )) + (net m11_6_03_0_0_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) )) (net common_stat_reg_i_49 (joined (portRef common_stat_reg_i_49) @@ -103445,6 +103567,66 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m2_6_03_0_1_tz)) (portRef A (instanceRef fsm_un29_next_api_data_out_m2_6_03)) )) + (net stat_lvl1_handler_61 (joined + (portRef stat_lvl1_handler_61) + (portRef A (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_tz)) + )) + (net trg_code_i_5 (joined + (portRef (member trg_code_i 2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_tz)) + )) + (net m13_6_03_i_0_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m13_6_03_i)) + )) + (net stat_lvl1_handler_60 (joined + (portRef stat_lvl1_handler_60) + (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) + )) + (net trg_code_i_4 (joined + (portRef (member trg_code_i 3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) + )) + (net m12_6_03_0_0_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_1)) + )) + (net stat_lvl1_handler_62 (joined + (portRef stat_lvl1_handler_62) + (portRef A (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) + )) + (net trg_code_i_6 (joined + (portRef (member trg_code_i 1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) + )) + (net m14_6_03_0_0_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m14_6_03)) + )) + (net stat_lvl1_handler_63 (joined + (portRef stat_lvl1_handler_63) + (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + )) + (net trg_code_i_7 (joined + (portRef (member trg_code_i 0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + )) + (net m15_6_03_0_0_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03)) + )) + (net stat_lvl1_handler_35 (joined + (portRef stat_lvl1_handler_35) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + )) + (net trg_int_number_i_3 (joined + (portRef (member trg_int_number_i 12)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + )) + (net m3_6_03_0_1_tz (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) + )) (net trg_information_i_6 (joined (portRef (member trg_information_i 9)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) @@ -103457,29 +103639,29 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) )) - (net stat_lvl1_handler_35 (joined - (portRef stat_lvl1_handler_35) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + (net trg_information_i_12 (joined + (portRef (member trg_information_i 3)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) )) - (net trg_int_number_i_3 (joined - (portRef (member trg_int_number_i 12)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + (net trg_int_number_i_12 (joined + (portRef (member trg_int_number_i 3)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) )) - (net m3_6_03_0_tz_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) + (net m12_6_03_0_1_tz (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) )) - (net trg_information_i_7 (joined - (portRef (member trg_information_i 8)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m7_6_03_0_1_tz)) + (net common_stat_reg_i_50 (joined + (portRef common_stat_reg_i_50) + (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) )) - (net trg_int_number_i_7 (joined - (portRef (member trg_int_number_i 8)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m7_6_03_0_1_tz)) + (net trg_type_i_3 (joined + (portRef (member trg_type_i 0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) )) - (net m7_6_03_0_1_tz (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m7_6_03_0_1_tz)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m7_6_03)) + (net m3_6_03_0_tz_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03)) )) (net common_stat_reg_i_47 (joined (portRef common_stat_reg_i_47) @@ -103493,71 +103675,29 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m0_6_03_0_1_tz)) (portRef A (instanceRef fsm_un29_next_api_data_out_m0_6_03)) )) - (net stat_lvl1_handler_60 (joined - (portRef stat_lvl1_handler_60) - (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) - )) - (net trg_code_i_4 (joined - (portRef (member trg_code_i 3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) - )) - (net m12_6_03_0_0_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03)) - )) - (net common_stat_reg_i_48 (joined - (portRef common_stat_reg_i_48) - (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) - )) - (net trg_type_i_1 (joined - (portRef (member trg_type_i 2)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) - )) - (net m1_6_03_0_1_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03)) - )) - (net stat_lvl1_handler_59 (joined - (portRef stat_lvl1_handler_59) - (portRef A (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) - )) - (net trg_code_i_3 (joined - (portRef (member trg_code_i 4)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) - )) - (net m11_6_03_0_0_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) - )) - (net stat_lvl1_handler_63 (joined - (portRef stat_lvl1_handler_63) - (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) - )) - (net trg_code_i_7 (joined - (portRef (member trg_code_i 0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + (net N_5914 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2)) + (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_o2)) + (portRef A (instanceRef current_state_ns_i_0_16)) + (portRef A (instanceRef current_state_ns_0_i_s_10)) + (portRef A (instanceRef current_state_ns_0_i_s_18)) + (portRef A (instanceRef current_state_ns_0_i_s_1_6)) + (portRef A (instanceRef next_timeout_counter_0_o2_0_0)) + (portRef A (instanceRef next_API_READ_OUT_i_a2_0_a2)) )) - (net m15_6_03_0_0_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_1)) + (net regio_write_ack_i (joined + (portRef regio_write_ack_i) + (portRef D (instanceRef current_state_ns_i_0_16)) + (portRef D (instanceRef current_state_ns_i_i_0_9)) + (portRef D (instanceRef current_state_ns_0_i_s_18)) + (portRef D (instanceRef current_state_ns_0_i_s_0_6)) + (portRef C (instanceRef next_API_READ_OUT_i_a2_0_a2)) + (portRef D (instanceRef un1_next_nomoredata_1_sqmuxa_i_o2)) )) (net next_global_time_write_0_sqmuxa (joined (portRef Z (instanceRef next_global_time_write_0_sqmuxa)) - (portRef A (instanceRef unknown_RNO)) - (portRef D (instanceRef next_global_time_write_3_sqmuxa)) - )) - (net un38_api_typ_in (joined - (portRef Z (instanceRef current_state_ns_0_a2_2)) - (portRef D (instanceRef current_state_ns_i_15)) - (portRef A (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) - (portRef B (instanceRef current_state_ns_0_i_10)) - (portRef C (instanceRef current_state_ns_0_a3_6_6)) - (portRef B (instanceRef current_state_ns_0_a3_0_1_2)) - )) - (net N_2135_2 (joined - (portRef Z (instanceRef fsm_or_all_tmp_2)) - (portRef A (instanceRef fsm_or_all_tmp)) - (portRef N_2135_2) + (portRef B (instanceRef unknown_RNO)) + (portRef B (instanceRef next_global_time_write_3_sqmuxa)) )) (net regio_addr_i_8 (joined (portRef Q (instanceRef address_8)) @@ -103575,11 +103715,10 @@ )) (net tmp_0 (joined (portRef Z (instanceRef fsm_or_all_tmp)) - (portRef C (instanceRef next_global_time_write_3_sqmuxa)) (portRef B (instanceRef next_unknown_1_sqmuxa_3)) (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc)) - (portRef C (instanceRef fsm_un24_api_dataready_in_RNIQQ1Q)) - (portRef C (instanceRef next_global_time_write_6_sqmuxa)) + (portRef D (instanceRef next_global_time_write_3_sqmuxa_0)) + (portRef D (instanceRef current_state_ns_0_i_a2_18)) )) (net stat_reg_663 (joined (portRef stat_reg_663) @@ -103597,13 +103736,13 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) (portRef C (instanceRef fsm_un104_next_api_data_out_m515_6_03)) )) - (net stat_reg_660 (joined - (portRef stat_reg_660) - (portRef D (instanceRef fsm_un104_next_api_data_out_m516_6_03_2)) + (net stat_reg_656 (joined + (portRef stat_reg_656) + (portRef D (instanceRef fsm_un104_next_api_data_out_m512_6_03_2)) )) - (net m516_6_03_2 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_6_03_2)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m516_6_03)) + (net m512_6_03_2 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m512_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m512_6_03)) )) (net stat_reg_657 (joined (portRef stat_reg_657) @@ -103621,93 +103760,45 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) (portRef C (instanceRef fsm_un104_next_api_data_out_m514_6_03)) )) - (net stat_reg_653 (joined - (portRef stat_reg_653) - (portRef D (instanceRef fsm_un230_next_api_data_out_m525_6_03_2)) - )) - (net m525_6_03_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m525_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) - )) - (net stat_reg_651 (joined - (portRef stat_reg_651) - (portRef D (instanceRef fsm_un230_next_api_data_out_m523_6_03_2)) - )) - (net m523_6_03_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m523_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) - )) - (net next_state60_4 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_4_0)) - (portRef D (instanceRef fsm_op_eq_next_state60)) - )) - (net un6_api_read_inlto15_8 (joined - (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) - (portRef B (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) - )) - (net un6_api_read_inlto15_9 (joined - (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) - (portRef C (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) - )) - (net next_COMMON_CTRL_REG_STROBEc_6 (joined - (portRef Z (instanceRef next_COMMON_CTRL_REG_STROBEc_6)) - (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc)) - )) - (net stat_reg_49 (joined - (portRef stat_reg_49) - (portRef D (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) + (net stat_reg_52 (joined + (portRef stat_reg_52) + (portRef D (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) )) - (net m1_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) + (net m4_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m4_8_03_0)) )) - (net stat_reg_38 (joined - (portRef stat_reg_38) - (portRef D (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) + (net stat_reg_53 (joined + (portRef stat_reg_53) + (portRef D (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) )) - (net m6_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) + (net m5_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) )) - (net stat_reg_55 (joined - (portRef stat_reg_55) - (portRef D (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) + (net stat_reg_41 (joined + (portRef stat_reg_41) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) )) - (net m7_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) + (net m9_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) )) (net stat_reg_39 (joined (portRef stat_reg_39) (portRef D (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) )) - (net m7_6_03_0_0 (joined + (net m7_6_03_0_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) - )) - (net stat_reg_42 (joined - (portRef stat_reg_42) - (portRef D (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) - )) - (net m10_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) - )) - (net stat_reg_50 (joined - (portRef stat_reg_50) - (portRef D (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) - )) - (net m2_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m2_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) )) - (net stat_reg_53 (joined - (portRef stat_reg_53) - (portRef D (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) + (net stat_reg_54 (joined + (portRef stat_reg_54) + (portRef D (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) )) - (net m5_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) + (net m6_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m6_8_03_0)) )) (net stat_reg_51 (joined (portRef stat_reg_51) @@ -103717,13 +103808,13 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m3_6_03_0_1)) (portRef A (instanceRef fsm_un104_next_api_data_out_m3_8_03_0)) )) - (net stat_reg_37 (joined - (portRef stat_reg_37) - (portRef D (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) + (net stat_reg_40 (joined + (portRef stat_reg_40) + (portRef D (instanceRef fsm_un230_next_api_data_out_m8_6_03_0_1)) )) - (net m5_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + (net m8_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03_0)) )) (net stat_reg_48 (joined (portRef stat_reg_48) @@ -103733,29 +103824,57 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) )) - (net stat_reg_41 (joined - (portRef stat_reg_41) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + (net stat_reg_42 (joined + (portRef stat_reg_42) + (portRef D (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) )) - (net m9_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) + (net m10_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) )) - (net stat_reg_54 (joined - (portRef stat_reg_54) - (portRef D (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) + (net stat_reg_38 (joined + (portRef stat_reg_38) + (portRef D (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) )) (net m6_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m6_8_03_0)) + (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) )) - (net stat_reg_52 (joined - (portRef stat_reg_52) - (portRef D (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) + (net stat_reg_55 (joined + (portRef stat_reg_55) + (portRef D (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) )) - (net m4_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m4_8_03_0)) + (net m7_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) + )) + (net stat_reg_37 (joined + (portRef stat_reg_37) + (portRef D (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) + )) + (net m5_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + )) + (net stat_reg_50 (joined + (portRef stat_reg_50) + (portRef D (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) + )) + (net m2_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m2_8_03_0)) + )) + (net stat_reg_49 (joined + (portRef stat_reg_49) + (portRef D (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) + )) + (net m1_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) + )) + (net un1_next_nomoredata_0_sqmuxa_2_i_a2_0 (joined + (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0)) + (portRef D (instanceRef un1_next_nomoredata_0_sqmuxa_2_i)) )) (net stat_lvl1_handler_40 (joined (portRef stat_lvl1_handler_40) @@ -103765,100 +103884,93 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_0)) (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_1)) )) - (net current_state_ns_i_a3_0_5_8 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_5_8)) - (portRef D (instanceRef current_state_ns_i_a3_0_8)) - )) (net next_unknown_1_sqmuxa_1 (joined (portRef Z (instanceRef next_unknown_1_sqmuxa_1)) (portRef C (instanceRef next_unknown_1_sqmuxa_3)) )) - (net current_state_ns_0_0_6 (joined - (portRef Z (instanceRef current_state_ns_0_0_6)) - (portRef D (instanceRef current_state_ns_0_2_6)) - )) - (net un193_next_api_data_out_14 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - (portRef B (instanceRef next_API_DATA_OUT_13_14)) + (net current_state_ns_0_i_s_0_6 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_0_6)) + (portRef C (instanceRef current_state_ns_0_i_s_1_6)) )) - (net un134_next_api_data_out_14_am (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (net un193_next_api_data_out_6 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) + (portRef B (instanceRef next_API_DATA_OUT_13_6)) )) - (net ctrl_reg_87 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_87_gen_regoutff_buf_REGISTERS_OUT_87)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) - (portRef ctrl_reg_87) + (net un134_next_api_data_out_13_am (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) )) - (net un134_next_api_data_out_14_bm (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (net ctrl_reg_70 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_70_gen_regoutff_buf_REGISTERS_OUT_70)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) + (portRef ctrl_reg_70) )) - (net un59_next_api_data_out_12 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_12)) + (net un134_next_api_data_out_13_bm (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) )) - (net un134_next_api_data_out_11_am_0 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (net un254_next_api_data_out_6 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) + (portRef C (instanceRef next_API_DATA_OUT_12_6)) )) - (net ctrl_reg_84 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_84_gen_regoutff_buf_REGISTERS_OUT_84)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef ctrl_reg_84) + (net ctrl_reg_36 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_36_gen_regoutff_buf_REGISTERS_OUT_36)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) + (portRef D (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef ctrl_reg_36) )) - (net un134_next_api_data_out_11_bm_0 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (net un254_next_api_data_out_7 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_1_7)) )) - (net un134_next_api_data_out_4 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) + (net next_API_DATA_OUT_15_am_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_am_9)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_9)) )) - (net un59_next_api_data_out_4 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) + (net next_API_DATA_OUT_15_bm_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_bm_9)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_9)) )) - (net next_API_DATA_OUT_15_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_10)) + (net N_2619 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_9)) + (portRef D0 (instanceRef next_API_DATA_OUT_19_9)) )) - (net next_API_DATA_OUT_15_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_10)) + (net N_2360 (joined + (portRef Z (instanceRef next_API_DATA_OUT_0_7)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_7)) )) - (net N_2613 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_10)) - (portRef D1 (instanceRef next_API_DATA_OUT_19_10)) + (net N_2358 (joined + (portRef Z (instanceRef next_API_DATA_OUT_0_5)) + (portRef A (instanceRef next_API_DATA_OUT_20_bm_5)) )) - (net next_API_DATA_OUT_15_am_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_am_12)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_12)) + (net un134_next_api_data_out_16_am_0 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) + (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) )) - (net next_API_DATA_OUT_15_bm_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_bm_12)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_12)) + (net ctrl_reg_89 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_89_gen_regoutff_buf_REGISTERS_OUT_89)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef ctrl_reg_89) )) - (net N_2615 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_12)) - (portRef D0 (instanceRef next_API_DATA_OUT_19_12)) + (net un134_next_api_data_out_16_bm_0 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) )) - (net un134_next_api_data_out_19_am_0 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) + (net un134_next_api_data_out_9 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) )) - (net ctrl_reg_92 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_92_gen_regoutff_buf_REGISTERS_OUT_92)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) - (portRef ctrl_reg_92) + (net next_API_DATA_OUT_15_am_7 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_am_7)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_7)) )) - (net un134_next_api_data_out_19_bm_0 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) + (net next_API_DATA_OUT_15_bm_7 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_bm_7)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_7)) )) - (net un134_next_api_data_out_12 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_12)) + (net N_2617 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_7)) + (portRef D0 (instanceRef next_API_DATA_OUT_19_7)) )) (net un193_next_api_data_out_5 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) @@ -103879,40 +103991,36 @@ )) (net un254_next_api_data_out_5 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12)) - (portRef B (instanceRef next_API_DATA_OUT_12_5)) - )) - (net N_2351 (joined - (portRef Z (instanceRef next_API_DATA_OUT_0_5)) - (portRef A (instanceRef next_API_DATA_OUT_20_bm_5)) + (portRef C (instanceRef next_API_DATA_OUT_12_5)) )) (net un134_next_api_data_out_16_am (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) )) - (net ctrl_reg_89 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_89_gen_regoutff_buf_REGISTERS_OUT_89)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) - (portRef ctrl_reg_89) + (net ctrl_reg_73 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_73_gen_regoutff_buf_REGISTERS_OUT_73)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef ctrl_reg_73) )) (net un134_next_api_data_out_16_bm (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) )) - (net un134_next_api_data_out_9 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) + (net N_2359 (joined + (portRef Z (instanceRef next_API_DATA_OUT_0_6)) + (portRef A (instanceRef next_API_DATA_OUT_20_bm_6)) )) - (net next_API_DATA_OUT_15_am_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_am_9)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_9)) + (net next_API_DATA_OUT_15_am_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_am_15)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_15)) )) - (net next_API_DATA_OUT_15_bm_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_bm_9)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_9)) + (net next_API_DATA_OUT_15_bm_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_bm_15)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_15)) )) - (net N_2612 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_9)) - (portRef D1 (instanceRef next_API_DATA_OUT_19_9)) + (net N_2625 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_15)) + (portRef D0 (instanceRef next_API_DATA_OUT_19_15)) )) (net next_API_DATA_OUT_15_am_14 (joined (portRef Z (instanceRef next_API_DATA_OUT_15_am_14)) @@ -103922,31 +104030,52 @@ (portRef Z (instanceRef next_API_DATA_OUT_15_bm_14)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_14)) )) - (net N_2617 (joined + (net N_2624 (joined (portRef Z (instanceRef next_API_DATA_OUT_15_14)) (portRef D0 (instanceRef next_API_DATA_OUT_19_14)) )) - (net next_API_DATA_OUT_15_am_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_am_8)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_8)) + (net next_API_DATA_OUT_15_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_4)) )) - (net next_API_DATA_OUT_15_bm_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_bm_8)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_8)) + (net next_API_DATA_OUT_15_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_4)) )) - (net N_2611 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_8)) - (portRef D0 (instanceRef next_API_DATA_OUT_19_8)) + (net N_2614 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_4)) + (portRef D0 (instanceRef next_API_DATA_OUT_19_4)) + )) + (net next_API_DATA_OUT_15_am_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_am_13)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_13)) + )) + (net next_API_DATA_OUT_15_bm_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_bm_13)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_13)) + )) + (net N_2623 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_13)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_13)) + )) + (net common_ctrl_reg_95 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_95_buf_COMMON_CTRL_REG_OUT_95)) + (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef common_ctrl_reg_95) + )) + (net un59_next_api_data_out_15 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_15)) )) (net un59_next_api_data_out_14 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) )) - (net un59_next_api_data_out_8 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_8)) + (net un59_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) )) - (net un134_next_api_data_out_22_am (joined + (net un134_next_api_data_out_22_am_0 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) )) @@ -103955,13 +104084,48 @@ (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) (portRef ctrl_reg_79) )) - (net un134_next_api_data_out_22_bm (joined + (net un134_next_api_data_out_22_bm_0 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) )) (net un254_next_api_data_out_15 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) - (portRef B (instanceRef next_API_DATA_OUT_12_15)) + (portRef C (instanceRef next_API_DATA_OUT_12_15)) + )) + (net un134_next_api_data_out_21_am_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) + )) + (net ctrl_reg_78 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_78_gen_regoutff_buf_REGISTERS_OUT_78)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) + (portRef ctrl_reg_78) + )) + (net un134_next_api_data_out_21_bm_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) + )) + (net un134_next_api_data_out_20_am_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + )) + (net ctrl_reg_77 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_77_gen_regoutff_buf_REGISTERS_OUT_77)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef ctrl_reg_77) + )) + (net un134_next_api_data_out_20_bm_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + )) + (net un254_next_api_data_out_13 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + (portRef C (instanceRef next_API_DATA_OUT_12_13)) + )) + (net ctrl_reg_12 (joined + (portRef Q (instanceRef gen_regout_0_gen_regoutff1_12_gen_regoutff_buf_REGISTERS_OUT_12)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) + (portRef ctrl_reg_12) )) (net un134_next_api_data_out_19_am (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) @@ -103978,64 +104142,41 @@ )) (net un254_next_api_data_out_12 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) - (portRef B (instanceRef next_API_DATA_OUT_12_12)) - )) - (net un134_next_api_data_out_18_am (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_am)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) - )) - (net ctrl_reg_75 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_75_gen_regoutff_buf_REGISTERS_OUT_75)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_bm)) - (portRef ctrl_reg_75) - )) - (net un134_next_api_data_out_18_bm (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_bm)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) - )) - (net un254_next_api_data_out_11 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) - (portRef B (instanceRef next_API_DATA_OUT_12_11)) - )) - (net un134_next_api_data_out_13_am (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) + (portRef C (instanceRef next_API_DATA_OUT_12_i_m2_12)) )) - (net ctrl_reg_70 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_70_gen_regoutff_buf_REGISTERS_OUT_70)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) - (portRef ctrl_reg_70) + (net un134_next_api_data_out_17_am (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) )) - (net un134_next_api_data_out_13_bm (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) + (net ctrl_reg_74 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_74_gen_regoutff_buf_REGISTERS_OUT_74)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) + (portRef ctrl_reg_74) )) - (net un254_next_api_data_out_6 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) - (portRef B (instanceRef next_API_DATA_OUT_12_6)) + (net un134_next_api_data_out_17_bm (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) )) - (net ctrl_reg_36 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_36_gen_regoutff_buf_REGISTERS_OUT_36)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) - (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - (portRef ctrl_reg_36) + (net un254_next_api_data_out_10 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) + (portRef C (instanceRef next_API_DATA_OUT_12_10)) )) - (net un134_next_api_data_out_11_am (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (net un134_next_api_data_out_15_am (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) )) - (net ctrl_reg_68 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_68_gen_regoutff_buf_REGISTERS_OUT_68)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef ctrl_reg_68) + (net ctrl_reg_72 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_72_gen_regoutff_buf_REGISTERS_OUT_72)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) + (portRef ctrl_reg_72) )) - (net un134_next_api_data_out_11_bm (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (net un134_next_api_data_out_15_bm (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) )) - (net un254_next_api_data_out_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) - (portRef D1 (instanceRef next_API_DATA_OUT_12_4)) + (net un254_next_api_data_out_8 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (portRef C (instanceRef next_API_DATA_OUT_12_8)) )) (net stat_reg_99 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_35_gen_regoutff_buf_REGISTERS_OUT_35)) @@ -104058,7 +104199,7 @@ )) (net un254_next_api_data_out_3 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10)) - (portRef D1 (instanceRef next_API_DATA_OUT_12_3)) + (portRef D1 (instanceRef next_API_DATA_OUT_12_i_m2_3)) )) (net stat_reg_98 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_34_gen_regoutff_buf_REGISTERS_OUT_34)) @@ -104081,7 +104222,7 @@ )) (net un254_next_api_data_out_2 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9)) - (portRef D1 (instanceRef next_API_DATA_OUT_12_2)) + (portRef D1 (instanceRef next_API_DATA_OUT_12_i_m2_2)) )) (net stat_reg_97 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_33_gen_regoutff_buf_REGISTERS_OUT_33)) @@ -104124,17 +104265,17 @@ (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7)) (portRef D1 (instanceRef next_API_DATA_OUT_12_0)) )) + (net un193_next_api_data_out_15 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef ALUT (instanceRef next_API_DATA_OUT_13_15)) + )) (net un193_next_api_data_out_12 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) - (portRef B (instanceRef next_API_DATA_OUT_13_12)) + (portRef B (instanceRef next_API_DATA_OUT_13_i_m2_12)) )) (net un193_next_api_data_out_8 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) - (portRef B (instanceRef next_API_DATA_OUT_18_am_8)) - )) - (net un193_next_api_data_out_6 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) - (portRef B (instanceRef next_API_DATA_OUT_13_6)) + (portRef B (instanceRef next_API_DATA_OUT_13_8)) )) (net un193_next_api_data_out_3 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) @@ -104152,6 +104293,28 @@ (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) (portRef B (instanceRef next_API_DATA_OUT_13_0)) )) + (net ctrl_reg_63 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_63_gen_regoutff_buf_REGISTERS_OUT_63)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) + (portRef ctrl_reg_63) + )) + (net un134_next_api_data_out_22_am (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) + (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) + )) + (net ctrl_reg_95 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_95_gen_regoutff_buf_REGISTERS_OUT_95)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) + (portRef ctrl_reg_95) + )) + (net un134_next_api_data_out_22_bm (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) + (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) + )) + (net un134_next_api_data_out_15 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_15)) + )) (net un134_next_api_data_out_21_am (joined (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) @@ -104169,39 +104332,39 @@ (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) )) - (net un134_next_api_data_out_17_am (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) + (net un134_next_api_data_out_20_am (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) + (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) )) - (net ctrl_reg_90 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_90_gen_regoutff_buf_REGISTERS_OUT_90)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) - (portRef ctrl_reg_90) + (net ctrl_reg_93 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_93_gen_regoutff_buf_REGISTERS_OUT_93)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef ctrl_reg_93) )) - (net un134_next_api_data_out_17_bm (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) + (net un134_next_api_data_out_20_bm (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) )) - (net un134_next_api_data_out_10 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) - (portRef B (instanceRef next_API_DATA_OUT_14_bm_10)) + (net un134_next_api_data_out_13 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_13)) )) - (net un134_next_api_data_out_15_am (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (net un134_next_api_data_out_14_am (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am)) + (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) )) - (net ctrl_reg_88 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_88_gen_regoutff_buf_REGISTERS_OUT_88)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) - (portRef ctrl_reg_88) + (net ctrl_reg_87 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_87_gen_regoutff_buf_REGISTERS_OUT_87)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) + (portRef ctrl_reg_87) )) - (net un134_next_api_data_out_15_bm (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (net un134_next_api_data_out_14_bm (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) + (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) )) - (net un134_next_api_data_out_8 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_8)) + (net un134_next_api_data_out_7 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) )) (net un134_next_api_data_out_10_am (joined (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) @@ -104261,98 +104424,173 @@ )) (net m10_6_03_i_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_10)) )) - (net next_Reg_low_1_sqmuxa_2 (joined - (portRef Z (instanceRef next_Reg_low_1_sqmuxa_2)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) + (net next_Reg_low_1_sqmuxa_1 (joined + (portRef Z (instanceRef un1_dat_data_counter_cry_0_0_RNO)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_0_0)) )) - (net N_2073_0 (joined - (portRef Z (instanceRef current_state_ns_i_o4_0_9)) - (portRef A (instanceRef current_state_ns_i_9)) + (net N_136 (joined + (portRef Z (instanceRef current_state_ns_i_i_a2_2_9)) + (portRef A (instanceRef current_state_ns_i_i_0_9)) )) - (net N_2082_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_7)) - (portRef C (instanceRef current_state_ns_0_i_7)) + (net N_95 (joined + (portRef Z (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef A (instanceRef lengthe_0_i)) + (portRef A (instanceRef current_state_RNI2BP74_7)) + (portRef A (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) )) - (net N_2466 (joined + (net N_2473 (joined (portRef Z (instanceRef current_state_RNIQS091_2)) (portRef A (instanceRef next_API_DATA_OUT_17_am_0)) - (portRef A (instanceRef next_API_DATA_OUT_17_am_14)) (portRef A (instanceRef next_API_DATA_OUT_17_am_15)) + (portRef A (instanceRef next_API_DATA_OUT_17_am_13)) (portRef A (instanceRef next_API_DATA_OUT_17_am_12)) - (portRef A (instanceRef next_API_DATA_OUT_17_am_10)) (portRef A (instanceRef next_API_DATA_OUT_17_am_8)) )) - (net next_api_data_out279 (joined - (portRef Z (instanceRef packet_counter_RNIHNC81_0_1)) - (portRef B (instanceRef next_API_DATA_OUT_17_am_2)) - (portRef C (instanceRef current_state_RNI188F2_7)) - )) - (net trg_int_number_i_8 (joined - (portRef (member trg_int_number_i 7)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_4)) - )) - (net N_527 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_4)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i)) + (net next_address_1_sqmuxa_1 (joined + (portRef Z (instanceRef next_address_1_sqmuxa_1)) + (portRef D (instanceRef next_address_i_m2_cry_0_0_RNO)) )) (net OUT4_1 (joined (portRef Z (instanceRef fsm_un229_next_api_data_out_m0_8_03_1)) - (portRef B (instanceRef next_API_DATA_OUT_9_bm_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_bm_3)) - (portRef B (instanceRef next_API_DATA_OUT_9_bm_4)) - (portRef B (instanceRef next_API_DATA_OUT_9_bm_1)) - (portRef B (instanceRef next_API_DATA_OUT_9_bm_0)) - )) - (net stat_lvl1_handler_61 (joined - (portRef stat_lvl1_handler_61) - (portRef C (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0)) - )) - (net m13_6_03_i_0 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0)) - (portRef B (instanceRef next_API_DATA_OUT_2_am_13)) + (portRef B (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_m2_2_am_4)) + (portRef B (instanceRef next_API_DATA_OUT_9_i_m2_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_12_i_m2_1_am_2)) + (portRef B (instanceRef next_API_DATA_OUT_12_i_m2_1_am_3)) + )) + (net next_state_0_sqmuxa (joined + (portRef Z (instanceRef next_state_0_sqmuxa)) + (portRef B (instanceRef un1_current_state3)) + (portRef C (instanceRef addr_counter_enable_RNO)) )) - (net next_state60 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60)) - (portRef C (instanceRef fsm_op_eq_next_state60_RNIRIQP1)) + (net N_5923 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_2)) + (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_1_i)) + (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_2_i)) (portRef B (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) - (portRef B (instanceRef nomoredata_RNO)) (portRef B (instanceRef next_state_2_sqmuxa_1)) - (portRef B (instanceRef fsm_un4_dat_dataready_in)) - (portRef B (instanceRef fsm_un3_dat_dataready_in)) + (portRef B (instanceRef current_state_ns_i_i_a2_0_8)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_1_RNIAGPT)) )) - (net stat_reg_655 (joined - (portRef stat_reg_655) - (portRef D (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) + (net un6_api_read_in_0 (joined + (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15)) + (portRef D (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) )) - (net m527_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) + (net N_935 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_13)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_15)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m10_6_03_i)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) + (portRef A (instanceRef next_API_DATA_OUT_1_am_11)) + (portRef A (instanceRef next_API_DATA_OUT_1_am_12)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) )) - (net trg_number_i_3 (joined - (portRef (member trg_number_i 0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) + (net trg_int_number_i_9 (joined + (portRef (member trg_int_number_i 6)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) )) - (net m7_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + (net N_225 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) + )) + (net stat_reg_647 (joined + (portRef stat_reg_647) + (portRef D (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) + )) + (net m519_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m7_8_03_0_0)) + )) + (net stat_reg_646 (joined + (portRef stat_reg_646) + (portRef D (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) + )) + (net m518_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) )) (net stat_reg_644 (joined (portRef stat_reg_644) (portRef D (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) )) - (net m516_6_03_0_0 (joined + (net m516_6_03_0_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m4_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m4_8_03_0_0)) )) - (net stat_reg_643 (joined - (portRef stat_reg_643) - (portRef D (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) + (net stat_reg_661 (joined + (portRef stat_reg_661) + (portRef D (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) )) - (net m515_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) + (net m517_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) + )) + (net stat_reg_45 (joined + (portRef stat_reg_45) + (portRef D (instanceRef fsm_un230_next_api_data_out_m13_6_03_0_1)) + )) + (net m13_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_6_03_0_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) + )) + (net m3_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) + )) + (net stat_reg_652 (joined + (portRef stat_reg_652) + (portRef D (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) + )) + (net m524_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) + )) + (net m4_6_03_0_3 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m4_6_03)) + )) + (net stat_reg_645 (joined + (portRef stat_reg_645) + (portRef D (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) + )) + (net m517_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + )) + (net trg_int_number_i_2 (joined + (portRef (member trg_int_number_i 13)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) + )) + (net m2_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) + )) + (net stat_reg_650 (joined + (portRef stat_reg_650) + (portRef D (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + )) + (net m522_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) + )) + (net stat_reg_641 (joined + (portRef stat_reg_641) + (portRef D (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) + )) + (net m513_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + )) + (net m1_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) )) (net stat_reg_47 (joined (portRef stat_reg_47) @@ -104360,21 +104598,21 @@ )) (net m15_6_03_0_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m15_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) )) - (net stat_reg_645 (joined - (portRef stat_reg_645) - (portRef D (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) + (net stat_reg_44 (joined + (portRef stat_reg_44) + (portRef D (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) )) - (net m517_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (net m12_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) )) (net trg_number_i_0 (joined (portRef (member trg_number_i 3)) (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03_0_0)) )) - (net m4_6_03_0_3 (joined + (net m4_6_03_0_4 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m4_6_03_0_0)) (portRef B (instanceRef fsm_un29_next_api_data_out_m4_6_03)) )) @@ -104384,39 +104622,15 @@ )) (net m520_6_03_0_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m520_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03_0_0)) - )) - (net stat_reg_652 (joined - (portRef stat_reg_652) - (portRef D (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) - )) - (net m524_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) - )) - (net stat_reg_646 (joined - (portRef stat_reg_646) - (portRef D (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) - )) - (net m518_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) - )) - (net m4_6_03_0_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m4_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03_2)) )) - (net m3_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) - )) - (net stat_reg_641 (joined - (portRef stat_reg_641) - (portRef D (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) + (net trg_number_i_2 (joined + (portRef (member trg_number_i 1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) )) - (net m513_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + (net m6_6_03_i_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_6)) )) (net stat_reg_654 (joined (portRef stat_reg_654) @@ -104424,31 +104638,15 @@ )) (net m526_6_03_0_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m526_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03_2)) - )) - (net stat_reg_649 (joined - (portRef stat_reg_649) - (portRef D (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) - )) - (net m521_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03_0_0)) - )) - (net trg_int_number_i_1 (joined - (portRef (member trg_int_number_i 14)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) - )) - (net m1_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03_0_0)) )) - (net stat_reg_647 (joined - (portRef stat_reg_647) - (portRef D (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) + (net stat_reg_655 (joined + (portRef stat_reg_655) + (portRef D (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) )) - (net m519_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m7_8_03_2)) + (net m527_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) )) (net trg_int_number_i_0 (joined (portRef (member trg_int_number_i 15)) @@ -104458,21 +104656,21 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) )) - (net trg_number_i_2 (joined - (portRef (member trg_number_i 1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) + (net stat_reg_660 (joined + (portRef stat_reg_660) + (portRef D (instanceRef fsm_un104_next_api_data_out_m516_6_03_0_0)) )) - (net m6_6_03_i_0 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) - (portRef C (instanceRef next_API_DATA_OUT_2_am_6)) + (net m516_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_6_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) )) - (net trg_int_number_i_2 (joined - (portRef (member trg_int_number_i 13)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) + (net stat_reg_649 (joined + (portRef stat_reg_649) + (portRef D (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) )) - (net m2_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) + (net m521_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03_2)) )) (net stat_reg_662 (joined (portRef stat_reg_662) @@ -104482,17 +104680,21 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m518_6_03_0_0)) (portRef B (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) )) - (net m0_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) + (net stat_reg_642 (joined + (portRef stat_reg_642) + (portRef D (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) )) - (net stat_reg_650 (joined - (portRef stat_reg_650) - (portRef D (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + (net m514_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) )) - (net m522_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) + (net stat_reg_640 (joined + (portRef stat_reg_640) + (portRef D (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) + )) + (net m512_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) )) (net stat_reg_46 (joined (portRef stat_reg_46) @@ -104500,11 +104702,15 @@ )) (net m14_6_03_0_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) )) - (net m2_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) + (net trg_number_i_3 (joined + (portRef (member trg_number_i 0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) + )) + (net m7_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03)) )) (net trg_information_i_11 (joined (portRef (member trg_information_i 4)) @@ -104514,66 +104720,58 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) )) - (net stat_reg_656 (joined - (portRef stat_reg_656) - (portRef D (instanceRef fsm_un104_next_api_data_out_m512_6_03_0_0)) - )) - (net m512_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m512_6_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + (net stat_reg_643 (joined + (portRef stat_reg_643) + (portRef D (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) )) - (net trg_information_i_15 (joined - (portRef (member trg_information_i 0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) + (net m515_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) )) - (net m15_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) + (net m2_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) )) - (net stat_reg_661 (joined - (portRef stat_reg_661) - (portRef D (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) + (net stat_reg_651 (joined + (portRef stat_reg_651) + (portRef D (instanceRef fsm_un230_next_api_data_out_m523_6_03_0_0)) )) - (net m517_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) + (net m523_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m523_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) )) - (net stat_reg_642 (joined - (portRef stat_reg_642) - (portRef D (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) + (net stat_reg_653 (joined + (portRef stat_reg_653) + (portRef D (instanceRef fsm_un230_next_api_data_out_m525_6_03_0_0)) )) - (net m514_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) + (net m525_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m525_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) )) - (net stat_reg_44 (joined - (portRef stat_reg_44) - (portRef D (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) + (net trg_int_number_i_1 (joined + (portRef (member trg_int_number_i 14)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) )) - (net m12_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) + (net m1_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am)) )) - (net stat_reg_640 (joined - (portRef stat_reg_640) - (portRef D (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) + (net trg_information_i_15 (joined + (portRef (member trg_information_i 0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) )) - (net m512_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) + (net m15_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) )) - (net m1_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) + (net m0_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) )) (net trg_information_i_4 (joined (portRef (member trg_information_i 11)) (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) )) - (net m4_6_03_0_5 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) - )) (net temperature_9 (joined (portRef (member temperature 2)) (portRef B (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_0)) @@ -104584,7 +104782,11 @@ )) (net m13_6_03_i_0_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_0)) - (portRef C (instanceRef next_API_DATA_OUT_2_am_13)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m13_6_03_i)) + )) + (net next_timeout_counter_0_o2_0_0 (joined + (portRef Z (instanceRef next_timeout_counter_0_o2_0_0)) + (portRef C (instanceRef next_timeout_counter_0_o2_0_RNIB2H31_0)) )) (net un1_invalid_trg_axb_8 (joined (portRef un1_invalid_trg_axb_8) @@ -104592,7 +104794,7 @@ )) (net m8_6_03_i_1 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i)) + (portRef A (instanceRef next_API_DATA_OUT_8_bm_8)) )) (net temperature_6 (joined (portRef (member temperature 5)) @@ -104600,80 +104802,156 @@ )) (net m10_6_03_i_2 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_10)) )) - (net N_1700 (joined - (portRef N_1700) - (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) + (net common_stat_reg_i_0 (joined + (portRef common_stat_reg_i_0) + (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + )) + (net common_stat_reg_i_2 (joined + (portRef common_stat_reg_i_2) + (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) )) (net stat_lvl1_handler_7 (joined (portRef stat_lvl1_handler_7) (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m7_6_03_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0)) )) (net link_error_i (joined (portRef link_error_i) (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO)) )) - (net un168_next_api_data_out_20_0_a2_0 (joined - (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_10)) + (net un168_next_api_data_out_25_0 (joined + (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) + (portRef C (instanceRef next_API_DATA_OUT_8_15)) )) - (net N_2116_1 (joined - (portRef Z (instanceRef next_state_1_sqmuxa_1)) - (portRef A (instanceRef timeout_RNO)) + (net trg_code_i_0 (joined + (portRef (member trg_code_i 7)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_0)) + )) + (net m8_6_03_i_0_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_0)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_8)) + )) + (net current_state_ns_0_i_s_1_6 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_1_6)) + (portRef D (instanceRef current_state_ns_0_i_s_2_6)) + )) + (net N_375 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_2_7)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_1_7)) + )) + (net N_5912 (joined + (portRef Z (instanceRef next_address_i_o2_0)) + (portRef C0 (instanceRef next_address_i_m2_s_15_0)) + (portRef A (instanceRef next_address_i_m2_cry_0_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_13_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_13_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_11_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_11_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_9_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_9_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_7_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_7_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_5_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_5_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_3_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_3_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_1_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_1_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_0_0_RNO_0)) + )) + (net N_2120 (joined + (portRef Z (instanceRef current_state_ns_0_a3_7)) + (portRef B (instanceRef current_state_ns_0_i_7)) + )) + (net N_2072_0 (joined + (portRef Z (instanceRef current_state_ns_0_o2_0_a2_14)) + (portRef A (instanceRef current_state_ns_0_a3_0_14)) + )) + (net N_5915 (joined + (portRef Z (instanceRef next_state_1_sqmuxa_1_0_a2_i_o2)) + (portRef B (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef B (instanceRef next_timeout_counter_0_o2_0_RNIB2H31_0)) + (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_1_i)) + (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_2_i)) (portRef A (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) - (portRef B (instanceRef un1_current_state_10)) - (portRef A (instanceRef nomoredata_RNO)) (portRef A (instanceRef next_state_2_sqmuxa_1)) - (portRef A (instanceRef next_API_READ_OUT_2_sqmuxa_RNI84T91)) - (portRef A (instanceRef current_state_ns_i_a3_0_8)) + (portRef A (instanceRef current_state_ns_i_i_a2_0_8)) )) (net next_API_DATA_OUT_sn_N_22 (joined - (portRef Z (instanceRef current_state_ns_i_a2_RNILBLQ_8)) + (portRef Z (instanceRef current_state_RNIME6J2_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_7)) + (portRef C (instanceRef next_API_DATA_OUT_20_bm_6)) (portRef C (instanceRef next_API_DATA_OUT_20_bm_5)) (portRef C0 (instanceRef next_API_DATA_OUT_16_0)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_14)) (portRef C0 (instanceRef next_API_DATA_OUT_16_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_12)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_6)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_10)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_13)) (portRef C0 (instanceRef next_API_DATA_OUT_16_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_8)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_2)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_9)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_13)) (portRef C0 (instanceRef next_API_DATA_OUT_16_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_14)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_9)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_10)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_2)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_8)) )) - (net N_2071_0 (joined - (portRef Z (instanceRef current_state_ns_0_o2_5)) - (portRef B (instanceRef current_state_ns_0_i_5)) - (portRef B (instanceRef current_state_ns_0_i_7)) - (portRef A (instanceRef current_state_ns_i_a3_15)) + (net next_API_DATA_OUT_sn_N_28 (joined + (portRef Z (instanceRef current_state_RNINQ5J2_16)) + (portRef D (instanceRef current_state_RNI2BP74_7)) )) - (net next_state_0_sqmuxa_1 (joined - (portRef Z (instanceRef next_state_0_sqmuxa_1)) - (portRef D (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) - (portRef D (instanceRef reg_fsm_length_3_0)) - (portRef B (instanceRef reg_fsm_length_3_1)) - (portRef B (instanceRef reg_fsm_length_3_2)) - (portRef B (instanceRef reg_fsm_length_3_3)) - (portRef B (instanceRef reg_fsm_length_3_4)) - (portRef B (instanceRef reg_fsm_length_3_5)) - (portRef B (instanceRef reg_fsm_length_3_6)) - (portRef B (instanceRef reg_fsm_length_3_7)) - (portRef B (instanceRef reg_fsm_length_3_8)) - (portRef B (instanceRef reg_fsm_length_3_9)) - (portRef B (instanceRef reg_fsm_length_3_10)) - (portRef B (instanceRef reg_fsm_length_3_11)) - (portRef B (instanceRef reg_fsm_length_3_12)) - (portRef B (instanceRef reg_fsm_length_3_13)) - (portRef B (instanceRef reg_fsm_length_3_14)) + (net stat_reg_60 (joined + (portRef stat_reg_60) + (portRef C (instanceRef next_API_DATA_OUT_1_am_12)) + )) + (net next_API_DATA_OUT_1_am_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_am_12)) + (portRef BLUT (instanceRef next_API_DATA_OUT_1_12)) + )) + (net next_API_DATA_OUT_1_bm_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_12)) + (portRef ALUT (instanceRef next_API_DATA_OUT_1_12)) + )) + (net N_6036 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_12)) + (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_12)) + )) + (net un16_dat_addr_in_4 (joined + (portRef un16_dat_addr_in_4) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_m2_2_bm_4)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m8_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m2_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m4_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m3_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m6_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) + (portRef D (instanceRef next_API_DATA_OUT_1_am_8)) + (portRef D (instanceRef next_API_DATA_OUT_1_am_10)) + (portRef B (instanceRef next_API_DATA_OUT_1_am_11)) + (portRef B (instanceRef next_API_DATA_OUT_1_am_12)) )) (net stat_reg_59 (joined (portRef stat_reg_59) @@ -104687,139 +104965,78 @@ (portRef Z (instanceRef next_API_DATA_OUT_1_bm_11)) (portRef ALUT (instanceRef next_API_DATA_OUT_1_11)) )) - (net N_5851 (joined + (net N_6035 (joined (portRef Z (instanceRef next_API_DATA_OUT_1_11)) (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_11)) )) - (net stat_reg_63 (joined - (portRef stat_reg_63) - (portRef C (instanceRef next_API_DATA_OUT_1_am_15)) - )) - (net next_API_DATA_OUT_1_am_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_am_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_1_15)) - )) - (net next_API_DATA_OUT_1_bm_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_1_15)) - )) - (net N_5855 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_15)) - (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_15)) - )) - (net stat_reg_61 (joined - (portRef stat_reg_61) - (portRef C (instanceRef next_API_DATA_OUT_1_am_13)) - )) - (net next_API_DATA_OUT_1_am_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_am_13)) - (portRef BLUT (instanceRef next_API_DATA_OUT_1_13)) - )) - (net next_API_DATA_OUT_1_bm_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_13)) - (portRef ALUT (instanceRef next_API_DATA_OUT_1_13)) - )) - (net N_5853 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_13)) - (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_13)) - )) - (net next_length_1_sqmuxa_1 (joined - (portRef Z (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa_1)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef D (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) - )) - (net next_DAT_READ_ENABLE_OUT_0_sqmuxa_1 (joined - (portRef Z (instanceRef next_DAT_READ_ENABLE_OUT_0_sqmuxa_1)) - (portRef B (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) - (portRef C (instanceRef current_state_ns_0_i_10)) - (portRef C (instanceRef rom_read_addr_1)) - (portRef C (instanceRef rom_read_addr_2)) - )) - (net next_API_DATA_OUT_sn_N_28 (joined - (portRef Z (instanceRef current_state_ns_i_a2_RNIMNKQ_8)) - (portRef D (instanceRef current_state_RNI188F2_7)) - )) - (net N_2537 (joined + (net N_2544 (joined (portRef Z (instanceRef current_state_RNI7LPA1_16)) (portRef A (instanceRef next_API_DATA_OUT_20_am_5)) + (portRef A (instanceRef next_API_DATA_OUT_20_am_6)) (portRef A (instanceRef next_API_DATA_OUT_17_bm_0)) (portRef B (instanceRef next_API_DATA_OUT_17_3)) - (portRef A (instanceRef next_API_DATA_OUT_17_bm_14)) + (portRef B (instanceRef next_API_DATA_OUT_17_1)) + (portRef B (instanceRef next_API_DATA_OUT_17_10)) (portRef B (instanceRef next_API_DATA_OUT_17_11)) + (portRef B (instanceRef next_API_DATA_OUT_17_14)) (portRef A (instanceRef next_API_DATA_OUT_17_bm_15)) + (portRef B (instanceRef next_API_DATA_OUT_17_7)) + (portRef A (instanceRef next_API_DATA_OUT_17_bm_13)) (portRef A (instanceRef next_API_DATA_OUT_17_bm_12)) - (portRef A (instanceRef next_API_DATA_OUT_17_bm_10)) - (portRef B (instanceRef next_API_DATA_OUT_17_1)) - (portRef A (instanceRef next_API_DATA_OUT_17_bm_8)) - (portRef A (instanceRef next_API_DATA_OUT_17_bm_2)) (portRef B (instanceRef next_API_DATA_OUT_17_9)) - (portRef B (instanceRef next_API_DATA_OUT_17_4)) - (portRef B (instanceRef next_API_DATA_OUT_17_7)) - )) - (net N_2112_1 (joined - (portRef Z (instanceRef current_state_ns_0_a3_0_1_2)) - (portRef B (instanceRef current_state_ns_0_i_2)) + (portRef A (instanceRef next_API_DATA_OUT_17_bm_8)) )) (net current_state_ns_0_a3_0_14 (joined (portRef Z (instanceRef current_state_ns_0_a3_0_0_13)) (portRef C (instanceRef current_state_ns_0_i_14)) (portRef C (instanceRef current_state_ns_0_i_13)) )) - (net N_2127 (joined - (portRef Z (instanceRef current_state_ns_0_a3_0_14)) - (portRef A (instanceRef current_state_ns_0_i_14)) - )) - (net m261_6_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m261_6_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03)) - )) - (net m265_6_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03)) + (net delayed_buf_API_SEND_OUT_Q (joined + (portRef delayed_buf_API_SEND_OUT_Q (instanceRef the_addresses)) + (portRef A (instanceRef API_SEND_OUT)) + (portRef D (instanceRef current_state_ns_0_i_14)) + (portRef D (instanceRef current_state_ns_0_i_s_0_12)) + (portRef D (instanceRef current_state_ns_0_i_13)) )) - (net m259_6_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_9_am_3)) + (net regio_unknown_addr_i (joined + (portRef regio_unknown_addr_i) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2)) + (portRef D (instanceRef next_state_2_sqmuxa_1)) + (portRef D (instanceRef reg_fsm_unknown_3_iv_0)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_1)) )) - (net un12_dat_addr_in_2 (joined - (portRef Z (instanceRef rom_read_addr_1_sqmuxa_2)) - (portRef D (instanceRef buf_rom_read_addr_RNO_0)) - (portRef D (instanceRef rom_read_addr_1_sqmuxa)) - (portRef un12_dat_addr_in_2) + (net N_133 (joined + (portRef Z (instanceRef current_state_ns_i_i_a2_9)) + (portRef B (instanceRef current_state_RNO_9)) )) - (net N_2723 (joined + (net N_2730 (joined (portRef Z (instanceRef current_state_RNIK5KI2_16)) + (portRef C0 (instanceRef next_API_DATA_OUT_14)) (portRef C (instanceRef next_API_DATA_OUT_0)) - (portRef C (instanceRef next_API_DATA_OUT_3)) - (portRef C (instanceRef next_API_DATA_OUT_6)) - (portRef C (instanceRef next_API_DATA_OUT_4)) - (portRef C (instanceRef next_API_DATA_OUT_2)) - (portRef C (instanceRef next_API_DATA_OUT_1)) + (portRef SD (instanceRef next_API_DATA_OUT_6)) (portRef SD (instanceRef next_API_DATA_OUT_5)) + (portRef C0 (instanceRef next_API_DATA_OUT_9)) (portRef C0 (instanceRef next_API_DATA_OUT_7)) - (portRef C0 (instanceRef next_API_DATA_OUT_8)) - (portRef C (instanceRef next_API_DATA_OUT_14)) - (portRef C (instanceRef next_API_DATA_OUT_15)) - (portRef C (instanceRef next_API_DATA_OUT_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_4)) + (portRef C (instanceRef next_API_DATA_OUT_1)) + (portRef C (instanceRef next_API_DATA_OUT_3)) + (portRef C (instanceRef next_API_DATA_OUT_2)) (portRef C (instanceRef next_API_DATA_OUT_10)) (portRef C (instanceRef next_API_DATA_OUT_13)) + (portRef C (instanceRef next_API_DATA_OUT_12)) (portRef C (instanceRef next_API_DATA_OUT_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_9)) + (portRef C (instanceRef next_API_DATA_OUT_15)) + (portRef C (instanceRef next_API_DATA_OUT_8)) + )) + (net un4_dat_dataready_in_i (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_1_RNIAGPT)) + (portRef D (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef C (instanceRef current_state_RNIVD6M1_10)) + )) + (net N_5974 (joined + (portRef Z (instanceRef un1_next_state_5_sqmuxa_i_a2)) + (portRef B (instanceRef un1_next_state_5_sqmuxa_i)) + (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_i)) )) (net m519_6_03_4 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m519_6_03)) @@ -104837,22 +105054,22 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m515_6_03)) (portRef B (instanceRef fsm_un104_next_api_data_out_m3_8_03_0_0)) )) - (net m259_6_03_4_0 (joined + (net m259_6_03_4 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m259_6_03)) (portRef A (instanceRef fsm_un104_next_api_data_out_m3_8_03_0_0)) )) - (net m516_6_03_4 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_6_03)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) - )) - (net m260_6_03_4 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) - )) - (net m261_6_03_4_0 (joined + (net m261_6_03_4 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m261_6_03)) (portRef C (instanceRef next_API_DATA_OUT_1_am_5)) )) + (net m512_6_03_4 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m512_6_03)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + )) + (net m256_6_03_4 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + )) (net m513_6_03_4 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m513_6_03)) (portRef B (instanceRef fsm_un104_next_api_data_out_m1_8_03_0_0)) @@ -104869,29 +105086,45 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m258_6_03)) (portRef A (instanceRef fsm_un104_next_api_data_out_m2_8_03_0_0)) )) + (net m7_6_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + )) (net m4_6_03_4 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m4_6_03)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) )) + (net m265_6_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_8_03)) + )) (net m262_6_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m262_6_03)) (portRef D (instanceRef fsm_un230_next_api_data_out_m6_8_03)) )) - (net m260_6_03_4_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_9_am_4)) + (net m259_6_03_4_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03)) + (portRef D (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_3)) )) - (net m256_6_03_4 (joined + (net m4_6_03_4_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_6_03)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_m2_2_bm_4)) + )) + (net m261_6_03_4_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m261_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03)) + )) + (net m256_6_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m256_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_9_am_0)) + (portRef D (instanceRef next_API_DATA_OUT_9_i_m2_bm_0)) )) (net m257_6_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m257_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef D (instanceRef next_API_DATA_OUT_9_bm_1)) )) (net m258_6_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m258_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_9_am_2)) + (portRef D (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_2)) )) (net m269_6_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m269_6_03)) @@ -104901,6 +105134,10 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m271_6_03)) (portRef D (instanceRef fsm_un230_next_api_data_out_m15_8_03)) )) + (net m266_6_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03)) + )) (net m267_6_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m267_6_03)) (portRef D (instanceRef fsm_un230_next_api_data_out_m11_8_03)) @@ -104909,39 +105146,37 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m268_6_03)) (portRef D (instanceRef fsm_un230_next_api_data_out_m12_8_03)) )) - (net m270_6_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03)) + (net m264_6_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m8_8_03)) )) - (net N_2107 (joined - (portRef Z (instanceRef next_nomoredata_1_sqmuxa)) - (portRef B (instanceRef current_state_ns_0_2_6)) - (portRef B (instanceRef un1_next_nomoredata_1_sqmuxa_0)) + (net un168_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_0_4)) )) - (net un1_next_nomoredata_1_sqmuxa_0 (joined - (portRef Z (instanceRef un1_next_nomoredata_1_sqmuxa_0)) - (portRef C (instanceRef un1_next_nomoredata_1_sqmuxa)) + (net m12_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_1)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_12)) )) (net m11_6_03_0_1 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) - (portRef C (instanceRef next_API_DATA_OUT_2_am_11)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_11)) )) - (net m15_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_1)) - (portRef B (instanceRef next_API_DATA_OUT_2_am_15)) + (net m10_6_03_i_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m10_6_03_i)) )) - (net un14_i_a3_1_1 (joined - (portRef (member un14_i_a3_1 0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1)) - (portRef D (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0)) + (net current_state_ns_i_i_0_9 (joined + (portRef Z (instanceRef current_state_ns_i_i_0_9)) + (portRef D (instanceRef current_state_RNO_9)) )) - (net m10_6_03_i_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO)) + (net m8_6_03_i_2 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_2)) + (portRef B (instanceRef next_API_DATA_OUT_8_bm_8)) )) - (net m9_6_03_i_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) + (net next_unknown_1_sqmuxa_3 (joined + (portRef Z (instanceRef next_unknown_1_sqmuxa_3)) + (portRef D (instanceRef unknown_RNO)) )) (net temperature_4 (joined (portRef (member temperature 7)) @@ -104949,15 +105184,15 @@ )) (net m8_6_03_i_1_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_8)) )) - (net common_stat_reg_i_52 (joined - (portRef common_stat_reg_i_52) - (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) + (net trg_int_number_i_13 (joined + (portRef (member trg_int_number_i 2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) )) - (net m5_6_03_i_2 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) - (portRef C (instanceRef next_API_DATA_OUT_2_am_5)) + (net m13_6_03_i_2 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) )) (net common_stat_reg_i_56 (joined (portRef common_stat_reg_i_56) @@ -104967,166 +105202,191 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_2)) (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i)) )) - (net trg_int_number_i_13 (joined - (portRef (member trg_int_number_i 2)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) + (net common_stat_reg_i_52 (joined + (portRef common_stat_reg_i_52) + (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) )) - (net m13_6_03_i_2 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) + (net m5_6_03_i_2 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_5)) )) - (net next_unknown_1_sqmuxa_3 (joined - (portRef Z (instanceRef next_unknown_1_sqmuxa_3)) - (portRef C (instanceRef unknown_RNO)) + (net current_state_ns_0_i_s_2_6 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_2_6)) + (portRef C (instanceRef current_state_ns_0_i_s_6)) )) - (net current_state_ns_0_2_6 (joined - (portRef Z (instanceRef current_state_ns_0_2_6)) - (portRef C (instanceRef current_state_ns_0_i_6)) + (net current_state_ns_i_0_0_15 (joined + (portRef Z (instanceRef current_state_ns_i_0_0_15)) + (portRef D (instanceRef current_state_ns_i_0_15)) )) - (net N_2637 (joined + (net N_2644 (joined (portRef Z (instanceRef current_state_RNI5RJ72_2)) (portRef B (instanceRef next_API_DATA_OUT_20_am_5)) - (portRef C (instanceRef next_API_DATA_OUT_20_6)) - (portRef C (instanceRef next_API_DATA_OUT_20_13)) + (portRef B (instanceRef next_API_DATA_OUT_20_am_6)) + (portRef C (instanceRef next_API_DATA_OUT_am_4)) + (portRef C (instanceRef next_API_DATA_OUT_20_2)) (portRef C0 (instanceRef next_API_DATA_OUT_17_0)) (portRef C (instanceRef next_API_DATA_OUT_17_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_17_14)) + (portRef C (instanceRef next_API_DATA_OUT_17_1)) + (portRef C (instanceRef next_API_DATA_OUT_17_10)) (portRef C (instanceRef next_API_DATA_OUT_17_11)) + (portRef C (instanceRef next_API_DATA_OUT_17_14)) (portRef C0 (instanceRef next_API_DATA_OUT_17_15)) + (portRef C (instanceRef next_API_DATA_OUT_17_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_17_13)) (portRef C0 (instanceRef next_API_DATA_OUT_17_12)) - (portRef C0 (instanceRef next_API_DATA_OUT_17_10)) - (portRef C (instanceRef next_API_DATA_OUT_17_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_17_8)) - (portRef C0 (instanceRef next_API_DATA_OUT_17_2)) (portRef C (instanceRef next_API_DATA_OUT_17_9)) - (portRef C (instanceRef next_API_DATA_OUT_17_4)) - (portRef C (instanceRef next_API_DATA_OUT_17_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_17_8)) )) - (net common_stat_reg_i_57 (joined - (portRef common_stat_reg_i_57) - (portRef D (instanceRef fsm_un29_next_api_data_out_m10_6_03_i)) + (net next_API_DATA_OUT_1_am_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_am_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_1_10)) )) - (net N_674 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i)) - (portRef A (instanceRef next_API_DATA_OUT_14_am_10)) + (net next_API_DATA_OUT_1_bm_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_1_10)) )) - (net m14_8_03 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03)) + (net N_6034 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_10)) + (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_10)) )) - (net un20_dat_addr_in_1 (joined - (portRef un20_dat_addr_in_1 (instanceRef pattern_gen_inst)) - (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_2)) - (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) - (portRef un20_dat_addr_in_1) + (net next_API_DATA_OUT_1_am_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_am_8)) + (portRef BLUT (instanceRef next_API_DATA_OUT_1_8)) )) - (net N_2078_0 (joined - (portRef Z (instanceRef current_state_ns_0_o2_4)) - (portRef B (instanceRef current_state_ns_0_i_4)) + (net next_API_DATA_OUT_1_bm_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_8)) + (portRef ALUT (instanceRef next_API_DATA_OUT_1_8)) )) - (net m0_8_03 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + (net N_6032 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_8)) + (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_8)) )) - (net m5_8_03 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03)) + (net m6_8_03 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03)) )) - (net m5_8_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03)) + (net m6_8_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03)) )) - (net m9_8_03 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03_0_0)) + (net N_374 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_1_7)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_1_7)) )) - (net un1_next_length_1_sqmuxa_s_15_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef D (instanceRef reg_fsm_length_3_15)) + (net N_5976 (joined + (portRef Z (instanceRef current_state_ns_i_i_a2_0_8)) + (portRef A (instanceRef current_state_RNO_10)) + (portRef A (instanceRef current_state_ns_0_i_s_0_12)) )) - (net N_2123 (joined - (portRef Z (instanceRef current_state_ns_0_a3_0_12)) - (portRef A (instanceRef current_state_ns_0_0_12)) + (net un14_dat_addr_in_4 (joined + (portRef un14_dat_addr_in_4) + (portRef D (instanceRef fsm_un230_next_api_data_out_m4_8_03_0_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03_0_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m7_8_03_0_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m8_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) )) - (net m6_8_03_1 (joined + (net m9_8_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03)) + )) + (net m7_8_03 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) + )) + (net m6_8_03_1_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) (portRef B (instanceRef next_API_DATA_OUT_1_am_6)) )) - (net m6_8_03 (joined + (net m6_8_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m6_8_03_0)) (portRef A (instanceRef next_API_DATA_OUT_1_am_6)) )) + (net m4_8_03_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_8_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) + )) (net m4_8_03 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_8_03_0)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) )) - (net m5_8_03_0 (joined + (net m5_8_03 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) (portRef A (instanceRef next_API_DATA_OUT_1_am_5)) )) - (net m5_8_03_1_0 (joined + (net m5_8_03_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) (portRef B (instanceRef next_API_DATA_OUT_1_am_5)) )) - (net m7_8_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_8_03_2)) - (portRef C (instanceRef next_API_DATA_OUT_12_am_7)) + (net m9_8_03 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03)) )) (net m7_8_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_8_03_1)) - (portRef B (instanceRef next_API_DATA_OUT_12_am_7)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_7)) )) - (net m7_8_03_2 (joined + (net m7_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_12_am_7)) - )) - (net m6_8_03_1_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03)) - )) - (net m6_8_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m7_8_03_0_0)) )) (net m3_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_am_3)) + (portRef B (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_3)) )) (net m3_8_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_9_am_3)) + (portRef A (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_3)) )) - (net m4_8_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_8_03_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_am_4)) + (net m4_8_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_8_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m4_8_03_0_0)) )) - (net m4_8_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_9_am_4)) + (net m5_8_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03)) + )) + (net m5_8_03_1_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03)) )) (net m0_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_9_i_m2_bm_0)) )) - (net m0_8_03_2 (joined + (net m0_8_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_9_am_0)) + (portRef A (instanceRef next_API_DATA_OUT_9_i_m2_bm_0)) )) (net m1_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef B (instanceRef next_API_DATA_OUT_9_bm_1)) )) (net m1_8_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef A (instanceRef next_API_DATA_OUT_9_bm_1)) )) (net m2_8_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_9_am_2)) + (portRef A (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_2)) )) (net m2_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_am_2)) + (portRef B (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_2)) )) (net m13_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) @@ -105136,9 +105396,13 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) (portRef B (instanceRef fsm_un230_next_api_data_out_m13_8_03)) )) - (net m14_8_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03)) + (net m14_8_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m14_8_03_0_0)) + )) + (net m14_8_03 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_14)) )) (net m15_8_03 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) @@ -105150,24 +105414,16 @@ )) (net m10_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) - (portRef C (instanceRef next_API_DATA_OUT_12_am_10)) - )) - (net m10_8_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_8_03_1)) - (portRef B (instanceRef next_API_DATA_OUT_12_am_10)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03)) )) (net m10_8_03 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_12_am_10)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03)) )) (net m11_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m11_8_03)) )) - (net m11_8_03 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03)) - )) (net m12_8_03 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) (portRef B (instanceRef fsm_un230_next_api_data_out_m12_8_03)) @@ -105176,21 +105432,17 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m12_8_03)) )) - (net m8_8_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_8_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03_0_0)) - )) - (net un1_next_length_1_sqmuxa_0_a (joined - (portRef Z (instanceRef un1_next_length_1_sqmuxa_0_a)) - (portRef A (instanceRef reg_fsm_length_3_0)) + (net m8_8_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03)) )) - (net m7_6_03_4_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m7_6_03)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_7)) + (net m8_8_03 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03)) )) - (net m12_6_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_12)) + (net next_state_2_sqmuxa_1 (joined + (portRef Z (instanceRef next_state_2_sqmuxa_1)) + (portRef C (instanceRef unknown_RNO)) )) (net temperature_5 (joined (portRef (member temperature 6)) @@ -105200,39 +105452,77 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m9_6_03_i)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_9)) )) + (net N_675 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_13)) + )) (net next_DAT_READ_ENABLE_OUT_3_sqmuxa (joined (portRef Z (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) - (portRef B (instanceRef next_address_i_m2_cry_0_0_RNO)) - (portRef C (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) + (portRef B (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) + (portRef C (instanceRef next_address_i_m2_cry_0_0_RNO)) + )) + (net N_2134 (joined + (portRef Z (instanceRef current_state_ns_0_a3_0_14)) + (portRef A (instanceRef current_state_ns_0_i_14)) )) - (net un1_next_nomoredata_1_sqmuxa_i (joined - (portRef Z (instanceRef un1_next_nomoredata_1_sqmuxa)) - (portRef B (instanceRef reg_fsm_unknown_3_iv)) - (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_1_0)) + (net next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1 (joined + (portRef Z (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) + (portRef C (instanceRef next_API_SHORT_TRANSFER_OUT_f0)) )) (net m14_6_03_4 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m14_6_03)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) )) + (net m15_6_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_15)) + )) (net trg_int_number_i_10 (joined (portRef (member trg_int_number_i 5)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m10_6_03_i)) )) (net N_16 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19)) + (portRef Z (instanceRef fsm_un169_next_api_data_out_m10_6_03_i)) + (portRef C (instanceRef next_API_DATA_OUT_8_i_m2_bm_10)) )) - (net N_26 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i)) - (portRef A (instanceRef next_API_DATA_OUT_8_am_8)) + (net current_state_ns_0_i_s_4_6 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_4_6)) + (portRef D (instanceRef current_state_ns_0_i_s_6)) + )) + (net N_2696 (joined + (portRef Z (instanceRef current_state_RNI2BP74_7)) + (portRef C (instanceRef next_API_DATA_OUT_am_9)) + (portRef C (instanceRef next_API_DATA_OUT_am_7)) + (portRef D (instanceRef next_API_DATA_OUT_am_4)) + (portRef SD (instanceRef next_API_DATA_OUT_20_0)) + (portRef SD (instanceRef next_API_DATA_OUT_20_15)) + (portRef C (instanceRef next_API_DATA_OUT_20_11)) + (portRef C0 (instanceRef next_API_DATA_OUT_20_6)) + (portRef C0 (instanceRef next_API_DATA_OUT_20_5)) + (portRef C (instanceRef next_API_DATA_OUT_20_14)) + (portRef C (instanceRef next_API_DATA_OUT_20_10)) + (portRef SD (instanceRef next_API_DATA_OUT_20_13)) + (portRef SD (instanceRef next_API_DATA_OUT_20_12)) + (portRef C (instanceRef next_API_DATA_OUT_20_1)) + (portRef SD (instanceRef next_API_DATA_OUT_20_8)) + (portRef C (instanceRef next_API_DATA_OUT_20_3)) + (portRef D (instanceRef next_API_DATA_OUT_20_2)) + )) + (net un169_next_api_data_out_24_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) )) - (net current_state_ns_i_1_9 (joined - (portRef Z (instanceRef current_state_ns_i_1_9)) - (portRef D (instanceRef current_state_ns_i_9)) + (net un1_tmg_edge_found_i_axb_15 (joined + (portRef un1_tmg_edge_found_i_axb_15) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) + )) + (net un169_next_api_data_out_24_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) )) - (net un1_next_address_0_sqmuxa_0_0 (joined - (portRef Z (instanceRef un1_next_address_0_sqmuxa_0)) - (portRef D (instanceRef un1_next_address_0_sqmuxa)) + (net un169_next_api_data_out_15 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) + (portRef D (instanceRef next_API_DATA_OUT_8_15)) )) (net trg_int_number_i_5 (joined (portRef (member trg_int_number_i 10)) @@ -105254,105 +105544,6 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14)) (portRef A (instanceRef next_API_DATA_OUT_13_5)) )) - (net un1_next_length_1_sqmuxa_cry_13_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef C (instanceRef reg_fsm_length_3_14)) - )) - (net un1_next_length_1_sqmuxa_cry_13_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef C (instanceRef reg_fsm_length_3_13)) - )) - (net un1_next_length_1_sqmuxa_cry_11_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef C (instanceRef reg_fsm_length_3_12)) - )) - (net un1_next_length_1_sqmuxa_cry_11_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef C (instanceRef reg_fsm_length_3_11)) - )) - (net un1_next_length_1_sqmuxa_cry_9_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef C (instanceRef reg_fsm_length_3_10)) - )) - (net un1_next_length_1_sqmuxa_cry_9_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef C (instanceRef reg_fsm_length_3_9)) - )) - (net un1_next_length_1_sqmuxa_cry_7_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef C (instanceRef reg_fsm_length_3_8)) - )) - (net un1_next_length_1_sqmuxa_cry_7_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef C (instanceRef reg_fsm_length_3_7)) - )) - (net un1_next_length_1_sqmuxa_cry_5_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef C (instanceRef reg_fsm_length_3_6)) - )) - (net un1_next_length_1_sqmuxa_cry_5_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef C (instanceRef reg_fsm_length_3_5)) - )) - (net un1_next_length_1_sqmuxa_cry_3_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef C (instanceRef reg_fsm_length_3_4)) - )) - (net un1_next_length_1_sqmuxa_cry_3_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef C (instanceRef reg_fsm_length_3_3)) - )) - (net un1_next_length_1_sqmuxa_cry_1_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef C (instanceRef reg_fsm_length_3_2)) - )) - (net un1_next_length_1_sqmuxa_cry_1_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef C (instanceRef reg_fsm_length_3_1)) - )) - (net N_2689 (joined - (portRef Z (instanceRef current_state_RNI188F2_7)) - (portRef C (instanceRef next_API_DATA_OUT_am_7)) - (portRef C (instanceRef next_API_DATA_OUT_am_8)) - (portRef C (instanceRef next_API_DATA_OUT_am_9)) - (portRef SD (instanceRef next_API_DATA_OUT_20_0)) - (portRef C (instanceRef next_API_DATA_OUT_20_3)) - (portRef SD (instanceRef next_API_DATA_OUT_20_14)) - (portRef SD (instanceRef next_API_DATA_OUT_20_15)) - (portRef SD (instanceRef next_API_DATA_OUT_20_12)) - (portRef D (instanceRef next_API_DATA_OUT_20_6)) - (portRef SD (instanceRef next_API_DATA_OUT_20_10)) - (portRef D (instanceRef next_API_DATA_OUT_20_13)) - (portRef C (instanceRef next_API_DATA_OUT_20_11)) - (portRef SD (instanceRef next_API_DATA_OUT_20_2)) - (portRef C (instanceRef next_API_DATA_OUT_20_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_20_5)) - (portRef C (instanceRef next_API_DATA_OUT_20_4)) - )) - (net un169_next_api_data_out_24_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) - )) - (net un1_tmg_edge_found_i_axb_15 (joined - (portRef un1_tmg_edge_found_i_axb_15) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) - )) - (net un169_next_api_data_out_24_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) - )) - (net un169_next_api_data_out_13_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) - )) - (net un1_tmg_edge_found_i_axb_4 (joined - (portRef un1_tmg_edge_found_i_axb_4) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) - )) - (net un169_next_api_data_out_13_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) - )) (net un169_next_api_data_out_11_am (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11)) @@ -105401,164 +105592,155 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9)) (portRef A (instanceRef next_API_DATA_OUT_13_0)) )) - (net un4_timeout_counter (joined - (portRef Z (instanceRef fsm_un3_dat_dataready_in)) - (portRef B (instanceRef timeout_RNO)) - (portRef A (instanceRef fsm_un2_timeout_counter)) - )) - (net un4_dat_dataready_in (joined - (portRef Z (instanceRef fsm_un4_dat_dataready_in)) - (portRef D (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) - (portRef C (instanceRef un1_current_state_10)) - )) - (net N_2061_0 (joined - (portRef Z (instanceRef next_address_0_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_i_4)) - (portRef A (instanceRef current_state_ns_0_i_5)) - (portRef A (instanceRef rom_read_addr_0)) - (portRef A (instanceRef un1_next_address_0_sqmuxa)) - (portRef B (instanceRef current_state_ns_0_i_3)) - )) - (net un1_next_nomoredata_0_sqmuxa_3_0_0 (joined - (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_1_0)) - (portRef D (instanceRef timeout_RNO)) - (portRef D (instanceRef unknown_RNO)) - (portRef C (instanceRef nomoredata_RNO)) + (net next_address_i_m2_axb_0 (joined + (portRef Z (instanceRef next_address_i_m2_cry_0_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_0_0)) )) - (net un1_next_state_5_sqmuxa_i (joined - (portRef Z (instanceRef un1_next_state_5_sqmuxa)) - (portRef C (instanceRef dont_understand_RNO_0)) + (net next_address_i_m2_axb_1 (joined + (portRef Z (instanceRef next_address_i_m2_cry_1_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_1_0)) )) - (net un1_next_address_0_sqmuxa_0 (joined - (portRef Z (instanceRef un1_next_address_0_sqmuxa)) - (portRef C0 (instanceRef next_address_i_m2_s_15_0)) - (portRef D (instanceRef next_address_i_m2_cry_0_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_13_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_13_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_11_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_11_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_9_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_9_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_7_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_7_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_5_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_5_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_3_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_3_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_1_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_1_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_0_0_RNO_0)) + (net next_address_i_m2_axb_2 (joined + (portRef Z (instanceRef next_address_i_m2_cry_1_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_1_0)) )) - (net next_state_2_sqmuxa_1 (joined - (portRef Z (instanceRef next_state_2_sqmuxa_1)) - (portRef B (instanceRef unknown_RNO)) + (net next_address_i_m2_axb_3 (joined + (portRef Z (instanceRef next_address_i_m2_cry_3_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_3_0)) )) - (net m4_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) + (net next_address_i_m2_axb_4 (joined + (portRef Z (instanceRef next_address_i_m2_cry_3_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_3_0)) )) - (net m9_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_8_03_0_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03)) + (net next_address_i_m2_axb_5 (joined + (portRef Z (instanceRef next_address_i_m2_cry_5_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_5_0)) )) - (net m8_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_8_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03)) + (net next_address_i_m2_axb_6 (joined + (portRef Z (instanceRef next_address_i_m2_cry_5_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_5_0)) )) - (net current_state_ns_0_0_12 (joined - (portRef Z (instanceRef current_state_ns_0_0_12)) - (portRef D (instanceRef current_state_ns_0_i_12)) + (net next_address_i_m2_axb_7 (joined + (portRef Z (instanceRef next_address_i_m2_cry_7_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_7_0)) )) - (net un169_next_api_data_out_23_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) + (net next_address_i_m2_axb_8 (joined + (portRef Z (instanceRef next_address_i_m2_cry_7_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_7_0)) )) - (net un1_tmg_edge_found_i_axb_14 (joined - (portRef un1_tmg_edge_found_i_axb_14) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) + (net next_address_i_m2_axb_9 (joined + (portRef Z (instanceRef next_address_i_m2_cry_9_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_9_0)) )) - (net un169_next_api_data_out_23_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) + (net next_address_i_m2_axb_10 (joined + (portRef Z (instanceRef next_address_i_m2_cry_9_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_9_0)) )) - (net un169_next_api_data_out_14 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) - (portRef A (instanceRef next_API_DATA_OUT_13_14)) + (net next_address_i_m2_axb_11 (joined + (portRef Z (instanceRef next_address_i_m2_cry_11_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_11_0)) )) - (net rom_read_dout_7 (joined - (portRef rom_read_dout_7 (instanceRef board_rom)) - (portRef D (instanceRef next_API_DATA_OUT_17_3)) - (portRef B (instanceRef next_API_DATA_OUT_17_bm_14)) - (portRef B (instanceRef next_API_DATA_OUT_17_bm_10)) - (portRef D (instanceRef next_API_DATA_OUT_17_7)) + (net next_address_i_m2_axb_12 (joined + (portRef Z (instanceRef next_address_i_m2_cry_11_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_11_0)) )) - (net N_2646 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_7)) - (portRef B (instanceRef next_API_DATA_OUT_am_7)) + (net next_address_i_m2_axb_13 (joined + (portRef Z (instanceRef next_address_i_m2_cry_13_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_13_0)) )) - (net rom_read_dout_2 (joined - (portRef rom_read_dout_2 (instanceRef board_rom)) - (portRef D (instanceRef next_API_DATA_OUT_17_1)) - (portRef B (instanceRef next_API_DATA_OUT_17_bm_2)) - (portRef D (instanceRef next_API_DATA_OUT_17_4)) + (net next_address_i_m2_axb_14 (joined + (portRef Z (instanceRef next_address_i_m2_cry_13_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_13_0)) )) - (net N_2643 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_4)) - (portRef B (instanceRef next_API_DATA_OUT_20_4)) + (net N_5910 (joined + (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_o2)) + (portRef A (instanceRef unknown_RNO)) + (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_1_i)) + (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_2_i)) )) - (net trg_int_number_i_9 (joined - (portRef (member trg_int_number_i 6)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) + (net N_2526 (joined + (portRef Z (instanceRef current_state_RNIVD6M1_10)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_0)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_15)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_11)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_13)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_4)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_14)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_9)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_10)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_12)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_1)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_3)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_2)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_8)) + (portRef A (instanceRef next_API_DATA_OUT_10_6)) + (portRef A (instanceRef next_API_DATA_OUT_10_5)) + (portRef A (instanceRef next_API_DATA_OUT_10_7)) )) - (net un169_next_api_data_out_18_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) + (net length_s_15 (joined + (portRef S0 (instanceRef length_s_0_15)) + (portRef A (instanceRef length_lm_0_15)) )) - (net un1_tmg_edge_found_i_axb_9 (joined - (portRef un1_tmg_edge_found_i_axb_9) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef the_addresses)) + (portRef D (instanceRef length_lm_0_14)) + (portRef D (instanceRef length_lm_0_13)) + (portRef D (instanceRef length_lm_0_12)) + (portRef D (instanceRef length_lm_0_11)) + (portRef D (instanceRef length_lm_0_10)) + (portRef D (instanceRef length_lm_0_9)) + (portRef D (instanceRef length_lm_0_8)) + (portRef D (instanceRef length_lm_0_7)) + (portRef D (instanceRef length_lm_0_6)) + (portRef D (instanceRef length_lm_0_5)) + (portRef D (instanceRef length_lm_0_4)) + (portRef D (instanceRef length_lm_0_3)) + (portRef D (instanceRef length_lm_0_2)) + (portRef D (instanceRef length_lm_0_1)) + (portRef D (instanceRef length_lm_0_0)) + (portRef C (instanceRef length_lm_0_15)) + (portRef D (instanceRef current_state_nss_0_i_0)) )) - (net un169_next_api_data_out_18_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) + (net current_state_ns_0_i_s_0_12 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_0_12)) + (portRef C (instanceRef current_state_ns_0_i_s_12)) )) - (net un169_next_api_data_out_21_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) + (net m7_8_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_8_03_0_0)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_7)) )) - (net un1_tmg_edge_found_i_axb_12 (joined - (portRef un1_tmg_edge_found_i_axb_12) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) + (net m14_8_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03_0_0)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_14)) )) - (net un169_next_api_data_out_21_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) + (net m4_8_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) )) - (net un169_next_api_data_out_12 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) - (portRef A (instanceRef next_API_DATA_OUT_13_12)) + (net m4_8_03_0_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_8_03_0_0)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_m2_2_bm_4)) )) - (net rom_read_dout_9 (joined - (portRef rom_read_dout_9 (instanceRef board_rom)) - (portRef D (instanceRef next_API_DATA_OUT_20_am_5)) - (portRef D (instanceRef next_API_DATA_OUT_17_11)) - (portRef D (instanceRef next_API_DATA_OUT_17_9)) + (net m7_8_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_8_03_0_0)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) )) - (net N_2648 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_9)) - (portRef B (instanceRef next_API_DATA_OUT_am_9)) + (net un169_next_api_data_out_15_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) )) - (net next_API_DATA_OUT_17_am_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_am_2)) - (portRef BLUT (instanceRef next_API_DATA_OUT_17_2)) + (net un1_tmg_edge_found_i_axb_6 (joined + (portRef un1_tmg_edge_found_i_axb_6) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) )) - (net next_API_DATA_OUT_17_bm_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_bm_2)) - (portRef ALUT (instanceRef next_API_DATA_OUT_17_2)) + (net un169_next_api_data_out_15_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) )) - (net N_2641 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_2)) - (portRef D0 (instanceRef next_API_DATA_OUT_20_2)) + (net un169_next_api_data_out_6 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) + (portRef A (instanceRef next_API_DATA_OUT_13_6)) )) (net next_API_DATA_OUT_17_am_8 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_am_8)) @@ -105572,41 +105754,34 @@ (portRef Z (instanceRef next_API_DATA_OUT_17_bm_8)) (portRef ALUT (instanceRef next_API_DATA_OUT_17_8)) )) - (net N_2647 (joined + (net N_2654 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_8)) - (portRef B (instanceRef next_API_DATA_OUT_am_8)) - )) - (net rom_read_addr_0 (joined - (portRef Z (instanceRef rom_read_addr_0)) - (portRef (member rom_read_addr 2) (instanceRef board_rom)) - )) - (net next_API_DATA_OUT_2_am_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_am_6)) - (portRef BLUT (instanceRef next_API_DATA_OUT_2_6)) + (portRef D0 (instanceRef next_API_DATA_OUT_20_8)) )) - (net next_API_DATA_OUT_2_bm_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_bm_6)) - (portRef ALUT (instanceRef next_API_DATA_OUT_2_6)) + (net rom_read_dout_9 (joined + (portRef rom_read_dout_9 (instanceRef board_rom)) + (portRef D (instanceRef next_API_DATA_OUT_17_7)) + (portRef D (instanceRef next_API_DATA_OUT_17_9)) )) - (net N_5862 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_6)) - (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_6)) + (net N_2655 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_9)) + (portRef B (instanceRef next_API_DATA_OUT_am_9)) )) - (net N_2640 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_1)) - (portRef B (instanceRef next_API_DATA_OUT_20_1)) + (net un169_next_api_data_out_16_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16)) )) - (net next_API_DATA_OUT_17_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_17_10)) + (net un1_tmg_edge_found_i_axb_7 (joined + (portRef un1_tmg_edge_found_i_axb_7) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_bm)) )) - (net next_API_DATA_OUT_17_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_17_10)) + (net un169_next_api_data_out_16_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16)) )) - (net N_2649 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_10)) - (portRef D0 (instanceRef next_API_DATA_OUT_20_10)) + (net un169_next_api_data_out_7 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_1_7)) )) (net next_API_DATA_OUT_17_am_12 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_am_12)) @@ -105621,10 +105796,35 @@ (portRef Z (instanceRef next_API_DATA_OUT_17_bm_12)) (portRef ALUT (instanceRef next_API_DATA_OUT_17_12)) )) - (net N_2651 (joined + (net N_2658 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_12)) (portRef D0 (instanceRef next_API_DATA_OUT_20_12)) )) + (net next_API_DATA_OUT_17_am_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_am_13)) + (portRef BLUT (instanceRef next_API_DATA_OUT_17_13)) + )) + (net rom_read_dout_6 (joined + (portRef rom_read_dout_6 (instanceRef board_rom)) + (portRef D (instanceRef next_API_DATA_OUT_20_am_6)) + (portRef B (instanceRef next_API_DATA_OUT_17_bm_13)) + )) + (net next_API_DATA_OUT_17_bm_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_bm_13)) + (portRef ALUT (instanceRef next_API_DATA_OUT_17_13)) + )) + (net N_2659 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_13)) + (portRef D0 (instanceRef next_API_DATA_OUT_20_13)) + )) + (net N_2653 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_7)) + (portRef B (instanceRef next_API_DATA_OUT_am_7)) + )) + (net rom_read_addr_0 (joined + (portRef Z (instanceRef rom_read_addr_0)) + (portRef (member rom_read_addr 2) (instanceRef board_rom)) + )) (net next_API_DATA_OUT_17_am_15 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_am_15)) (portRef BLUT (instanceRef next_API_DATA_OUT_17_15)) @@ -105633,27 +105833,48 @@ (portRef Z (instanceRef next_API_DATA_OUT_17_bm_15)) (portRef ALUT (instanceRef next_API_DATA_OUT_17_15)) )) - (net N_2654 (joined + (net N_2661 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_15)) (portRef D0 (instanceRef next_API_DATA_OUT_20_15)) )) - (net N_2650 (joined + (net rom_read_dout_3 (joined + (portRef rom_read_dout_3 (instanceRef board_rom)) + (portRef D (instanceRef next_API_DATA_OUT_20_am_5)) + (portRef D (instanceRef next_API_DATA_OUT_17_3)) + (portRef D (instanceRef next_API_DATA_OUT_17_1)) + (portRef D (instanceRef next_API_DATA_OUT_17_10)) + (portRef D (instanceRef next_API_DATA_OUT_17_11)) + (portRef D (instanceRef next_API_DATA_OUT_17_14)) + )) + (net N_2660 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_14)) + (portRef B (instanceRef next_API_DATA_OUT_20_14)) + )) + (net N_2657 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_11)) (portRef B (instanceRef next_API_DATA_OUT_20_11)) )) - (net next_API_DATA_OUT_17_am_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_am_14)) - (portRef BLUT (instanceRef next_API_DATA_OUT_17_14)) + (net N_2656 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_10)) + (portRef B (instanceRef next_API_DATA_OUT_20_10)) )) - (net next_API_DATA_OUT_17_bm_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_bm_14)) - (portRef ALUT (instanceRef next_API_DATA_OUT_17_14)) + (net next_API_DATA_OUT_2_i_m2_am_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_6)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_6)) )) - (net N_2653 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_14)) - (portRef D0 (instanceRef next_API_DATA_OUT_20_14)) + (net next_API_DATA_OUT_2_i_m2_bm_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_6)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_6)) )) - (net N_2642 (joined + (net N_256 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_6)) + (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_6)) + )) + (net N_2647 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_1)) + (portRef B (instanceRef next_API_DATA_OUT_20_1)) + )) + (net N_2649 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_3)) (portRef B (instanceRef next_API_DATA_OUT_20_3)) )) @@ -105669,7 +105890,7 @@ (portRef Z (instanceRef next_API_DATA_OUT_17_bm_0)) (portRef ALUT (instanceRef next_API_DATA_OUT_17_0)) )) - (net N_2639 (joined + (net N_2646 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_0)) (portRef D0 (instanceRef next_API_DATA_OUT_20_0)) )) @@ -105685,9 +105906,21 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_bm)) (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22)) )) - (net m11_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) + (net un169_next_api_data_out_21_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) + )) + (net un1_tmg_edge_found_i_axb_12 (joined + (portRef un1_tmg_edge_found_i_axb_12) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) + )) + (net un169_next_api_data_out_21_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) + )) + (net un169_next_api_data_out_12 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) + (portRef A (instanceRef next_API_DATA_OUT_13_i_m2_12)) )) (net un169_next_api_data_out_20_am (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) @@ -105701,30 +105934,6 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_bm)) (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20)) )) - (net un1_tmg_edge_found_i_axb_10 (joined - (portRef un1_tmg_edge_found_i_axb_10) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19)) - )) - (net un169_next_api_data_out_10 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_10)) - )) - (net un169_next_api_data_out_15_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) - )) - (net un1_tmg_edge_found_i_axb_6 (joined - (portRef un1_tmg_edge_found_i_axb_6) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) - )) - (net un169_next_api_data_out_15_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) - )) - (net un169_next_api_data_out_6 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) - (portRef A (instanceRef next_API_DATA_OUT_13_6)) - )) (net un169_next_api_data_out_12_am (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12)) @@ -105741,389 +105950,484 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12)) (portRef A (instanceRef next_API_DATA_OUT_13_3)) )) - (net N_2574 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_5)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_5)) + (net length_s_0 (joined + (portRef S1 (instanceRef length_cry_0_0)) + (portRef B (instanceRef length_lm_0_0)) )) - (net N_2571 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_2)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_2)) + (net length_s_1 (joined + (portRef S0 (instanceRef length_cry_0_1)) + (portRef B (instanceRef length_lm_0_1)) )) - (net N_2128 (joined - (portRef Z (instanceRef current_state_ns_i_a3_15)) - (portRef B (instanceRef current_state_ns_i_15)) + (net length_s_2 (joined + (portRef S1 (instanceRef length_cry_0_1)) + (portRef B (instanceRef length_lm_0_2)) )) - (net rom_read_addr_2 (joined - (portRef Z (instanceRef rom_read_addr_2)) - (portRef (member rom_read_addr 0) (instanceRef board_rom)) + (net length_s_3 (joined + (portRef S0 (instanceRef length_cry_0_3)) + (portRef B (instanceRef length_lm_0_3)) + )) + (net length_s_4 (joined + (portRef S1 (instanceRef length_cry_0_3)) + (portRef B (instanceRef length_lm_0_4)) + )) + (net length_s_5 (joined + (portRef S0 (instanceRef length_cry_0_5)) + (portRef B (instanceRef length_lm_0_5)) + )) + (net length_s_6 (joined + (portRef S1 (instanceRef length_cry_0_5)) + (portRef B (instanceRef length_lm_0_6)) + )) + (net length_s_7 (joined + (portRef S0 (instanceRef length_cry_0_7)) + (portRef B (instanceRef length_lm_0_7)) + )) + (net length_s_8 (joined + (portRef S1 (instanceRef length_cry_0_7)) + (portRef B (instanceRef length_lm_0_8)) + )) + (net length_s_9 (joined + (portRef S0 (instanceRef length_cry_0_9)) + (portRef B (instanceRef length_lm_0_9)) + )) + (net length_s_10 (joined + (portRef S1 (instanceRef length_cry_0_9)) + (portRef B (instanceRef length_lm_0_10)) + )) + (net length_s_11 (joined + (portRef S0 (instanceRef length_cry_0_11)) + (portRef B (instanceRef length_lm_0_11)) + )) + (net length_s_12 (joined + (portRef S1 (instanceRef length_cry_0_11)) + (portRef B (instanceRef length_lm_0_12)) + )) + (net length_s_13 (joined + (portRef S0 (instanceRef length_cry_0_13)) + (portRef B (instanceRef length_lm_0_13)) + )) + (net length_s_14 (joined + (portRef S1 (instanceRef length_cry_0_13)) + (portRef B (instanceRef length_lm_0_14)) + )) + (net un1_current_state3 (joined + (portRef Z (instanceRef un1_current_state3)) + (portRef D (instanceRef lengthe_0_i)) + )) + (net buf_API_SHORT_TRANSFER_OUT_Q (joined + (portRef Q (instanceRef buf_API_SHORT_TRANSFER_OUT)) + (portRef D (instanceRef next_API_SHORT_TRANSFER_OUT_f0)) + (portRef buf_API_SHORT_TRANSFER_OUT_Q) )) (net rom_read_addr_1 (joined (portRef Z (instanceRef rom_read_addr_1)) (portRef (member rom_read_addr 1) (instanceRef board_rom)) )) - (net N_2569 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_0)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_0)) + (net rom_read_addr_2 (joined + (portRef Z (instanceRef rom_read_addr_2)) + (portRef (member rom_read_addr 0) (instanceRef board_rom)) )) - (net N_2570 (joined + (net N_2581 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_5)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_5)) + )) + (net N_2507 (joined + (portRef Z (instanceRef next_API_DATA_OUT_8_15)) + (portRef BLUT (instanceRef next_API_DATA_OUT_13_15)) + )) + (net next_API_DATA_OUT_2_i_m2_am_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_10)) + )) + (net next_API_DATA_OUT_2_i_m2_bm_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_10)) + )) + (net N_260 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_10)) + (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_10)) + )) + (net N_2577 (joined (portRef Z (instanceRef next_API_DATA_OUT_13_1)) (portRef B (instanceRef next_API_DATA_OUT_21_bm_1)) )) - (net un2_timeout_counter_0 (joined - (portRef Z (instanceRef fsm_un2_timeout_counter)) - (portRef C (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) + (net N_2578 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_2)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_2)) )) - (net OUT5_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_5)) + (net N_2576 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_0)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_0)) + )) + (net next_address_i_m2_cry_0_0_RNO (joined + (portRef Z (instanceRef next_address_i_m2_cry_0_0_RNO)) + (portRef B0 (instanceRef next_address_i_m2_cry_0_0)) )) (net OUT6_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_6)) + (portRef B (instanceRef next_API_DATA_OUT_12_6)) + )) + (net OUT5_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03)) + (portRef B (instanceRef next_API_DATA_OUT_12_5)) )) (net OUT13_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_13)) - )) - (net OUT14_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03)) - (portRef B (instanceRef next_API_DATA_OUT_12_14)) + (portRef B (instanceRef next_API_DATA_OUT_12_13)) )) (net OUT15_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m15_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_15)) + (portRef B (instanceRef next_API_DATA_OUT_12_15)) + )) + (net OUT10_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_8_03)) + (portRef B (instanceRef next_API_DATA_OUT_12_10)) )) (net OUT11_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_11)) + (portRef B (instanceRef next_API_DATA_OUT_12_11)) )) (net OUT12_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_12)) - )) - (net m8_6_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03)) + (portRef B (instanceRef next_API_DATA_OUT_12_i_m2_12)) )) (net OUT8_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_18_bm_8)) - )) - (net next_API_DATA_OUT_2_am_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_am_11)) - (portRef BLUT (instanceRef next_API_DATA_OUT_2_11)) - )) - (net next_API_DATA_OUT_2_bm_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_bm_11)) - (portRef ALUT (instanceRef next_API_DATA_OUT_2_11)) - )) - (net N_5867 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_11)) - (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_11)) - )) - (net next_API_DATA_OUT_2_am_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_am_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_2_15)) - )) - (net common_ctrl_reg_95 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_95_buf_COMMON_CTRL_REG_OUT_95)) - (portRef D (instanceRef next_API_DATA_OUT_2_bm_15)) - (portRef common_ctrl_reg_95) + (portRef B (instanceRef next_API_DATA_OUT_12_8)) )) - (net next_API_DATA_OUT_2_bm_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_bm_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_2_15)) + (net N_2535 (joined + (portRef Z (instanceRef next_API_DATA_OUT_10_7)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_7)) )) - (net N_5871 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_15)) - (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_15)) + (net N_2533 (joined + (portRef Z (instanceRef next_API_DATA_OUT_10_5)) + (portRef B (instanceRef next_API_DATA_OUT_20_bm_5)) )) - (net next_API_DATA_OUT_2_am_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_am_5)) - (portRef BLUT (instanceRef next_API_DATA_OUT_2_5)) + (net next_API_DATA_OUT_2_i_m2_am_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_5)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_5)) )) - (net next_API_DATA_OUT_2_bm_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_bm_5)) - (portRef ALUT (instanceRef next_API_DATA_OUT_2_5)) + (net next_API_DATA_OUT_2_i_m2_bm_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_5)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_5)) )) - (net N_5861 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_5)) + (net N_6137 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_5)) (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_5)) )) - (net next_API_DATA_OUT_2_am_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_am_13)) - (portRef BLUT (instanceRef next_API_DATA_OUT_2_13)) - )) - (net common_ctrl_reg_93 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_93_buf_COMMON_CTRL_REG_OUT_93)) - (portRef D (instanceRef next_API_DATA_OUT_2_bm_13)) - (portRef common_ctrl_reg_93) - )) - (net next_API_DATA_OUT_2_bm_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_bm_13)) - (portRef ALUT (instanceRef next_API_DATA_OUT_2_13)) - )) - (net N_5869 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_13)) - (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_13)) + (net un169_next_api_data_out_18_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) )) - (net next_address_i_m2_axb_0 (joined - (portRef Z (instanceRef next_address_i_m2_cry_0_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_0_0)) + (net un1_tmg_edge_found_i_axb_9 (joined + (portRef un1_tmg_edge_found_i_axb_9) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) )) - (net next_address_i_m2_axb_1 (joined - (portRef Z (instanceRef next_address_i_m2_cry_1_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_1_0)) + (net un169_next_api_data_out_18_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) )) - (net next_address_i_m2_axb_2 (joined - (portRef Z (instanceRef next_address_i_m2_cry_1_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_1_0)) + (net N_2534 (joined + (portRef Z (instanceRef next_API_DATA_OUT_10_6)) + (portRef B (instanceRef next_API_DATA_OUT_20_bm_6)) )) - (net next_address_i_m2_axb_3 (joined - (portRef Z (instanceRef next_address_i_m2_cry_3_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_3_0)) + (net next_API_DATA_OUT_2_i_m2_am_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_12)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_12)) )) - (net next_address_i_m2_axb_4 (joined - (portRef Z (instanceRef next_address_i_m2_cry_3_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_3_0)) + (net next_API_DATA_OUT_2_i_m2_bm_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_12)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_12)) )) - (net next_address_i_m2_axb_5 (joined - (portRef Z (instanceRef next_address_i_m2_cry_5_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_5_0)) + (net N_262 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_12)) + (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_12)) )) - (net next_address_i_m2_axb_6 (joined - (portRef Z (instanceRef next_address_i_m2_cry_5_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_5_0)) + (net next_API_DATA_OUT_2_i_m2_am_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_11)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_11)) )) - (net next_address_i_m2_axb_7 (joined - (portRef Z (instanceRef next_address_i_m2_cry_7_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_7_0)) + (net next_API_DATA_OUT_2_i_m2_bm_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_11)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_11)) )) - (net next_address_i_m2_axb_8 (joined - (portRef Z (instanceRef next_address_i_m2_cry_7_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_7_0)) + (net N_261 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_11)) + (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_11)) )) - (net next_address_i_m2_axb_9 (joined - (portRef Z (instanceRef next_address_i_m2_cry_9_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_9_0)) + (net next_API_DATA_OUT_2_i_m2_am_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_8)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_8)) )) - (net next_address_i_m2_axb_10 (joined - (portRef Z (instanceRef next_address_i_m2_cry_9_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_9_0)) + (net next_API_DATA_OUT_2_i_m2_bm_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_8)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_8)) )) - (net next_address_i_m2_axb_11 (joined - (portRef Z (instanceRef next_address_i_m2_cry_11_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_11_0)) + (net N_258 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_8)) + (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_8)) )) - (net next_address_i_m2_axb_12 (joined - (portRef Z (instanceRef next_address_i_m2_cry_11_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_11_0)) + (net N_2582 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_6)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_6)) )) - (net next_address_i_m2_axb_13 (joined - (portRef Z (instanceRef next_address_i_m2_cry_13_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_13_0)) + (net N_292 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_i_m2_12)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_12)) )) - (net next_address_i_m2_axb_14 (joined - (portRef Z (instanceRef next_address_i_m2_cry_13_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_13_0)) + (net un14_i_a3_0_1 (joined + (portRef (member un14_i_a3_0 0)) + (portRef C (instanceRef next_API_DATA_OUT_8_i_m2_am_10)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_1)) )) - (net next_API_DATA_OUT_13_am_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_am_7)) - (portRef BLUT (instanceRef next_API_DATA_OUT_13_7)) + (net next_API_DATA_OUT_8_i_m2_am_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_8_i_m2_am_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_8_i_m2_10)) )) - (net next_API_DATA_OUT_13_bm_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_bm_7)) - (portRef ALUT (instanceRef next_API_DATA_OUT_13_7)) + (net un1_tmg_edge_found_i_axb_10 (joined + (portRef un1_tmg_edge_found_i_axb_10) + (portRef A (instanceRef next_API_DATA_OUT_8_i_m2_bm_10)) )) - (net N_2576 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_7)) - (portRef D0 (instanceRef next_API_DATA_OUT_18_7)) + (net next_API_DATA_OUT_8_i_m2_bm_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_8_i_m2_bm_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_8_i_m2_10)) )) - (net N_2581 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_12)) - (portRef BLUT (instanceRef next_API_DATA_OUT_18_12)) + (net N_289 (joined + (portRef Z (instanceRef next_API_DATA_OUT_8_i_m2_10)) + (portRef A (instanceRef next_API_DATA_OUT_13_10)) )) - (net N_2575 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_6)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_6)) + (net N_5861 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_3_4)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_1_14)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_1_7)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_0_4)) + (portRef N_5861) )) - (net N_2583 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_14)) - (portRef BLUT (instanceRef next_API_DATA_OUT_18_14)) + (net N_361 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_0_4)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_4)) )) - (net N_2572 (joined + (net N_2579 (joined (portRef Z (instanceRef next_API_DATA_OUT_13_3)) (portRef B (instanceRef next_API_DATA_OUT_21_bm_3)) )) + (net lvl1_tmg_trg_missing_flag (joined + (portRef lvl1_tmg_trg_missing_flag) + (portRef C (instanceRef next_API_DATA_OUT_8_am_8)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_2)) + )) (net next_API_DATA_OUT_8_am_8 (joined (portRef Z (instanceRef next_API_DATA_OUT_8_am_8)) (portRef BLUT (instanceRef next_API_DATA_OUT_8_8)) )) - (net lvl1_tmg_trg_missing_flag (joined - (portRef lvl1_tmg_trg_missing_flag) - (portRef C (instanceRef next_API_DATA_OUT_8_bm_8)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i)) - )) (net next_API_DATA_OUT_8_bm_8 (joined (portRef Z (instanceRef next_API_DATA_OUT_8_bm_8)) (portRef ALUT (instanceRef next_API_DATA_OUT_8_8)) )) - (net N_2493 (joined + (net N_2500 (joined (portRef Z (instanceRef next_API_DATA_OUT_8_8)) - (portRef A (instanceRef next_API_DATA_OUT_18_am_8)) + (portRef A (instanceRef next_API_DATA_OUT_13_8)) )) - (net next_address_i_m2_cry_0_0_RNO (joined - (portRef Z (instanceRef next_address_i_m2_cry_0_0_RNO)) - (portRef B0 (instanceRef next_address_i_m2_cry_0_0)) + (net next_API_DATA_OUT_1_am_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_am_5)) + (portRef BLUT (instanceRef next_API_DATA_OUT_1_5)) )) - (net next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1 (joined - (portRef Z (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) - (portRef C (instanceRef next_API_SHORT_TRANSFER_OUT_f0)) + (net next_API_DATA_OUT_1_bm_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_5)) + (portRef ALUT (instanceRef next_API_DATA_OUT_1_5)) + )) + (net N_6029 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_5)) + (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_5)) + )) + (net stat_reg_3 (joined + (portRef stat_reg_3) + (portRef C (instanceRef next_API_DATA_OUT_12_i_m2_1_am_3)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) + )) + (net next_API_DATA_OUT_12_i_m2_1_am_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_am_3)) + (portRef BLUT (instanceRef next_API_DATA_OUT_12_i_m2_1_3)) + )) + (net next_API_DATA_OUT_12_i_m2_1_bm_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_3)) + (portRef ALUT (instanceRef next_API_DATA_OUT_12_i_m2_1_3)) + )) + (net N_298 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_3)) + (portRef D0 (instanceRef next_API_DATA_OUT_12_i_m2_3)) )) - (net next_API_DATA_OUT_14_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_10)) + (net stat_reg_2 (joined + (portRef stat_reg_2) + (portRef C (instanceRef next_API_DATA_OUT_12_i_m2_1_am_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) )) - (net next_API_DATA_OUT_14_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_10)) + (net next_API_DATA_OUT_12_i_m2_1_am_2 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_am_2)) + (portRef BLUT (instanceRef next_API_DATA_OUT_12_i_m2_1_2)) )) - (net N_4470 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_10)) - (portRef D0 (instanceRef next_API_DATA_OUT_19_10)) + (net next_API_DATA_OUT_12_i_m2_1_bm_2 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_2)) + (portRef ALUT (instanceRef next_API_DATA_OUT_12_i_m2_1_2)) )) - (net next_API_DATA_OUT_9_am_0 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_am_0)) - (portRef BLUT (instanceRef next_API_DATA_OUT_9_0)) + (net N_297_0 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_2)) + (portRef D0 (instanceRef next_API_DATA_OUT_12_i_m2_2)) )) (net stat_reg_0 (joined (portRef stat_reg_0) - (portRef C (instanceRef next_API_DATA_OUT_9_bm_0)) + (portRef C (instanceRef next_API_DATA_OUT_9_i_m2_am_0)) (portRef C (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) )) - (net next_API_DATA_OUT_9_bm_0 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_bm_0)) - (portRef ALUT (instanceRef next_API_DATA_OUT_9_0)) + (net next_API_DATA_OUT_9_i_m2_am_0 (joined + (portRef Z (instanceRef next_API_DATA_OUT_9_i_m2_am_0)) + (portRef BLUT (instanceRef next_API_DATA_OUT_9_i_m2_0)) )) - (net N_2503 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_0)) + (net next_API_DATA_OUT_9_i_m2_bm_0 (joined + (portRef Z (instanceRef next_API_DATA_OUT_9_i_m2_bm_0)) + (portRef ALUT (instanceRef next_API_DATA_OUT_9_i_m2_0)) + )) + (net N_296 (joined + (portRef Z (instanceRef next_API_DATA_OUT_9_i_m2_0)) (portRef D0 (instanceRef next_API_DATA_OUT_12_0)) )) - (net next_API_DATA_OUT_1_am_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_am_6)) - (portRef BLUT (instanceRef next_API_DATA_OUT_1_6)) + (net stat_reg_4 (joined + (portRef stat_reg_4) + (portRef C (instanceRef next_API_DATA_OUT_18_i_m2_2_am_4)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_4_03)) )) - (net next_API_DATA_OUT_1_bm_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_6)) - (portRef ALUT (instanceRef next_API_DATA_OUT_1_6)) + (net next_API_DATA_OUT_18_i_m2_2_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_m2_2_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_18_i_m2_2_4)) )) - (net N_5846 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_6)) - (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_6)) + (net next_API_DATA_OUT_18_i_m2_2_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_m2_2_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_18_i_m2_2_4)) )) - (net next_API_DATA_OUT_1_am_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_am_5)) - (portRef BLUT (instanceRef next_API_DATA_OUT_1_5)) + (net N_295 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_m2_2_4)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_4)) )) - (net next_API_DATA_OUT_1_bm_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_5)) - (portRef ALUT (instanceRef next_API_DATA_OUT_1_5)) + (net N_6126 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_10)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_10)) )) - (net N_5845 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_5)) - (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_5)) + (net stat_reg_1 (joined + (portRef stat_reg_1) + (portRef C (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) )) (net next_API_DATA_OUT_9_am_1 (joined (portRef Z (instanceRef next_API_DATA_OUT_9_am_1)) (portRef BLUT (instanceRef next_API_DATA_OUT_9_1)) )) - (net stat_reg_1 (joined - (portRef stat_reg_1) - (portRef C (instanceRef next_API_DATA_OUT_9_bm_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) - )) (net next_API_DATA_OUT_9_bm_1 (joined (portRef Z (instanceRef next_API_DATA_OUT_9_bm_1)) (portRef ALUT (instanceRef next_API_DATA_OUT_9_1)) )) - (net N_2504 (joined + (net N_2511 (joined (portRef Z (instanceRef next_API_DATA_OUT_9_1)) (portRef D0 (instanceRef next_API_DATA_OUT_12_1)) )) - (net next_API_DATA_OUT_9_am_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_am_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_9_4)) + (net next_API_DATA_OUT_1_am_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_am_6)) + (portRef BLUT (instanceRef next_API_DATA_OUT_1_6)) )) - (net stat_reg_4 (joined - (portRef stat_reg_4) - (portRef C (instanceRef next_API_DATA_OUT_9_bm_4)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m4_6_03_0)) + (net next_API_DATA_OUT_1_bm_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_6)) + (portRef ALUT (instanceRef next_API_DATA_OUT_1_6)) )) - (net next_API_DATA_OUT_9_bm_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_bm_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_9_4)) + (net N_6030 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_6)) + (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_6)) )) - (net N_2507 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_4)) - (portRef D0 (instanceRef next_API_DATA_OUT_12_4)) + (net next_API_DATA_OUT_18_i_1_7 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_1_7)) + (portRef D (instanceRef next_API_DATA_OUT_bm_7)) )) - (net next_API_DATA_OUT_9_am_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_am_3)) - (portRef BLUT (instanceRef next_API_DATA_OUT_9_3)) + (net N_368 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_2_14)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_1_14)) )) - (net stat_reg_3 (joined - (portRef stat_reg_3) - (portRef C (instanceRef next_API_DATA_OUT_9_bm_3)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) + (net next_API_DATA_OUT_18_i_1_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_1_14)) + (portRef D (instanceRef next_API_DATA_OUT_21_14)) )) - (net next_API_DATA_OUT_9_bm_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_bm_3)) - (portRef ALUT (instanceRef next_API_DATA_OUT_9_3)) + (net next_API_DATA_OUT_16_am_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_8)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_8)) )) - (net N_2506 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_3)) - (portRef D0 (instanceRef next_API_DATA_OUT_12_3)) + (net next_API_DATA_OUT_16_bm_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_8)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_8)) )) - (net next_API_DATA_OUT_9_am_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_am_2)) - (portRef BLUT (instanceRef next_API_DATA_OUT_9_2)) + (net N_2636 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_8)) + (portRef D1 (instanceRef next_API_DATA_OUT_20_8)) )) - (net stat_reg_2 (joined - (portRef stat_reg_2) - (portRef C (instanceRef next_API_DATA_OUT_9_bm_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) + (net N_372 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_7)) + (portRef A (instanceRef next_API_DATA_OUT_bm_7)) )) - (net next_API_DATA_OUT_9_bm_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_bm_2)) - (portRef ALUT (instanceRef next_API_DATA_OUT_9_2)) + (net next_API_DATA_OUT_16_am_2 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_2)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_2)) )) - (net N_2505 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_2)) - (portRef D0 (instanceRef next_API_DATA_OUT_12_2)) + (net next_API_DATA_OUT_16_bm_2 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_2)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_2)) )) - (net N_89 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_11)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_11)) + (net N_2630 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_2)) + (portRef B (instanceRef next_API_DATA_OUT_20_2)) )) - (net next_API_DATA_OUT_14_i_m2_am_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_12)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_12)) + (net next_API_DATA_OUT_16_am_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_3)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_3)) )) - (net next_API_DATA_OUT_14_i_m2_bm_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_12)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_12)) + (net next_API_DATA_OUT_16_bm_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_3)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_3)) )) - (net N_90 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_12)) - (portRef D1 (instanceRef next_API_DATA_OUT_19_12)) + (net N_2631 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_3)) + (portRef A (instanceRef next_API_DATA_OUT_20_3)) )) - (net N_93 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_15)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_15)) + (net next_API_DATA_OUT_16_am_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_1)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_1)) )) - (net N_91 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_13)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_13)) + (net next_API_DATA_OUT_16_bm_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_1)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_1)) + )) + (net N_2629 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_1)) + (portRef A (instanceRef next_API_DATA_OUT_20_1)) + )) + (net next_API_DATA_OUT_16_am_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_12)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_12)) + )) + (net next_API_DATA_OUT_16_bm_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_12)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_12)) + )) + (net N_2640 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_12)) + (portRef D1 (instanceRef next_API_DATA_OUT_20_12)) + )) + (net next_API_DATA_OUT_16_am_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_10)) + )) + (net next_API_DATA_OUT_16_bm_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_10)) + )) + (net N_2638 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_10)) + (portRef A (instanceRef next_API_DATA_OUT_20_10)) )) (net next_API_DATA_OUT_14_i_m2_am_9 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_9)) @@ -106133,409 +106437,341 @@ (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_9)) )) - (net N_88 (joined + (net N_267 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_9)) - (portRef D0 (instanceRef next_API_DATA_OUT_19_9)) + (portRef D1 (instanceRef next_API_DATA_OUT_19_9)) )) - (net next_API_DATA_OUT_14_i_m2_am_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_14)) + (net N_6720 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_8)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_8)) )) - (net next_API_DATA_OUT_14_i_m2_bm_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_14)) + (net next_API_DATA_OUT_16_am_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_9)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_9)) )) - (net N_92 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_14)) - (portRef D1 (instanceRef next_API_DATA_OUT_19_14)) + (net next_API_DATA_OUT_16_bm_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_9)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_9)) )) - (net N_682 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_8)) + (net N_2637 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_9)) + (portRef A (instanceRef next_API_DATA_OUT_am_9)) )) - (net next_API_DATA_OUT_14_i_m2_am_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_8)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_8)) + (net next_API_DATA_OUT_16_am_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_14)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_14)) )) - (net next_API_DATA_OUT_14_i_m2_bm_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_8)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_8)) + (net next_API_DATA_OUT_16_bm_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_14)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_14)) )) - (net N_87 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_8)) - (portRef D1 (instanceRef next_API_DATA_OUT_19_8)) + (net N_2642 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_14)) + (portRef A (instanceRef next_API_DATA_OUT_20_14)) )) - (net next_API_DATA_OUT_12_am_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_am_7)) - (portRef BLUT (instanceRef next_API_DATA_OUT_12_7)) + (net next_API_DATA_OUT_16_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_4)) )) - (net next_API_DATA_OUT_12_bm_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_bm_7)) - (portRef ALUT (instanceRef next_API_DATA_OUT_12_7)) + (net next_API_DATA_OUT_16_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_4)) )) - (net N_2560 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_7)) - (portRef D1 (instanceRef next_API_DATA_OUT_18_7)) + (net N_2632 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_4)) + (portRef B (instanceRef next_API_DATA_OUT_am_4)) )) - (net N_2564 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_11)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_11)) + (net next_API_DATA_OUT_16_am_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_13)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_13)) )) - (net N_2558 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_5)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_5)) + (net next_API_DATA_OUT_16_bm_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_13)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_13)) )) - (net N_2519 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_RNIRIQP1)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_0)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_3)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_14)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_15)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_12)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_6)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_10)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_13)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_11)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_8)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_2)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_1)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_9)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_4)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_7)) - (portRef A (instanceRef next_API_DATA_OUT_10_5)) + (net N_2641 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_13)) + (portRef D1 (instanceRef next_API_DATA_OUT_20_13)) )) - (net N_2526 (joined - (portRef Z (instanceRef next_API_DATA_OUT_10_5)) - (portRef B (instanceRef next_API_DATA_OUT_20_bm_5)) + (net next_API_DATA_OUT_16_am_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_11)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_11)) )) - (net un254_next_api_data_out_13 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) - (portRef B (instanceRef next_API_DATA_OUT_12_13)) + (net next_API_DATA_OUT_16_bm_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_11)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_11)) )) - (net N_2566 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_13)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_13)) + (net N_2639 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_11)) + (portRef A (instanceRef next_API_DATA_OUT_20_11)) )) - (net next_API_DATA_OUT_13_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_13_10)) + (net next_API_DATA_OUT_16_am_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_15)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_15)) )) - (net next_API_DATA_OUT_13_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_13_10)) + (net next_API_DATA_OUT_16_bm_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_15)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_15)) )) - (net N_2579 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_10)) - (portRef D0 (instanceRef next_API_DATA_OUT_18_10)) + (net N_2643 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_15)) + (portRef D1 (instanceRef next_API_DATA_OUT_20_15)) )) - (net next_API_DATA_OUT_12_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_12_10)) + (net next_API_DATA_OUT_14_i_m2_am_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_15)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_15)) )) - (net next_API_DATA_OUT_12_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_12_10)) + (net next_API_DATA_OUT_14_i_m2_bm_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_15)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_15)) )) - (net N_2563 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_10)) - (portRef D1 (instanceRef next_API_DATA_OUT_18_10)) + (net N_6131 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_15)) + (portRef D1 (instanceRef next_API_DATA_OUT_19_15)) )) - (net N_2559 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_6)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_6)) + (net next_API_DATA_OUT_14_i_m2_am_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_14)) )) - (net N_2565 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_12)) - (portRef ALUT (instanceRef next_API_DATA_OUT_18_12)) + (net next_API_DATA_OUT_14_i_m2_bm_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_14)) )) - (net N_2568 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_15)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_15)) + (net N_6130 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_14)) + (portRef D1 (instanceRef next_API_DATA_OUT_19_14)) )) - (net N_2567 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_14)) - (portRef ALUT (instanceRef next_API_DATA_OUT_18_14)) + (net next_API_DATA_OUT_14_i_m2_am_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_13)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_13)) )) - (net N_2683 (joined - (portRef Z (instanceRef next_API_DATA_OUT_19_10)) - (portRef D0 (instanceRef next_API_DATA_OUT_21_10)) + (net next_API_DATA_OUT_14_i_m2_bm_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_13)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_13)) )) - (net next_API_DATA_OUT_14_i_m2_am_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_4)) + (net N_6129 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_13)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_13)) )) - (net next_API_DATA_OUT_14_i_m2_bm_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_4)) + (net N_6128 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_12)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_12)) )) - (net N_83 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_4)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_4)) + (net N_6127 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_11)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_11)) )) - (net N_84 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_5)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_5)) + (net N_365 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_14)) + (portRef A (instanceRef next_API_DATA_OUT_21_14)) )) - (net N_2555 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_2)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_2)) + (net next_API_DATA_OUT_16_am_0 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_0)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_0)) )) - (net N_2553 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_0)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_0)) + (net next_API_DATA_OUT_16_bm_0 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_0)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_0)) )) - (net N_2554 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_1)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_1)) + (net N_2628 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_0)) + (portRef D1 (instanceRef next_API_DATA_OUT_20_0)) )) - (net N_2557 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_4)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_4)) + (net N_2566 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_6)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_6)) )) - (net N_85 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_6)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_6)) + (net N_2565 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_5)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_5)) )) - (net buf_API_SHORT_TRANSFER_OUT_Q (joined - (portRef Q (instanceRef buf_API_SHORT_TRANSFER_OUT)) - (portRef D (instanceRef next_API_SHORT_TRANSFER_OUT_f0)) - (portRef buf_API_SHORT_TRANSFER_OUT_Q) - )) - (net N_2556 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_3)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_3)) - )) - (net N_2682 (joined - (portRef Z (instanceRef next_API_DATA_OUT_19_9)) - (portRef B (instanceRef next_API_DATA_OUT_bm_9)) - )) - (net N_2681 (joined - (portRef Z (instanceRef next_API_DATA_OUT_19_8)) - (portRef B (instanceRef next_API_DATA_OUT_bm_8)) - )) - (net next_API_DATA_OUT_16_am_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_7)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_7)) - )) - (net next_API_DATA_OUT_16_bm_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_7)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_7)) - )) - (net N_2628 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_7)) - (portRef A (instanceRef next_API_DATA_OUT_am_7)) - )) - (net N_2662 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_7)) - (portRef A (instanceRef next_API_DATA_OUT_bm_7)) - )) - (net next_API_DATA_OUT_16_am_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_4)) - )) - (net next_API_DATA_OUT_16_bm_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_4)) - )) - (net N_2625 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_4)) - (portRef A (instanceRef next_API_DATA_OUT_20_4)) - )) - (net next_API_DATA_OUT_16_am_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_9)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_9)) - )) - (net next_API_DATA_OUT_16_bm_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_9)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_9)) - )) - (net N_2630 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_9)) - (portRef A (instanceRef next_API_DATA_OUT_am_9)) + (net N_2575 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_15)) + (portRef A (instanceRef next_API_DATA_OUT_18_15)) )) - (net next_API_DATA_OUT_16_am_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_1)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_1)) + (net N_2586 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_10)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_10)) )) - (net next_API_DATA_OUT_16_bm_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_1)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_1)) + (net N_2570 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_10)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_10)) )) - (net N_2622 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_1)) - (portRef A (instanceRef next_API_DATA_OUT_20_1)) + (net un254_next_api_data_out_11 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) + (portRef C (instanceRef next_API_DATA_OUT_12_11)) )) - (net next_API_DATA_OUT_16_am_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_2)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_2)) + (net N_2571 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_11)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_11)) )) - (net next_API_DATA_OUT_16_bm_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_2)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_2)) + (net N_303 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_12)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_12)) )) - (net N_2623 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_2)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_2)) + (net N_2573 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_13)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_13)) )) - (net next_API_DATA_OUT_16_am_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_8)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_8)) + (net N_2584 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_8)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_8)) )) - (net next_API_DATA_OUT_16_bm_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_8)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_8)) + (net N_2568 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_8)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_8)) )) - (net N_2629 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_8)) - (portRef A (instanceRef next_API_DATA_OUT_am_8)) + (net N_6123 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_5)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_5)) )) - (net next_API_DATA_OUT_16_am_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_11)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_11)) + (net N_301 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_3)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_3)) )) - (net next_API_DATA_OUT_16_bm_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_11)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_11)) + (net N_6124 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_6)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_6)) )) - (net N_2632 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_11)) - (portRef A (instanceRef next_API_DATA_OUT_20_11)) + (net N_302 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_2)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_2)) )) - (net next_API_DATA_OUT_16_am_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_13)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_13)) + (net next_API_DATA_OUT_14_i_m2_am_7 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_7)) )) - (net next_API_DATA_OUT_16_bm_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_13)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_13)) + (net next_API_DATA_OUT_14_i_m2_bm_7 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_7)) )) - (net N_2634 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_13)) - (portRef B (instanceRef next_API_DATA_OUT_20_13)) + (net N_266 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_7)) + (portRef D1 (instanceRef next_API_DATA_OUT_19_7)) )) - (net next_API_DATA_OUT_16_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_10)) + (net next_API_DATA_OUT_14_i_m2_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_4)) )) - (net next_API_DATA_OUT_16_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_10)) + (net un134_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) )) - (net N_2631 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_10)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_10)) + (net next_API_DATA_OUT_14_i_m2_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_4)) )) - (net N_2665 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_10)) - (portRef D1 (instanceRef next_API_DATA_OUT_21_10)) + (net N_6122 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_4)) + (portRef D1 (instanceRef next_API_DATA_OUT_19_4)) )) - (net next_API_DATA_OUT_16_am_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_6)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_6)) + (net N_2561 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_1)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_1)) )) - (net next_API_DATA_OUT_16_bm_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_6)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_6)) + (net N_2560 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_0)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_0)) )) - (net N_2627 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_6)) - (portRef B (instanceRef next_API_DATA_OUT_20_6)) + (net N_2699 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_2)) + (portRef A (instanceRef next_API_DATA_OUT_2)) )) - (net next_API_DATA_OUT_16_am_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_12)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_12)) + (net N_2700 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_3)) + (portRef A (instanceRef next_API_DATA_OUT_3)) )) - (net next_API_DATA_OUT_16_bm_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_12)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_12)) + (net N_2705 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_8)) + (portRef A (instanceRef next_API_DATA_OUT_8)) )) - (net N_2633 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_12)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_12)) + (net N_2698 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_1)) + (portRef A (instanceRef next_API_DATA_OUT_1)) )) - (net next_API_DATA_OUT_16_am_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_15)) + (net N_2709 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_12)) + (portRef A (instanceRef next_API_DATA_OUT_12)) )) - (net next_API_DATA_OUT_16_bm_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_15)) + (net N_2710 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_13)) + (portRef A (instanceRef next_API_DATA_OUT_13)) )) - (net N_2636 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_15)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_15)) + (net N_2707 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_10)) + (portRef A (instanceRef next_API_DATA_OUT_10)) )) - (net next_API_DATA_OUT_18_am_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_am_8)) - (portRef BLUT (instanceRef next_API_DATA_OUT_18_8)) + (net N_2711 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_14)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14)) )) - (net un254_next_api_data_out_8 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) - (portRef B (instanceRef next_API_DATA_OUT_18_bm_8)) + (net next_API_DATA_OUT_20_bm_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_bm_5)) + (portRef ALUT (instanceRef next_API_DATA_OUT_20_5)) )) - (net next_API_DATA_OUT_18_bm_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_bm_8)) - (portRef ALUT (instanceRef next_API_DATA_OUT_18_8)) + (net next_API_DATA_OUT_20_am_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_am_5)) + (portRef BLUT (instanceRef next_API_DATA_OUT_20_5)) )) - (net N_2663 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_8)) - (portRef A (instanceRef next_API_DATA_OUT_bm_8)) + (net N_2702 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_5)) + (portRef D0 (instanceRef next_API_DATA_OUT_5)) )) - (net next_API_DATA_OUT_16_am_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_14)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_14)) + (net N_2689 (joined + (portRef Z (instanceRef next_API_DATA_OUT_19_9)) + (portRef B (instanceRef next_API_DATA_OUT_bm_9)) )) - (net next_API_DATA_OUT_16_bm_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_14)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_14)) + (net next_API_DATA_OUT_20_bm_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_bm_6)) + (portRef ALUT (instanceRef next_API_DATA_OUT_20_6)) )) - (net N_2635 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_14)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_14)) + (net next_API_DATA_OUT_20_am_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_am_6)) + (portRef BLUT (instanceRef next_API_DATA_OUT_20_6)) )) - (net next_API_DATA_OUT_16_am_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_3)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_3)) + (net N_2703 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_6)) + (portRef D0 (instanceRef next_API_DATA_OUT_6)) )) - (net next_API_DATA_OUT_16_bm_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_3)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_3)) + (net N_2694 (joined + (portRef Z (instanceRef next_API_DATA_OUT_19_14)) + (portRef B (instanceRef next_API_DATA_OUT_21_14)) )) - (net N_2624 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_3)) - (portRef A (instanceRef next_API_DATA_OUT_20_3)) + (net N_2708 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_11)) + (portRef A (instanceRef next_API_DATA_OUT_11)) )) - (net next_API_DATA_OUT_16_am_0 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_0)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_0)) + (net N_2712 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_15)) + (portRef A (instanceRef next_API_DATA_OUT_15)) )) - (net next_API_DATA_OUT_16_bm_0 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_0)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_0)) + (net N_2697 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_0)) + (portRef A (instanceRef next_API_DATA_OUT_0)) )) - (net N_2621 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_0)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_0)) + (net N_5828 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_4)) + (portRef C (instanceRef next_API_DATA_OUT_bm_4)) )) - (net N_2610 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_7)) - (portRef B (instanceRef next_API_DATA_OUT_19_7)) + (net N_2591 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_15)) + (portRef B (instanceRef next_API_DATA_OUT_18_15)) )) - (net N_2680 (joined + (net N_2687 (joined (portRef Z (instanceRef next_API_DATA_OUT_19_7)) (portRef B (instanceRef next_API_DATA_OUT_bm_7)) )) - (net N_2717 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_10)) - (portRef B (instanceRef next_API_DATA_OUT_10)) + (net N_2684 (joined + (portRef Z (instanceRef next_API_DATA_OUT_19_4)) + (portRef A (instanceRef next_API_DATA_OUT_bm_4)) )) - (net N_2694 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_4)) - (portRef A (instanceRef next_API_DATA_OUT_4)) + (net N_2728 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_14)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14)) )) - (net N_2614 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_11)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_11)) + (net N_249 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_i_m2_11)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_11)) )) (net next_API_DATA_OUT_21_am_11 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_am_11)) @@ -106545,37 +106781,25 @@ (portRef Z (instanceRef next_API_DATA_OUT_21_bm_11)) (portRef ALUT (instanceRef next_API_DATA_OUT_21_11)) )) - (net N_2718 (joined + (net N_2725 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_11)) (portRef B (instanceRef next_API_DATA_OUT_11)) )) - (net next_API_DATA_OUT_20_bm_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_bm_5)) - (portRef ALUT (instanceRef next_API_DATA_OUT_20_5)) - )) - (net next_API_DATA_OUT_20_am_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_am_5)) - (portRef BLUT (instanceRef next_API_DATA_OUT_20_5)) - )) - (net N_2695 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_5)) - (portRef D0 (instanceRef next_API_DATA_OUT_5)) - )) - (net N_2691 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_1)) - (portRef A (instanceRef next_API_DATA_OUT_1)) + (net N_2620 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_10)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_10)) )) - (net N_2692 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_2)) - (portRef A (instanceRef next_API_DATA_OUT_2)) + (net next_API_DATA_OUT_21_am_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_10)) )) - (net N_2701 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_11)) - (portRef A (instanceRef next_API_DATA_OUT_11)) + (net next_API_DATA_OUT_21_bm_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_10)) )) - (net N_2616 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_13)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_13)) + (net N_2724 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_10)) + (portRef B (instanceRef next_API_DATA_OUT_10)) )) (net next_API_DATA_OUT_21_am_13 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_am_13)) @@ -106585,91 +106809,43 @@ (portRef Z (instanceRef next_API_DATA_OUT_21_bm_13)) (portRef ALUT (instanceRef next_API_DATA_OUT_21_13)) )) - (net N_2720 (joined + (net N_2727 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_13)) (portRef B (instanceRef next_API_DATA_OUT_13)) )) - (net N_2703 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_13)) - (portRef A (instanceRef next_API_DATA_OUT_13)) + (net N_2622 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_12)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_12)) )) - (net N_2700 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_10)) - (portRef A (instanceRef next_API_DATA_OUT_10)) + (net next_API_DATA_OUT_21_am_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_12)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_12)) )) - (net N_2696 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_6)) - (portRef A (instanceRef next_API_DATA_OUT_6)) + (net next_API_DATA_OUT_21_bm_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_12)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_12)) )) - (net N_2702 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_12)) - (portRef A (instanceRef next_API_DATA_OUT_12)) + (net N_2726 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_12)) + (portRef B (instanceRef next_API_DATA_OUT_12)) )) (net N_2618 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_15)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_15)) + (portRef Z (instanceRef next_API_DATA_OUT_15_8)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_8)) )) - (net next_API_DATA_OUT_21_am_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_am_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_21_15)) + (net next_API_DATA_OUT_21_am_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_8)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_8)) )) - (net next_API_DATA_OUT_21_bm_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_bm_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_21_15)) + (net next_API_DATA_OUT_21_bm_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_8)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_8)) )) (net N_2722 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_15)) - (portRef B (instanceRef next_API_DATA_OUT_15)) - )) - (net N_2705 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_15)) - (portRef A (instanceRef next_API_DATA_OUT_15)) - )) - (net N_2704 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_14)) - (portRef A (instanceRef next_API_DATA_OUT_14)) - )) - (net N_2693 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_3)) - (portRef A (instanceRef next_API_DATA_OUT_3)) - )) - (net N_2690 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_0)) - (portRef A (instanceRef next_API_DATA_OUT_0)) - )) - (net N_2608 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_5)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_5)) - )) - (net next_API_DATA_OUT_21_am_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_am_5)) - (portRef BLUT (instanceRef next_API_DATA_OUT_21_5)) - )) - (net next_API_DATA_OUT_21_bm_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_bm_5)) - (portRef ALUT (instanceRef next_API_DATA_OUT_21_5)) - )) - (net N_2712 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_5)) - (portRef D1 (instanceRef next_API_DATA_OUT_5)) - )) - (net N_2604 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_1)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_1)) - )) - (net next_API_DATA_OUT_21_am_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_am_1)) - (portRef BLUT (instanceRef next_API_DATA_OUT_21_1)) - )) - (net next_API_DATA_OUT_21_bm_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_bm_1)) - (portRef ALUT (instanceRef next_API_DATA_OUT_21_1)) - )) - (net N_2708 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_1)) - (portRef B (instanceRef next_API_DATA_OUT_1)) + (portRef Z (instanceRef next_API_DATA_OUT_21_8)) + (portRef B (instanceRef next_API_DATA_OUT_8)) )) - (net N_2605 (joined + (net N_2612 (joined (portRef Z (instanceRef next_API_DATA_OUT_15_2)) (portRef A (instanceRef next_API_DATA_OUT_21_am_2)) )) @@ -106681,29 +106857,45 @@ (portRef Z (instanceRef next_API_DATA_OUT_21_bm_2)) (portRef ALUT (instanceRef next_API_DATA_OUT_21_2)) )) - (net N_2709 (joined + (net N_2716 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_2)) (portRef B (instanceRef next_API_DATA_OUT_2)) )) - (net N_2607 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_4)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_4)) + (net N_2613 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_3)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_3)) )) - (net next_API_DATA_OUT_21_am_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_am_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_21_4)) + (net next_API_DATA_OUT_21_am_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_3)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_3)) )) - (net next_API_DATA_OUT_21_bm_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_bm_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_21_4)) + (net next_API_DATA_OUT_21_bm_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_3)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_3)) )) - (net N_2711 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_4)) - (portRef B (instanceRef next_API_DATA_OUT_4)) + (net N_2717 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_3)) + (portRef B (instanceRef next_API_DATA_OUT_3)) )) - (net N_2609 (joined + (net N_2615 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_5)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_5)) + )) + (net next_API_DATA_OUT_21_am_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_5)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_5)) + )) + (net next_API_DATA_OUT_21_bm_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_5)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_5)) + )) + (net N_2719 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_5)) + (portRef D1 (instanceRef next_API_DATA_OUT_5)) + )) + (net N_2616 (joined (portRef Z (instanceRef next_API_DATA_OUT_15_6)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_6)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_6)) )) (net next_API_DATA_OUT_21_am_6 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_am_6)) @@ -106713,29 +106905,29 @@ (portRef Z (instanceRef next_API_DATA_OUT_21_bm_6)) (portRef ALUT (instanceRef next_API_DATA_OUT_21_6)) )) - (net N_2713 (joined + (net N_2720 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_6)) - (portRef B (instanceRef next_API_DATA_OUT_6)) + (portRef D1 (instanceRef next_API_DATA_OUT_6)) )) - (net N_2606 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_3)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_3)) + (net N_2611 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_1)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_1)) )) - (net next_API_DATA_OUT_21_am_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_am_3)) - (portRef BLUT (instanceRef next_API_DATA_OUT_21_3)) + (net next_API_DATA_OUT_21_am_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_1)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_1)) )) - (net next_API_DATA_OUT_21_bm_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_bm_3)) - (portRef ALUT (instanceRef next_API_DATA_OUT_21_3)) + (net next_API_DATA_OUT_21_bm_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_1)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_1)) )) - (net N_2710 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_3)) - (portRef B (instanceRef next_API_DATA_OUT_3)) + (net N_2715 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_1)) + (portRef B (instanceRef next_API_DATA_OUT_1)) )) - (net N_2603 (joined + (net N_2610 (joined (portRef Z (instanceRef next_API_DATA_OUT_15_0)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_0)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_0)) )) (net next_API_DATA_OUT_21_am_0 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_am_0)) @@ -106745,25 +106937,21 @@ (portRef Z (instanceRef next_API_DATA_OUT_21_bm_0)) (portRef ALUT (instanceRef next_API_DATA_OUT_21_0)) )) - (net N_2707 (joined + (net N_2714 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_0)) (portRef B (instanceRef next_API_DATA_OUT_0)) )) - (net next_API_DATA_OUT_am_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_am_9)) - (portRef BLUT (instanceRef next_API_DATA_OUT_9)) - )) - (net next_API_DATA_OUT_bm_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_bm_9)) - (portRef ALUT (instanceRef next_API_DATA_OUT_9)) + (net next_API_DATA_OUT_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_4)) )) - (net next_API_DATA_OUT_am_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_am_8)) - (portRef BLUT (instanceRef next_API_DATA_OUT_8)) + (net next_API_DATA_OUT_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_4)) )) - (net next_API_DATA_OUT_bm_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_bm_8)) - (portRef ALUT (instanceRef next_API_DATA_OUT_8)) + (net N_2635 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_7)) + (portRef A (instanceRef next_API_DATA_OUT_am_7)) )) (net next_API_DATA_OUT_am_7 (joined (portRef Z (instanceRef next_API_DATA_OUT_am_7)) @@ -106773,32 +106961,13 @@ (portRef Z (instanceRef next_API_DATA_OUT_bm_7)) (portRef ALUT (instanceRef next_API_DATA_OUT_7)) )) - (net next_API_DATA_OUT_12_bm_1_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_bm_1_10)) - (portRef A (instanceRef next_API_DATA_OUT_12_bm_10)) - )) - (net ctrl_reg_74 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_74_gen_regoutff_buf_REGISTERS_OUT_74)) - (portRef C (instanceRef next_API_DATA_OUT_12_bm_10)) - (portRef ctrl_reg_74) - )) - (net next_API_DATA_OUT_12_bm_1_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_bm_1_7)) - (portRef A (instanceRef next_API_DATA_OUT_12_bm_7)) - )) - (net ctrl_reg_71 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_71_gen_regoutff_buf_REGISTERS_OUT_71)) - (portRef C (instanceRef next_API_DATA_OUT_12_bm_7)) - (portRef ctrl_reg_71) - )) - (net next_API_DATA_OUT_1_bm_1_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_5)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_5)) + (net next_API_DATA_OUT_am_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_am_9)) + (portRef BLUT (instanceRef next_API_DATA_OUT_9)) )) - (net ctrl_reg_85 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_85_gen_regoutff_buf_REGISTERS_OUT_85)) - (portRef C (instanceRef next_API_DATA_OUT_1_bm_5)) - (portRef ctrl_reg_85) + (net next_API_DATA_OUT_bm_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_bm_9)) + (portRef ALUT (instanceRef next_API_DATA_OUT_9)) )) (net next_API_DATA_OUT_1_bm_1_6 (joined (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_6)) @@ -106809,52 +106978,32 @@ (portRef C (instanceRef next_API_DATA_OUT_1_bm_6)) (portRef ctrl_reg_86) )) - (net current_state_ns_0_i_1_6 (joined - (portRef Z (instanceRef current_state_ns_0_i_1_6)) - (portRef D (instanceRef current_state_ns_0_i_6)) - )) - (net un1_timing_trg_found_1_axb_8 (joined - (portRef un1_timing_trg_found_1_axb_8) - (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1_0)) - )) - (net trg_code_i_0 (joined - (portRef (member trg_code_i 7)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1_0)) - )) - (net m8_6_03_i_1_1 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i)) - )) - (net stat_reg_40 (joined - (portRef stat_reg_40) - (portRef D (instanceRef fsm_un230_next_api_data_out_m8_6_03_1_0)) - )) - (net m8_6_03_1_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03_1_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03)) + (net next_API_DATA_OUT_1_bm_1_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_5)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_5)) )) - (net next_API_DATA_OUT_1_bm_1_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_13)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_13)) + (net ctrl_reg_85 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_85_gen_regoutff_buf_REGISTERS_OUT_85)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_5)) + (portRef ctrl_reg_85) )) - (net ctrl_reg_93 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_93_gen_regoutff_buf_REGISTERS_OUT_93)) - (portRef C (instanceRef next_API_DATA_OUT_1_bm_13)) - (portRef ctrl_reg_93) + (net ctrl_reg_88 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_88_gen_regoutff_buf_REGISTERS_OUT_88)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_1_8)) + (portRef ctrl_reg_88) )) - (net ctrl_reg_63 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_63_gen_regoutff_buf_REGISTERS_OUT_63)) - (portRef D (instanceRef next_API_DATA_OUT_1_bm_1_15)) - (portRef ctrl_reg_63) + (net next_API_DATA_OUT_1_bm_1_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_8)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_8)) )) - (net next_API_DATA_OUT_1_bm_1_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_15)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_15)) + (net ctrl_reg_90 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_90_gen_regoutff_buf_REGISTERS_OUT_90)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_1_10)) + (portRef ctrl_reg_90) )) - (net ctrl_reg_95 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_95_gen_regoutff_buf_REGISTERS_OUT_95)) - (portRef C (instanceRef next_API_DATA_OUT_1_bm_15)) - (portRef ctrl_reg_95) + (net next_API_DATA_OUT_1_bm_1_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_10)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_10)) )) (net next_API_DATA_OUT_1_bm_1_11 (joined (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_11)) @@ -106865,21 +107014,43 @@ (portRef C (instanceRef next_API_DATA_OUT_1_bm_11)) (portRef ctrl_reg_91) )) + (net next_API_DATA_OUT_1_bm_1_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_12)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_12)) + )) + (net ctrl_reg_92 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_92_gen_regoutff_buf_REGISTERS_OUT_92)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_12)) + (portRef ctrl_reg_92) + )) + (net common_ctrl_reg_46 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_46_buf_COMMON_CTRL_REG_OUT_46)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_2_1_14)) + (portRef common_ctrl_reg_46) + )) + (net next_API_DATA_OUT_18_i_a2_2_1_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_2_1_14)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_2_14)) + )) (net DAT_DATAREADY_IN_befored_1 (joined (portRef Z (instanceRef DAT_DATAREADY_IN_befored_1)) (portRef A (instanceRef DAT_DATAREADY_IN_befored)) )) - (net trg_int_number_i_11 (joined - (portRef (member trg_int_number_i 4)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef reset_i_fast_1 (instanceRef the_addresses)) + (portRef D (instanceRef DAT_DATAREADY_IN_befored)) + (portRef D (instanceRef next_timeout_counter_0_o2_0_RNIB2H31_0)) )) - (net common_stat_reg_i_2 (joined - (portRef common_stat_reg_i_2) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + (net un1_THE_ENDPOINT_6 (joined + (portRef Z (instanceRef API_SEND_OUT)) + (portRef (member un1_the_endpoint 0)) )) - (net common_stat_reg_i_0 (joined - (portRef common_stat_reg_i_0) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef reset_i_fast_r9 (instanceRef the_addresses)) + (portRef A (instanceRef un1_current_state3)) + (portRef B (instanceRef current_state_RNI2T4Q_18)) )) (net next_address_i_m2_cry_0 (joined (portRef COUT (instanceRef next_address_i_m2_cry_0_0)) @@ -106922,79 +107093,6 @@ (net next_address_i_m2_s_15_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_s_15_0)) )) - (net global_time_i_cry_0 (joined - (portRef COUT (instanceRef global_time_i_cry_0_0)) - (portRef CIN (instanceRef global_time_i_cry_0_1)) - )) - (net global_time_i_cry_0_S0_0 (joined - (portRef S0 (instanceRef global_time_i_cry_0_0)) - )) - (net global_time_i_cry_2 (joined - (portRef COUT (instanceRef global_time_i_cry_0_1)) - (portRef CIN (instanceRef global_time_i_cry_0_3)) - )) - (net global_time_i_cry_4 (joined - (portRef COUT (instanceRef global_time_i_cry_0_3)) - (portRef CIN (instanceRef global_time_i_cry_0_5)) - )) - (net global_time_i_cry_6 (joined - (portRef COUT (instanceRef global_time_i_cry_0_5)) - (portRef CIN (instanceRef global_time_i_cry_0_7)) - )) - (net global_time_i_cry_8 (joined - (portRef COUT (instanceRef global_time_i_cry_0_7)) - (portRef CIN (instanceRef global_time_i_cry_0_9)) - )) - (net global_time_i_cry_10 (joined - (portRef COUT (instanceRef global_time_i_cry_0_9)) - (portRef CIN (instanceRef global_time_i_cry_0_11)) - )) - (net global_time_i_cry_12 (joined - (portRef COUT (instanceRef global_time_i_cry_0_11)) - (portRef CIN (instanceRef global_time_i_cry_0_13)) - )) - (net global_time_i_cry_14 (joined - (portRef COUT (instanceRef global_time_i_cry_0_13)) - (portRef CIN (instanceRef global_time_i_cry_0_15)) - )) - (net global_time_i_cry_16 (joined - (portRef COUT (instanceRef global_time_i_cry_0_15)) - (portRef CIN (instanceRef global_time_i_cry_0_17)) - )) - (net global_time_i_cry_18 (joined - (portRef COUT (instanceRef global_time_i_cry_0_17)) - (portRef CIN (instanceRef global_time_i_cry_0_19)) - )) - (net global_time_i_cry_20 (joined - (portRef COUT (instanceRef global_time_i_cry_0_19)) - (portRef CIN (instanceRef global_time_i_cry_0_21)) - )) - (net global_time_i_cry_22 (joined - (portRef COUT (instanceRef global_time_i_cry_0_21)) - (portRef CIN (instanceRef global_time_i_cry_0_23)) - )) - (net global_time_i_cry_24 (joined - (portRef COUT (instanceRef global_time_i_cry_0_23)) - (portRef CIN (instanceRef global_time_i_cry_0_25)) - )) - (net global_time_i_cry_26 (joined - (portRef COUT (instanceRef global_time_i_cry_0_25)) - (portRef CIN (instanceRef global_time_i_cry_0_27)) - )) - (net global_time_i_cry_28 (joined - (portRef COUT (instanceRef global_time_i_cry_0_27)) - (portRef CIN (instanceRef global_time_i_cry_0_29)) - )) - (net global_time_i_cry_30 (joined - (portRef COUT (instanceRef global_time_i_cry_0_29)) - (portRef CIN (instanceRef global_time_i_s_0_31)) - )) - (net global_time_i_s_0_COUT_31 (joined - (portRef COUT (instanceRef global_time_i_s_0_31)) - )) - (net global_time_i_s_0_S1_31 (joined - (portRef S1 (instanceRef global_time_i_s_0_31)) - )) (net trg_timing_valid_i (joined (portRef trg_timing_valid_i) (portRef A0 (instanceRef time_since_last_trg_i_s_0_31)) @@ -107104,49 +107202,160 @@ (net time_since_last_trg_i_s_0_S1_31 (joined (portRef S1 (instanceRef time_since_last_trg_i_s_0_31)) )) - (net un1_next_length_1_sqmuxa_cry_0 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) + (net global_time_i_cry_0 (joined + (portRef COUT (instanceRef global_time_i_cry_0_0)) + (portRef CIN (instanceRef global_time_i_cry_0_1)) + )) + (net global_time_i_cry_0_S0_0 (joined + (portRef S0 (instanceRef global_time_i_cry_0_0)) + )) + (net global_time_i_cry_2 (joined + (portRef COUT (instanceRef global_time_i_cry_0_1)) + (portRef CIN (instanceRef global_time_i_cry_0_3)) + )) + (net global_time_i_cry_4 (joined + (portRef COUT (instanceRef global_time_i_cry_0_3)) + (portRef CIN (instanceRef global_time_i_cry_0_5)) + )) + (net global_time_i_cry_6 (joined + (portRef COUT (instanceRef global_time_i_cry_0_5)) + (portRef CIN (instanceRef global_time_i_cry_0_7)) + )) + (net global_time_i_cry_8 (joined + (portRef COUT (instanceRef global_time_i_cry_0_7)) + (portRef CIN (instanceRef global_time_i_cry_0_9)) + )) + (net global_time_i_cry_10 (joined + (portRef COUT (instanceRef global_time_i_cry_0_9)) + (portRef CIN (instanceRef global_time_i_cry_0_11)) + )) + (net global_time_i_cry_12 (joined + (portRef COUT (instanceRef global_time_i_cry_0_11)) + (portRef CIN (instanceRef global_time_i_cry_0_13)) + )) + (net global_time_i_cry_14 (joined + (portRef COUT (instanceRef global_time_i_cry_0_13)) + (portRef CIN (instanceRef global_time_i_cry_0_15)) + )) + (net global_time_i_cry_16 (joined + (portRef COUT (instanceRef global_time_i_cry_0_15)) + (portRef CIN (instanceRef global_time_i_cry_0_17)) + )) + (net global_time_i_cry_18 (joined + (portRef COUT (instanceRef global_time_i_cry_0_17)) + (portRef CIN (instanceRef global_time_i_cry_0_19)) + )) + (net global_time_i_cry_20 (joined + (portRef COUT (instanceRef global_time_i_cry_0_19)) + (portRef CIN (instanceRef global_time_i_cry_0_21)) + )) + (net global_time_i_cry_22 (joined + (portRef COUT (instanceRef global_time_i_cry_0_21)) + (portRef CIN (instanceRef global_time_i_cry_0_23)) + )) + (net global_time_i_cry_24 (joined + (portRef COUT (instanceRef global_time_i_cry_0_23)) + (portRef CIN (instanceRef global_time_i_cry_0_25)) + )) + (net global_time_i_cry_26 (joined + (portRef COUT (instanceRef global_time_i_cry_0_25)) + (portRef CIN (instanceRef global_time_i_cry_0_27)) + )) + (net global_time_i_cry_28 (joined + (portRef COUT (instanceRef global_time_i_cry_0_27)) + (portRef CIN (instanceRef global_time_i_cry_0_29)) + )) + (net global_time_i_cry_30 (joined + (portRef COUT (instanceRef global_time_i_cry_0_29)) + (portRef CIN (instanceRef global_time_i_s_0_31)) + )) + (net global_time_i_s_0_COUT_31 (joined + (portRef COUT (instanceRef global_time_i_s_0_31)) + )) + (net global_time_i_s_0_S1_31 (joined + (portRef S1 (instanceRef global_time_i_s_0_31)) + )) + (net length_cry_0 (joined + (portRef COUT (instanceRef length_cry_0_0)) + (portRef CIN (instanceRef length_cry_0_1)) + )) + (net length_cry_0_S0_0 (joined + (portRef S0 (instanceRef length_cry_0_0)) )) - (net un1_next_length_1_sqmuxa_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) + (net length_cry_2 (joined + (portRef COUT (instanceRef length_cry_0_1)) + (portRef CIN (instanceRef length_cry_0_3)) )) - (net un1_next_length_1_sqmuxa_cry_0_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) + (net length_cry_4 (joined + (portRef COUT (instanceRef length_cry_0_3)) + (portRef CIN (instanceRef length_cry_0_5)) )) - (net un1_next_length_1_sqmuxa_cry_2 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) + (net length_cry_6 (joined + (portRef COUT (instanceRef length_cry_0_5)) + (portRef CIN (instanceRef length_cry_0_7)) )) - (net un1_next_length_1_sqmuxa_cry_4 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) + (net length_cry_8 (joined + (portRef COUT (instanceRef length_cry_0_7)) + (portRef CIN (instanceRef length_cry_0_9)) )) - (net un1_next_length_1_sqmuxa_cry_6 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) + (net length_cry_10 (joined + (portRef COUT (instanceRef length_cry_0_9)) + (portRef CIN (instanceRef length_cry_0_11)) )) - (net un1_next_length_1_sqmuxa_cry_8 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) + (net length_cry_12 (joined + (portRef COUT (instanceRef length_cry_0_11)) + (portRef CIN (instanceRef length_cry_0_13)) )) - (net un1_next_length_1_sqmuxa_cry_10 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) + (net length_cry_14 (joined + (portRef COUT (instanceRef length_cry_0_13)) + (portRef CIN (instanceRef length_s_0_15)) )) - (net un1_next_length_1_sqmuxa_cry_12 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) + (net length_s_0_COUT_15 (joined + (portRef COUT (instanceRef length_s_0_15)) )) - (net un1_next_length_1_sqmuxa_cry_14 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_s_15_0)) + (net length_s_0_S1_15 (joined + (portRef S1 (instanceRef length_s_0_15)) )) - (net un1_next_length_1_sqmuxa_s_15_0_COUT (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_s_15_0)) + (net un1_dat_data_counter_cry_0 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_1_0)) )) - (net un1_next_length_1_sqmuxa_s_15_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) + (net un1_dat_data_counter_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_0_0)) + )) + (net un1_dat_data_counter_cry_2 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_3_0)) + )) + (net un1_dat_data_counter_cry_4 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_5_0)) + )) + (net un1_dat_data_counter_cry_6 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_7_0)) + )) + (net un1_dat_data_counter_cry_8 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_9_0)) + )) + (net un1_dat_data_counter_cry_10 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_11_0)) + )) + (net un1_dat_data_counter_cry_12 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_13_0)) + )) + (net un1_dat_data_counter_cry_14 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef CIN (instanceRef un1_dat_data_counter_s_15_0)) + )) + (net un1_dat_data_counter_s_15_0_COUT (joined + (portRef COUT (instanceRef un1_dat_data_counter_s_15_0)) + )) + (net un1_dat_data_counter_s_15_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_s_15_0)) )) (net un3_local_time_i_1_cry_0 (joined (portRef COUT (instanceRef un3_local_time_i_1_cry_0_0)) @@ -107176,46 +107385,10 @@ (net un3_local_time_i_1_s_7_0_S1 (joined (portRef S1 (instanceRef un3_local_time_i_1_s_7_0)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_0 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_2 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_4 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_6 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_8 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_10 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_12 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_14 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_s_15_0_COUT (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_s_15_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) + (net reg_enable_pattern_1 (joined + (portRef (member reg_enable_pattern 0) (instanceRef pattern_gen_inst)) + (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_1)) + (portRef (member reg_enable_pattern 0)) )) (net buf_IDRAM_ADDR_IN_0 (joined (portRef (member buf_idram_addr_in 1)) @@ -107357,32 +107530,9 @@ (portRef buf_IDRAM_WR_IN_0_i) (portRef buf_IDRAM_WR_IN_0_i (instanceRef the_addresses)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef reset_i_rep1_1 (instanceRef the_addresses)) - (portRef D (instanceRef DAT_DATAREADY_IN_befored)) - )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef reset_i_fast_1 (instanceRef the_addresses)) - (portRef D (instanceRef next_API_READ_OUT_2_sqmuxa_RNI84T91)) - )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef reset_i_rep1 (instanceRef the_addresses)) - )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef reset_i_fast_r5 (instanceRef the_addresses)) - )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef reset_i_rep2 (instanceRef the_addresses)) - (portRef D (instanceRef current_state_nss_0_i_0)) - )) - (net reset_i_fast_r4 (joined - (portRef reset_i_fast_r4) - (portRef reset_i_fast_r4 (instanceRef the_addresses)) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef reset_i_fast_r7 (instanceRef the_addresses)) )) ) (property HGROUP (string "RegIO_group")) @@ -107392,9 +107542,9 @@ (view netlist (viewType NETLIST) (interface (port (array (rename ipu_length_i "ipu_length_i(15:0)") 16) (direction INPUT)) - (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction INPUT)) (port (array (rename buf_apl_dataready_out "buf_APL_DATAREADY_OUT(1:1)") 1) (direction INPUT)) (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction INPUT)) + (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction INPUT)) (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(5:3)") 3) (direction INPUT)) (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(31:16)") 16) (direction OUTPUT)) (port (array (rename buf_apl_read_in "buf_APL_READ_IN(1:1)") 1) (direction OUTPUT)) @@ -107426,92 +107576,72 @@ (port (array (rename ipu_readout_type_i "ipu_readout_type_i(3:0)") 4) (direction OUTPUT)) (port (array (rename ipu_data_i "ipu_data_i(31:0)") 32) (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) - (port (array (rename buf_apl_dataready_in "buf_APL_DATAREADY_IN(1:1)") 1) (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_0 (direction INPUT)) - (port buf_api_stat_fifo_to_int_11 (direction INPUT)) (port (array (rename buf_apl_packet_num_in "buf_APL_PACKET_NUM_IN(3:3)") 1) (direction OUTPUT)) - (port (array (rename state "state(1:0)") 2) (direction OUTPUT)) + (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(46:46)") 1) (direction INPUT)) (port (array (rename buf_ipu_length_in_i "buf_IPU_LENGTH_IN_i(1:1)") 1) (direction OUTPUT)) - (port reset_i_rep1_1 (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (direction OUTPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_fast_r9 (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) - (port reset_i_fast_r4 (direction INPUT)) - (port FF_23_RNICS201 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) (port reset_i_fast_r8 (direction INPUT)) + (port last_second_word_waiting_RNIKQVT1 (direction OUTPUT)) + (port reset_i_fast_r9 (direction INPUT)) + (port N_5723_i (direction OUTPUT)) (port m174_2_03_3_i_4 (direction INPUT)) (port m173_2_03_3_i_4 (direction INPUT)) - (port last_second_word_waiting (direction OUTPUT)) (port reset_i_fast_1_i (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port ipu_readout_finished_i (direction INPUT)) - (port N_4877 (direction OUTPUT)) - (port d_N_9 (direction OUTPUT)) - (port waiting_word (direction OUTPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (direction OUTPUT)) - (port m6_6_03_1_1 (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) - (port reset_i_fast_r2 (direction INPUT)) - (port suppress_output_fast (direction INPUT)) + (port reset_i_fast_r3 (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port suppress_output (direction INPUT)) (port m161_2_03 (direction INPUT)) (port m166_2_03_4_i_4 (direction INPUT)) - (port waiting_word_RNIIPH92 (direction OUTPUT)) + (port reset_i_rep1 (direction INPUT)) + (port reset_i_rep1_1 (direction INPUT)) (port ipu_dataready_i (direction INPUT)) (port ipu_start_readout_i (direction OUTPUT)) ) (contents (instance buf_START_READOUT_RNITAC1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance buf_IPU_LENGTH_IN_RNIIU14_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance state_ns_1_0__N_15_i (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance waiting_word_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance waiting_word_RNILP643 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C (B A)))")) + (instance waiting_word_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(B+!A)))")) ) - (instance state_1_sqmuxa_1_0_a2_RNII2T81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) + (instance state_RNIUO4U1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) ) (instance first_ipu_read_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance state_ns_1_0__m14_i_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B A))+D A)")) + ) + (instance state_RNI9ARC1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance packet_number_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (!C (!B !A)+C (B !A)))")) ) - (instance waiting_word_RNIRJ1U1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) - ) - (instance last_second_word_waiting_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (!B+A)+C A))")) + (instance state_ns_1_0__m14_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C A+C (!B A)))")) ) - (instance PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance N_4899_i_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (!C+(!B+A)))")) ) (instance waiting_word_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance ipu_read_before_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance un1_state_4_m5_0_a2_0_RNIOP431 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(!D (!B+A)+D (!C+(!B+A)))")) ) - (instance state_RNIQVO41_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance buf_API_DATAREADY_OUT_RNI36KI1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(!B+A)))")) ) - (instance state_RNI0NLM_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance last_second_word_waiting_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B+A)+C A))")) ) - (instance buf_API_DATAREADY_OUT_RNIJU2E1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance buf_API_DATA_OUT_RNO_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance state_RNIORD21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance state_RNIIUJV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) (instance evt_number_mismatch_fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (B+A))")) @@ -107546,34 +107676,34 @@ ) (instance reg_IPU_DATA_high_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) - ) (instance reg_IPU_DATA_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_10 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_high_10 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) + (instance reg_IPU_DATA_10 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance reg_IPU_DATA_11 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_high_11 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) @@ -107752,101 +107882,134 @@ ) (instance buf_API_DATAREADY_OUT (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance last_second_word_waiting_RNIRGQG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance second_word_waiting_iv_i_o3_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) + ) + (instance ipu_read_before_RNIMUFL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) + ) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance state_s0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_s0_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance state_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance dhdr_counter_RNIUKBF_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) ) - (instance dhdr_counter_RNIVKBF_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance dhdr_counter_RNIVUOA_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance dhdr_counter_RNIUKBF_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INFORMATION_1_sqmuxa_0_a3_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance state_s3_0_a3_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) + (instance dhdr_counter_RNIGJIS_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance ipu_read_before_RNIMUFL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance dhdr_counter_RNICK5O_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance second_word_waiting_iv_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance buf_INFORMATION_1_sqmuxa_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (instance buf_API_DATA_OUT_RNO_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance last_second_word_waiting_RNI5O8R (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_ns_1_0__m14_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+A))")) + ) + (instance buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance first_ipu_read_RNI7EER (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B A)))")) + ) + (instance state_RNI0NLM_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) (instance PROC_STATE_MACHINE_buf_API_SEND_OUT_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance buf_INFORMATION_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance ipu_read_before_RNI438A1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C B)+D (!C A))")) ) - (instance buf_API_DATA_OUT_RNO_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance first_ipu_read_RNIPC2R1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) + (instance buf_INFORMATION_1_sqmuxa_0_a3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance buf_API_DATA_OUT_RNO_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance state_ns_1_0__m14_i_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance reg_IPU_DATA_high_RNI39F41_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C+(B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance reg_IPU_DATA_high_RNITUQ81_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C+(B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance un1_reset_5_i_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance first_ipu_read_RNIBCIU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B+A)))")) + ) + (instance buf_API_DATA_OUT_RNO_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) + ) + (instance buf_API_DATA_OUT_RNO_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) (instance buf_API_DATA_OUT_RNO_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) (instance buf_API_DATA_OUT_RNO_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) (instance buf_API_DATA_OUT_RNO_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) (instance buf_API_DATA_OUT_RNO_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) (instance buf_API_DATA_OUT_RNO_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) + ) + (instance buf_API_DATA_OUT_RNO_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C+(B !A)))")) ) (instance buf_IPU_LENGTH_IN_lm_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B A)+C (!B+A)))")) @@ -107896,276 +108059,233 @@ (instance buf_IPU_LENGTH_IN_lm_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B A)+C (!B+A)))")) ) - (instance state_ns_1_0__m12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance PROC_STATE_MACHINE_dhdr_counter_6_i_o2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance second_word_waiting_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A))+D C)")) - ) - (instance waiting_word_RNI4DE12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) - ) - (instance un1_state_4_m5_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance first_ipu_readc_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(!B+!A)))")) + (instance second_word_waiting_iv_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (!B !A)+C !A))")) ) - (instance state_1_sqmuxa_1_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C+(B+!A)))")) ) - (instance buf_INFORMATION_1_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance last_second_word_waiting_RNIKQVT1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B A))+D B)")) ) - (instance waiting_word_RNIIPH92 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C !B))")) + (instance buf_IPU_LENGTH_IN_RNIICKP2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) ) - (instance ipu_read_before_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (C !B))")) + (instance buf_IPU_LENGTH_IN_RNIUC2G2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (!B+A)))")) ) - (instance waiting_word_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C+(B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_3_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) (instance buf_API_DATA_OUT_RNO_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) (instance buf_API_DATA_OUT_RNO_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) - ) - (instance buf_API_DATA_OUT_RNO_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance first_ipu_read_RNIG4QR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C A)")) ) - (instance buf_API_DATA_OUT_RNO_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C+(!B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A)))")) ) - (instance buf_API_DATA_OUT_RNO_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_1_sqmuxa_1_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance buf_API_DATA_OUT_RNO_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_INFORMATION_1_sqmuxa_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C !B)")) ) - (instance buf_API_DATA_OUT_RNO_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance last_second_word_waiting_RNIPK9V2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) - (instance buf_API_DATA_OUT_RNO_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance state_ns_1_0__m14_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C (B A)+C B))")) ) - (instance buf_API_DATA_OUT_RNO_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_NUMBER_1_sqmuxa_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance waiting_word_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance state_ns_1_0__N_18_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A))+D (!C+B))")) ) - (instance buf_API_DATA_OUT_RNO_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B A)+C !B))")) ) - (instance buf_API_DATA_OUT_RNO_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B A)+C !B))")) ) - (instance PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (!C !B+C (!B A)))")) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C !A+C (B !A)))")) ) - (instance waiting_word_RNIHSE42 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A))+D (C+B))")) + (instance PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B !A))+D (!B !A))")) ) - (instance buf_NUMBER_1_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance ipu_read_before_RNI3PTQ4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(B+A))+D (!C (!B+A)+C A))")) ) (instance buf_API_DATA_OUT_RNO_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (property lut_function (string "(!D (!C B+C (B A))+D (!C (B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B A))+D (!C A+C (!B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance un1_reset_5_i_i_0_RNIREU61 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance waiting_word_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D !B)")) ) - (instance buf_API_DATA_OUT_RNO_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATAREADY_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !C+D (!C (!B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance dhdr_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A))+D (!B !A+B A))")) ) - (instance buf_API_DATA_OUT_RNO_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance buf_API_DATA_OUT_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance buf_API_DATA_OUT_RNO_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance buf_API_DATA_OUT_RNO_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance buf_API_DATA_OUT_RNO_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance buf_API_DATA_OUT_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance buf_API_DATA_OUT_RNO_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance buf_API_DATA_OUT_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) - ) - (instance buf_API_DATA_OUT_RNO_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) - ) - (instance buf_API_DATA_OUT_RNO_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) - ) - (instance buf_API_DATA_OUT_RNO_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (property lut_function (string "(B A)")) ) - (instance waiting_word_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D (!C A+C (!B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance state_RNI7NK53_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B A))+D (!C+B))")) + (instance buf_API_DATA_OUT_RNO_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance PROC_STATE_MACHINE_dhdr_counter_6_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A))+D (!B A+B !A))")) + (instance buf_API_DATA_OUT_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance state_ns_1_0__N_18_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A))+D (!C (B A)+C !B))")) + (instance buf_API_DATA_OUT_RNO_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance un1_reset_5_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance state_ns_1_0__m14_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D (!C (!B+!A)+C (B !A)))")) + (instance state_ns_1_0__m14_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance state_ns_1_0__m14_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance dhdr_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C !B)+D (C !B))")) ) - (instance state_ns_1_0__m14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance PROC_STATE_MACHINE_dhdr_counter_6_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A))+D B)")) + (instance buf_API_DATA_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B+A)+C A))")) ) - (instance buf_API_DATA_OUT_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B+A)+C A))")) ) (instance buf_API_DATA_OUT_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) (instance buf_API_DATA_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance buf_API_DATA_OUT_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) (instance buf_API_DATA_OUT_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance buf_API_DATA_OUT_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) (instance buf_API_DATA_OUT_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (!C !B+C (!B A)))")) - ) - (instance buf_API_DATA_OUT_RNO_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C B+C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D (!C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance dhdr_counter_RNI5GV11_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B !A))+D (!C (!B !A)))")) + (instance buf_API_DATA_OUT_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance ipu_read_before_RNIAKE12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C B)+D (!C (B+A)+C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance buf_TYPEe_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance buf_API_DATA_OUT_RNO_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) (instance buf_TYPEe_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) @@ -108173,23 +108293,26 @@ (instance buf_TYPEe_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) + (instance buf_TYPEe_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) (instance buf_TYPEe_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance un1_state_4_m5_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) + (instance ipu_read_before_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A))+D !C)")) ) - (instance un1_reset_5_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (!C (B+A)+C A))")) + (instance ipu_read_before_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C (B !A)+C (B+A)))")) ) - (instance first_ipu_read_RNI4UO91 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+!A)+D (!C (B+!A)+C B))")) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) - (instance first_ipu_readc_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C (B !A)))")) + (instance buf_NUMBER_1_sqmuxa_0_a3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance first_ipu_read_0_sqmuxa_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (instance state_1_sqmuxa_2_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) (instance buf_IPU_LENGTH_IN_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -108351,82 +108474,25 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_1)) (portRef A1 (instanceRef un1_api_length_out_1_cry_0_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_1)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_1)) - (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_1)) + (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18)) (portRef A (instanceRef buf_IPU_LENGTH_IN_RNIIU14_1)) )) (net buf_IPU_LENGTH_IN_i_1 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_RNIIU14_1)) (portRef (member buf_ipu_length_in_i 0)) )) - (net N_15 (joined - (portRef Z (instanceRef state_ns_1_0__m14)) - (portRef A (instanceRef state_ns_1_0__N_15_i)) - )) - (net N_15_i (joined - (portRef Z (instanceRef state_ns_1_0__N_15_i)) - (portRef D (instanceRef state_0)) - )) - (net buf_API_DATA_OUT_0_sqmuxa_1 (joined - (portRef Z (instanceRef waiting_word_RNO)) - (portRef D (instanceRef waiting_word)) - )) - (net waiting_word_RNIIPH92 (joined - (portRef Z (instanceRef waiting_word_RNIIPH92)) - (portRef A (instanceRef waiting_word_RNILP643)) - (portRef B (instanceRef waiting_word_RNO)) - (portRef waiting_word_RNIIPH92) - )) - (net N_4869_i (joined - (portRef Z (instanceRef waiting_word_RNILP643)) - (portRef SP (instanceRef reg_IPU_DATA_15)) - (portRef SP (instanceRef reg_IPU_DATA_high_15)) - (portRef SP (instanceRef reg_IPU_DATA_14)) - (portRef SP (instanceRef reg_IPU_DATA_high_14)) - (portRef SP (instanceRef reg_IPU_DATA_high_13)) - (portRef SP (instanceRef reg_IPU_DATA_13)) - (portRef SP (instanceRef reg_IPU_DATA_high_12)) - (portRef SP (instanceRef reg_IPU_DATA_12)) - (portRef SP (instanceRef reg_IPU_DATA_high_11)) - (portRef SP (instanceRef reg_IPU_DATA_11)) - (portRef SP (instanceRef reg_IPU_DATA_high_10)) - (portRef SP (instanceRef reg_IPU_DATA_10)) - (portRef SP (instanceRef reg_IPU_DATA_9)) - (portRef SP (instanceRef reg_IPU_DATA_high_9)) - (portRef SP (instanceRef reg_IPU_DATA_8)) - (portRef SP (instanceRef reg_IPU_DATA_high_8)) - (portRef SP (instanceRef reg_IPU_DATA_7)) - (portRef SP (instanceRef reg_IPU_DATA_high_7)) - (portRef SP (instanceRef reg_IPU_DATA_6)) - (portRef SP (instanceRef reg_IPU_DATA_high_6)) - (portRef SP (instanceRef reg_IPU_DATA_5)) - (portRef SP (instanceRef reg_IPU_DATA_high_5)) - (portRef SP (instanceRef reg_IPU_DATA_4)) - (portRef SP (instanceRef reg_IPU_DATA_high_4)) - (portRef SP (instanceRef reg_IPU_DATA_high_3)) - (portRef SP (instanceRef reg_IPU_DATA_3)) - (portRef SP (instanceRef reg_IPU_DATA_2)) - (portRef SP (instanceRef reg_IPU_DATA_high_2)) - (portRef SP (instanceRef reg_IPU_DATA_high_1)) - (portRef SP (instanceRef reg_IPU_DATA_1)) - (portRef SP (instanceRef reg_IPU_DATA_high_0)) - (portRef SP (instanceRef reg_IPU_DATA_0)) - )) - (net state_1_sqmuxa_1 (joined - (portRef Z (instanceRef state_1_sqmuxa_1_0_a2)) - (portRef C (instanceRef state_ns_1_0__m14_am)) - (portRef D (instanceRef buf_START_READOUT)) - (portRef B (instanceRef state_1_sqmuxa_1_0_a2_RNII2T81)) - )) (net state_1 (joined (portRef Q (instanceRef state_1)) - (portRef A (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2)) - (portRef C (instanceRef first_ipu_read_RNI4UO91)) - (portRef B (instanceRef state_ns_1_0__m14_bm)) - (portRef B (instanceRef state_ns_1_0__m14_am)) - (portRef B (instanceRef un1_reset_5_i)) - (portRef C (instanceRef state_ns_1_0__N_18_i)) - (portRef C (instanceRef state_RNI7NK53_1)) + (portRef B (instanceRef un1_reset_5_i_i_0_RNIREU61)) + (portRef C (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1)) + (portRef D (instanceRef state_ns_1_0__N_18_i)) + (portRef C (instanceRef state_ns_1_0__m14_i_a3)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I)) + (portRef C (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141)) + (portRef C (instanceRef first_ipu_read_RNIG4QR)) + (portRef C (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0)) (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_15)) (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_14)) (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_13)) @@ -108443,213 +108509,227 @@ (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_2)) (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_1)) (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_3_8)) + (portRef C (instanceRef first_ipu_read_RNIBCIU)) + (portRef C (instanceRef reg_IPU_DATA_high_RNITUQ81_13)) + (portRef C (instanceRef reg_IPU_DATA_high_RNI39F41_0)) + (portRef D (instanceRef state_ns_1_0__m14_i_a3_1)) + (portRef D (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0)) (portRef C (instanceRef PROC_STATE_MACHINE_buf_API_SEND_OUT_1_0_i)) - (portRef B (instanceRef state_s3_0_a3_i_o2)) - (portRef B (instanceRef state_s0_0_a2)) + (portRef B (instanceRef state_RNI0NLM_0)) + (portRef C (instanceRef last_second_word_waiting_RNI5O8R)) + (portRef B (instanceRef state_s0_0_a2_0_a2)) (portRef SP (instanceRef buf_API_DATAREADY_OUT)) (portRef CD (instanceRef first_ipu_read)) - (portRef B (instanceRef state_RNIORD21_0)) - (portRef A (instanceRef buf_API_DATAREADY_OUT_RNIJU2E1)) - (portRef B (instanceRef state_RNI0NLM_0)) - (portRef B (instanceRef state_RNIQVO41_0)) - (portRef C (instanceRef un1_state_4_m5_0_a2_0_RNIOP431)) - (portRef C (instanceRef ipu_read_before_RNO_0)) - (portRef C (instanceRef waiting_word_RNO_2)) - (portRef C (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO)) - (portRef C (instanceRef state_1_sqmuxa_1_0_a2_RNII2T81)) - (portRef C (instanceRef waiting_word_RNO)) - (portRef (member state 0)) + (portRef A (instanceRef state_RNIIUJV_0)) + (portRef B (instanceRef buf_API_DATAREADY_OUT_RNI36KI1)) + (portRef A (instanceRef state_RNI9ARC1_0)) + (portRef A (instanceRef waiting_word_RNO_1)) )) (net state_0 (joined (portRef Q (instanceRef state_0)) - (portRef B (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2)) - (portRef D (instanceRef first_ipu_read_RNI4UO91)) - (portRef A (instanceRef un1_reset_5_i_0)) - (portRef D (instanceRef ipu_read_before_RNIAKE12)) - (portRef C (instanceRef dhdr_counter_RNI5GV11_1)) - (portRef C0 (instanceRef state_ns_1_0__m14)) - (portRef B (instanceRef state_ns_1_0__N_18_i)) - (portRef B (instanceRef first_ipu_readc_0)) - (portRef B (instanceRef waiting_word_RNI4DE12)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_7)) + (portRef D (instanceRef dhdr_counter_RNO_0)) + (portRef B (instanceRef state_ns_1_0__m14_i_a3)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_5)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_9)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_14)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_15)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_12)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_10)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_6)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_1)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_11)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_4)) + (portRef B (instanceRef first_ipu_read_RNIBCIU)) + (portRef D (instanceRef un1_reset_5_i_i_0)) + (portRef D (instanceRef ipu_read_before_RNI438A1)) (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_SEND_OUT_1_0_i)) - (portRef A (instanceRef state_s3_0_a3_i_o2)) + (portRef A (instanceRef state_RNI0NLM_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_3)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_8)) + (portRef C (instanceRef dhdr_counter_RNICK5O_1)) + (portRef C (instanceRef dhdr_counter_RNIGJIS_1)) (portRef B (instanceRef dhdr_counter_RNIUKBF_0)) - (portRef B (instanceRef dhdr_counter_RNIVKBF_1)) - (portRef A (instanceRef state_s0_0_a2)) - (portRef C (instanceRef state_RNIORD21_0)) - (portRef B (instanceRef buf_API_DATAREADY_OUT_RNIJU2E1)) - (portRef C (instanceRef state_RNI0NLM_0)) - (portRef C (instanceRef state_RNIQVO41_0)) - (portRef D (instanceRef un1_state_4_m5_0_a2_0_RNIOP431)) - (portRef D (instanceRef ipu_read_before_RNO_0)) - (portRef D (instanceRef waiting_word_RNO_2)) - (portRef D (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO)) - (portRef B (instanceRef first_ipu_read_RNO)) - (portRef D (instanceRef state_1_sqmuxa_1_0_a2_RNII2T81)) - (portRef D (instanceRef waiting_word_RNO)) - (portRef (member state 1)) - )) - (net state_1_sqmuxa_2 (joined - (portRef Z (instanceRef state_1_sqmuxa_1_0_a2_RNII2T81)) - (portRef B (instanceRef buf_TYPEe_2)) - (portRef B (instanceRef buf_TYPEe_3)) - (portRef B (instanceRef buf_TYPEe_0)) - (portRef B (instanceRef buf_TYPEe_1)) - )) - (net N_4915_1 (joined - (portRef Z (instanceRef first_ipu_readc_2)) - (portRef C (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2)) - (portRef A (instanceRef state_ns_1_0__m14_bm)) - (portRef A (instanceRef state_ns_1_0__N_18_i)) - (portRef A (instanceRef first_ipu_readc_0)) + (portRef A (instanceRef state_s0_0_a2_0_a2)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2)) + (portRef B (instanceRef state_RNIIUJV_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_10)) + (portRef A (instanceRef state_ns_1_0__m14_i_a2)) + (portRef C (instanceRef state_RNI9ARC1_0)) (portRef C (instanceRef first_ipu_read_RNO)) + (portRef C (instanceRef state_RNIUO4U1_0)) + (portRef C (instanceRef waiting_word_RNO_1)) )) - (net first_ipu_readc (joined - (portRef Z (instanceRef first_ipu_read_RNO)) - (portRef D (instanceRef first_ipu_read)) + (net buf_API_DATA_OUT_0_sqmuxa_1_i_0_0 (joined + (portRef Z (instanceRef waiting_word_RNO_1)) + (portRef C (instanceRef waiting_word_RNO)) )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_15)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_14)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_13)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_12)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_11)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_10)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_9)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_8)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_7)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_6)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_5)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_4)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_3)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_2)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_1)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_0)) - (portRef A (instanceRef packet_number_RNO_0)) - (portRef A (instanceRef first_ipu_read_RNO)) + (net ipu_dataready_i (joined + (portRef ipu_dataready_i) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0)) + (portRef D (instanceRef ipu_read_before_RNO)) + (portRef D (instanceRef state_ns_1_0__m14_i_a3)) + (portRef D (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141)) + (portRef D (instanceRef state_RNI9ARC1_0)) + (portRef D (instanceRef first_ipu_read_RNO)) + (portRef A (instanceRef state_RNIUO4U1_0)) + (portRef D (instanceRef waiting_word_RNO_1)) )) - (net packet_number_4_0 (joined - (portRef Z (instanceRef packet_number_RNO_0)) - (portRef D (instanceRef packet_number_0)) + (net buf_API_DATA_OUT_12_iv_2_596_i_o3_i_o2_m4_e_2 (joined + (portRef Z (instanceRef last_second_word_waiting_RNI5O8R)) + (portRef C (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1)) + (portRef B (instanceRef state_RNIUO4U1_0)) )) - (net m6_6_03_1_1 (joined - (portRef m6_6_03_1_1) - (portRef A (instanceRef waiting_word_RNIRJ1U1)) + (net buf_api_stat_fifo_to_int_46 (joined + (portRef (member buf_api_stat_fifo_to_int 0)) + (portRef C (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0)) + (portRef A (instanceRef state_ns_1_0__m14_i_a3)) + (portRef C (instanceRef second_word_waiting_iv_i_o3_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_10)) + (portRef A (instanceRef ipu_read_before_RNI438A1)) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2)) + (portRef A (instanceRef ipu_read_before_RNIMUFL)) + (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a2)) + (portRef C (instanceRef state_RNIIUJV_0)) + (portRef C (instanceRef last_second_word_waiting_RNO)) + (portRef C (instanceRef buf_API_DATAREADY_OUT_RNI36KI1)) + (portRef A (instanceRef N_4899_i_i_o3_0)) + (portRef C (instanceRef packet_number_RNO_0)) + (portRef B (instanceRef state_RNI9ARC1_0)) + (portRef B (instanceRef first_ipu_read_RNO)) + (portRef D (instanceRef state_RNIUO4U1_0)) + (portRef B (instanceRef waiting_word_RNO_1)) )) - (net port_select_int_0 (joined - (portRef (member port_select_int 0)) - (portRef C (instanceRef waiting_word_RNIRJ1U1)) + (net state_RNIUO4U1_0 (joined + (portRef Z (instanceRef state_RNIUO4U1_0)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (portRef B (instanceRef ipu_read_before_RNI3PTQ4)) )) - (net d_N_9 (joined - (portRef Z (instanceRef waiting_word_RNIRJ1U1)) - (portRef d_N_9) + (net first_ipu_readc (joined + (portRef Z (instanceRef first_ipu_read_RNO)) + (portRef D (instanceRef first_ipu_read)) )) - (net reset_i_fast_r2 (joined - (portRef reset_i_fast_r2) - (portRef D (instanceRef waiting_word_RNIIPH92)) - (portRef C (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_o2_0_0)) - (portRef A (instanceRef state_RNIORD21_0)) - (portRef A (instanceRef ipu_read_before_RNO_0)) - (portRef A (instanceRef last_second_word_waiting_RNO)) - (portRef A (instanceRef state_1_sqmuxa_1_0_a2_RNII2T81)) + (net N_5820 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i_a2)) + (portRef C (instanceRef state_ns_1_0__N_18_i)) + (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2)) + (portRef A (instanceRef state_ns_1_0__m14_i_a3_0)) )) (net waiting_word (joined (portRef Q (instanceRef waiting_word)) - (portRef A (instanceRef un1_state_4_m5_0_a2_0)) - (portRef C (instanceRef waiting_word_RNIHSE42)) - (portRef B (instanceRef ipu_read_before_RNO)) - (portRef C (instanceRef waiting_word_RNIIPH92)) - (portRef C (instanceRef waiting_word_RNI4DE12)) - (portRef C (instanceRef second_word_waiting_iv_i)) - (portRef C (instanceRef state_ns_1_0__m12)) - (portRef D (instanceRef first_ipu_read_RNIPC2R1)) - (portRef B (instanceRef waiting_word_RNO_2)) + (portRef C (instanceRef ipu_read_before_RNO_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_0)) + (portRef D (instanceRef waiting_word_RNO)) + (portRef C (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I)) + (portRef D (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2)) + (portRef C (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141)) + (portRef D (instanceRef second_word_waiting_iv_i_o3_0)) + (portRef D (instanceRef first_ipu_read_RNIBCIU)) + (portRef D (instanceRef first_ipu_read_RNI7EER)) + (portRef C (instanceRef state_ns_1_0__m14_i_o2)) + (portRef D (instanceRef last_second_word_waiting_RNI5O8R)) (portRef B (instanceRef last_second_word_waiting_RNO)) - (portRef D (instanceRef waiting_word_RNIRJ1U1)) - (portRef waiting_word) + (portRef A (instanceRef waiting_word_RNO_2)) + (portRef B (instanceRef state_ns_1_0__m14_i_a3_0)) )) - (net buf_api_stat_fifo_to_int_11 (joined - (portRef buf_api_stat_fifo_to_int_11) - (portRef A (instanceRef first_ipu_readc_2)) - (portRef B (instanceRef first_ipu_read_RNI4UO91)) - (portRef A (instanceRef ipu_read_before_RNIAKE12)) - (portRef A (instanceRef first_ipu_read_RNIPC2R1)) - (portRef A (instanceRef second_word_waiting_iv_i_a2_0)) - (portRef A (instanceRef ipu_read_before_RNIMUFL)) - (portRef C (instanceRef buf_API_DATAREADY_OUT_RNIJU2E1)) - (portRef B (instanceRef un1_state_4_m5_0_a2_0_RNIOP431)) - (portRef B (instanceRef ipu_read_before_RNO_0)) - (portRef C (instanceRef last_second_word_waiting_RNO)) - (portRef C (instanceRef packet_number_RNO_0)) + (net saved_IPU_READOUT_FINISHED_IN (joined + (portRef Q (instanceRef saved_IPU_READOUT_FINISHED_IN)) + (portRef B (instanceRef state_ns_1_0__m14_i_o2)) + (portRef A (instanceRef saved_IPU_READOUT_FINISHED_IN_fb)) + (portRef C (instanceRef state_ns_1_0__m14_i_a3_0)) )) (net buf_APL_DATAREADY_IN_1 (joined (portRef Q (instanceRef buf_API_DATAREADY_OUT)) - (portRef C (instanceRef un1_state_4_m5_0_a2_0)) - (portRef A (instanceRef state_ns_1_0__m12)) - (portRef A (instanceRef last_second_word_waiting_RNIRGQG)) - (portRef D (instanceRef buf_API_DATAREADY_OUT_RNIJU2E1)) + (portRef A (instanceRef ipu_read_before_RNO_0)) + (portRef D (instanceRef waiting_word_RNO_0)) + (portRef B (instanceRef waiting_word_RNO)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (portRef A (instanceRef last_second_word_waiting_RNIKQVT1)) + (portRef B (instanceRef second_word_waiting_iv_i_o3_0)) + (portRef A (instanceRef first_ipu_read_RNI7EER)) + (portRef A (instanceRef state_ns_1_0__m14_i_o2)) + (portRef A (instanceRef last_second_word_waiting_RNI5O8R)) + (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a2)) (portRef D (instanceRef last_second_word_waiting_RNO)) + (portRef D (instanceRef buf_API_DATAREADY_OUT_RNI36KI1)) (portRef D (instanceRef packet_number_RNO_0)) - (portRef (member buf_apl_dataready_in 0)) + (portRef D (instanceRef state_ns_1_0__m14_i_a3_0)) )) - (net un1_reset_2_0_i (joined - (portRef Z (instanceRef last_second_word_waiting_RNO)) - (portRef CD (instanceRef last_second_word_waiting)) + (net N_5757 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i_a3_0)) + (portRef B (instanceRef state_ns_1_0__m14_i)) )) - (net ipu_dataready_i (joined - (portRef ipu_dataready_i) - (portRef D (instanceRef dhdr_counter_RNI5GV11_1)) - (portRef C (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2)) - (portRef C (instanceRef ipu_read_before_RNO)) - (portRef D (instanceRef un1_state_4_m5_0_a2_2)) - (portRef D (instanceRef waiting_word_RNI4DE12)) - (portRef D (instanceRef state_ns_1_0__m12)) - (portRef A (instanceRef waiting_word_RNO_2)) - (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO)) - (portRef A (instanceRef waiting_word_RNO)) + (net first_ipu_read_0_sqmuxa (joined + (portRef Z (instanceRef state_RNI9ARC1_0)) + (portRef C (instanceRef dhdr_counter_RNO_1)) + (portRef C (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0)) + (portRef D (instanceRef make_compare)) )) - (net ipu_read_before (joined - (portRef Q (instanceRef ipu_read_before)) - (portRef C (instanceRef ipu_read_before_RNIAKE12)) - (portRef B (instanceRef ipu_read_before_RNIMUFL)) - (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO)) + (net N_5710_i (joined + (portRef Z (instanceRef packet_number_RNO_0)) + (portRef D (instanceRef packet_number_0)) + )) + (net m166_2_03_4_i_4 (joined + (portRef m166_2_03_4_i_4) + (portRef B (instanceRef waiting_word_RNO_2)) + (portRef B (instanceRef N_4899_i_i_o3_0)) + (portRef B (instanceRef state_ns_1_0__m14_i_a2)) + )) + (net m161_2_03 (joined + (portRef m161_2_03) + (portRef C (instanceRef waiting_word_RNO_2)) + (portRef C (instanceRef N_4899_i_i_o3_0)) + (portRef C (instanceRef state_ns_1_0__m14_i_a2)) )) - (net buf_API_DATAREADY_OUT_3_i_m_1 (joined - (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO)) - (portRef C (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv)) + (net suppress_output (joined + (portRef suppress_output) + (portRef D (instanceRef waiting_word_RNO_2)) + (portRef D (instanceRef N_4899_i_i_o3_0)) + (portRef D (instanceRef state_ns_1_0__m14_i_a2)) + )) + (net N_5735 (joined + (portRef Z (instanceRef N_4899_i_i_o3_0)) + (portRef A (instanceRef last_second_word_waiting_RNIPK9V2)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_8)) )) - (net d_m4_0_a3_0_1 (joined + (net N_5744 (joined (portRef Z (instanceRef waiting_word_RNO_2)) - (portRef D (instanceRef waiting_word_RNO_1)) + (portRef C (instanceRef waiting_word_RNO_0)) )) - (net ipu_read_before_RNO_0 (joined - (portRef Z (instanceRef ipu_read_before_RNO_0)) - (portRef SP (instanceRef ipu_read_before)) + (net N_5731 (joined + (portRef Z (instanceRef buf_API_DATAREADY_OUT_RNI36KI1)) + (portRef A (instanceRef dhdr_counter_RNO_0)) + (portRef B (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0)) )) - (net un1_state_4_m5_0_a2_0 (joined - (portRef Z (instanceRef un1_state_4_m5_0_a2_0)) - (portRef C (instanceRef un1_state_4_m5_0_a2_2)) - (portRef A (instanceRef un1_state_4_m5_0_a2_0_RNIOP431)) + (net last_second_word_waiting_RNO (joined + (portRef Z (instanceRef last_second_word_waiting_RNO)) + (portRef CD (instanceRef last_second_word_waiting)) )) - (net un1_state_4_m5_0_a2_0_RNIOP431 (joined - (portRef Z (instanceRef un1_state_4_m5_0_a2_0_RNIOP431)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_11)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_8)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_15)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_9)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_3)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_10)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_6)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_12)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_7)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (net buf_IPU_LENGTH_IN_10 (joined + (portRef Q (instanceRef buf_IPU_LENGTH_IN_10)) + (portRef A0 (instanceRef un1_api_length_out_1_cry_9_0)) + (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_9)) + (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_10)) + )) + (net dhdr_counter_0 (joined + (portRef Q (instanceRef dhdr_counter_0)) + (portRef C (instanceRef dhdr_counter_RNO_0)) + (portRef B (instanceRef un1_reset_5_i_i_0)) + (portRef B (instanceRef state_ns_1_0__m14_i_a3_1)) + (portRef A (instanceRef dhdr_counter_RNIVUOA_0)) + (portRef A (instanceRef dhdr_counter_RNIUKBF_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_3_10)) + )) + (net N_4812 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_10)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_10)) )) (net GND (joined (portRef GND) @@ -108720,29 +108800,10 @@ (portRef B0 (instanceRef buf_IPU_LENGTH_IN_cry_0_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_0)) )) - (net buf_IPU_LENGTH_INlde_i_a2_2 (joined - (portRef Z (instanceRef state_RNIQVO41_0)) - (portRef B (instanceRef first_ipu_read_RNIPC2R1)) - )) - (net state_RNI0NLM_0 (joined - (portRef Z (instanceRef state_RNI0NLM_0)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_27)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_22)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_21)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_18)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_17)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_16)) - )) - (net N_261_0 (joined - (portRef Z (instanceRef buf_API_DATAREADY_OUT_RNIJU2E1)) - (portRef A (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_0)) - (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv)) - (portRef A (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_o2_0_0)) - )) - (net N_294 (joined - (portRef Z (instanceRef state_RNIORD21_0)) - (portRef D (instanceRef buf_NUMBER_1_sqmuxa_0_a3)) - (portRef D (instanceRef buf_INFORMATION_1_sqmuxa_0_a3)) + (net N_5733 (joined + (portRef Z (instanceRef state_RNIIUJV_0)) + (portRef A (instanceRef ipu_read_before_RNO)) + (portRef B (instanceRef waiting_word_RNO_0)) )) (net evt_number_mismatch (joined (portRef Q (instanceRef evt_number_mismatch)) @@ -108775,24 +108836,22 @@ (portRef Z (instanceRef evt_code_mismatch_fb)) (portRef D (instanceRef evt_code_mismatch)) )) - (net saved_IPU_READOUT_FINISHED_IN (joined - (portRef Q (instanceRef saved_IPU_READOUT_FINISHED_IN)) - (portRef B (instanceRef state_ns_1_0__m12)) - (portRef A (instanceRef saved_IPU_READOUT_FINISHED_IN_fb)) - )) - (net ipu_readout_finished_i (joined - (portRef ipu_readout_finished_i) - (portRef B (instanceRef saved_IPU_READOUT_FINISHED_IN_fb)) - (portRef A (instanceRef state_RNI0NLM_0)) - )) (net fb_0_1 (joined (portRef Z (instanceRef saved_IPU_READOUT_FINISHED_IN_fb)) (portRef D (instanceRef saved_IPU_READOUT_FINISHED_IN)) )) - (net un1_state_4_0 (joined + (net N_5707_i (joined + (portRef Z (instanceRef waiting_word_RNO)) + (portRef D (instanceRef waiting_word)) + )) + (net N_7_i (joined (portRef Z (instanceRef waiting_word_RNO_0)) (portRef SP (instanceRef waiting_word)) )) + (net N_5712 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i)) + (portRef D (instanceRef state_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef CK (instanceRef buf_API_DATAREADY_OUT)) @@ -108884,20 +108943,20 @@ (portRef CK (instanceRef reg_IPU_DATA_12)) (portRef CK (instanceRef reg_IPU_DATA_high_11)) (portRef CK (instanceRef reg_IPU_DATA_11)) - (portRef CK (instanceRef reg_IPU_DATA_high_10)) (portRef CK (instanceRef reg_IPU_DATA_10)) - (portRef CK (instanceRef reg_IPU_DATA_9)) + (portRef CK (instanceRef reg_IPU_DATA_high_10)) (portRef CK (instanceRef reg_IPU_DATA_high_9)) - (portRef CK (instanceRef reg_IPU_DATA_8)) + (portRef CK (instanceRef reg_IPU_DATA_9)) (portRef CK (instanceRef reg_IPU_DATA_high_8)) - (portRef CK (instanceRef reg_IPU_DATA_7)) + (portRef CK (instanceRef reg_IPU_DATA_8)) (portRef CK (instanceRef reg_IPU_DATA_high_7)) - (portRef CK (instanceRef reg_IPU_DATA_6)) + (portRef CK (instanceRef reg_IPU_DATA_7)) (portRef CK (instanceRef reg_IPU_DATA_high_6)) - (portRef CK (instanceRef reg_IPU_DATA_5)) + (portRef CK (instanceRef reg_IPU_DATA_6)) (portRef CK (instanceRef reg_IPU_DATA_high_5)) - (portRef CK (instanceRef reg_IPU_DATA_4)) + (portRef CK (instanceRef reg_IPU_DATA_5)) (portRef CK (instanceRef reg_IPU_DATA_high_4)) + (portRef CK (instanceRef reg_IPU_DATA_4)) (portRef CK (instanceRef reg_IPU_DATA_high_3)) (portRef CK (instanceRef reg_IPU_DATA_3)) (portRef CK (instanceRef reg_IPU_DATA_2)) @@ -108931,20 +108990,20 @@ (portRef CD (instanceRef reg_IPU_DATA_12)) (portRef CD (instanceRef reg_IPU_DATA_high_11)) (portRef CD (instanceRef reg_IPU_DATA_11)) - (portRef CD (instanceRef reg_IPU_DATA_high_10)) (portRef CD (instanceRef reg_IPU_DATA_10)) - (portRef CD (instanceRef reg_IPU_DATA_9)) + (portRef CD (instanceRef reg_IPU_DATA_high_10)) (portRef CD (instanceRef reg_IPU_DATA_high_9)) - (portRef CD (instanceRef reg_IPU_DATA_8)) + (portRef CD (instanceRef reg_IPU_DATA_9)) (portRef CD (instanceRef reg_IPU_DATA_high_8)) - (portRef CD (instanceRef reg_IPU_DATA_7)) + (portRef CD (instanceRef reg_IPU_DATA_8)) (portRef CD (instanceRef reg_IPU_DATA_high_7)) - (portRef CD (instanceRef reg_IPU_DATA_6)) + (portRef CD (instanceRef reg_IPU_DATA_7)) (portRef CD (instanceRef reg_IPU_DATA_high_6)) - (portRef CD (instanceRef reg_IPU_DATA_5)) + (portRef CD (instanceRef reg_IPU_DATA_6)) (portRef CD (instanceRef reg_IPU_DATA_high_5)) - (portRef CD (instanceRef reg_IPU_DATA_4)) + (portRef CD (instanceRef reg_IPU_DATA_5)) (portRef CD (instanceRef reg_IPU_DATA_high_4)) + (portRef CD (instanceRef reg_IPU_DATA_4)) (portRef CD (instanceRef reg_IPU_DATA_high_3)) (portRef CD (instanceRef reg_IPU_DATA_3)) (portRef CD (instanceRef reg_IPU_DATA_2)) @@ -109023,19 +109082,52 @@ (portRef Z (instanceRef state_ns_1_0__N_18_i)) (portRef D (instanceRef state_1)) )) + (net N_5706_i (joined + (portRef Z (instanceRef last_second_word_waiting_RNIPK9V2)) + (portRef SP (instanceRef reg_IPU_DATA_15)) + (portRef SP (instanceRef reg_IPU_DATA_high_15)) + (portRef SP (instanceRef reg_IPU_DATA_14)) + (portRef SP (instanceRef reg_IPU_DATA_high_14)) + (portRef SP (instanceRef reg_IPU_DATA_high_13)) + (portRef SP (instanceRef reg_IPU_DATA_13)) + (portRef SP (instanceRef reg_IPU_DATA_high_12)) + (portRef SP (instanceRef reg_IPU_DATA_12)) + (portRef SP (instanceRef reg_IPU_DATA_high_11)) + (portRef SP (instanceRef reg_IPU_DATA_11)) + (portRef SP (instanceRef reg_IPU_DATA_10)) + (portRef SP (instanceRef reg_IPU_DATA_high_10)) + (portRef SP (instanceRef reg_IPU_DATA_high_9)) + (portRef SP (instanceRef reg_IPU_DATA_9)) + (portRef SP (instanceRef reg_IPU_DATA_high_8)) + (portRef SP (instanceRef reg_IPU_DATA_8)) + (portRef SP (instanceRef reg_IPU_DATA_high_7)) + (portRef SP (instanceRef reg_IPU_DATA_7)) + (portRef SP (instanceRef reg_IPU_DATA_high_6)) + (portRef SP (instanceRef reg_IPU_DATA_6)) + (portRef SP (instanceRef reg_IPU_DATA_high_5)) + (portRef SP (instanceRef reg_IPU_DATA_5)) + (portRef SP (instanceRef reg_IPU_DATA_high_4)) + (portRef SP (instanceRef reg_IPU_DATA_4)) + (portRef SP (instanceRef reg_IPU_DATA_high_3)) + (portRef SP (instanceRef reg_IPU_DATA_3)) + (portRef SP (instanceRef reg_IPU_DATA_2)) + (portRef SP (instanceRef reg_IPU_DATA_high_2)) + (portRef SP (instanceRef reg_IPU_DATA_high_1)) + (portRef SP (instanceRef reg_IPU_DATA_1)) + (portRef SP (instanceRef reg_IPU_DATA_high_0)) + (portRef SP (instanceRef reg_IPU_DATA_0)) + )) (net reg_IPU_DATA_0 (joined (portRef Q (instanceRef reg_IPU_DATA_0)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_0)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_0)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_0)) )) (net reg_IPU_DATA_high_0 (joined (portRef Q (instanceRef reg_IPU_DATA_high_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_0)) + (portRef B (instanceRef reg_IPU_DATA_high_RNI39F41_0)) )) (net reg_IPU_DATA_1 (joined (portRef Q (instanceRef reg_IPU_DATA_1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_1)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1)) )) (net reg_IPU_DATA_high_1 (joined (portRef Q (instanceRef reg_IPU_DATA_high_1)) @@ -109047,93 +109139,84 @@ )) (net reg_IPU_DATA_2 (joined (portRef Q (instanceRef reg_IPU_DATA_2)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_2)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_2)) )) (net reg_IPU_DATA_3 (joined (portRef Q (instanceRef reg_IPU_DATA_3)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_3)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_3)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_3)) )) (net reg_IPU_DATA_high_3 (joined (portRef Q (instanceRef reg_IPU_DATA_high_3)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_3)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_3_3)) + )) + (net reg_IPU_DATA_4 (joined + (portRef Q (instanceRef reg_IPU_DATA_4)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_4)) )) (net reg_IPU_DATA_high_4 (joined (portRef Q (instanceRef reg_IPU_DATA_high_4)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_4)) )) - (net reg_IPU_DATA_4 (joined - (portRef Q (instanceRef reg_IPU_DATA_4)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_4)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_4)) + (net reg_IPU_DATA_5 (joined + (portRef Q (instanceRef reg_IPU_DATA_5)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_5)) )) (net reg_IPU_DATA_high_5 (joined (portRef Q (instanceRef reg_IPU_DATA_high_5)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_5)) )) - (net reg_IPU_DATA_5 (joined - (portRef Q (instanceRef reg_IPU_DATA_5)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_5)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_5)) + (net reg_IPU_DATA_6 (joined + (portRef Q (instanceRef reg_IPU_DATA_6)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_6)) )) (net reg_IPU_DATA_high_6 (joined (portRef Q (instanceRef reg_IPU_DATA_high_6)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_6)) )) - (net reg_IPU_DATA_6 (joined - (portRef Q (instanceRef reg_IPU_DATA_6)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_6)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_6)) + (net reg_IPU_DATA_7 (joined + (portRef Q (instanceRef reg_IPU_DATA_7)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_7)) )) (net reg_IPU_DATA_high_7 (joined (portRef Q (instanceRef reg_IPU_DATA_high_7)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_7)) )) - (net reg_IPU_DATA_7 (joined - (portRef Q (instanceRef reg_IPU_DATA_7)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_7)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_7)) + (net reg_IPU_DATA_8 (joined + (portRef Q (instanceRef reg_IPU_DATA_8)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_8)) )) (net reg_IPU_DATA_high_8 (joined (portRef Q (instanceRef reg_IPU_DATA_high_8)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_8)) - )) - (net reg_IPU_DATA_8 (joined - (portRef Q (instanceRef reg_IPU_DATA_8)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_8)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_8)) )) - (net reg_IPU_DATA_high_9 (joined - (portRef Q (instanceRef reg_IPU_DATA_high_9)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_9)) - )) (net reg_IPU_DATA_9 (joined (portRef Q (instanceRef reg_IPU_DATA_9)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_9)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_9)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_9)) )) - (net reg_IPU_DATA_10 (joined - (portRef Q (instanceRef reg_IPU_DATA_10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_10)) + (net reg_IPU_DATA_high_9 (joined + (portRef Q (instanceRef reg_IPU_DATA_high_9)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_9)) )) (net reg_IPU_DATA_high_10 (joined (portRef Q (instanceRef reg_IPU_DATA_high_10)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_10)) )) + (net reg_IPU_DATA_10 (joined + (portRef Q (instanceRef reg_IPU_DATA_10)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_10)) + )) (net reg_IPU_DATA_11 (joined (portRef Q (instanceRef reg_IPU_DATA_11)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_11)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_11)) )) (net reg_IPU_DATA_high_11 (joined (portRef Q (instanceRef reg_IPU_DATA_high_11)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_11)) )) (net reg_IPU_DATA_12 (joined (portRef Q (instanceRef reg_IPU_DATA_12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_12)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_12)) )) (net reg_IPU_DATA_high_12 (joined (portRef Q (instanceRef reg_IPU_DATA_high_12)) @@ -109141,12 +109224,11 @@ )) (net reg_IPU_DATA_13 (joined (portRef Q (instanceRef reg_IPU_DATA_13)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_13)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_13)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_13)) )) (net reg_IPU_DATA_high_13 (joined (portRef Q (instanceRef reg_IPU_DATA_high_13)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_13)) + (portRef B (instanceRef reg_IPU_DATA_high_RNITUQ81_13)) )) (net reg_IPU_DATA_high_14 (joined (portRef Q (instanceRef reg_IPU_DATA_high_14)) @@ -109154,8 +109236,7 @@ )) (net reg_IPU_DATA_14 (joined (portRef Q (instanceRef reg_IPU_DATA_14)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_14)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_14)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_14)) )) (net reg_IPU_DATA_high_15 (joined (portRef Q (instanceRef reg_IPU_DATA_high_15)) @@ -109163,59 +109244,83 @@ )) (net reg_IPU_DATA_15 (joined (portRef Q (instanceRef reg_IPU_DATA_15)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_15)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_15)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_15)) )) (net buf_APL_PACKET_NUM_IN_3 (joined (portRef Q (instanceRef packet_number_0)) (portRef B (instanceRef packet_number_RNO_0)) (portRef (member buf_apl_packet_num_in 0)) )) - (net first_ipu_read_0_sqmuxa (joined - (portRef Z (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2)) - (portRef C (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_0)) - (portRef B (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2)) - (portRef C (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_1)) - (portRef D (instanceRef make_compare)) - )) - (net second_word_waiting_iv_i (joined - (portRef Z (instanceRef second_word_waiting_iv_i)) - (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv)) + (net second_word_waiting_iv_i_o3_0 (joined + (portRef Z (instanceRef second_word_waiting_iv_i_o3_0)) (portRef D (instanceRef last_second_word_waiting)) )) - (net d_N_5_0_i (joined + (net last_second_word_waiting (joined + (portRef Q (instanceRef last_second_word_waiting)) + (portRef B (instanceRef ipu_read_before_RNO_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (portRef C (instanceRef last_second_word_waiting_RNIKQVT1)) + (portRef C (instanceRef first_ipu_read_RNI7EER)) + (portRef B (instanceRef last_second_word_waiting_RNI5O8R)) + (portRef A (instanceRef second_word_waiting_iv_i_o3_0_o2)) + )) + (net N_39_i (joined (portRef Z (instanceRef ipu_read_before_RNO)) (portRef D (instanceRef ipu_read_before)) )) + (net reset_i_fast_1_i (joined + (portRef reset_i_fast_1_i) + (portRef D (instanceRef buf_API_READ_OUT)) + (portRef SP (instanceRef buf_API_SEND_OUT)) + (portRef SP (instanceRef ipu_read_before)) + (portRef SP (instanceRef make_compare)) + )) + (net ipu_read_before (joined + (portRef Q (instanceRef ipu_read_before)) + (portRef C (instanceRef ipu_read_before_RNO)) + (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_10)) + (portRef C (instanceRef ipu_read_before_RNI438A1)) + (portRef B (instanceRef ipu_read_before_RNIMUFL)) + )) (net first_ipu_read (joined (portRef Q (instanceRef first_ipu_read)) - (portRef A (instanceRef first_ipu_read_RNI4UO91)) - (portRef C (instanceRef first_ipu_read_RNIPC2R1)) + (portRef B (instanceRef first_ipu_read_RNIG4QR)) + (portRef A (instanceRef first_ipu_read_RNIBCIU)) + (portRef B (instanceRef first_ipu_read_RNI7EER)) )) - (net N_256_0 (joined - (portRef Z (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_0)) + (net N_5708_i (joined + (portRef Z (instanceRef dhdr_counter_RNO_0)) (portRef D (instanceRef dhdr_counter_0)) )) - (net dhdr_counter_0 (joined - (portRef Q (instanceRef dhdr_counter_0)) - (portRef D (instanceRef un1_reset_5_i_0)) - (portRef A (instanceRef dhdr_counter_RNI5GV11_1)) - (portRef B (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_0)) - (portRef B (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_o2_0_0)) - (portRef A (instanceRef dhdr_counter_RNIUKBF_0)) - (portRef A (instanceRef state_1_sqmuxa_0_a2)) - )) - (net N_258_0 (joined - (portRef Z (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_1)) + (net N_5709_i (joined + (portRef Z (instanceRef dhdr_counter_RNO_1)) (portRef D (instanceRef dhdr_counter_1)) )) (net dhdr_counter_1 (joined (portRef Q (instanceRef dhdr_counter_1)) - (portRef C (instanceRef un1_reset_5_i_0)) - (portRef B (instanceRef dhdr_counter_RNI5GV11_1)) - (portRef B (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_1)) - (portRef A (instanceRef dhdr_counter_RNIVKBF_1)) - (portRef B (instanceRef state_1_sqmuxa_0_a2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_7)) + (portRef B (instanceRef dhdr_counter_RNO_1)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_5)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_9)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_14)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_15)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_12)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_10)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_6)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_1)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_11)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_4)) + (portRef C (instanceRef un1_reset_5_i_i_0)) + (portRef C (instanceRef state_ns_1_0__m14_i_a3_1)) + (portRef C (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_3)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_8)) + (portRef B (instanceRef dhdr_counter_RNICK5O_1)) + (portRef B (instanceRef dhdr_counter_RNIGJIS_1)) + (portRef B (instanceRef dhdr_counter_RNIVUOA_0)) )) (net buf_TYPEe_0_0 (joined (portRef Z (instanceRef buf_TYPEe_0)) @@ -109233,8 +109338,15 @@ (portRef Z (instanceRef buf_TYPEe_3)) (portRef D (instanceRef buf_TYPE_3)) )) - (net state_s0_0_a2 (joined - (portRef Z (instanceRef state_s0_0_a2)) + (net state_1_sqmuxa_1 (joined + (portRef Z (instanceRef state_1_sqmuxa_1_0_a2_0_a2)) + (portRef D (instanceRef buf_START_READOUT)) + )) + (net state_s0_0_a2_0_a2 (joined + (portRef Z (instanceRef state_s0_0_a2_0_a2)) + (portRef B (instanceRef state_1_sqmuxa_2_0_a3_0_a3)) + (portRef C (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a3)) + (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3)) (portRef SP (instanceRef buf_START_READOUT)) )) (net ipu_start_readout_i (joined @@ -109243,7 +109355,7 @@ (portRef ipu_start_readout_i) )) (net buf_INFORMATION_1_sqmuxa (joined - (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a3)) + (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3)) (portRef SP (instanceRef buf_RND_CODE_7)) (portRef SP (instanceRef buf_RND_CODE_6)) (portRef SP (instanceRef buf_RND_CODE_5)) @@ -109292,7 +109404,7 @@ (portRef D (instanceRef buf_RND_CODE_0)) )) (net buf_NUMBER_1_sqmuxa (joined - (portRef Z (instanceRef buf_NUMBER_1_sqmuxa_0_a3)) + (portRef Z (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a3)) (portRef SP (instanceRef buf_NUMBER_15)) (portRef SP (instanceRef buf_NUMBER_14)) (portRef SP (instanceRef buf_NUMBER_13)) @@ -109384,8 +109496,8 @@ (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_0)) (portRef D (instanceRef buf_IPU_LENGTH_IN_0)) )) - (net first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2 (joined - (portRef Z (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2)) + (net buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1)) (portRef SP (instanceRef buf_IPU_LENGTH_IN_15)) (portRef SP (instanceRef buf_IPU_LENGTH_IN_14)) (portRef SP (instanceRef buf_IPU_LENGTH_IN_13)) @@ -109416,7 +109528,7 @@ (portRef A0 (instanceRef un1_api_length_out_1_cry_1_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_1)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_2)) - (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18)) + (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18)) )) (net buf_IPU_LENGTH_IN_lm_3 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_3)) @@ -109426,8 +109538,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_3)) (portRef A1 (instanceRef un1_api_length_out_1_cry_1_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_3)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_3)) - (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_3_3)) + (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18)) )) (net buf_IPU_LENGTH_IN_lm_4 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_4)) @@ -109438,7 +109550,7 @@ (portRef A0 (instanceRef un1_api_length_out_1_cry_3_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_3)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_4)) - (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18)) + (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18)) )) (net buf_IPU_LENGTH_IN_lm_5 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_5)) @@ -109449,7 +109561,7 @@ (portRef A1 (instanceRef un1_api_length_out_1_cry_3_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_5)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_5)) - (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18)) + (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18)) )) (net buf_IPU_LENGTH_IN_lm_6 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_6)) @@ -109459,8 +109571,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_6)) (portRef A0 (instanceRef un1_api_length_out_1_cry_5_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_5)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_6)) - (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_6)) + (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18)) )) (net buf_IPU_LENGTH_IN_lm_7 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_7)) @@ -109470,8 +109582,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_7)) (portRef A1 (instanceRef un1_api_length_out_1_cry_5_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_7)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_7)) - (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_7)) + (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18)) )) (net buf_IPU_LENGTH_IN_lm_8 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_8)) @@ -109481,8 +109593,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_8)) (portRef A0 (instanceRef un1_api_length_out_1_cry_7_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_7)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_8)) - (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_8)) + (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18)) )) (net buf_IPU_LENGTH_IN_lm_9 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_9)) @@ -109493,19 +109605,12 @@ (portRef A1 (instanceRef un1_api_length_out_1_cry_7_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_9)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_9)) - (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18)) + (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18)) )) (net buf_IPU_LENGTH_IN_lm_10 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_10)) (portRef D (instanceRef buf_IPU_LENGTH_IN_10)) )) - (net buf_IPU_LENGTH_IN_10 (joined - (portRef Q (instanceRef buf_IPU_LENGTH_IN_10)) - (portRef A0 (instanceRef un1_api_length_out_1_cry_9_0)) - (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_9)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_10)) - (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18)) - )) (net buf_IPU_LENGTH_IN_lm_11 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_11)) (portRef D (instanceRef buf_IPU_LENGTH_IN_11)) @@ -109514,8 +109619,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_11)) (portRef A1 (instanceRef un1_api_length_out_1_cry_9_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_11)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_11)) - (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_11)) + (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18)) )) (net buf_IPU_LENGTH_IN_lm_12 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_12)) @@ -109526,7 +109631,7 @@ (portRef A0 (instanceRef un1_api_length_out_1_cry_11_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_11)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_12)) - (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18)) + (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18)) )) (net buf_IPU_LENGTH_IN_lm_13 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_13)) @@ -109536,8 +109641,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_13)) (portRef A1 (instanceRef un1_api_length_out_1_cry_11_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_13)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_13)) - (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_RNIICKP2_13)) + (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18)) )) (net buf_IPU_LENGTH_IN_lm_14 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_14)) @@ -109548,7 +109653,7 @@ (portRef A0 (instanceRef un1_api_length_out_1_cry_13_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_13)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_14)) - (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18)) + (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18)) )) (net buf_IPU_LENGTH_IN_lm_15 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_15)) @@ -109559,7 +109664,16 @@ (portRef A1 (instanceRef un1_api_length_out_1_cry_13_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_s_0_15)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_15)) - (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18)) + (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18)) + )) + (net N_5704_i (joined + (portRef Z (instanceRef state_RNI0NLM_0)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_27)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_22)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_21)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_18)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_17)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_16)) )) (net buf_APL_ERROR_PATTERN_IN_0 (joined (portRef Q (instanceRef buf_IPU_ERROR_PATTERN_IN_16)) @@ -109605,18 +109719,12 @@ (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_SEND_OUT_1_0_i)) (portRef D (instanceRef buf_API_SEND_OUT)) )) - (net reset_i_fast_1_i (joined - (portRef reset_i_fast_1_i) - (portRef D (instanceRef buf_API_READ_OUT)) - (portRef SP (instanceRef buf_API_SEND_OUT)) - (portRef SP (instanceRef make_compare)) - )) - (net buf_API_DATA_OUT_RNO_0 (joined + (net N_4906 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0)) (portRef D (instanceRef buf_API_DATA_OUT_0)) )) - (net N_254_0 (joined - (portRef Z (instanceRef un1_reset_5_i)) + (net N_5715_i (joined + (portRef Z (instanceRef un1_reset_5_i_i_0_RNIREU61)) (portRef SP (instanceRef buf_API_DATA_OUT_15)) (portRef SP (instanceRef buf_API_DATA_OUT_14)) (portRef SP (instanceRef buf_API_DATA_OUT_13)) @@ -109638,7 +109746,7 @@ (portRef Q (instanceRef buf_API_DATA_OUT_0)) (portRef (member buf_apl_data_in 15)) )) - (net N_4810 (joined + (net buf_API_DATA_OUT_RNO_1 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1)) (portRef D (instanceRef buf_API_DATA_OUT_1)) )) @@ -109670,7 +109778,7 @@ (portRef Q (instanceRef buf_API_DATA_OUT_4)) (portRef (member buf_apl_data_in 11)) )) - (net buf_API_DATA_OUT_RNO_5 (joined + (net N_22 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_5)) (portRef D (instanceRef buf_API_DATA_OUT_5)) )) @@ -109694,7 +109802,7 @@ (portRef Q (instanceRef buf_API_DATA_OUT_7)) (portRef (member buf_apl_data_in 8)) )) - (net N_4706 (joined + (net buf_API_DATA_OUT_RNO_8 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_8)) (portRef D (instanceRef buf_API_DATA_OUT_8)) )) @@ -109734,7 +109842,7 @@ (portRef Q (instanceRef buf_API_DATA_OUT_12)) (portRef (member buf_apl_data_in 3)) )) - (net buf_API_DATA_OUT_RNO_13 (joined + (net N_4900 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_13)) (portRef D (instanceRef buf_API_DATA_OUT_13)) )) @@ -109758,248 +109866,282 @@ (portRef Q (instanceRef buf_API_DATA_OUT_15)) (portRef (member buf_apl_data_in 0)) )) - (net buf_API_DATAREADY_OUT_5 (joined - (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv)) + (net N_5713_i (joined + (portRef Z (instanceRef buf_API_DATAREADY_OUT_RNO)) (portRef D (instanceRef buf_API_DATAREADY_OUT)) )) - (net last_second_word_waiting (joined - (portRef Q (instanceRef last_second_word_waiting)) - (portRef B (instanceRef un1_state_4_m5_0_a2_0)) - (portRef B (instanceRef second_word_waiting_iv_i_a2_0)) - (portRef B (instanceRef last_second_word_waiting_RNIRGQG)) - (portRef last_second_word_waiting) - )) - (net N_4877 (joined - (portRef Z (instanceRef last_second_word_waiting_RNIRGQG)) - (portRef A (instanceRef state_RNI7NK53_1)) - (portRef A (instanceRef waiting_word_RNIHSE42)) - (portRef A (instanceRef ipu_read_before_RNO)) - (portRef A (instanceRef waiting_word_RNIIPH92)) - (portRef A (instanceRef second_word_waiting_iv_i)) - (portRef A (instanceRef state_RNIQVO41_0)) - (portRef N_4877) - )) - (net N_8_1 (joined - (portRef Z (instanceRef state_1_sqmuxa_0_a2)) - (portRef D (instanceRef state_ns_1_0__m14_am)) - (portRef D (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv)) - )) - (net N_4753 (joined - (portRef Z (instanceRef dhdr_counter_RNIVKBF_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_15)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_13)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_8)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_9)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_12)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_3)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_6)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_7)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_10)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef D (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0)) + (portRef D (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0)) + (portRef B (instanceRef second_word_waiting_iv_i_o3_0_o2)) + (portRef A (instanceRef buf_API_DATAREADY_OUT_RNI36KI1)) + )) + (net N_5720 (joined + (portRef Z (instanceRef second_word_waiting_iv_i_o3_0_o2)) + (portRef A (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef A (instanceRef waiting_word_RNO_0)) + (portRef A (instanceRef waiting_word_RNO)) + (portRef A (instanceRef second_word_waiting_iv_i_o3_0)) )) - (net N_4754 (joined - (portRef Z (instanceRef dhdr_counter_RNIUKBF_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_11)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_6)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_7)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_10)) + (net N_98 (joined + (portRef Z (instanceRef ipu_read_before_RNIMUFL)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_7)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_8)) (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_15)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_13)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_8)) (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_9)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_14)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_15)) (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_12)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_6)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_1)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_11)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_2)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_4)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_3)) + (portRef A (instanceRef reg_IPU_DATA_high_RNITUQ81_13)) + (portRef A (instanceRef reg_IPU_DATA_high_RNI39F41_0)) )) - (net N_4883 (joined - (portRef Z (instanceRef state_s3_0_a3_i_o2)) - (portRef A (instanceRef waiting_word_RNO_1)) - (portRef A (instanceRef un1_state_4_m5_0_a2_2)) + (net N_5721 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2)) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141)) )) - (net N_4917 (joined - (portRef Z (instanceRef ipu_read_before_RNIMUFL)) + (net N_5726 (joined + (portRef Z (instanceRef dhdr_counter_RNIUKBF_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_7)) + (portRef A (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_8)) + (portRef B (instanceRef buf_IPU_LENGTH_IN_RNIUC2G2_0)) + (portRef B (instanceRef buf_IPU_LENGTH_IN_RNIICKP2_13)) + (portRef B (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_5)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_15)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_9)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_14)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_4)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_0)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_13)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_15)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_12)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_6)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_7)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_11)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_2)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_8)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_9)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_3)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_3)) + (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0)) + )) + (net buf_API_DATA_OUT_12_iv_0_676_i_a2_6_0_a2_0_a3_0 (joined + (portRef Z (instanceRef dhdr_counter_RNIVUOA_0)) + (portRef B (instanceRef ipu_read_before_RNI438A1)) + )) + (net buf_INFORMATION_1_sqmuxa_0_a3_0_a3_0 (joined + (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3_0)) + (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3)) + )) + (net MY_ADDRESS_13 (joined + (portRef (member my_address 2)) + (portRef A (instanceRef dhdr_counter_RNIGJIS_1)) + )) + (net N_4922 (joined + (portRef Z (instanceRef dhdr_counter_RNIGJIS_1)) + (portRef A (instanceRef buf_IPU_LENGTH_IN_RNIICKP2_13)) + )) + (net MY_ADDRESS_0 (joined + (portRef (member my_address 15)) + (portRef A (instanceRef dhdr_counter_RNICK5O_1)) + )) + (net N_4935 (joined + (portRef Z (instanceRef dhdr_counter_RNICK5O_1)) + (portRef A (instanceRef buf_IPU_LENGTH_IN_RNIUC2G2_0)) + )) + (net MY_ADDRESS_8 (joined + (portRef (member my_address 7)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_8)) + )) + (net N_4866 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_8)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_8)) )) - (net second_word_waiting_iv_i_a2_0 (joined - (portRef Z (instanceRef second_word_waiting_iv_i_a2_0)) - (portRef B (instanceRef second_word_waiting_iv_i)) + (net MY_ADDRESS_3 (joined + (portRef (member my_address 12)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_3)) + )) + (net N_4884 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_3)) + )) + (net N_5746 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i_o2)) + (portRef B (instanceRef state_ns_1_0__N_18_i)) )) (net buf_APL_PACKET_NUM_OUT_5 (joined (portRef (member buf_apl_packet_num_out 0)) - (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a2_1)) + (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2)) )) (net buf_APL_READ_IN_1 (joined (portRef Q (instanceRef buf_API_READ_OUT)) - (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a2_1)) + (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2)) (portRef (member buf_apl_read_in 0)) )) (net buf_APL_TYP_OUT_0 (joined (portRef (member buf_apl_typ_out 2)) - (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a2_1)) + (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2)) )) - (net buf_INFORMATION_1_sqmuxa_0_a2_1 (joined - (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a2_1)) - (portRef D (instanceRef buf_INFORMATION_1_sqmuxa_0_a2)) - )) - (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18 (joined - (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18)) - (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) + (net buf_APL_TYP_OUT_1 (joined + (portRef (member buf_apl_typ_out 1)) + (portRef D (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2)) )) - (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18 (joined - (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18)) - (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) + (net buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2 (joined + (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2)) + (portRef B (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a2)) + (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2)) )) - (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18 (joined - (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18)) - (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) + (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18 (joined + (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18)) + (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) )) (net buf_APL_LENGTH_IN_0 (joined (portRef Q (instanceRef buf_IPU_LENGTH_IN_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_0)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_0)) - (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18)) + (portRef C (instanceRef buf_IPU_LENGTH_IN_RNIUC2G2_0)) + (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18)) (portRef buf_APL_LENGTH_IN_0) )) - (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18 (joined - (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18)) + (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18 (joined + (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18)) + (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) + )) + (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18 (joined + (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18)) + (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) + )) + (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18 (joined + (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18)) (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) )) + (net buf_IPU_LENGTH_INlde_i_a3_1 (joined + (portRef Z (instanceRef first_ipu_read_RNI7EER)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1)) + )) + (net ipu_readout_finished_i (joined + (portRef ipu_readout_finished_i) + (portRef C (instanceRef state_RNI0NLM_0)) + (portRef B (instanceRef saved_IPU_READOUT_FINISHED_IN_fb)) + )) (net buf_APL_SEND_IN_1 (joined (portRef Q (instanceRef buf_API_SEND_OUT)) (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_SEND_OUT_1_0_i)) (portRef (member buf_apl_send_in 0)) )) - (net buf_APL_DATAREADY_OUT_1 (joined - (portRef (member buf_apl_dataready_out 0)) - (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a2)) + (net N_5722 (joined + (portRef Z (instanceRef ipu_read_before_RNI438A1)) + (portRef A (instanceRef ipu_read_before_RNI3PTQ4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_3)) )) - (net buf_APL_TYP_OUT_1 (joined - (portRef (member buf_apl_typ_out 1)) - (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a2)) + (net N_5723_i (joined + (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a2)) + (portRef B (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef A (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0)) + (portRef A (instanceRef un1_reset_5_i_i_0)) + (portRef A (instanceRef state_ns_1_0__m14_i_a3_1)) + (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0)) + (portRef N_5723_i) )) - (net buf_APL_TYP_OUT_2 (joined - (portRef (member buf_apl_typ_out 0)) - (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a2)) + (net N_5766 (joined + (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0)) + (portRef C (instanceRef buf_API_DATAREADY_OUT_RNO)) )) - (net N_293 (joined - (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a2)) - (portRef C (instanceRef buf_NUMBER_1_sqmuxa_0_a3)) - (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a3)) - (portRef C (instanceRef state_1_sqmuxa_1_0_a2)) + (net N_5822 (joined + (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2)) + (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3)) )) - (net MY_ADDRESS_11 (joined - (portRef (member my_address 4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (net N_5758 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i_a3_1)) + (portRef C (instanceRef state_ns_1_0__m14_i)) )) - (net buf_API_DATA_OUT_12_iv_3_556_i_1_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_11)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_11)) + (net ipu_data_i_16 (joined + (portRef (member ipu_data_i 15)) + (portRef C1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_1_0)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_0)) + (portRef D (instanceRef reg_IPU_DATA_high_RNI39F41_0)) + (portRef D (instanceRef reg_IPU_DATA_high_0)) )) - (net buf_IPU_LENGTH_INlde_i_a2_3 (joined - (portRef Z (instanceRef first_ipu_read_RNIPC2R1)) - (portRef A (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2)) + (net buf_API_DATA_OUT_12_iv_14_116_i_2_0 (joined + (portRef Z (instanceRef reg_IPU_DATA_high_RNI39F41_0)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_RNIUC2G2_0)) )) - (net buf_API_DATA_OUT_12_iv_11_236_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_3)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (net ipu_data_i_29 (joined + (portRef (member ipu_data_i 2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (portRef D (instanceRef reg_IPU_DATA_high_RNITUQ81_13)) + (portRef D (instanceRef reg_IPU_DATA_high_13)) )) - (net buf_API_DATA_OUT_12_iv_2_596_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_12)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (net buf_API_DATA_OUT_12_iv_1_636_i_2_0 (joined + (portRef Z (instanceRef reg_IPU_DATA_high_RNITUQ81_13)) + (portRef C (instanceRef buf_IPU_LENGTH_IN_RNIICKP2_13)) )) - (net buf_API_DATA_OUT_12_iv_5_476_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_9)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_9)) + (net un1_reset_5_i_i_0 (joined + (portRef Z (instanceRef un1_reset_5_i_i_0)) + (portRef C (instanceRef un1_reset_5_i_i_0_RNIREU61)) )) - (net buf_API_DATA_OUT_12_iv_6_436_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_8)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (net N_4899_i_i_1_m3_1 (joined + (portRef Z (instanceRef first_ipu_read_RNIBCIU)) + (portRef B (instanceRef last_second_word_waiting_RNIKQVT1)) )) - (net MY_ADDRESS_10 (joined - (portRef (member my_address 5)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_10)) + (net buf_API_DATA_OUT_12_iv_11_236_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_3)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_3)) )) - (net buf_API_DATA_OUT_12_iv_4_516_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_10)) + (net buf_API_DATA_OUT_12_iv_10_276_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_4)) )) (net buf_API_DATA_OUT_12_iv_12_196_i_0 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_2)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_2)) - )) - (net MY_ADDRESS_7 (joined - (portRef (member my_address 8)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_7)) - )) - (net buf_API_DATA_OUT_12_iv_7_396_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_7)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_7)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_2)) )) - (net MY_ADDRESS_1 (joined - (portRef (member my_address 14)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_1)) + (net buf_API_DATA_OUT_12_iv_3_556_i_0_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_11)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_11)) )) (net buf_API_DATA_OUT_12_iv_13_156_i_0 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_1)) - )) - (net MY_ADDRESS_6 (joined - (portRef (member my_address 9)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_6)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_1)) )) - (net buf_API_DATA_OUT_12_iv_8_356_i_0 (joined + (net buf_API_DATA_OUT_12_iv_8_356_i_0_0 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_6)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_6)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_6)) )) - (net buf_API_DATA_OUT_12_iv_1_636_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_13)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (net buf_API_DATA_OUT_12_iv_4_516_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_10)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_10)) )) - (net buf_API_DATA_OUT_12_iv_14_116_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_0)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (net buf_API_DATA_OUT_12_iv_2_596_i_0_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_12)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_12)) )) - (net buf_API_DATA_OUT_12_iv_10_276_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_4)) + (net buf_API_DATA_OUT_12_iv_716_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_15)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_15)) )) - (net buf_API_DATA_OUT_12_iv_0_676_i_0 (joined + (net buf_API_DATA_OUT_12_iv_0_676_i_0_0 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_14)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_14)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_14)) )) - (net buf_API_DATA_OUT_12_iv_716_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_15)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_15)) + (net buf_API_DATA_OUT_12_iv_5_476_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_9)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_9)) )) - (net buf_API_DATA_OUT_12_iv_9_316_i_0 (joined + (net buf_API_DATA_OUT_12_iv_9_316_i_0_0 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_5)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_5)) + )) + (net ipu_data_i_24 (joined + (portRef (member ipu_data_i 7)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_3_8)) + (portRef D (instanceRef reg_IPU_DATA_high_8)) + )) + (net buf_API_DATA_OUT_12_iv_6_436_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_8)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_8)) )) (net buf_IPU_LENGTH_IN_s_0 (joined (portRef S1 (instanceRef buf_IPU_LENGTH_IN_cry_0_0)) @@ -110009,6 +110151,26 @@ (portRef (member ipu_length_i 15)) (portRef C (instanceRef buf_IPU_LENGTH_IN_lm_0_0)) )) + (net reset_i_rep1 (joined + (portRef reset_i_rep1) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_15)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_14)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_13)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_12)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_11)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_10)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_9)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_8)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_7)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_6)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_5)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_4)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_3)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_2)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_1)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_0)) + (portRef A (instanceRef packet_number_RNO_0)) + )) (net buf_IPU_LENGTH_IN_s_1 (joined (portRef S0 (instanceRef buf_IPU_LENGTH_IN_cry_0_1)) (portRef A (instanceRef buf_IPU_LENGTH_IN_lm_0_1)) @@ -110129,473 +110291,383 @@ (portRef (member ipu_length_i 0)) (portRef C (instanceRef buf_IPU_LENGTH_IN_lm_0_15)) )) - (net N_13 (joined - (portRef Z (instanceRef state_ns_1_0__m12)) - (portRef C (instanceRef state_ns_1_0__m14_bm)) - (portRef D (instanceRef state_ns_1_0__N_18_i)) - )) - (net N_264_0 (joined - (portRef Z (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_o2_0_0)) - (portRef A (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_1)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef Z (instanceRef first_ipu_read_RNI4UO91)) - (portRef B (instanceRef waiting_word_RNO_0)) - (portRef B (instanceRef waiting_word_RNIHSE42)) - (portRef C (instanceRef waiting_word_RNO_1)) - (portRef B (instanceRef waiting_word_RNIIPH92)) - (portRef A (instanceRef waiting_word_RNI4DE12)) - (portRef B (instanceRef waiting_word_RNIRJ1U1)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0) + (net N_5738 (joined + (portRef Z (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0)) + (portRef A (instanceRef dhdr_counter_RNO_1)) )) - (net buf_API_DATA_OUT_12_iv_4_516_i_a2_4_m2_2 (joined - (portRef Z (instanceRef waiting_word_RNI4DE12)) - (portRef B (instanceRef state_RNI7NK53_1)) - )) - (net un1_state_4_m5_0_a2_2 (joined - (portRef Z (instanceRef un1_state_4_m5_0_a2_2)) - (portRef C (instanceRef waiting_word_RNO_0)) + (net buf_API_DATA_OUT_12_iv_1_636_i_2 (joined + (portRef Z (instanceRef buf_IPU_LENGTH_IN_RNIICKP2_13)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_13)) )) - (net N_266_0 (joined - (portRef Z (instanceRef first_ipu_readc_0)) - (portRef A (instanceRef un1_reset_5_i)) + (net buf_API_DATA_OUT_12_iv_14_116_i_2 (joined + (portRef Z (instanceRef buf_IPU_LENGTH_IN_RNIUC2G2_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_0)) )) - (net buf_APL_PACKET_NUM_OUT_3 (joined - (portRef (member buf_apl_packet_num_out 2)) - (portRef A (instanceRef buf_NUMBER_1_sqmuxa_0_a3)) - (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a3)) - (portRef A (instanceRef state_1_sqmuxa_1_0_a2)) + (net MY_ADDRESS_4 (joined + (portRef (member my_address 11)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_4)) )) - (net buf_APL_PACKET_NUM_OUT_4 (joined - (portRef (member buf_apl_packet_num_out 1)) - (portRef B (instanceRef buf_NUMBER_1_sqmuxa_0_a3)) - (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a3)) - (portRef B (instanceRef state_1_sqmuxa_1_0_a2)) + (net buf_API_DATA_OUT_12_iv_10_276_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_4)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_4)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef D (instanceRef ipu_read_before_RNO)) + (net MY_ADDRESS_2 (joined + (portRef (member my_address 13)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_2)) )) - (net buf_api_stat_fifo_to_int_0 (joined - (portRef buf_api_stat_fifo_to_int_0) - (portRef B (instanceRef un1_reset_5_i_0)) - (portRef A (instanceRef state_ns_1_0__m14_am)) - (portRef B (instanceRef waiting_word_RNO_1)) - (portRef B (instanceRef un1_state_4_m5_0_a2_2)) + (net buf_API_DATA_OUT_12_iv_12_196_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2)) )) - (net waiting_word_RNO_1 (joined - (portRef Z (instanceRef waiting_word_RNO_1)) - (portRef A (instanceRef waiting_word_RNO_0)) + (net MY_ADDRESS_11 (joined + (portRef (member my_address 4)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_11)) )) - (net buf_API_DATA_OUT_12_iv_0_676_i_o2_0_1 (joined - (portRef Z (instanceRef ipu_read_before_RNIAKE12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_11)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_8)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_15)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_9)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_3)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_10)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_6)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_12)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_7)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_13)) - )) - (net buf_API_DATA_OUT_12_iv_1_636_i_a6_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_13)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (net buf_API_DATA_OUT_12_iv_3_556_i_0_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_11)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_11)) )) - (net buf_API_DATA_OUT_12_iv_1_636_i_a6_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_13)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_13)) + (net MY_ADDRESS_1 (joined + (portRef (member my_address 14)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_1)) )) - (net buf_API_DATA_OUT_12_iv_7_396_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_7)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_7)) + (net buf_API_DATA_OUT_12_iv_13_156_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_1)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1)) )) - (net FF_23_RNICS201 (joined - (portRef FF_23_RNICS201) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_11)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_2)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_1)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_0)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_8)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_15)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_9)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_3)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_10)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_5)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_6)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_14)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_12)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_7)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (net MY_ADDRESS_6 (joined + (portRef (member my_address 9)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_6)) )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_7)) + (net buf_API_DATA_OUT_12_iv_8_356_i_0_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_6)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_6)) )) - (net buf_API_DATA_OUT_12_iv_7_396_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_7)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_7)) + (net MY_ADDRESS_10 (joined + (portRef (member my_address 5)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_10)) )) - (net buf_API_DATA_OUT_12_iv_2_596_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_12)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (net buf_API_DATA_OUT_12_iv_4_516_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_10)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_10)) )) - (net reset_i_fast_r8 (joined - (portRef reset_i_fast_r8) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_6)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_4)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_12)) - (portRef D (instanceRef second_word_waiting_iv_i)) + (net MY_ADDRESS_12 (joined + (portRef (member my_address 3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_12)) )) - (net buf_API_DATA_OUT_12_iv_2_596_i_a2_d (joined + (net buf_API_DATA_OUT_12_iv_2_596_i_0_1 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_12)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_12)) )) - (net buf_API_DATA_OUT_12_iv_0_676_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_14)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_14)) + (net MY_ADDRESS_15 (joined + (portRef (member my_address 0)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_15)) )) - (net reset_i_fast_r4 (joined - (portRef reset_i_fast_r4) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_14)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (net buf_API_DATA_OUT_12_iv_716_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_15)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_15)) + )) + (net MY_ADDRESS_14 (joined + (portRef (member my_address 1)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_14)) )) - (net buf_API_DATA_OUT_12_iv_0_676_i_a2_d (joined + (net buf_API_DATA_OUT_12_iv_0_676_i_0_1 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_14)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_14)) )) - (net buf_API_DATA_OUT_12_iv_10_276_i_a6_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_4)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_4)) - )) - (net buf_API_DATA_OUT_12_iv_10_276_i_a6_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_4)) - )) - (net buf_API_DATA_OUT_12_iv_8_356_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_6)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_6)) + (net MY_ADDRESS_9 (joined + (portRef (member my_address 6)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_9)) )) - (net buf_API_DATA_OUT_12_iv_8_356_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_6)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_6)) + (net buf_API_DATA_OUT_12_iv_5_476_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_9)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_9)) )) - (net buf_API_DATA_OUT_12_iv_9_316_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_5)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (net MY_ADDRESS_5 (joined + (portRef (member my_address 10)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_5)) )) - (net buf_API_DATA_OUT_12_iv_9_316_i_a2_d (joined + (net buf_API_DATA_OUT_12_iv_9_316_i_0_1 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_5)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_5)) )) - (net buf_API_DATA_OUT_12_iv_4_516_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_10)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_10)) + (net buf_API_DATA_OUT_12_iv_6_436_i_2 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_8)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_8)) )) - (net reset_i_fast_r9 (joined - (portRef reset_i_fast_r9) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_2)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_1)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_8)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_15)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_9)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_3)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_10)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_5)) + (net N_5727 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0)) + (portRef A (instanceRef un1_reset_5_i_i_0_RNIREU61)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1)) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1)) + (portRef A (instanceRef state_ns_1_0__N_18_i)) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I)) + (portRef A (instanceRef first_ipu_read_RNIG4QR)) )) - (net buf_API_DATA_OUT_12_iv_4_516_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_10)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_10)) + (net N_4951_i_0_0 (joined + (portRef Z (instanceRef first_ipu_read_RNIG4QR)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_0)) )) - (net buf_API_DATA_OUT_12_iv_11_236_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_3)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (net d_N_4_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (portRef C (instanceRef ipu_read_before_RNI3PTQ4)) + )) + (net buf_API_DATAREADY_OUT_5_iv_i_a3_2 (joined + (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2)) + (portRef D (instanceRef buf_API_DATAREADY_OUT_RNO)) + )) + (net N_5824 (joined + (portRef Z (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a2)) + (portRef D (instanceRef state_1_sqmuxa_2_0_a3_0_a3)) + (portRef A (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a3)) + (portRef A (instanceRef state_1_sqmuxa_1_0_a2_0_a2)) )) - (net buf_API_DATA_OUT_12_iv_11_236_i_a2_d (joined + (net buf_APL_PACKET_NUM_OUT_3 (joined + (portRef (member buf_apl_packet_num_out 2)) + (portRef C (instanceRef state_1_sqmuxa_2_0_a3_0_a3)) + (portRef B (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a3)) + (portRef B (instanceRef state_1_sqmuxa_1_0_a2_0_a2)) + (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3_0)) + )) + (net buf_API_DATA_OUT_12_iv_11_236_i_2 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_3)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_3)) )) - (net buf_API_DATA_OUT_12_iv_5_476_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_9)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_9)) + (net buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I)) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1)) )) - (net buf_API_DATA_OUT_12_iv_5_476_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_9)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_9)) - )) - (net buf_API_DATA_OUT_12_iv_716_i_a6_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_15)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_15)) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef Z (instanceRef last_second_word_waiting_RNIKQVT1)) + (portRef B (instanceRef last_second_word_waiting_RNIPK9V2)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (portRef last_second_word_waiting_RNIKQVT1) )) - (net buf_API_DATA_OUT_12_iv_716_i_a6_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_15)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_15)) + (net N_4862 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_8)) )) - (net buf_API_DATA_OUT_12_iv_6_436_i_a6_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_8)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (net N_5756 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i_a3)) + (portRef A (instanceRef state_ns_1_0__m14_i)) )) - (net buf_API_DATA_OUT_12_iv_6_436_i_a6_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_8)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_8)) + (net reset_i_fast_r8 (joined + (portRef reset_i_fast_r8) + (portRef A (instanceRef state_1_sqmuxa_2_0_a3_0_a3)) + (portRef D (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a3)) + (portRef D (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3)) )) - (net buf_API_DATA_OUT_12_iv_14_116_i_a6_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_0)) + (net reset_i_fast_r3 (joined + (portRef reset_i_fast_r3) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (portRef D (instanceRef ipu_read_before_RNI3PTQ4)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_13)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (portRef A (instanceRef last_second_word_waiting_RNO)) )) - (net buf_API_DATA_OUT_12_iv_14_116_i_a6_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_0)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0)) + (net buf_API_DATA_OUT_12_iv_14_116_i_N_8 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0)) )) - (net buf_API_DATA_OUT_12_iv_13_156_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_1)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_1)) + (net buf_API_DATA_OUT_12_iv_1_636_i_N_8 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_13)) )) - (net buf_API_DATA_OUT_12_iv_13_156_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_1)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1)) + (net buf_API_DATA_OUT_RNO_1_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_0)) )) - (net buf_API_DATA_OUT_12_iv_12_196_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_2)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_2)) + (net buf_API_DATA_OUT_RNO_1_13 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_13)) )) - (net buf_API_DATA_OUT_12_iv_12_196_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_2)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2)) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef D (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1)) + (portRef D (instanceRef last_second_word_waiting_RNIKQVT1)) )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (joined - (portRef Z (instanceRef waiting_word_RNIHSE42)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i) + (net dhdr_counter_6_i_i_0_0 (joined + (portRef Z (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0)) + (portRef B (instanceRef dhdr_counter_RNO_0)) )) - (net MY_ADDRESS_3 (joined - (portRef (member my_address 12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (net N_4839 (joined + (portRef Z (instanceRef ipu_read_before_RNI3PTQ4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_10)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_9)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_7)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_15)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_14)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_11)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_12)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_5)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_6)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_4)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_8)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_13)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0)) )) - (net buf_API_DATA_OUT_12_iv_11_236_i_2 (joined + (net N_4882 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_3)) + )) + (net N_5728 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_9)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_10)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_15)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_1)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_7)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_2)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_4)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_6)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_14)) )) - (net MY_ADDRESS_12 (joined - (portRef (member my_address 3)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef D (instanceRef un1_reset_5_i_i_0_RNIREU61)) + (portRef D (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1)) )) - (net buf_API_DATA_OUT_12_iv_2_596_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_12)) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1) + (portRef D (instanceRef ipu_read_before_RNO_0)) + (portRef D (instanceRef dhdr_counter_RNO_1)) + (portRef A (instanceRef first_ipu_read_RNO)) )) - (net MY_ADDRESS_9 (joined - (portRef (member my_address 6)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_9)) + (net ipu_data_i_30 (joined + (portRef (member ipu_data_i 1)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_14)) + (portRef D (instanceRef reg_IPU_DATA_high_14)) )) - (net buf_API_DATA_OUT_12_iv_5_476_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_9)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_9)) + (net N_5808 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_14)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_14)) )) - (net MY_ADDRESS_8 (joined - (portRef (member my_address 7)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (net ipu_data_i_27 (joined + (portRef (member ipu_data_i 4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (portRef D (instanceRef reg_IPU_DATA_high_11)) )) - (net buf_API_DATA_OUT_12_iv_6_436_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_8)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_8)) + (net N_5803 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_11)) )) - (net buf_API_DATA_OUT_12_iv_4_516_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_10)) + (net ipu_data_i_28 (joined + (portRef (member ipu_data_i 3)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (portRef D (instanceRef reg_IPU_DATA_high_12)) )) - (net MY_ADDRESS_2 (joined - (portRef (member my_address 13)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_2)) + (net N_5795 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_12)) )) - (net buf_API_DATA_OUT_12_iv_12_196_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_2)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2)) + (net ipu_data_i_21 (joined + (portRef (member ipu_data_i 10)) + (portRef D1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (portRef D (instanceRef reg_IPU_DATA_high_5)) )) - (net buf_API_DATA_OUT_12_iv_7_396_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_7)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_7)) + (net N_5790 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_5)) )) - (net buf_API_DATA_OUT_12_iv_13_156_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1)) + (net ipu_data_i_22 (joined + (portRef (member ipu_data_i 9)) + (portRef C0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_21_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_6)) + (portRef D (instanceRef reg_IPU_DATA_high_6)) )) - (net buf_API_DATA_OUT_12_iv_8_356_i_2 (joined + (net N_5785 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_6)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_6)) )) - (net MY_ADDRESS_13 (joined - (portRef (member my_address 2)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (net ipu_data_i_20 (joined + (portRef (member ipu_data_i 11)) + (portRef C1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_4)) + (portRef D (instanceRef reg_IPU_DATA_high_4)) )) - (net buf_API_DATA_OUT_12_iv_1_636_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_13)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_13)) + (net N_5779 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_4)) )) - (net MY_ADDRESS_0 (joined - (portRef (member my_address 15)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (net ipu_data_i_18 (joined + (portRef (member ipu_data_i 13)) + (portRef C0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_2)) + (portRef D (instanceRef reg_IPU_DATA_high_2)) )) - (net buf_API_DATA_OUT_12_iv_14_116_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_0)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0)) + (net N_4886 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_2)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2)) )) - (net MY_ADDRESS_4 (joined - (portRef (member my_address 11)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_4)) + (net ipu_data_i_23 (joined + (portRef (member ipu_data_i 8)) + (portRef D0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_21_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_7)) + (portRef D (instanceRef reg_IPU_DATA_high_7)) )) - (net buf_API_DATA_OUT_12_iv_10_276_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_4)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_4)) + (net N_4868 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_7)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_7)) )) - (net MY_ADDRESS_14 (joined - (portRef (member my_address 1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_14)) + (net ipu_data_i_17 (joined + (portRef (member ipu_data_i 14)) + (portRef D1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_1_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_1)) + (portRef D (instanceRef reg_IPU_DATA_high_1)) )) - (net buf_API_DATA_OUT_12_iv_0_676_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_14)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_14)) + (net N_4817 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_1)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1)) )) - (net MY_ADDRESS_15 (joined - (portRef (member my_address 0)) + (net ipu_data_i_31 (joined + (portRef (member ipu_data_i 0)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_15)) + (portRef D (instanceRef reg_IPU_DATA_high_15)) )) - (net buf_API_DATA_OUT_12_iv_716_i_2 (joined + (net N_4788 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_15)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_15)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_15)) )) - (net MY_ADDRESS_5 (joined - (portRef (member my_address 10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (net ipu_data_i_26 (joined + (portRef (member ipu_data_i 5)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_10)) + (portRef D (instanceRef reg_IPU_DATA_high_10)) )) - (net buf_API_DATA_OUT_12_iv_9_316_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_5)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_5)) - )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_11)) - (portRef D (instanceRef un1_reset_5_i)) - (portRef D (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2)) - (portRef D (instanceRef state_RNI7NK53_1)) - (portRef D (instanceRef waiting_word_RNO_0)) - (portRef D (instanceRef waiting_word_RNIHSE42)) - )) - (net N_4710 (joined - (portRef Z (instanceRef state_RNI7NK53_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_11)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_6)) + (net N_4810 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_10)) (portRef A (instanceRef buf_API_DATA_OUT_RNO_10)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_9)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_13)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_12)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_7)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_15)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_8)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1)) - )) - (net un1_reset_5_i_0 (joined - (portRef Z (instanceRef un1_reset_5_i_0)) - (portRef C (instanceRef un1_reset_5_i)) - )) - (net m14_am (joined - (portRef Z (instanceRef state_ns_1_0__m14_am)) - (portRef BLUT (instanceRef state_ns_1_0__m14)) - )) - (net m14_bm (joined - (portRef Z (instanceRef state_ns_1_0__m14_bm)) - (portRef ALUT (instanceRef state_ns_1_0__m14)) - )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef D (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_0)) - (portRef D (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_1)) - )) - (net ipu_data_i_17 (joined - (portRef (member ipu_data_i 14)) - (portRef D1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_1_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1)) - (portRef D (instanceRef reg_IPU_DATA_high_1)) - )) - (net ipu_data_i_24 (joined - (portRef (member ipu_data_i 7)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_8)) - (portRef D (instanceRef reg_IPU_DATA_high_8)) - )) - (net ipu_data_i_31 (joined - (portRef (member ipu_data_i 0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_15)) - (portRef D (instanceRef reg_IPU_DATA_high_15)) - )) - (net ipu_data_i_16 (joined - (portRef (member ipu_data_i 15)) - (portRef C1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_1_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0)) - (portRef D (instanceRef reg_IPU_DATA_high_0)) - )) - (net ipu_data_i_21 (joined - (portRef (member ipu_data_i 10)) - (portRef D1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_5)) - (portRef D (instanceRef reg_IPU_DATA_high_5)) - )) - (net ipu_data_i_23 (joined - (portRef (member ipu_data_i 8)) - (portRef D0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_21_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_7)) - (portRef D (instanceRef reg_IPU_DATA_high_7)) - )) - (net ipu_data_i_30 (joined - (portRef (member ipu_data_i 1)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_14)) - (portRef D (instanceRef reg_IPU_DATA_high_14)) - )) - (net ipu_data_i_28 (joined - (portRef (member ipu_data_i 3)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_12)) - (portRef D (instanceRef reg_IPU_DATA_high_12)) - )) - (net ipu_data_i_20 (joined - (portRef (member ipu_data_i 11)) - (portRef C1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_4)) - (portRef D (instanceRef reg_IPU_DATA_high_4)) - )) - (net ipu_data_i_29 (joined - (portRef (member ipu_data_i 2)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_13)) - (portRef D (instanceRef reg_IPU_DATA_high_13)) )) (net ipu_data_i_25 (joined (portRef (member ipu_data_i 6)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_9)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_9)) (portRef D (instanceRef reg_IPU_DATA_high_9)) )) - (net ipu_data_i_26 (joined - (portRef (member ipu_data_i 5)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_10)) - (portRef D (instanceRef reg_IPU_DATA_high_10)) + (net N_4805 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_9)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_9)) )) - (net ipu_data_i_22 (joined - (portRef (member ipu_data_i 9)) - (portRef C0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_21_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_6)) - (portRef D (instanceRef reg_IPU_DATA_high_6)) + (net state_1_sqmuxa_2 (joined + (portRef Z (instanceRef state_1_sqmuxa_2_0_a3_0_a3)) + (portRef B (instanceRef buf_TYPEe_2)) + (portRef B (instanceRef buf_TYPEe_1)) + (portRef B (instanceRef buf_TYPEe_3)) + (portRef B (instanceRef buf_TYPEe_0)) + (portRef D (instanceRef state_ns_1_0__m14_i)) + )) + (net buf_API_DATA_OUT_12_iv_7_396_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_7)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_7)) )) (net ipu_data_i_19 (joined (portRef (member ipu_data_i 12)) @@ -110603,33 +110675,13 @@ (portRef D (instanceRef buf_API_DATA_OUT_RNO_3)) (portRef D (instanceRef reg_IPU_DATA_high_3)) )) - (net ipu_data_i_18 (joined - (portRef (member ipu_data_i 13)) - (portRef C0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2)) - (portRef D (instanceRef reg_IPU_DATA_high_2)) - )) - (net buf_API_DATA_OUT_12_iv_3_556_i_1_1 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_11)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_11)) - )) - (net buf_API_DATA_OUT_12_iv_3_556_i_1_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_11)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_11)) - )) - (net ipu_data_i_27 (joined - (portRef (member ipu_data_i 4)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_11)) - (portRef D (instanceRef reg_IPU_DATA_high_11)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_i_o2_0_1_1 (joined - (portRef Z (instanceRef dhdr_counter_RNI5GV11_1)) - (portRef B (instanceRef ipu_read_before_RNIAKE12)) + (net buf_API_DATA_OUT_12_iv_7_396_i_1_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_7)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_7)) )) - (net ipu_readout_type_i_1 (joined - (portRef Q (instanceRef buf_TYPE_1)) - (portRef C (instanceRef buf_TYPEe_1)) - (portRef (member ipu_readout_type_i 2)) + (net MY_ADDRESS_7 (joined + (portRef (member my_address 8)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_7)) )) (net ipu_readout_type_i_0 (joined (portRef Q (instanceRef buf_TYPE_0)) @@ -110641,25 +110693,34 @@ (portRef C (instanceRef buf_TYPEe_3)) (portRef (member ipu_readout_type_i 0)) )) + (net ipu_readout_type_i_1 (joined + (portRef Q (instanceRef buf_TYPE_1)) + (portRef C (instanceRef buf_TYPEe_1)) + (portRef (member ipu_readout_type_i 2)) + )) (net ipu_readout_type_i_2 (joined (portRef Q (instanceRef buf_TYPE_2)) (portRef C (instanceRef buf_TYPEe_2)) (portRef (member ipu_readout_type_i 1)) )) - (net m166_2_03_4_i_4 (joined - (portRef m166_2_03_4_i_4) - (portRef B (instanceRef first_ipu_readc_2)) - (portRef B (instanceRef waiting_word_RNILP643)) + (net N_39_i_1 (joined + (portRef Z (instanceRef ipu_read_before_RNO_0)) + (portRef B (instanceRef ipu_read_before_RNO)) )) - (net m161_2_03 (joined - (portRef m161_2_03) - (portRef C (instanceRef first_ipu_readc_2)) - (portRef C (instanceRef waiting_word_RNILP643)) + (net buf_APL_PACKET_NUM_OUT_4 (joined + (portRef (member buf_apl_packet_num_out 1)) + (portRef A (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a2)) + (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3_0)) )) - (net suppress_output_fast (joined - (portRef suppress_output_fast) - (portRef D (instanceRef first_ipu_readc_2)) - (portRef D (instanceRef waiting_word_RNILP643)) + (net buf_APL_TYP_OUT_2 (joined + (portRef (member buf_apl_typ_out 0)) + (portRef C (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a2)) + (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2)) + )) + (net buf_APL_DATAREADY_OUT_1 (joined + (portRef (member buf_apl_dataready_out 0)) + (portRef D (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a2)) + (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2)) )) (net buf_IPU_LENGTH_IN_cry_0 (joined (portRef COUT (instanceRef buf_IPU_LENGTH_IN_cry_0_0)) @@ -111047,34 +111108,35 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename apl_to_buf_reply_data "apl_to_buf_REPLY_DATA(15:0)") 16) (direction OUTPUT)) (port (array (rename apl_to_buf_reply_dataready "apl_to_buf_REPLY_DATAREADY(0:0)") 1) (direction OUTPUT)) + (port reset_i_fast_r9 (direction INPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) (port reset_i_fast_r7 (direction INPUT)) + (port reset_i_rep1_1 (direction INPUT)) + (port reset_i_fast_r6 (direction INPUT)) (port signal_sync_1 (direction INPUT)) (port signal_sync_0 (direction INPUT)) (port signal_sync (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) (port reset_i_rep1 (direction INPUT)) (port buf_LVL1_TRG_RELEASE_IN (direction INPUT)) (port GND (direction INPUT)) (port reg_TRG_RECEIVED_OUT_Q (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) (port int_dataready_in_i (direction INPUT)) (port reg_INT_READ_OUT (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) - (port reset_i_fast_r3 (direction INPUT)) + (port reset_i_fast_r2 (direction INPUT)) ) (contents - (instance transfer_counter_RNI06881_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance transfer_counter_RNISH781_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (!B+A))+D (!B+A))")) ) - (instance buf_INT_DATAREADY_OUT_RNIU6101 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (C (!B !A)))")) - ) (instance buf_INT_DATAREADY_OUT_RNI9VSM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C A+C (B A)))")) ) + (instance buf_INT_DATAREADY_OUT_RNIRM001 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (C (!B !A)))")) + ) (instance buf_INT_DATA_OUT_1_i_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (C (!B A)))")) ) @@ -111294,22 +111356,22 @@ ) (instance buf_INT_DATAREADY_OUT (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance buf_INT_DATA_OUT_1_i_0_o2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_o2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance reg_TRG_INFORMATION_OUT_1_i_o2_1_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_TRG_INFORMATION_OUT_1_i_o2_1_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance buf_INT_DATA_OUT_1_i_0_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+!A)+C !A)")) ) - (instance buf_INT_DATA_OUT_1_0_0_o2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_0_o2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance int_packet_num_in_0_a2_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance int_packet_num_in_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B !A))")) ) - (instance next_send_trm_1_sqmuxa_i_0_a5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_send_trm_1_sqmuxa_i_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C !A)")) ) (instance buf_TRG_ERROR_PATTERN_IN_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -111384,13 +111446,13 @@ (instance buf_TRG_ERROR_PATTERN_IN_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A))")) ) - (instance next_send_trm_1_sqmuxa_i_0_o5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+!B)+D (C+(!B !A)))")) - ) (instance REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C (!B+A))")) ) - (instance reg_TRG_INFORMATION_OUT_1_i_o2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_send_trm_0_sqmuxa_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!B)+D (C+(!B !A)))")) + ) + (instance reg_TRG_INFORMATION_OUT_1_i_o2_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(B+!A)))")) ) (instance buf_TRG_ERROR_PATTERN_IN_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -111417,79 +111479,79 @@ (instance buf_INT_DATAREADY_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B)+D (!C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_a5_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance buf_INT_DATA_OUT_1_0_0_0_a5_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance buf_INT_DATA_OUT_1_0_0_a5_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance buf_INT_DATA_OUT_1_0_0_a2_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_0_a2_0_6_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance buf_INT_DATA_OUT_1_i_0_a5_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_a3_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_0_a2_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A)))")) ) (instance buf_INT_DATA_OUT_1_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C (!B !A)+C (!B+A)))")) ) + (instance buf_INT_DATA_OUT_1_i_i_0_4_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C (!B+A)+C (!B !A)))")) + ) + (instance buf_INT_DATA_OUT_1_i_i_0_5_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C (!B+A)+C (!B !A)))")) + ) + (instance buf_INT_DATA_OUT_1_0_0_6_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C (!B+A)+C (!B !A)))")) + ) (instance send_trm_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !A+D (!C !A+C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_i_0_a5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C A))")) ) - (instance reg_TRG_RECEIVED_OUT_1_i_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_TRG_RECEIVED_OUT_1_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+A))")) ) - (instance reg_TRG_INFORMATION_OUT_1_i_o2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_TRG_NUMBER_OUT_1_i_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) + ) + (instance reg_TRG_INFORMATION_OUT_1_i_o2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) - (instance reg_TRG_INFORMATION_OUT_1_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_TRG_CODE_OUT_1_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+A))")) ) - (instance reg_TRG_NUMBER_OUT_1_i_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(B+A))")) - ) (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_0_a3_10_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_a5_10_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_i_a3_11_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_0_a5_8_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_i_a3_9_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_a5_4_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_i_a3_8_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_i_a3_7_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_i_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) - (instance buf_INT_DATA_OUT_1_i_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) - (instance buf_INT_DATA_OUT_1_i_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) - (instance buf_INT_DATA_OUT_1_i_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) - (instance buf_INT_DATA_OUT_1_i_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) - (instance buf_INT_DATA_OUT_1_i_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) (instance transfer_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -111498,59 +111560,59 @@ (instance buf_INT_DATA_OUT_1_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(!B A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_0_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+A))+D (C A))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+A))+D (C A))")) ) - (instance buf_INT_DATA_OUT_1_0_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+A))+D (C A))")) ) (instance REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B !A)+C A))")) ) + (instance buf_INT_DATA_OUT_1_i_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (B+A)))")) + ) (instance buf_INT_DATA_OUT_1_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) - ) - (instance buf_INT_DATA_OUT_1_0_0_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) (instance buf_INT_DATA_OUT_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) @@ -111570,7 +111632,7 @@ (instance buf_INT_DATA_OUT_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) ) - (instance reg_TRG_RECEIVED_OUT_1_i_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_TRG_RECEIVED_OUT_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !B))")) ) (instance reg_TRG_INFORMATION_OUT_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -111708,55 +111770,55 @@ (instance seqnrce_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (net N_5883 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_o2_2)) + (net N_6370 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1)) (portRef A (instanceRef transfer_counter_RNO_0)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_12)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_13)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_14)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_3)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_12)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_13)) (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_2)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_3)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_a5_4_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_a5_8_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_a5_10_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_14)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_a3_7_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_a3_8_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_a3_9_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_a3_11_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_a3_10_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_6_m2)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_0_5_m2)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_0_4_m2)) (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_0)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1_1)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_a2_2_4)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_a5_11)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_6)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_9)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_a2_2_6)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1_1)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_a2_0_6_m1_e)) (portRef A (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2)) - (portRef B (instanceRef transfer_counter_RNI06881_2)) + (portRef B (instanceRef transfer_counter_RNISH781_2)) )) (net apl_to_buf_REPLY_PACKET_NUM_2 (joined (portRef Q (instanceRef transfer_counter_2)) (portRef C (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2)) (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0)) (portRef D (instanceRef transfer_counter_RNO_0)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1)) - (portRef A (instanceRef next_send_trm_1_sqmuxa_i_0_a5_0)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_o2_4)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_o2_0_1)) - (portRef C (instanceRef transfer_counter_RNI06881_2)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1)) + (portRef A (instanceRef next_send_trm_1_sqmuxa_i_a3_0)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_o2_6)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1_1)) + (portRef C (instanceRef transfer_counter_RNISH781_2)) )) (net apl_to_buf_REPLY_PACKET_NUM_1 (joined (portRef Q (instanceRef transfer_counter_1)) (portRef B (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2)) (portRef C (instanceRef transfer_counter_RNO_0)) (portRef B (instanceRef transfer_counter_RNO_1)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1)) (portRef C (instanceRef send_trm_RNO)) (portRef C (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_o2_4)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_o2_0_1)) - (portRef D (instanceRef transfer_counter_RNI06881_2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_o2_6)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1_1)) + (portRef D (instanceRef transfer_counter_RNISH781_2)) )) - (net N_5893 (joined - (portRef Z (instanceRef transfer_counter_RNI06881_2)) + (net N_6379 (joined + (portRef Z (instanceRef transfer_counter_RNISH781_2)) (portRef A (instanceRef buf_INT_DATA_OUT_RNO_12)) (portRef A (instanceRef buf_INT_DATA_OUT_RNO_13)) (portRef A (instanceRef buf_INT_DATA_OUT_RNO_14)) @@ -111764,83 +111826,80 @@ (portRef A (instanceRef buf_INT_DATA_OUT_RNO_2)) (portRef A (instanceRef buf_INT_DATA_OUT_RNO_3)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef A (instanceRef buf_INT_DATAREADY_OUT_RNIU6101)) - )) - (net N_6064 (joined - (portRef Z (instanceRef buf_INT_DATAREADY_OUT_RNIU6101)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_1_8)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_7)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_6)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_9)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_1_10)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_1_11)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_1_4)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_5)) - )) (net apl_to_buf_REPLY_PACKET_NUM_0 (joined (portRef Q (instanceRef transfer_counter_0)) (portRef B (instanceRef transfer_counter_RNO_0)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_12)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_13)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_14)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_3)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_12)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_13)) (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_2)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_3)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_14)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) (portRef B (instanceRef send_trm_RNO)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1_1)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_a2_2_4)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_a2_2_6)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1_1)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_a2_0_6_m1_e)) (portRef B (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_o2_4)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_o2_6)) (portRef A (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) )) + (net N_6627 (joined + (portRef Z (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_12)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_13)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_14)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_15)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_2)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_3)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0)) + (portRef A (instanceRef transfer_counter_RNO_1)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1)) + )) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef A (instanceRef buf_INT_DATAREADY_OUT_RNIRM001)) + )) (net reg_INT_READ_OUT (joined (portRef reg_INT_READ_OUT) - (portRef C (instanceRef next_send_trm_1_sqmuxa_i_0_a5_0)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_o2_2)) + (portRef C (instanceRef next_send_trm_1_sqmuxa_i_a3_0)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1)) (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_RNO_1)) + (portRef B (instanceRef buf_INT_DATAREADY_OUT_RNIRM001)) (portRef B (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) - (portRef B (instanceRef buf_INT_DATAREADY_OUT_RNIU6101)) )) (net int_dataready_in_i (joined (portRef int_dataready_in_i) - (portRef B (instanceRef next_send_trm_1_sqmuxa_i_0_a5_0)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_o2_2)) + (portRef B (instanceRef next_send_trm_1_sqmuxa_i_a3_0)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1)) (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_RNO_1)) + (portRef C (instanceRef buf_INT_DATAREADY_OUT_RNIRM001)) (portRef C (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) - (portRef C (instanceRef buf_INT_DATAREADY_OUT_RNIU6101)) )) (net apl_to_buf_REPLY_DATAREADY_0 (joined (portRef Q (instanceRef buf_INT_DATAREADY_OUT)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_o2_2)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1)) (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_RNO_1)) + (portRef D (instanceRef buf_INT_DATAREADY_OUT_RNIRM001)) (portRef D (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) - (portRef D (instanceRef buf_INT_DATAREADY_OUT_RNIU6101)) (portRef (member apl_to_buf_reply_dataready 0)) )) - (net N_6065 (joined - (portRef Z (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_12)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_13)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_14)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_15)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_2)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_3)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0)) - (portRef A (instanceRef transfer_counter_RNO_1)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1)) + (net N_6626 (joined + (portRef Z (instanceRef buf_INT_DATAREADY_OUT_RNIRM001)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_8)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_9)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_11)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_10)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_7)) )) - (net N_5982 (joined + (net N_6572 (joined (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_RNO_1)) (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_1)) )) - (net N_4953_i (joined + (net N_109_i (joined (portRef Z (instanceRef transfer_counter_RNO_0)) (portRef D (instanceRef transfer_counter_0)) )) - (net N_199_i_0_i (joined + (net N_418_i_i (joined (portRef Z (instanceRef transfer_counter_RNO_1)) (portRef D (instanceRef transfer_counter_1)) )) @@ -111983,253 +112042,253 @@ )) (net seqnr_0 (joined (portRef Q (instanceRef seqnr_0)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_a5_4_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_0_4_m2)) )) (net seqnr_1 (joined (portRef Q (instanceRef seqnr_1)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_0_5_m2)) )) (net seqnr_2 (joined (portRef Q (instanceRef seqnr_2)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_6)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_6_m2)) )) (net seqnr_3 (joined (portRef Q (instanceRef seqnr_3)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_a3_7_m1_e)) )) (net seqnr_4 (joined (portRef Q (instanceRef seqnr_4)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_a5_8_m1_e)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_a3_8_m1_e)) )) (net seqnr_5 (joined (portRef Q (instanceRef seqnr_5)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_9)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_a3_9_m1_e)) )) (net seqnr_6 (joined (portRef Q (instanceRef seqnr_6)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_a5_10_m1_e)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_a3_10_m1_e)) )) (net seqnr_7 (joined (portRef Q (instanceRef seqnr_7)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_a5_11)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_a3_11_m1_e)) )) - (net N_4923_i (joined + (net N_6315_i (joined (portRef Z (instanceRef send_trm_RNO)) (portRef D (instanceRef send_trm)) )) (net send_trm (joined (portRef Q (instanceRef send_trm)) (portRef B (instanceRef buf_INT_DATAREADY_OUT_RNO)) - (portRef B (instanceRef next_send_trm_1_sqmuxa_i_0_o5)) + (portRef B (instanceRef next_send_trm_0_sqmuxa_i_o3)) )) (net int_packet_num_in (joined - (portRef Z (instanceRef int_packet_num_in_0_a2_0_a5)) + (portRef Z (instanceRef int_packet_num_in_0_a3)) (portRef SP (instanceRef saved_packet_type_2)) (portRef SP (instanceRef saved_packet_type_1)) (portRef SP (instanceRef saved_packet_type_0)) )) (net saved_packet_type_0 (joined (portRef Q (instanceRef saved_packet_type_0)) - (portRef C (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_0)) + (portRef C (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_13)) )) (net saved_packet_type_1 (joined (portRef Q (instanceRef saved_packet_type_1)) - (portRef D (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_0)) + (portRef D (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_13)) )) (net saved_packet_type_2 (joined (portRef Q (instanceRef saved_packet_type_2)) - (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_0)) + (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_13)) )) - (net N_5642_i (joined + (net N_6321_i (joined (portRef Z (instanceRef reg_TRG_TYPE_OUT_RNO_0)) (portRef D (instanceRef reg_TRG_TYPE_OUT_0)) )) - (net N_5004_i (joined + (net N_6301_i (joined (portRef Z (instanceRef reg_TRG_TYPE_OUT_RNO_1)) (portRef D (instanceRef reg_TRG_TYPE_OUT_1)) )) - (net N_5005_i (joined + (net N_6322_i (joined (portRef Z (instanceRef reg_TRG_TYPE_OUT_RNO_2)) (portRef D (instanceRef reg_TRG_TYPE_OUT_2)) )) - (net N_5643_i (joined + (net N_6323_i (joined (portRef Z (instanceRef reg_TRG_TYPE_OUT_RNO_3)) (portRef D (instanceRef reg_TRG_TYPE_OUT_3)) )) - (net N_40 (joined - (portRef Z (instanceRef reg_TRG_RECEIVED_OUT_1_i_i)) + (net reg_TRG_RECEIVED_OUT_1_0 (joined + (portRef Z (instanceRef reg_TRG_RECEIVED_OUT_1_0)) (portRef D (instanceRef reg_TRG_RECEIVED_OUT)) )) - (net N_5644_i (joined + (net N_6324_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_0)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_0)) )) - (net N_5006_i (joined + (net N_6302_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_1)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_1)) )) - (net N_5007_i (joined + (net N_6325_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_2)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_2)) )) - (net N_5645_i (joined + (net N_6326_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_3)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_3)) )) - (net N_5646_i (joined + (net N_5585_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_4)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_4)) )) - (net N_5647_i (joined + (net N_6278_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_5)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_5)) )) - (net N_5008_i (joined + (net N_6286_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_6)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_6)) )) - (net N_5009_i (joined + (net N_6287_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_7)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_7)) )) - (net N_5669_i (joined + (net N_6303_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_8)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_8)) )) - (net N_5010_i (joined + (net N_6327_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_9)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_9)) )) - (net N_5670_i (joined + (net N_6288_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_10)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_10)) )) - (net N_5011_i (joined + (net N_6289_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_11)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_11)) )) - (net N_5671_i (joined + (net N_6304_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_12)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_12)) )) - (net N_5672_i (joined + (net N_6305_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_13)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_13)) )) - (net N_5673_i (joined + (net N_6306_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_14)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_14)) )) - (net N_5674_i (joined + (net N_6307_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_15)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_15)) )) - (net N_5879_i (joined + (net N_6290_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_0)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_0)) )) - (net N_5658_i (joined + (net N_6316_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_1)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_1)) )) - (net N_5659_i (joined + (net N_6281_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_2)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_2)) )) - (net N_5000_i (joined + (net N_6317_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_3)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_3)) )) - (net N_5660_i (joined + (net N_6291_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_4)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_4)) )) - (net N_5661_i (joined + (net N_51_i_0 (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_5)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_5)) )) - (net N_5662_i (joined + (net N_6292_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_6)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_6)) )) - (net N_5663_i (joined + (net N_6293_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_7)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_7)) )) - (net N_5637_i (joined + (net N_6318_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_8)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_8)) )) - (net N_5638_i (joined + (net N_6294_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_9)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_9)) )) - (net N_5639_i (joined + (net N_6295_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_10)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_10)) )) - (net N_43_i_0 (joined + (net N_6319_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_11)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_11)) )) - (net N_45_i (joined + (net N_5584_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_12)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_12)) )) - (net N_5640_i (joined + (net N_6277_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_13)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_13)) )) - (net N_5641_i (joined + (net N_6282_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_14)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_14)) )) - (net N_5001_i (joined + (net N_6283_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_15)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_15)) )) - (net N_5648_i (joined + (net N_142_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_0)) (portRef D (instanceRef reg_TRG_CODE_OUT_0)) )) - (net N_5649_i (joined + (net N_6308_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_1)) (portRef D (instanceRef reg_TRG_CODE_OUT_1)) )) - (net N_5650_i (joined + (net N_6279_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_2)) (portRef D (instanceRef reg_TRG_CODE_OUT_2)) )) - (net N_5651_i (joined + (net N_144_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_3)) (portRef D (instanceRef reg_TRG_CODE_OUT_3)) )) - (net N_5652_i (joined + (net N_5564_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_4)) (portRef D (instanceRef reg_TRG_CODE_OUT_4)) )) - (net N_5653_i (joined + (net N_6280_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_5)) (portRef D (instanceRef reg_TRG_CODE_OUT_5)) )) - (net N_5654_i (joined + (net N_6309_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_6)) (portRef D (instanceRef reg_TRG_CODE_OUT_6)) )) - (net N_5012_i (joined + (net N_6310_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_7)) (portRef D (instanceRef reg_TRG_CODE_OUT_7)) )) - (net N_4925_i (joined + (net N_5565_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_1)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_1)) )) (net buf_TRG_ERROR_PATTERN_IN_1 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_1)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_1)) )) - (net N_4926_i (joined + (net N_5566_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_2)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_2)) )) @@ -112238,7 +112297,7 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_2)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_2)) )) - (net N_4927_i (joined + (net N_5567_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_3)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_3)) )) @@ -112247,79 +112306,79 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_3)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_3)) )) - (net N_4928_i (joined + (net N_5568_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_4)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_4)) )) (net buf_TRG_ERROR_PATTERN_IN_4 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_4)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_4)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_0_4)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_4)) )) - (net N_23_i (joined + (net N_5586_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_5)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_5)) )) (net buf_TRG_ERROR_PATTERN_IN_5 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_5)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_5)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_0_5)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_5)) )) - (net N_4929_i (joined + (net N_69_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_6)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_6)) )) (net buf_TRG_ERROR_PATTERN_IN_6 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_6)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_6)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_6)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_6)) )) - (net N_4930_i (joined + (net N_71_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_7)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_7)) )) (net buf_TRG_ERROR_PATTERN_IN_7 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_7)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_7)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_1_7)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_7)) )) - (net N_4931_i (joined + (net N_5591_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_8)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_8)) )) (net buf_TRG_ERROR_PATTERN_IN_8 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_8)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_8)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_1_8)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_8)) )) - (net N_4932_i (joined + (net N_5595_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_9)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_9)) )) (net buf_TRG_ERROR_PATTERN_IN_9 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_9)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_9)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_1_9)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_9)) )) - (net N_4933_i (joined + (net N_73_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_10)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_10)) )) (net buf_TRG_ERROR_PATTERN_IN_10 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_10)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_10)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_1_10)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_10)) )) - (net N_4934_i (joined + (net N_5596_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_11)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_11)) )) (net buf_TRG_ERROR_PATTERN_IN_11 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_11)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_11)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_1_11)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_11)) )) - (net N_4935_i (joined + (net N_5569_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_12)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_12)) )) @@ -112328,7 +112387,7 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_12)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_12)) )) - (net N_4936_i (joined + (net N_5570_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_13)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_13)) )) @@ -112337,7 +112396,7 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_13)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_13)) )) - (net N_4937_i (joined + (net N_5571_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_14)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_14)) )) @@ -112346,7 +112405,7 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_14)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_14)) )) - (net N_5675_i (joined + (net N_5572_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_15)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_15)) )) @@ -112355,7 +112414,7 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_15)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_15)) )) - (net N_4938_i (joined + (net N_5573_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_16)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_16)) )) @@ -112364,16 +112423,16 @@ (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_0)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_16)) )) - (net N_4939_i (joined + (net N_5597_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_17)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_17)) )) (net buf_TRG_ERROR_PATTERN_IN_17 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_17)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1_1)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1_1)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_17)) )) - (net N_4940_i (joined + (net N_5574_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_18)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_18)) )) @@ -112382,115 +112441,115 @@ (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_2)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_18)) )) - (net N_4941_i (joined + (net N_5575_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_19)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_19)) )) (net buf_TRG_ERROR_PATTERN_IN_19 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_19)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_3)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_3)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_19)) )) - (net N_5880_i (joined + (net N_5576_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_20)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_20)) )) (net buf_TRG_ERROR_PATTERN_IN_20 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_20)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_1_4)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_4)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_20)) )) - (net N_5013_i (joined + (net N_75_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_21)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_21)) )) (net buf_TRG_ERROR_PATTERN_IN_21 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_21)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_5)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_5)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_21)) )) - (net N_5014_i (joined + (net N_5587_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_22)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_22)) )) (net buf_TRG_ERROR_PATTERN_IN_22 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_22)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_6)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_6)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_22)) )) - (net N_4943_i (joined + (net N_79_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_23)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_23)) )) (net buf_TRG_ERROR_PATTERN_IN_23 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_23)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_7)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_1_7)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_23)) )) - (net N_38_i_0 (joined + (net N_5592_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_24)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_24)) )) (net buf_TRG_ERROR_PATTERN_IN_24 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_24)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_1_8)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_1_8)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_24)) )) - (net N_4944_i (joined + (net N_5598_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_25)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_25)) )) (net buf_TRG_ERROR_PATTERN_IN_25 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_25)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_9)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_1_9)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_25)) )) - (net N_4945_i (joined + (net N_5588_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_26)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_26)) )) (net buf_TRG_ERROR_PATTERN_IN_26 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_26)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_1_10)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_1_10)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_26)) )) - (net N_4946_i (joined + (net N_5599_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_27)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_27)) )) (net buf_TRG_ERROR_PATTERN_IN_27 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_27)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_1_11)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_1_11)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_27)) )) - (net N_4947_i (joined + (net N_5577_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_28)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_28)) )) (net buf_TRG_ERROR_PATTERN_IN_28 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_28)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_12)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_12)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_28)) )) - (net N_4948_i (joined + (net N_5578_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_29)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_29)) )) (net buf_TRG_ERROR_PATTERN_IN_29 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_29)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_13)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_13)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_29)) )) - (net N_4949_i (joined + (net N_5579_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_30)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_30)) )) (net buf_TRG_ERROR_PATTERN_IN_30 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_30)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_14)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_14)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_30)) )) - (net N_4950_i (joined + (net N_50_i_0 (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_31)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_31)) )) @@ -112503,7 +112562,7 @@ (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0)) (portRef D (instanceRef buf_INT_DATA_OUT_0)) )) - (net buf_INT_DATA_OUT_1_i_0_1 (joined + (net N_105 (joined (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_1)) (portRef D (instanceRef buf_INT_DATA_OUT_1)) )) @@ -112512,103 +112571,103 @@ (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_RNO_1)) (portRef (member apl_to_buf_reply_data 14)) )) - (net N_4924_i (joined + (net N_5557_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_2)) (portRef D (instanceRef buf_INT_DATA_OUT_2)) )) - (net N_5875_i (joined + (net N_5558_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_3)) (portRef D (instanceRef buf_INT_DATA_OUT_3)) )) - (net buf_INT_DATA_OUT_1_0_0_4 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_4)) + (net buf_INT_DATA_OUT_1_i_i_4 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_4)) (portRef D (instanceRef buf_INT_DATA_OUT_4)) )) - (net buf_INT_DATA_OUT_1_0_0_0_5 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_5)) + (net N_354 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_5)) (portRef D (instanceRef buf_INT_DATA_OUT_5)) )) - (net buf_INT_DATA_OUT_1_0_0_0_6 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_6)) + (net buf_INT_DATA_OUT_1_0_6 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_6)) (portRef D (instanceRef buf_INT_DATA_OUT_6)) )) - (net buf_INT_DATA_OUT_1_0_0_0_7 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_7)) + (net buf_INT_DATA_OUT_1_i_i_7 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_7)) (portRef D (instanceRef buf_INT_DATA_OUT_7)) )) - (net buf_INT_DATA_OUT_1_0_8 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_8)) + (net buf_INT_DATA_OUT_1_i_i_8 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_8)) (portRef D (instanceRef buf_INT_DATA_OUT_8)) )) - (net buf_INT_DATA_OUT_1_0_0_0_9 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_9)) + (net buf_INT_DATA_OUT_1_i_i_9 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_9)) (portRef D (instanceRef buf_INT_DATA_OUT_9)) )) - (net buf_INT_DATA_OUT_1_0_0_10 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_10)) + (net buf_INT_DATA_OUT_1_0_10 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_10)) (portRef D (instanceRef buf_INT_DATA_OUT_10)) )) - (net buf_INT_DATA_OUT_1_0_0_11 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_11)) + (net buf_INT_DATA_OUT_1_i_i_11 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_11)) (portRef D (instanceRef buf_INT_DATA_OUT_11)) )) - (net N_5876_i (joined + (net N_5560_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_12)) (portRef D (instanceRef buf_INT_DATA_OUT_12)) )) - (net N_5877_i (joined + (net N_5561_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_13)) (portRef D (instanceRef buf_INT_DATA_OUT_13)) )) - (net N_5878_i (joined + (net N_5562_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_14)) (portRef D (instanceRef buf_INT_DATA_OUT_14)) )) - (net N_5657_i (joined + (net N_5563_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_15)) (portRef D (instanceRef buf_INT_DATA_OUT_15)) )) - (net N_4969_i (joined + (net N_6423_i (joined (portRef Z (instanceRef buf_INT_DATAREADY_OUT_RNO)) (portRef D (instanceRef buf_INT_DATAREADY_OUT)) )) (net GND (joined (portRef GND) )) - (net N_5891 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_o2_0_1)) + (net N_6375 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1_1)) (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_0)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1_1)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_a2_2_4)) - )) - (net reg_TRG_INFORMATION_OUT_1_i_o2_1_1_0 (joined - (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_0)) - (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_0)) - )) - (net N_5889 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_o2_4)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_a5_4_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_a5_8_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_a5_10_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_a5_11)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_6)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_9)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_a2_2_6)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1_1)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_a2_0_6_m1_e)) + )) + (net reg_TRG_INFORMATION_OUT_1_i_o2_1_1_13 (joined + (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_13)) + (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_13)) + )) + (net N_6373 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_o2_6)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_a3_7_m1_e)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_a3_8_m1_e)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_a3_9_m1_e)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_a3_11_m1_e)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_a3_10_m1_e)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_6_m2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_0_5_m2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_0_4_m2)) )) (net buf_to_apl_INIT_PACKET_NUM_2 (joined (portRef (member buf_to_apl_init_packet_num 0)) - (portRef C (instanceRef int_packet_num_in_0_a2_0_a5)) - (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_0)) + (portRef C (instanceRef int_packet_num_in_0_a3)) + (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_13)) )) - (net next_send_trm_1_sqmuxa_i_0_a5_0 (joined - (portRef Z (instanceRef next_send_trm_1_sqmuxa_i_0_a5_0)) + (net next_send_trm_1_sqmuxa_i_a3_0 (joined + (portRef Z (instanceRef next_send_trm_1_sqmuxa_i_a3_0)) (portRef D (instanceRef send_trm_RNO)) )) (net buf_LVL1_TRG_RELEASE_IN (joined (portRef buf_LVL1_TRG_RELEASE_IN) - (portRef B (instanceRef reg_TRG_RECEIVED_OUT_1_i_i)) + (portRef B (instanceRef reg_TRG_RECEIVED_OUT_1_0)) (portRef A (instanceRef buf_INT_DATAREADY_OUT_RNO)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_20)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_21)) @@ -112617,7 +112676,7 @@ (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_16)) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_17)) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_18)) - (portRef A (instanceRef next_send_trm_1_sqmuxa_i_0_o5)) + (portRef A (instanceRef next_send_trm_0_sqmuxa_i_o3)) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_28)) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_29)) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_30)) @@ -112656,7 +112715,6 @@ (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_28)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_29)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_30)) - (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_31)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_22)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_23)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_26)) @@ -112678,36 +112736,40 @@ (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_3)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_4)) )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef C (instanceRef next_send_trm_1_sqmuxa_i_0_o5)) + (net reset_i_fast_r2 (joined + (portRef reset_i_fast_r2) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_a2_2_6)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_a2_0_6_m1_e)) + (portRef C (instanceRef next_send_trm_0_sqmuxa_i_o3)) + (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_31)) + (portRef A (instanceRef transfer_counter_RNISH781_2)) + )) + (net N_6486 (joined + (portRef Z (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2)) + (portRef A (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2)) )) (net reg_TRG_RECEIVED_OUT_Q (joined (portRef Q (instanceRef reg_TRG_RECEIVED_OUT)) - (portRef D (instanceRef reg_TRG_RECEIVED_OUT_1_i_i)) + (portRef D (instanceRef reg_TRG_RECEIVED_OUT_1_0)) (portRef D (instanceRef buf_INT_DATAREADY_OUT_RNO)) - (portRef D (instanceRef next_send_trm_1_sqmuxa_i_0_o5)) + (portRef D (instanceRef next_send_trm_0_sqmuxa_i_o3)) (portRef reg_TRG_RECEIVED_OUT_Q) )) - (net next_send_trm_1_sqmuxa_i_0_o5 (joined - (portRef Z (instanceRef next_send_trm_1_sqmuxa_i_0_o5)) + (net next_send_trm_0_sqmuxa_i_o3 (joined + (portRef Z (instanceRef next_send_trm_0_sqmuxa_i_o3)) (portRef A (instanceRef send_trm_RNO)) )) - (net N_356 (joined - (portRef Z (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2)) - (portRef A (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2)) - )) (net buf_to_apl_INIT_DATAREADY_0 (joined (portRef (member buf_to_apl_init_dataready 0)) - (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_0)) + (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_13)) )) - (net N_5881 (joined - (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_0)) + (net N_6368 (joined + (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_13)) (portRef D (instanceRef seqnrce_0)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_1_i_0_o2_0)) - (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_0)) - (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_16)) - (portRef A (instanceRef reg_TRG_RECEIVED_OUT_1_i_i_o2)) + (portRef A (instanceRef reg_TRG_CODE_OUT_1_i_o2_2)) + (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_13)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_1_i_o2_5)) + (portRef A (instanceRef reg_TRG_RECEIVED_OUT_1_0_o2)) )) (net signal_sync (joined (portRef signal_sync) @@ -112737,60 +112799,31 @@ (portRef buf_LVL1_ERROR_PATTERN_IN_4) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_20)) )) - (net N_6056 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_9)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_9)) - )) - (net reset_i_fast_r3 (joined - (portRef reset_i_fast_r3) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_a5_4_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_a5_8_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_a2_2_4)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_6)) - (portRef A (instanceRef transfer_counter_RNI06881_2)) - )) - (net N_6048 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_6)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_6)) - )) - (net reset_i_fast_r7 (joined - (portRef reset_i_fast_r7) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_a5_10_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_a5_11)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_9)) - )) - (net N_5973 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_a5_11)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_11)) - )) - (net N_6069 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_a2_2_4)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_1_8)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_7)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_6)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_9)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_1_10)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_1_11)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_1_4)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_5)) - )) - (net N_5983 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1_1)) + (net N_6628 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_a2_0_6_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_4)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_5)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_6)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_1_11)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_1_8)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_1_9)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_1_10)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_1_7)) + )) + (net N_6573 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1_1)) (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_1)) )) - (net N_6067 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_9)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_7)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_6)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_5)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_10)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_11)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_8)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_4)) + (net N_6630 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_a2_2_6)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_0_4)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_0_5)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_6)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_1_11)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_1_8)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_1_9)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_1_10)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_1_7)) )) (net apl_to_buf_REPLY_DATA_0 (joined (portRef Q (instanceRef buf_INT_DATA_OUT_0)) @@ -112801,38 +112834,84 @@ (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_0)) (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0)) )) - (net N_5981 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1)) + (net apl_to_buf_REPLY_DATA_4 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_4)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_0_4_m2)) + (portRef (member apl_to_buf_reply_data 11)) + )) + (net buf_INT_DATA_OUT_1_i_i_0_4_m2 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_0_4_m2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_0_4)) + )) + (net apl_to_buf_REPLY_DATA_5 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_5)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_0_5_m2)) + (portRef (member apl_to_buf_reply_data 10)) + )) + (net buf_INT_DATA_OUT_1_i_i_0_5_m2 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_0_5_m2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_0_5)) + )) + (net apl_to_buf_REPLY_DATA_6 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_6)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_6_m2)) + (portRef (member apl_to_buf_reply_data 9)) + )) + (net buf_INT_DATA_OUT_1_0_0_6_m2 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_6_m2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_6)) + )) + (net N_6571 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1)) (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_1)) )) (net buf_to_apl_INIT_PACKET_NUM_0 (joined (portRef (member buf_to_apl_init_packet_num 2)) (portRef C (instanceRef seqnrce_0)) - (portRef B (instanceRef reg_TRG_NUMBER_OUT_1_i_0_o2_0)) - (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_0)) - (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_16)) - (portRef B (instanceRef reg_TRG_RECEIVED_OUT_1_i_i_o2)) - (portRef A (instanceRef int_packet_num_in_0_a2_0_a5)) + (portRef B (instanceRef reg_TRG_CODE_OUT_1_i_o2_2)) + (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_13)) + (portRef B (instanceRef reg_TRG_NUMBER_OUT_1_i_o2_5)) + (portRef B (instanceRef reg_TRG_RECEIVED_OUT_1_0_o2)) + (portRef A (instanceRef int_packet_num_in_0_a3)) )) (net buf_to_apl_INIT_PACKET_NUM_1 (joined (portRef (member buf_to_apl_init_packet_num 1)) (portRef B (instanceRef seqnrce_0)) - (portRef C (instanceRef reg_TRG_NUMBER_OUT_1_i_0_o2_0)) - (portRef C (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_0)) - (portRef C (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_16)) - (portRef C (instanceRef reg_TRG_RECEIVED_OUT_1_i_i_o2)) - (portRef B (instanceRef int_packet_num_in_0_a2_0_a5)) - )) - (net N_5890 (joined - (portRef Z (instanceRef reg_TRG_RECEIVED_OUT_1_i_i_o2)) + (portRef C (instanceRef reg_TRG_CODE_OUT_1_i_o2_2)) + (portRef C (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_13)) + (portRef C (instanceRef reg_TRG_NUMBER_OUT_1_i_o2_5)) + (portRef C (instanceRef reg_TRG_RECEIVED_OUT_1_0_o2)) + (portRef B (instanceRef int_packet_num_in_0_a3)) + )) + (net N_6374 (joined + (portRef Z (instanceRef reg_TRG_RECEIVED_OUT_1_0_o2)) (portRef A (instanceRef reg_TRG_TYPE_OUT_RNO_2)) (portRef A (instanceRef reg_TRG_TYPE_OUT_RNO_3)) (portRef A (instanceRef reg_TRG_TYPE_OUT_RNO_0)) (portRef A (instanceRef reg_TRG_TYPE_OUT_RNO_1)) - (portRef A (instanceRef reg_TRG_RECEIVED_OUT_1_i_i)) + (portRef A (instanceRef reg_TRG_RECEIVED_OUT_1_0)) )) - (net N_5888 (joined - (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_16)) + (net N_6372 (joined + (portRef Z (instanceRef reg_TRG_NUMBER_OUT_1_i_o2_5)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_13)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_14)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_15)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_6)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_7)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_8)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_9)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_10)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_11)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_12)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_0)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_1)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_2)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_3)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_4)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_5)) + )) + (net N_6371 (joined + (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_13)) (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_11)) (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_12)) (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_13)) @@ -112842,8 +112921,8 @@ (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_9)) (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_10)) )) - (net N_5887 (joined - (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_0)) + (net N_371 (joined + (portRef Z (instanceRef reg_TRG_CODE_OUT_1_i_o2_2)) (portRef A (instanceRef reg_TRG_CODE_OUT_RNO_5)) (portRef A (instanceRef reg_TRG_CODE_OUT_RNO_6)) (portRef A (instanceRef reg_TRG_CODE_OUT_RNO_7)) @@ -112861,53 +112940,52 @@ (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_2)) (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_3)) )) - (net N_5886 (joined - (portRef Z (instanceRef reg_TRG_NUMBER_OUT_1_i_0_o2_0)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_13)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_14)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_15)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_6)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_7)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_8)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_9)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_10)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_11)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_12)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_0)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_1)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_2)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_3)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_4)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_5)) + (net N_6499 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_a3_10_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_10)) )) - (net N_6044 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_5)) + (net reset_i_fast_r6 (joined + (portRef reset_i_fast_r6) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_6)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_a3_7_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_a3_8_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_a3_9_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_a3_11_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_a3_10_m1_e)) )) - (net N_5977 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_a5_10_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_10)) + (net N_6574 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_a3_11_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_11)) )) - (net N_5949 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_a5_8_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_8)) + (net N_6578 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_a3_9_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_9)) )) - (net N_365 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_a5_4_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_4)) + (net N_6582 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_a3_8_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_8)) )) - (net N_6052 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_7)) + (net N_6586 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_a3_7_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_7)) )) - (net apl_to_buf_REPLY_DATA_3 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_3)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_3)) - (portRef (member apl_to_buf_reply_data 12)) + (net apl_to_buf_REPLY_DATA_15 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_15)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) + (portRef (member apl_to_buf_reply_data 0)) )) - (net buf_INT_DATA_OUT_1_i_0_3 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_3)) - (portRef C (instanceRef buf_INT_DATA_OUT_RNO_3)) + (net buf_INT_DATA_OUT_1_i_0_0_15 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) + (portRef C (instanceRef buf_INT_DATA_OUT_RNO_15)) + )) + (net apl_to_buf_REPLY_DATA_14 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_14)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_14)) + (portRef (member apl_to_buf_reply_data 1)) + )) + (net buf_INT_DATA_OUT_1_i_0_0_14 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_14)) + (portRef C (instanceRef buf_INT_DATA_OUT_RNO_14)) )) (net apl_to_buf_REPLY_DATA_2 (joined (portRef Q (instanceRef buf_INT_DATA_OUT_2)) @@ -112918,113 +112996,69 @@ (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_2)) (portRef C (instanceRef buf_INT_DATA_OUT_RNO_2)) )) - (net apl_to_buf_REPLY_DATA_14 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_14)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_14)) - (portRef (member apl_to_buf_reply_data 1)) - )) - (net buf_INT_DATA_OUT_1_i_0_14 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_14)) - (portRef C (instanceRef buf_INT_DATA_OUT_RNO_14)) - )) (net apl_to_buf_REPLY_DATA_13 (joined (portRef Q (instanceRef buf_INT_DATA_OUT_13)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_13)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_13)) (portRef (member apl_to_buf_reply_data 2)) )) - (net buf_INT_DATA_OUT_1_i_0_13 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_13)) + (net buf_INT_DATA_OUT_1_i_0_0_13 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_13)) (portRef C (instanceRef buf_INT_DATA_OUT_RNO_13)) )) - (net apl_to_buf_REPLY_DATA_15 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_15)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) - (portRef (member apl_to_buf_reply_data 0)) - )) - (net buf_INT_DATA_OUT_1_i_0_0_15 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) - (portRef C (instanceRef buf_INT_DATA_OUT_RNO_15)) - )) (net apl_to_buf_REPLY_DATA_12 (joined (portRef Q (instanceRef buf_INT_DATA_OUT_12)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_12)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_12)) (portRef (member apl_to_buf_reply_data 3)) )) - (net buf_INT_DATA_OUT_1_i_0_12 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_12)) + (net buf_INT_DATA_OUT_1_i_0_0_12 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_12)) (portRef C (instanceRef buf_INT_DATA_OUT_RNO_12)) )) - (net apl_to_buf_REPLY_DATA_5 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_5)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_5)) - (portRef (member apl_to_buf_reply_data 10)) - )) - (net buf_INT_DATA_OUT_1_0_0_0_1_5 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_5)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_5)) - )) - (net apl_to_buf_REPLY_DATA_4 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_4)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_1_4)) - (portRef (member apl_to_buf_reply_data 11)) - )) - (net buf_INT_DATA_OUT_1_0_0_1_4 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_1_4)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_4)) - )) - (net apl_to_buf_REPLY_DATA_11 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_11)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_1_11)) - (portRef (member apl_to_buf_reply_data 4)) - )) - (net buf_INT_DATA_OUT_1_0_0_1_11 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_1_11)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_11)) + (net apl_to_buf_REPLY_DATA_3 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_3)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_3)) + (portRef (member apl_to_buf_reply_data 12)) )) - (net apl_to_buf_REPLY_DATA_10 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_10)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_1_10)) - (portRef (member apl_to_buf_reply_data 5)) + (net buf_INT_DATA_OUT_1_i_0_0_3 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_3)) + (portRef C (instanceRef buf_INT_DATA_OUT_RNO_3)) )) - (net buf_INT_DATA_OUT_1_0_0_1_10 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_1_10)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_10)) + (net buf_INT_DATA_OUT_1_i_i_1_7 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_1_7)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_7)) )) - (net apl_to_buf_REPLY_DATA_9 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_9)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_9)) - (portRef (member apl_to_buf_reply_data 6)) + (net buf_INT_DATA_OUT_1_0_1_10 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_1_10)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_10)) )) - (net buf_INT_DATA_OUT_1_0_0_0_1_9 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_9)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_9)) + (net buf_INT_DATA_OUT_1_i_i_1_9 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_1_9)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_9)) )) - (net apl_to_buf_REPLY_DATA_6 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_6)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_6)) - (portRef (member apl_to_buf_reply_data 9)) + (net buf_INT_DATA_OUT_1_i_i_1_8 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_1_8)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_8)) )) - (net buf_INT_DATA_OUT_1_0_0_0_1_6 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_6)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_6)) + (net buf_INT_DATA_OUT_1_i_i_1_11 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_1_11)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_11)) )) - (net apl_to_buf_REPLY_DATA_7 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_7)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_7)) - (portRef (member apl_to_buf_reply_data 8)) + (net buf_INT_DATA_OUT_1_0_0_0_6 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_6)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_6)) )) - (net buf_INT_DATA_OUT_1_0_0_0_1_7 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_7)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_7)) + (net buf_INT_DATA_OUT_1_i_i_0_0_5 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_0_5)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_5)) )) - (net apl_to_buf_REPLY_DATA_8 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_8)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_1_8)) - (portRef (member apl_to_buf_reply_data 7)) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_0_4)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_0_5)) )) - (net buf_INT_DATA_OUT_1_0_1_8 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_1_8)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_8)) + (net buf_INT_DATA_OUT_1_i_i_0_0_4 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_0_4)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_4)) )) (net reset_i_rep1_1 (joined (portRef reset_i_rep1_1) @@ -113062,7 +113096,6 @@ (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_14)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_15)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_4)) - (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_5)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_6)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_7)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_8)) @@ -113072,11 +113105,36 @@ (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_1)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_2)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_3)) - (portRef C (instanceRef reg_TRG_RECEIVED_OUT_1_i_i)) + (portRef C (instanceRef reg_TRG_RECEIVED_OUT_1_0)) (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_1)) (portRef D (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2)) (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0)) )) + (net apl_to_buf_REPLY_DATA_7 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_7)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_7)) + (portRef (member apl_to_buf_reply_data 8)) + )) + (net apl_to_buf_REPLY_DATA_10 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_10)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_10)) + (portRef (member apl_to_buf_reply_data 5)) + )) + (net apl_to_buf_REPLY_DATA_11 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_11)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_11)) + (portRef (member apl_to_buf_reply_data 4)) + )) + (net apl_to_buf_REPLY_DATA_9 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_9)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_9)) + (portRef (member apl_to_buf_reply_data 6)) + )) + (net apl_to_buf_REPLY_DATA_8 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_8)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_8)) + (portRef (member apl_to_buf_reply_data 7)) + )) (net buf_to_apl_INIT_DATA_11 (joined (portRef (member buf_to_apl_init_data 4)) (portRef B (instanceRef reg_TRG_NUMBER_OUT_RNO_11)) @@ -113170,6 +113228,10 @@ (portRef D (instanceRef reg_TRG_INFORMATION_OUT_RNO_6)) (portRef (member trg_information_i 9)) )) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_5)) + )) (net trg_information_i_5 (joined (portRef Q (instanceRef reg_TRG_INFORMATION_OUT_5)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_RNO_5)) @@ -113400,8 +113462,8 @@ (portRef D (instanceRef reg_TRG_CODE_OUT_RNO_5)) (portRef (member trg_code_i 2)) )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) (portRef A (instanceRef seqnrce_0)) )) ) @@ -113412,23 +113474,39 @@ (interface (port buf_APL_PACKET_NUM_IN_2 (direction INPUT)) (port buf_APL_PACKET_NUM_IN_0 (direction INPUT)) - (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(63:48)") 16) (direction INPUT)) + (port (array (rename buf_api_data_out_rnigu9q "buf_API_DATA_OUT_RNIGU9Q(9:9)") 1) (direction INPUT)) + (port buf_APL_DATA_IN_15 (direction INPUT)) + (port buf_APL_DATA_IN_14 (direction INPUT)) + (port buf_APL_DATA_IN_13 (direction INPUT)) + (port buf_APL_DATA_IN_12 (direction INPUT)) + (port buf_APL_DATA_IN_11 (direction INPUT)) + (port buf_APL_DATA_IN_10 (direction INPUT)) + (port buf_APL_DATA_IN_8 (direction INPUT)) + (port buf_APL_DATA_IN_7 (direction INPUT)) + (port buf_APL_DATA_IN_6 (direction INPUT)) + (port buf_APL_DATA_IN_5 (direction INPUT)) + (port buf_APL_DATA_IN_4 (direction INPUT)) + (port buf_APL_DATA_IN_3 (direction INPUT)) + (port buf_APL_DATA_IN_2 (direction INPUT)) + (port buf_APL_DATA_IN_1 (direction INPUT)) + (port buf_APL_DATA_IN_0 (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_apl_i "buf_api_stat_fifo_to_apl_i(110:110)") 1) (direction OUTPUT)) + (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction OUTPUT)) + (port buf_api_stat_fifo_to_apl_2 (direction OUTPUT)) + (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(11:9)") 3) (direction OUTPUT)) (port (array (rename buf_apl_data_out "buf_APL_DATA_OUT(63:48)") 16) (direction OUTPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(3:3)") 1) (direction INPUT)) (port (array (rename apl_to_buf_reply_data "apl_to_buf_REPLY_DATA(63:52)") 12) (direction OUTPUT)) (port (array (rename current_b2_buffer "current_b2_buffer(3:0)") 4) (direction OUTPUT)) + (port (array (rename buf_apl_read_in "buf_APL_READ_IN(3:3)") 1) (direction INPUT)) (port (array (rename adr_packet_num_out "ADR_PACKET_NUM_OUT(2:2)") 1) (direction INPUT)) (port buf_api_stat_fifo_to_int_4 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_3 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_11 (direction OUTPUT)) - (port (array (rename buf_apl_read_in "buf_APL_READ_IN(3:3)") 1) (direction INPUT)) - (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(11:9)") 3) (direction OUTPUT)) (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(63:48)") 16) (direction INPUT)) (port (array (rename buf_to_apl_init_packet_num "buf_to_apl_INIT_PACKET_NUM(11:9)") 3) (direction INPUT)) (port (array (rename buf_to_apl_init_dataready "buf_to_apl_INIT_DATAREADY(3:3)") 1) (direction INPUT)) - (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction OUTPUT)) - (port buf_api_stat_fifo_to_apl_7 (direction OUTPUT)) + (port (array (rename un1_the_endpoint "un1_THE_ENDPOINT(6:6)") 1) (direction INPUT)) (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction INPUT)) (port buf_APL_ERROR_PATTERN_IN_14 (direction INPUT)) (port buf_APL_ERROR_PATTERN_IN_12 (direction INPUT)) @@ -113436,45 +113514,39 @@ (port (array (rename buf_apl_dtype_in "buf_APL_DTYPE_IN(15:12)") 4) (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename common_ctrl_reg "common_ctrl_reg(10:10)") 1) (direction INPUT)) - (port (array (rename un1_the_endpoint "un1_THE_ENDPOINT(6:6)") 1) (direction INPUT)) + (port reset_i_20 (direction INPUT)) (port reset_i_19 (direction INPUT)) - (port reset_i_18 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) - (port reset_i_fast_1 (direction INPUT)) - (port N_2069_0_1 (direction INPUT)) (port reg_INT_READ_OUT (direction INPUT)) (port int_dataready_in_i (direction INPUT)) + (port N_93 (direction INPUT)) + (port N_87 (direction INPUT)) + (port buf_API_SHORT_TRANSFER_OUT_Q (direction INPUT)) (port buf_API_DATAREADY_OUT (direction INPUT)) - (port N_1956 (direction INPUT)) + (port N_1958 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) + (port reset_i_rep1 (direction INPUT)) + (port GND (direction INPUT)) (port regio_timeout_out (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port GND (direction INPUT)) - (port buf_API_SHORT_TRANSFER_OUT_Q (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) + (port reset_i_fast_r8 (direction INPUT)) + (port reset_i_rep1_1 (direction INPUT)) ) (contents (instance master_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C (!B !A+B A)+C B))")) + (property lut_function (string "(!D (!C B+C (!B !A+B A))+D B)")) ) - (instance master_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (B A))+D (!B !A+B A))")) + (instance send_trm_wrong_addr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B !A)+D (!C (!B !A)))")) ) - (instance fifo_was_not_empty_RNIK5B91 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + (instance state_to_apl_ns_1_0__m16_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D (B+A))")) ) - (instance master_end_0_sqmuxa_3_RNI9J1A1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance master_end_0_sqmuxa_3_RNII33A1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance state_to_int_RNIPNG81_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D B)")) ) (instance next_INT_MASTER_DATA_OUT_bm_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) @@ -113482,14 +113554,14 @@ (instance next_INT_MASTER_DATA_OUT_bm_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D B)")) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) ) - (instance state_to_apl_ns_1_0__m15_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) ) (instance state_to_int_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -113757,21 +113829,18 @@ ) (instance apl_send_in_timeout_counter_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance APL_TYP_OUT_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance fifo_to_apl_write (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) (instance state_to_int_RNIEF6H_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance un1_state_to_int_1_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) (instance update_registered_trailer_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance un1_state_to_int_1_i_a2_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance state_bits_to_apl_i_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance sequence_counterc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) @@ -113796,33 +113865,60 @@ (instance sequence_counterc_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance next_INT_MASTER_DATA_OUT_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_INT_MASTER_DATA_OUT_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_INT_MASTER_DATA_OUT_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) + (instance next_INT_MASTER_DATA_OUT_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) (instance next_INT_MASTER_DATA_OUT_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) + (instance next_INT_MASTER_DATA_OUT_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) (instance next_INT_MASTER_DATA_OUT_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) + (instance next_INT_MASTER_DATA_OUT_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_INT_MASTER_DATA_OUT_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance next_INT_MASTER_DATA_OUT_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance next_INT_MASTER_DATA_OUT_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_INT_MASTER_DATA_OUT_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -113844,33 +113940,6 @@ (instance next_INT_MASTER_DATA_OUT_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) (instance to_int_un11_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C (B !A))")) ) @@ -113880,50 +113949,38 @@ (instance apl_send_in_timeout_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A+B !A)+C B)")) ) - (instance state_to_apl_ns_1_0__m8_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance apl_send_in_timeout_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A+B A)")) ) - (instance master_counter_RNIGCK_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) - ) - (instance master_end_0_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance to_int_un21_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) (instance master_counter_RNI6K79_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B+A)))")) ) - (instance next_APL_DATAREADY_OUT_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance slave_start_0_a2_0_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance state_to_apl_ns_1_0__m15_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance to_int_un21_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) - (instance to_apl_un11_reg_apl_typ_out_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance master_end_0_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) ) - (instance to_apl_un9_saved_fifo_to_apl_packet_type_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) - ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_to_apl_ns_1_0__m16_i_a3_0_8_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_to_apl_ns_1_0__m16_i_a3_0_8_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance apl_send_in_timeout_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) + (instance state_to_apl_ns_1_0__m16_i_a3_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) + ) + (instance to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) + ) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+A))")) + ) + (instance master_counter_RNI6K79_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !C+D (!C (B A)))")) ) (instance state_to_int_ns_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) @@ -113931,26 +113988,26 @@ (instance PROC_FSM_REG_fifo_was_not_empty_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+!A)))")) ) - (instance fifo_to_apl_long_packet_num_out_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance apl_send_in_timeout_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) ) - (instance master_counter_RNI6K79_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!C (B A)))")) + (instance fifo_to_apl_long_packet_num_out_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) ) - (instance state_to_apl_ns_1_0__m6_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance next_APL_DATAREADY_OUT_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) (instance fifo_to_int_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(D (!C (!B A)))")) ) - (instance next_APL_DATAREADY_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance state_to_apl_ns_1_0__m16_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance un1_next_state_to_apl_0_sqmuxa_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance to_apl_un5_fifo_to_apl_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) + (instance fifo_to_int_write_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!C !B+C (!B A)))")) ) (instance next_INT_MASTER_DATA_OUT_8_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) @@ -113965,34 +114022,47 @@ (instance master_start_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance fifo_to_int_write (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (!C !B+C (!B A)))")) + (instance update_registered_trailer_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C+!A))")) ) (instance apl_send_in_timeout_counter_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B A)))")) ) - (instance update_registered_trailer_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C+!A))")) - ) - (instance to_apl_un9_saved_fifo_to_apl_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance fifo_to_apl_read_before_en_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance un1_fifo_to_apl_long_packet_num_out_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_fifo_to_apl_long_packet_num_out_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B !A))")) ) + (instance state_to_apl_ns_1_0__m16_i_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance state_to_apl_ns_1_0__m16_i_a3_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance to_apl_un9_saved_fifo_to_apl_packet_type_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) (instance next_INT_MASTER_DATAREADY_OUT_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!B+A))")) ) - (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance next_INT_MASTER_DATA_OUT_7_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_7_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) @@ -114000,41 +114070,41 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_7_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) @@ -114049,27 +114119,20 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_7_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance next_INT_MASTER_DATA_OUT_7_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_7_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -114077,66 +114140,72 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_7_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fifo_to_apl_read_before_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) + (instance PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+!A))+D (!B+!A))")) ) - (instance next_INT_MASTER_DATAREADY_OUT_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (!B A))+D A)")) - ) - (instance next_INT_MASTER_DATA_OUT_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance to_apl_un21_int_slave_dataready_in_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance state_to_apl_ns_1_0__m19_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(B+A)))")) ) - (instance next_INT_MASTER_DATA_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance state_to_apl_ns_1_0__m19_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance next_INT_MASTER_DATA_OUT_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_INT_MASTER_DATAREADY_OUT_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B A))+D A)")) ) - (instance current_fifo_to_apl_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_to_apl_packet_type_i_m2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_to_apl_packet_type_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_to_apl_packet_type_i_m2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_to_apl_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_INT_MASTER_DATA_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance next_INT_MASTER_DATA_OUT_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance next_INT_MASTER_DATA_OUT_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance next_INT_MASTER_DATA_OUT_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance next_INT_MASTER_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance next_INT_MASTER_DATA_OUT_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance next_INT_MASTER_DATA_OUT_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance current_fifo_to_apl_packet_type_i_m2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) (instance state_to_int_ns_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+B)+D (!C !A+C (B !A)))")) ) (instance sbuf_free_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+!A))+D (B+!A))")) ) - (instance state_to_int_ns_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C !B)+D (C !B))")) - ) (instance next_INT_MASTER_DATA_OUT_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C B+C (B+A)))")) @@ -114159,20 +114228,17 @@ (property lut_function (string "(!C B+C A)")) ) (instance next_INT_MASTER_DATA_OUT_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (B A)))")) + (instance state_to_int_ns_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C !B)+D (C !B))")) ) - (instance send_trm_wrong_addr_RNIC2DP1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance send_trm_wrong_addr_RNI8H3K1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance send_trm_wrong_addr_RNIC2DP1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance send_trm_wrong_addr_RNI8H3K1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance fifo_to_apl_read_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+A))+D (C !B))")) + (instance master_counter_1_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(B+A)))")) ) (instance state_to_int_ns_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C (!B !A))")) @@ -114180,46 +114246,26 @@ (instance state_to_int_ns_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !A)+D (!C B+C (B+!A)))")) ) - (instance state_to_apl_ns_1_0__m19_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (!C (!B A)+C !B))")) - ) - (instance state_to_apl_ns_1_0__m19_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C (!B+!A)))")) - ) - (instance state_to_apl_ns_1_0__m19 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance un1_next_state_to_apl_0_sqmuxa_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) - ) - (instance PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance state_to_apl_ns_1_0__m19_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) ) (instance state_to_int_ns_0_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C+(!B+A)))")) ) (instance fifo_to_int_read_before_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C A)")) - ) - (instance send_trm_wrong_addr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) - ) - (instance un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!C (B+A)))")) - ) - (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (!B A+B !A))+D B)")) + (property lut_function (string "(B+A)")) ) - (instance state_to_apl_ns_1_0__m11_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A))")) + (instance master_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A)+C A)")) ) - (instance state_to_apl_ns_1_0__m11_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(C+(!B+!A)))")) + (instance un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)))")) ) - (instance state_to_apl_ns_1_0__m11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance state_to_int_ns_0_i_s_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+!A))+D (C !A))")) + (instance next_last_fifo_to_apl_read_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !B+C (!B !A)))")) ) (instance PROC_ENDP_REACHED_endpoint_reached_1_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B !A))+D !C)")) @@ -114227,21 +114273,39 @@ (instance state_to_int_ns_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(C (B A)))")) ) + (instance state_to_int_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D (C !A))")) + ) (instance state_to_int_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (!B A)))")) ) - (instance state_to_apl_ns_1_0__N_17_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C (!B !A)))")) + (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C (!B A+B !A))")) ) (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (!B A)+C A))")) + (property lut_function (string "(D+(!C (!B !A+B A)+C A))")) + ) + (instance state_to_apl_ns_1_0__m16_i_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D !B)")) + ) + (instance state_to_apl_ns_1_0__m16_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance to_apl_un21_int_slave_dataready_in_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C+(!B+!A)))")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance to_apl_un21_int_slave_dataready_in_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B !A)))")) ) + (instance fifo_to_apl_read_before_en_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B+A))")) + ) + (instance state_to_int_RNIGK141_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + ) + (instance update_registered_header_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) + ) (instance sequence_counter_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) @@ -114314,135 +114378,147 @@ ) (net buf_api_stat_fifo_to_int_119 (joined (portRef Q (instanceRef master_counter_1)) - (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) + (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef B (instanceRef master_counter_1_sqmuxa_0)) (portRef B (instanceRef master_counter_RNI6K79_0_2)) - (portRef B (instanceRef master_counter_RNI6K79_2)) (portRef B (instanceRef master_end_0_sqmuxa_3)) - (portRef B (instanceRef master_counter_RNIGCK_2)) + (portRef B (instanceRef master_counter_RNI6K79_2)) (portRef B (instanceRef state_to_int_RNINQ09_3)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_3)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_6)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_5)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_7)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_12)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_15)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_8)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_9)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_10)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_12)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_13)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_6)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_0)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_5)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_9)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_3)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_15)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) - (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - (portRef D (instanceRef master_counter_RNO_0)) + (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) (portRef B (instanceRef master_counter_RNO_1)) )) - (net next_INT_MASTER_DATAREADY_OUT_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) - (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) - (portRef C (instanceRef fifo_to_int_read_before_RNO)) - (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_0_2)) - (portRef A (instanceRef master_counter_RNO_0)) - (portRef C (instanceRef master_counter_RNO_1)) - )) (net buf_api_stat_fifo_to_int_118 (joined (portRef Q (instanceRef master_counter_0)) - (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_0_2)) + (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef A (instanceRef master_counter_RNO_0)) + (portRef A (instanceRef master_counter_1_sqmuxa_0)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_4)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_2)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_1)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_3)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_5)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_6)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_5)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_11)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_bm_0)) (portRef A (instanceRef master_counter_RNI6K79_0_2)) - (portRef A (instanceRef master_counter_RNI6K79_2)) (portRef A (instanceRef master_end_0_sqmuxa_3)) - (portRef A (instanceRef master_counter_RNIGCK_2)) + (portRef A (instanceRef master_counter_RNI6K79_2)) (portRef A (instanceRef state_to_int_RNINQ09_3)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - (portRef B (instanceRef master_counter_RNO_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) + (portRef C (instanceRef master_counter_RNO_1)) + )) + (net next_INT_MASTER_DATAREADY_OUT_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef D (instanceRef master_counter_1_sqmuxa_0)) (portRef D (instanceRef master_counter_RNO_1)) )) (net N_288_m (joined (portRef Z (instanceRef master_counter_RNO_1)) (portRef D (instanceRef master_counter_1)) )) - (net buf_api_stat_fifo_to_int_120 (joined - (portRef Q (instanceRef master_counter_2)) - (portRef buf_api_stat_fifo_to_int_18 (instanceRef SBUF)) - (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef B (instanceRef fifo_to_int_read)) - (portRef C (instanceRef master_counter_RNI6K79_0_2)) - (portRef C (instanceRef master_counter_RNI6K79_2)) - (portRef C (instanceRef to_int_un21_next_int_master_dataready_out)) - (portRef C (instanceRef master_end_0_sqmuxa_3)) - (portRef C (instanceRef master_counter_RNIGCK_2)) - (portRef C (instanceRef to_int_un11_next_int_master_dataready_out)) - (portRef C (instanceRef master_counter_RNO_0)) + (net un9_int_slave_dataready_in (joined + (portRef S1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_1_0)) + (portRef C (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1)) + (portRef A (instanceRef send_trm_wrong_addr_RNO)) )) - (net N_287_m (joined - (portRef Z (instanceRef master_counter_RNO_0)) - (portRef D (instanceRef master_counter_0)) + (net N_4981 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_1_0)) + (portRef A (instanceRef state_to_apl_ns_1_0__m19_0)) + (portRef B (instanceRef send_trm_wrong_addr_RNO)) )) - (net state_to_int_2 (joined - (portRef Q (instanceRef state_to_int_2)) - (portRef C (instanceRef state_to_int_ns_0_i_3)) - (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) - (portRef B (instanceRef state_to_apl_ns_1_0__m15_3)) - (portRef B (instanceRef update_registered_trailer_m1_e)) - (portRef B (instanceRef un1_state_to_int_1_i_a2)) - (portRef C (instanceRef state_to_apl_ns_1_0__m15_4)) - (portRef A (instanceRef fifo_was_not_empty_RNIK5B91)) + (net N_4994_7 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_1_0)) + (portRef B (instanceRef to_apl_un21_int_slave_dataready_in_i_a3)) + (portRef C (instanceRef send_trm_wrong_addr_RNO)) )) - (net state_to_int_0 (joined - (portRef Q (instanceRef state_to_int_0)) - (portRef (member state_to_int 0) (instanceRef SBUF)) - (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) - (portRef A (instanceRef state_to_apl_ns_1_0__m15_3)) - (portRef A (instanceRef un1_state_to_int_1_i_a2)) - (portRef D (instanceRef state_to_apl_ns_1_0__m15_4)) - (portRef B (instanceRef fifo_was_not_empty_RNIK5B91)) + (net N_4965 (joined + (portRef Z (instanceRef to_apl_un21_int_slave_dataready_in_i_o2)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_1_0)) + (portRef A (instanceRef to_apl_un21_int_slave_dataready_in_i_a3)) + (portRef D (instanceRef send_trm_wrong_addr_RNO)) )) - (net fifo_was_not_empty (joined - (portRef Q (instanceRef fifo_was_not_empty)) - (portRef A (instanceRef state_to_int_ns_0_o2_3)) - (portRef C (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) - (portRef A (instanceRef update_registered_trailer_m1_e)) - (portRef D (instanceRef fifo_was_not_empty_RNIK5B91)) + (net N_4963_i (joined + (portRef Z (instanceRef send_trm_wrong_addr_RNO)) + (portRef D (instanceRef send_trm_wrong_addr)) )) - (net N_5807_tz (joined - (portRef Z (instanceRef fifo_was_not_empty_RNIK5B91)) - (portRef A (instanceRef state_to_int_ns_0_1_4)) - (portRef A (instanceRef state_to_int_ns_i_5)) + (net state_to_apl_0 (joined + (portRef Q (instanceRef state_to_apl_0)) + (portRef (member state_to_apl 1) (instanceRef FIFO_TO_APL)) + (portRef C (instanceRef fifo_to_apl_read_before_en_i_o2)) + (portRef C (instanceRef next_last_fifo_to_apl_read_RNO)) + (portRef A (instanceRef state_to_apl_ns_1_0__m19_0_a3_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + (portRef A (instanceRef state_bits_to_apl_i_0_a2_0)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_1)) + )) + (net N_4999 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_a3_2)) + (portRef B (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1)) + (portRef B (instanceRef state_to_apl_ns_1_0__m19_0)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_1)) + )) + (net N_4988 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_o2)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_1)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef A (instanceRef master_end_0_sqmuxa_3_RNI9J1A1)) + (net state_to_apl_1 (joined + (portRef Q (instanceRef state_to_apl_1)) + (portRef (member state_to_apl 0) (instanceRef FIFO_TO_APL)) + (portRef B (instanceRef fifo_to_apl_read_before_en_i_o2)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i)) + (portRef D (instanceRef next_last_fifo_to_apl_read_RNO)) + (portRef B (instanceRef state_to_apl_ns_1_0__m19_0_a3_0)) + (portRef D (instanceRef fifo_to_apl_read_before_en_i)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + (portRef B (instanceRef state_bits_to_apl_i_0_a2_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_1)) + )) + (net m16_i_1 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_1)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i)) + )) + (net reset_i_fast_r8 (joined + (portRef reset_i_fast_r8) + (portRef A (instanceRef master_end_0_sqmuxa_3_RNII33A1)) )) (net state_to_int_1 (joined (portRef Q (instanceRef state_to_int_1)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_am_1)) (portRef C (instanceRef state_to_int_ns_0_0_4)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef D (instanceRef master_counter_RNI6K79_0_2)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_o2)) (portRef B (instanceRef state_to_int_ns_0_a3_0_0)) + (portRef D (instanceRef master_counter_RNI6K79_0_2)) (portRef D (instanceRef master_counter_RNI6K79_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_0)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_2)) @@ -114451,17 +114527,16 @@ (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_6)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_7)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_8)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_9)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_10)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_8)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_1)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_11)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_1)) (portRef A (instanceRef state_to_int_RNIEF6H_3)) - (portRef A (instanceRef state_to_apl_ns_1_0__m15_4)) - (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_1)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) - (portRef C (instanceRef master_end_0_sqmuxa_3_RNI9J1A1)) + (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) + (portRef C (instanceRef master_end_0_sqmuxa_3_RNII33A1)) )) (net master_end_0_sqmuxa_3 (joined (portRef Z (instanceRef master_end_0_sqmuxa_3)) @@ -114471,10 +114546,10 @@ (portRef B (instanceRef state_to_int_ns_i_2)) (portRef B (instanceRef state_to_int_ns_0_0_4)) (portRef A (instanceRef state_to_int_ns_0_a3_0_0)) - (portRef D (instanceRef master_end_0_sqmuxa_3_RNI9J1A1)) + (portRef D (instanceRef master_end_0_sqmuxa_3_RNII33A1)) )) (net sequence_countere_0_i (joined - (portRef Z (instanceRef master_end_0_sqmuxa_3_RNI9J1A1)) + (portRef Z (instanceRef master_end_0_sqmuxa_3_RNII33A1)) (portRef SP (instanceRef sequence_counter_7)) (portRef SP (instanceRef sequence_counter_6)) (portRef SP (instanceRef sequence_counter_5)) @@ -114484,118 +114559,37 @@ (portRef SP (instanceRef sequence_counter_1)) (portRef SP (instanceRef sequence_counter_0)) )) - (net un1_THE_ENDPOINT_6 (joined - (portRef (member un1_the_endpoint 0)) - (portRef D (instanceRef state_to_int_ns_0_i_s_1)) - (portRef D (instanceRef update_registered_trailer_m4)) - (portRef B (instanceRef master_start_0_sqmuxa)) - (portRef B (instanceRef state_to_int_ns_0_o2_3)) - (portRef CD (instanceRef apl_send_in_timeout_counter_3)) - (portRef CD (instanceRef apl_send_in_timeout_counter_2)) - (portRef CD (instanceRef apl_send_in_timeout_counter_1)) - (portRef CD (instanceRef apl_send_in_timeout_counter_0)) - (portRef B (instanceRef state_to_int_RNIPNG81_4)) - (portRef C (instanceRef fifo_was_not_empty_RNIK5B91)) - )) - (net state_to_int_4 (joined - (portRef Q (instanceRef state_to_int_4)) - (portRef B (instanceRef state_to_int_ns_0_i_s_1)) - (portRef A (instanceRef update_registered_trailer_m4)) - (portRef A (instanceRef master_start_0_sqmuxa)) - (portRef D (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) - (portRef D (instanceRef state_to_apl_ns_1_0__m15_3)) - (portRef C (instanceRef state_to_int_RNIPNG81_4)) - )) - (net update_registered_header_1_sqmuxa (joined - (portRef Z (instanceRef state_to_int_RNIPNG81_4)) - (portRef SP (instanceRef registered_header_F0_15)) - (portRef SP (instanceRef registered_header_F0_14)) - (portRef SP (instanceRef registered_header_F0_13)) - (portRef SP (instanceRef registered_header_F0_12)) - (portRef SP (instanceRef registered_header_F0_11)) - (portRef SP (instanceRef registered_header_F0_10)) - (portRef SP (instanceRef registered_header_F0_9)) - (portRef SP (instanceRef registered_header_F0_8)) - (portRef SP (instanceRef registered_header_F0_7)) - (portRef SP (instanceRef registered_header_F0_6)) - (portRef SP (instanceRef registered_header_F0_5)) - (portRef SP (instanceRef registered_header_F0_4)) - (portRef SP (instanceRef registered_header_F0_3)) - (portRef SP (instanceRef registered_header_F0_2)) - (portRef SP (instanceRef registered_header_F0_1)) - (portRef SP (instanceRef registered_header_F0_0)) - (portRef SP (instanceRef registered_header_F1_15)) - (portRef SP (instanceRef registered_header_F1_14)) - (portRef SP (instanceRef registered_header_F1_13)) - (portRef SP (instanceRef registered_header_F1_12)) - (portRef SP (instanceRef registered_header_F1_11)) - (portRef SP (instanceRef registered_header_F1_10)) - (portRef SP (instanceRef registered_header_F1_9)) - (portRef SP (instanceRef registered_header_F1_8)) - (portRef SP (instanceRef registered_header_F1_7)) - (portRef SP (instanceRef registered_header_F1_6)) - (portRef SP (instanceRef registered_header_F1_5)) - (portRef SP (instanceRef registered_header_F1_4)) - (portRef SP (instanceRef registered_header_F1_3)) - (portRef SP (instanceRef registered_header_F1_2)) - (portRef SP (instanceRef registered_header_F1_1)) - (portRef SP (instanceRef registered_header_F1_0)) - (portRef SP (instanceRef registered_header_F3_11)) - (portRef SP (instanceRef registered_header_F3_10)) - (portRef SP (instanceRef registered_header_F3_9)) - (portRef SP (instanceRef registered_header_F3_8)) - (portRef SP (instanceRef registered_header_F3_7)) - (portRef SP (instanceRef registered_header_F3_6)) - (portRef SP (instanceRef registered_header_F3_5)) - (portRef SP (instanceRef registered_header_F3_4)) - (portRef SP (instanceRef registered_header_F3_3)) - (portRef SP (instanceRef registered_header_F3_2)) - (portRef SP (instanceRef registered_header_F3_1)) - (portRef SP (instanceRef registered_header_F3_0)) - )) - (net registered_header_F1_2 (joined - (portRef Q (instanceRef registered_header_F1_2)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - )) - (net registered_header_F0_2 (joined - (portRef Q (instanceRef registered_header_F0_2)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - )) - (net N_594 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) - )) - (net registered_header_F1_4 (joined - (portRef Q (instanceRef registered_header_F1_4)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) - )) - (net registered_header_F0_4 (joined - (portRef Q (instanceRef registered_header_F0_4)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) - )) - (net N_596 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_4)) - )) - (net fifo_to_int_data_out_4 (joined - (portRef (member fifo_to_int_data_out 11) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) + (net buf_api_stat_fifo_to_int_107 (joined + (portRef buf_api_stat_fifo_to_int_8 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) )) - (net N_4383 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_4)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) + (net N_6042 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_2)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) )) (net state_to_int_3 (joined (portRef Q (instanceRef state_to_int_3)) (portRef D (instanceRef state_to_int_ns_0_i_3)) (portRef C (instanceRef state_to_int_ns_i_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef C (instanceRef state_to_apl_ns_1_0__m15_3)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_o2)) (portRef C (instanceRef state_to_int_RNINQ09_3)) (portRef B (instanceRef state_to_int_RNIEF6H_3)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_1)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) + )) + (net N_627 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) + )) + (net fifo_to_int_data_out_4 (joined + (portRef (member fifo_to_int_data_out 11) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) + )) + (net N_6044 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_4)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) )) (net N_629 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) @@ -114605,7 +114599,7 @@ (portRef buf_api_stat_fifo_to_int_7 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_1)) )) - (net N_4380 (joined + (net N_6041 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_1)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_1)) )) @@ -114613,17 +114607,29 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_1)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) )) - (net buf_api_stat_fifo_to_int_107 (joined - (portRef buf_api_stat_fifo_to_int_8 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) + (net registered_header_F1_4 (joined + (portRef Q (instanceRef registered_header_F1_4)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) )) - (net N_4381 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_2)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) + (net registered_header_F0_4 (joined + (portRef Q (instanceRef registered_header_F0_4)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) )) - (net N_627 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) + (net N_596 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_4)) + )) + (net registered_header_F1_2 (joined + (portRef Q (instanceRef registered_header_F1_2)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) + )) + (net registered_header_F0_2 (joined + (portRef Q (instanceRef registered_header_F0_2)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) + )) + (net N_594 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) )) (net registered_header_F1_1 (joined (portRef Q (instanceRef registered_header_F1_1)) @@ -114637,25 +114643,16 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) )) - (net state_to_apl_1 (joined - (portRef Q (instanceRef state_to_apl_1)) - (portRef C0 (instanceRef state_to_apl_ns_1_0__m11)) - (portRef C (instanceRef state_to_apl_ns_1_0__m19_bm)) - (portRef B (instanceRef state_to_apl_ns_1_0__m19_am)) - (portRef C (instanceRef fifo_to_apl_read_iv)) - (portRef D (instanceRef fifo_to_apl_read_before_RNO)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2)) - (portRef C (instanceRef next_APL_DATAREADY_OUT_2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m15_4)) - )) - (net m15_4 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m15_4)) - (portRef D (instanceRef state_to_apl_ns_1_0__N_17_i)) - )) (net N_527_0 (joined (portRef Z (instanceRef state_to_int_ns_i_5)) (portRef D (instanceRef state_to_int_0)) )) + (net state_to_int_0 (joined + (portRef Q (instanceRef state_to_int_0)) + (portRef B (instanceRef state_to_int_RNIGK141_0)) + (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) + (portRef A (instanceRef un1_state_to_int_1_i_a2_i_o3)) + )) (net state_to_int_ns_0_i_4 (joined (portRef Z (instanceRef state_to_int_ns_0_i_4)) (portRef D (instanceRef state_to_int_1)) @@ -114866,32 +114863,50 @@ (portRef D1 (instanceRef sequence_counter_cry_0_0)) (portRef D0 (instanceRef sequence_counter_cry_0_0)) (portRef B0 (instanceRef sequence_counter_cry_0_0)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_3)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_6)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_7)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_12)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_15)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_8)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_9)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_10)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_12)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_13)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_6)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_0)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_5)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_9)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_3)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_15)) )) (net state_to_int_ns_0_i_3 (joined (portRef Z (instanceRef state_to_int_ns_0_i_3)) (portRef D (instanceRef state_to_int_2)) )) + (net state_to_int_2 (joined + (portRef Q (instanceRef state_to_int_2)) + (portRef (member state_to_int 0) (instanceRef SBUF)) + (portRef A (instanceRef state_to_int_RNIGK141_0)) + (portRef C (instanceRef state_to_int_ns_0_i_3)) + (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + (portRef B (instanceRef un1_state_to_int_1_i_a2_i_o3)) + (portRef B (instanceRef update_registered_trailer_m1_e)) + )) (net N_523_0 (joined (portRef Z (instanceRef state_to_int_ns_i_2)) (portRef D (instanceRef state_to_int_3)) )) - (net N_5091 (joined - (portRef Z (instanceRef state_to_int_ns_0_i_s_1)) + (net state_to_int_ns_0_i_1 (joined + (portRef Z (instanceRef state_to_int_ns_0_i_1)) (portRef D (instanceRef state_to_int_4)) )) + (net state_to_int_4 (joined + (portRef Q (instanceRef state_to_int_4)) + (portRef C (instanceRef update_registered_header_1_sqmuxa)) + (portRef B (instanceRef state_to_int_ns_0_i_1)) + (portRef A (instanceRef update_registered_trailer_m4)) + (portRef A (instanceRef master_start_0_sqmuxa)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_o2)) + (portRef D (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) + )) (net N_537 (joined (portRef Z (instanceRef state_to_int_ns_0_a3_0_0)) (portRef A (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) @@ -114904,23 +114919,15 @@ (net state_to_int_5 (joined (portRef Q (instanceRef state_to_int_5)) (portRef C (instanceRef state_to_int_RNO_5)) + (portRef C (instanceRef state_to_int_ns_0_i_1)) (portRef C (instanceRef state_to_int_ns_0_i_4)) - (portRef C (instanceRef state_to_int_ns_0_i_s_1)) )) - (net N_17_i (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__N_17_i)) + (net N_4958 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i)) (portRef D (instanceRef state_to_apl_0)) )) - (net state_to_apl_0 (joined - (portRef Q (instanceRef state_to_apl_0)) - (portRef A (instanceRef state_to_apl_ns_1_0__N_17_i)) - (portRef C0 (instanceRef state_to_apl_ns_1_0__m19)) - (portRef B (instanceRef fifo_to_apl_read_iv)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2)) - (portRef B (instanceRef next_APL_DATAREADY_OUT_2)) - )) (net state_to_apl_ns_1 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m19)) + (portRef Z (instanceRef state_to_apl_ns_1_0__m19_0)) (portRef D (instanceRef state_to_apl_1)) )) (net sequence_counterc (joined @@ -114937,7 +114944,7 @@ (portRef CD (instanceRef sequence_counter_2)) (portRef CD (instanceRef sequence_counter_1)) (portRef CD (instanceRef sequence_counter_0)) - (portRef B (instanceRef master_end_0_sqmuxa_3_RNI9J1A1)) + (portRef B (instanceRef master_end_0_sqmuxa_3_RNII33A1)) )) (net buf_APL_SEQNR_OUT_24 (joined (portRef Q (instanceRef sequence_counter_0)) @@ -115015,16 +115022,12 @@ (portRef D (instanceRef registered_header_F3_11)) (portRef D (instanceRef registered_trailer_F3_11)) )) - (net N_5095_i (joined - (portRef Z (instanceRef send_trm_wrong_addr_RNO)) - (portRef D (instanceRef send_trm_wrong_addr)) - )) (net send_trm_wrong_addr (joined (portRef Q (instanceRef send_trm_wrong_addr)) (portRef B (instanceRef state_to_int_RNO_5)) (portRef B (instanceRef state_to_int_ns_0_i_4)) - (portRef A (instanceRef send_trm_wrong_addr_RNIC2DP1)) - (portRef A (instanceRef send_trm_wrong_addr_RNIC2DP1_0)) + (portRef A (instanceRef send_trm_wrong_addr_RNI8H3K1)) + (portRef A (instanceRef send_trm_wrong_addr_RNI8H3K1_0)) )) (net sbuf_to_apl_next_READ (joined (portRef sbuf_to_apl_next_READ (instanceRef SBUF_TO_APL)) @@ -115032,10 +115035,10 @@ )) (net buf_api_stat_fifo_to_int_113 (joined (portRef Q (instanceRef sbuf_to_apl_free)) - (portRef A (instanceRef fifo_to_apl_read_iv)) - (portRef A (instanceRef fifo_to_apl_read_before_RNO)) - (portRef A (instanceRef next_APL_DATAREADY_OUT)) - (portRef D (instanceRef to_apl_un11_reg_apl_typ_out_1)) + (portRef C (instanceRef state_to_apl_ns_1_0__m19_0_a3)) + (portRef B (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2)) + (portRef B (instanceRef fifo_to_apl_read_before_en_i)) + (portRef B (instanceRef next_APL_DATAREADY_OUT_0_a2)) )) (net sbuf_free_1_0_i (joined (portRef Z (instanceRef sbuf_free_1_0_i)) @@ -115052,61 +115055,60 @@ (net buf_api_stat_fifo_to_apl_104 (joined (portRef Q (instanceRef fifo_to_apl_data_out_0)) (portRef (member buf_api_stat_fifo_to_apl 2) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_0)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) (portRef D (instanceRef combined_header_F1_0)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_0)) )) - (net un1_fifo_to_apl_long_packet_num_out_0_a2 (joined - (portRef Z (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a2)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_1)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_0)) + (net un1_fifo_to_apl_long_packet_num_out_0_a3 (joined + (portRef Z (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a3)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_m2_2)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_m2_1)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) (portRef SP (instanceRef saved_fifo_to_apl_packet_type_2)) (portRef SP (instanceRef saved_fifo_to_apl_packet_type_1)) (portRef SP (instanceRef saved_fifo_to_apl_packet_type_0)) )) (net saved_fifo_to_apl_packet_type_0 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_0)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_0)) - (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) + (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3)) )) (net buf_api_stat_fifo_to_apl_105 (joined (portRef Q (instanceRef fifo_to_apl_data_out_1)) (portRef (member buf_api_stat_fifo_to_apl 1) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_1)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_m2_1)) (portRef D (instanceRef combined_header_F1_1)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_1)) )) (net saved_fifo_to_apl_packet_type_1 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_1)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_1)) - (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_m2_1)) + (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3)) )) (net fifo_to_apl_data_out_2 (joined (portRef Q (instanceRef fifo_to_apl_data_out_2)) (portRef (member fifo_to_apl_data_out 13) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_2)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_m2_2)) (portRef D (instanceRef combined_header_F1_2)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_2)) )) (net saved_fifo_to_apl_packet_type_2 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_2)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_m2_2)) + (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3)) )) (net fifo_to_apl_packet_num_out_0 (joined (portRef Q (instanceRef fifo_to_apl_packet_num_out_0)) (portRef (member fifo_to_apl_packet_num_out 1) (instanceRef SBUF_TO_APL)) - (portRef B (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2_1)) - (portRef B (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a2)) - (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_1)) + (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2)) + (portRef B (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a3)) (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) )) (net last_fifo_to_apl_read (joined (portRef Q (instanceRef last_fifo_to_apl_read)) (portRef A (instanceRef fifo_to_apl_long_packet_num_out_3_1)) - (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) + (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) @@ -115118,10 +115120,9 @@ (net fifo_to_apl_long_packet_num_out_3_1 (joined (portRef Z (instanceRef fifo_to_apl_long_packet_num_out_3_1)) (portRef (member fifo_to_apl_long_packet_num_out_3 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2_1)) - (portRef A (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a2)) - (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_1)) + (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2)) + (portRef A (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a3)) (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) )) (net saved_fifo_to_apl_long_packet_num_out_1 (joined @@ -115131,11 +115132,10 @@ (net fifo_to_apl_packet_num_out_1 (joined (portRef Q (instanceRef fifo_to_apl_packet_num_out_1)) (portRef (member fifo_to_apl_packet_num_out 0) (instanceRef SBUF_TO_APL)) - (portRef C (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2_1)) - (portRef C (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a2)) - (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) - (portRef A (instanceRef next_APL_DATAREADY_OUT_2)) + (portRef A (instanceRef fifo_to_apl_read_before_en_i_o2)) + (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_1)) + (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2)) + (portRef C (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a3)) (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) )) (net saved_fifo_to_apl_long_packet_num_out_2 (joined @@ -115143,7 +115143,7 @@ (portRef D (instanceRef fifo_to_apl_long_packet_num_out_3_1)) )) (net un6_send_trm_wrong_addr_0_i (joined - (portRef Z (instanceRef send_trm_wrong_addr_RNIC2DP1)) + (portRef Z (instanceRef send_trm_wrong_addr_RNI8H3K1)) (portRef SP (instanceRef registered_trailer_F1_2)) (portRef SP (instanceRef registered_trailer_F1_1)) (portRef SP (instanceRef registered_trailer_F1_0)) @@ -115162,8 +115162,8 @@ (portRef SP (instanceRef registered_trailer_F3_1)) (portRef SP (instanceRef registered_trailer_F3_0)) )) - (net send_trm_wrong_addr_RNIC2DP1_0 (joined - (portRef Z (instanceRef send_trm_wrong_addr_RNIC2DP1_0)) + (net send_trm_wrong_addr_RNI8H3K1_0 (joined + (portRef Z (instanceRef send_trm_wrong_addr_RNI8H3K1_0)) (portRef CD (instanceRef registered_trailer_F1_2)) (portRef CD (instanceRef registered_trailer_F1_1)) (portRef CD (instanceRef registered_trailer_F1_0)) @@ -115276,6 +115276,53 @@ (portRef D (instanceRef registered_header_F3_0)) (portRef D (instanceRef registered_trailer_F3_0)) )) + (net update_registered_header_1_sqmuxa (joined + (portRef Z (instanceRef update_registered_header_1_sqmuxa)) + (portRef SP (instanceRef registered_header_F0_15)) + (portRef SP (instanceRef registered_header_F0_14)) + (portRef SP (instanceRef registered_header_F0_13)) + (portRef SP (instanceRef registered_header_F0_12)) + (portRef SP (instanceRef registered_header_F0_11)) + (portRef SP (instanceRef registered_header_F0_10)) + (portRef SP (instanceRef registered_header_F0_9)) + (portRef SP (instanceRef registered_header_F0_8)) + (portRef SP (instanceRef registered_header_F0_7)) + (portRef SP (instanceRef registered_header_F0_6)) + (portRef SP (instanceRef registered_header_F0_5)) + (portRef SP (instanceRef registered_header_F0_4)) + (portRef SP (instanceRef registered_header_F0_3)) + (portRef SP (instanceRef registered_header_F0_2)) + (portRef SP (instanceRef registered_header_F0_1)) + (portRef SP (instanceRef registered_header_F0_0)) + (portRef SP (instanceRef registered_header_F1_15)) + (portRef SP (instanceRef registered_header_F1_14)) + (portRef SP (instanceRef registered_header_F1_13)) + (portRef SP (instanceRef registered_header_F1_12)) + (portRef SP (instanceRef registered_header_F1_11)) + (portRef SP (instanceRef registered_header_F1_10)) + (portRef SP (instanceRef registered_header_F1_9)) + (portRef SP (instanceRef registered_header_F1_8)) + (portRef SP (instanceRef registered_header_F1_7)) + (portRef SP (instanceRef registered_header_F1_6)) + (portRef SP (instanceRef registered_header_F1_5)) + (portRef SP (instanceRef registered_header_F1_4)) + (portRef SP (instanceRef registered_header_F1_3)) + (portRef SP (instanceRef registered_header_F1_2)) + (portRef SP (instanceRef registered_header_F1_1)) + (portRef SP (instanceRef registered_header_F1_0)) + (portRef SP (instanceRef registered_header_F3_11)) + (portRef SP (instanceRef registered_header_F3_10)) + (portRef SP (instanceRef registered_header_F3_9)) + (portRef SP (instanceRef registered_header_F3_8)) + (portRef SP (instanceRef registered_header_F3_7)) + (portRef SP (instanceRef registered_header_F3_6)) + (portRef SP (instanceRef registered_header_F3_5)) + (portRef SP (instanceRef registered_header_F3_4)) + (portRef SP (instanceRef registered_header_F3_3)) + (portRef SP (instanceRef registered_header_F3_2)) + (portRef SP (instanceRef registered_header_F3_1)) + (portRef SP (instanceRef registered_header_F3_0)) + )) (net registered_header_F3_0 (joined (portRef Q (instanceRef registered_header_F3_0)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_1_0)) @@ -115507,14 +115554,14 @@ (portRef Q (instanceRef registered_header_F0_15)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_2_15)) )) - (net un1_fifo_to_apl_read (joined - (portRef Z (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) + (net N_4955_i (joined + (portRef Z (instanceRef next_last_fifo_to_apl_read_RNO)) (portRef D (instanceRef next_last_fifo_to_apl_read)) )) (net next_last_fifo_to_apl_read (joined (portRef Q (instanceRef next_last_fifo_to_apl_read)) - (portRef C (instanceRef fifo_to_apl_read_before_RNO)) - (portRef C (instanceRef to_apl_un5_fifo_to_apl_read)) + (portRef D (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2)) + (portRef C (instanceRef fifo_to_apl_read_before_en_i)) (portRef SP (instanceRef fifo_to_apl_data_out_15)) (portRef SP (instanceRef fifo_to_apl_data_out_14)) (portRef SP (instanceRef fifo_to_apl_data_out_13)) @@ -115536,14 +115583,40 @@ (portRef D (instanceRef fifo_to_apl_read_before)) (portRef D (instanceRef last_fifo_to_apl_read)) )) + (net N_287_m (joined + (portRef Z (instanceRef master_counter_RNO_0)) + (portRef D (instanceRef master_counter_0)) + )) (net master_counter_6_0_i_2 (joined (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) (portRef D (instanceRef master_counter_2)) )) + (net buf_api_stat_fifo_to_int_120 (joined + (portRef Q (instanceRef master_counter_2)) + (portRef buf_api_stat_fifo_to_int_18 (instanceRef SBUF)) + (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef C (instanceRef master_counter_1_sqmuxa_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_1)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) + (portRef C (instanceRef fifo_to_int_read)) + (portRef C (instanceRef master_counter_RNI6K79_0_2)) + (portRef C (instanceRef master_end_0_sqmuxa_3)) + (portRef C (instanceRef to_int_un21_next_int_master_dataready_out)) + (portRef C (instanceRef master_counter_RNI6K79_2)) + (portRef C (instanceRef to_int_un11_next_int_master_dataready_out)) + )) (net fifo_was_not_empty_3 (joined (portRef Z (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) (portRef D (instanceRef fifo_was_not_empty)) )) + (net fifo_was_not_empty (joined + (portRef Q (instanceRef fifo_was_not_empty)) + (portRef D (instanceRef state_to_int_RNIGK141_0)) + (portRef A (instanceRef state_to_int_ns_0_o2_3)) + (portRef C (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) + (portRef A (instanceRef update_registered_trailer_m1_e)) + )) (net buf_api_stat_fifo_to_int_108 (joined (portRef Z (instanceRef fifo_to_int_read)) (portRef buf_api_stat_fifo_to_int_9 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) @@ -115559,14 +115632,14 @@ (portRef A (instanceRef to_int_un21_next_int_master_dataready_out)) (portRef A (instanceRef to_int_un11_next_int_master_dataready_out)) )) - (net N_486_0_i (joined - (portRef Z (instanceRef fifo_to_apl_read_before_RNO)) + (net fifo_to_apl_read_before_en_i (joined + (portRef Z (instanceRef fifo_to_apl_read_before_en_i)) (portRef SP (instanceRef fifo_to_apl_read_before)) )) (net buf_api_stat_fifo_to_int_114 (joined (portRef Q (instanceRef fifo_to_apl_read_before)) - (portRef B (instanceRef to_apl_un5_fifo_to_apl_read)) - (portRef B (instanceRef next_APL_DATAREADY_OUT)) + (portRef C (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2)) + (portRef C (instanceRef next_APL_DATAREADY_OUT_0_a2)) )) (net next_fifo_to_apl_packet_num_out_0 (joined (portRef (member next_fifo_to_apl_packet_num_out 1) (instanceRef FIFO_TO_APL)) @@ -115710,7 +115783,7 @@ (portRef D (instanceRef endpoint_reached)) )) (net un10_current_fifo_to_apl_packet_type (joined - (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2)) + (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) (portRef SP (instanceRef combined_header_F1_15)) (portRef SP (instanceRef combined_header_F1_14)) (portRef SP (instanceRef combined_header_F1_13)) @@ -115728,7 +115801,7 @@ (portRef SP (instanceRef combined_header_F1_1)) (portRef SP (instanceRef combined_header_F1_0)) )) - (net N_4329_i (joined + (net N_4336_i (joined (portRef Z (instanceRef apl_send_in_timeout_counter_RNO_0)) (portRef D (instanceRef apl_send_in_timeout_counter_0)) )) @@ -115743,6 +115816,19 @@ (portRef Z (instanceRef apl_send_in_timeout_counter_RNO_1)) (portRef D (instanceRef apl_send_in_timeout_counter_1)) )) + (net un1_THE_ENDPOINT_6 (joined + (portRef (member un1_the_endpoint 0)) + (portRef B (instanceRef update_registered_header_1_sqmuxa)) + (portRef C (instanceRef state_to_int_RNIGK141_0)) + (portRef D (instanceRef state_to_int_ns_0_i_1)) + (portRef D (instanceRef update_registered_trailer_m4)) + (portRef B (instanceRef master_start_0_sqmuxa)) + (portRef B (instanceRef state_to_int_ns_0_o2_3)) + (portRef CD (instanceRef apl_send_in_timeout_counter_3)) + (portRef CD (instanceRef apl_send_in_timeout_counter_2)) + (portRef CD (instanceRef apl_send_in_timeout_counter_1)) + (portRef CD (instanceRef apl_send_in_timeout_counter_0)) + )) (net apl_send_in_timeout_counter_1 (joined (portRef Q (instanceRef apl_send_in_timeout_counter_1)) (portRef B (instanceRef apl_send_in_timeout_counter_RNO_3)) @@ -115765,8 +115851,8 @@ (net apl_send_in_timeout_counter_3 (joined (portRef Q (instanceRef apl_send_in_timeout_counter_3)) (portRef D (instanceRef apl_send_in_timeout_counter_RNO_3)) - (portRef B (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) (portRef D (instanceRef apl_send_in_timeout_counter_RNO_2)) + (portRef B (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) (portRef B (instanceRef apl_send_in_timeout_counter_RNO_0)) (portRef C (instanceRef apl_send_in_timeout_counter_RNO_1)) )) @@ -115806,65 +115892,50 @@ (portRef C0 (instanceRef sequence_counter_cry_0_0)) (portRef A0 (instanceRef sequence_counter_cry_0_0)) )) - (net APL_TYP_OUT_0_2 (joined - (portRef Z (instanceRef APL_TYP_OUT_2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m19_bm)) - )) - (net buf_api_stat_fifo_to_apl_110 (joined - (portRef buf_api_stat_fifo_to_apl_11 (instanceRef FIFO_TO_APL)) - (portRef A (instanceRef fifo_to_apl_write)) - )) - (net buf_api_stat_fifo_to_apl_99 (joined - (portRef Z (instanceRef fifo_to_apl_write)) - (portRef buf_api_stat_fifo_to_apl_0 (instanceRef FIFO_TO_APL)) - )) (net out_select (joined (portRef Z (instanceRef state_to_int_RNIEF6H_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_3)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_6)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) (portRef A (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) )) - (net N_379 (joined - (portRef Z (instanceRef un1_state_to_int_1_i_a2)) - (portRef C (instanceRef fifo_to_int_read)) - )) (net N_2 (joined (portRef Z (instanceRef update_registered_trailer_m1_e)) (portRef B (instanceRef update_registered_trailer_m4)) )) + (net N_4982 (joined + (portRef Z (instanceRef un1_state_to_int_1_i_a2_i_o3)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_o2)) + (portRef A (instanceRef fifo_to_int_read)) + )) + (net buf_api_stat_fifo_to_int_125 (joined + (portRef Z (instanceRef state_bits_to_apl_i_0_a2_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m19_0_a3)) + )) (net sequence_counter_s_0 (joined (portRef S1 (instanceRef sequence_counter_cry_0_0)) (portRef A (instanceRef sequence_counterc)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef D (instanceRef state_to_int_RNO_5)) - (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) - (portRef B (instanceRef sequence_counterc_6)) - (portRef B (instanceRef sequence_counterc_5)) - (portRef B (instanceRef sequence_counterc_4)) - (portRef B (instanceRef sequence_counterc_3)) - (portRef B (instanceRef sequence_counterc_2)) - (portRef B (instanceRef sequence_counterc_1)) - (portRef B (instanceRef sequence_counterc_0)) - (portRef B (instanceRef sequence_counterc)) - (portRef A (instanceRef master_counter_RNO_1)) - )) (net sequence_counter_s_1 (joined (portRef S0 (instanceRef sequence_counter_cry_0_1)) (portRef A (instanceRef sequence_counterc_0)) )) + (net reset_i_rep1 (joined + (portRef reset_i_rep1) + (portRef B (instanceRef sequence_counterc_1)) + (portRef B (instanceRef sequence_counterc_0)) + (portRef B (instanceRef sequence_counterc)) + )) (net sequence_counter_s_2 (joined (portRef S1 (instanceRef sequence_counter_cry_0_1)) (portRef A (instanceRef sequence_counterc_1)) @@ -115877,6 +115948,15 @@ (portRef S1 (instanceRef sequence_counter_cry_0_3)) (portRef A (instanceRef sequence_counterc_3)) )) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef D (instanceRef state_to_int_RNO_5)) + (portRef B (instanceRef sequence_counterc_6)) + (portRef B (instanceRef sequence_counterc_5)) + (portRef B (instanceRef sequence_counterc_4)) + (portRef B (instanceRef sequence_counterc_3)) + (portRef B (instanceRef sequence_counterc_2)) + )) (net sequence_counter_s_5 (joined (portRef S0 (instanceRef sequence_counter_cry_0_5)) (portRef A (instanceRef sequence_counterc_4)) @@ -115889,106 +115969,107 @@ (portRef S0 (instanceRef sequence_counter_s_0_7)) (portRef A (instanceRef sequence_counterc_6)) )) - (net N_4390 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_11)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) + (net N_585 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_15)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) )) - (net N_5498 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_8)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + (net N_573 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_3)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_3)) + )) + (net N_570 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) + )) + (net N_576 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_6)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_6)) + )) + (net N_583 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_13)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) + )) + (net N_582 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_12)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) )) (net N_581 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_11)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_11)) )) + (net N_580 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_10)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) + )) (net N_579 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_9)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) )) + (net N_578 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_8)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + )) + (net N_577 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_7)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + )) (net N_575 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_5)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_5)) )) - (net N_570 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_0)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) + (net N_584 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) + )) + (net N_6051 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) )) - (net N_5500 (joined + (net N_6050 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_10)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) )) - (net N_4388 (joined + (net N_6049 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_9)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) )) - (net N_5497 (joined + (net N_6048 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_8)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + )) + (net N_6047 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_7)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) )) - (net N_5496 (joined + (net N_6046 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_6)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_6)) )) - (net N_4384 (joined + (net N_6045 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_5)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) )) - (net N_5493 (joined + (net N_6043 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_3)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_3)) )) - (net N_4379 (joined + (net N_6040 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_0)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) )) - (net N_584 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_14)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) - )) - (net N_583 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_13)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) - )) - (net N_580 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_10)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) - )) - (net N_578 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_8)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) - )) - (net N_585 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_15)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) - )) - (net N_582 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_12)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) - )) - (net N_577 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_7)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) - )) - (net N_576 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_6)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_6)) - )) - (net N_573 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_3)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_3)) - )) (net buf_api_stat_fifo_to_int_111 (joined (portRef buf_api_stat_fifo_to_int_12 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef state_to_int_ns_0_1_4)) (portRef B (instanceRef state_to_int_ns_i_5)) - (portRef A (instanceRef fifo_to_int_read)) + (portRef B (instanceRef fifo_to_int_read)) (portRef A (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) (portRef B (instanceRef to_int_un21_next_int_master_dataready_out)) (portRef B (instanceRef to_int_un11_next_int_master_dataready_out)) )) (net un11_next_int_master_dataready_out_0 (joined (portRef Z (instanceRef to_int_un11_next_int_master_dataready_out)) - (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) + (portRef un11_next_int_master_dataready_out_0 (instanceRef SBUF)) + (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) )) (net next_INT_MASTER_DATA_OUT_sn_N_12_0 (joined (portRef Z (instanceRef state_to_int_RNINQ09_3)) @@ -115996,40 +116077,18 @@ (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_3)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_5)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_6)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_12)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_10)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_14)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_8)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_9)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_11)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_12)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_13)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_0)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_8)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_15)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_11)) - )) - (net buf_to_apl_INIT_DATAREADY_3 (joined - (portRef (member buf_to_apl_init_dataready 0)) - (portRef A (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2)) - (portRef A (instanceRef state_to_apl_ns_1_0__m8_0_a2)) - (portRef B (instanceRef fifo_to_apl_write)) - )) - (net N_5114 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m8_0_a2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef A (instanceRef state_to_apl_ns_1_0__m19_am)) - )) - (net N_497_a2_0 (joined - (portRef Z (instanceRef master_counter_RNIGCK_2)) - (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) - (portRef B (instanceRef fifo_to_int_read_before_RNO)) - )) - (net un21_next_int_master_dataready_out_0 (joined - (portRef Z (instanceRef to_int_un21_next_int_master_dataready_out)) - (portRef un21_next_int_master_dataready_out_0 (instanceRef SBUF)) - (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) )) (net next_INT_MASTER_DATA_OUT_sn_N_15_0 (joined (portRef Z (instanceRef master_counter_RNI6K79_2)) @@ -116037,56 +116096,49 @@ (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_2)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_8_0)) )) - (net next_APL_DATAREADY_OUT_2 (joined - (portRef Z (instanceRef next_APL_DATAREADY_OUT_2)) - (portRef B (instanceRef fifo_to_apl_read_before_RNO)) - (portRef C (instanceRef next_APL_DATAREADY_OUT)) - )) (net buf_to_apl_INIT_PACKET_NUM_10 (joined (portRef (member buf_to_apl_init_packet_num 1)) - (portRef C (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2)) - (portRef C (instanceRef state_to_apl_ns_1_0__m8_0_a2)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) )) - (net N_5108 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2)) - (portRef A (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01)) - (portRef B (instanceRef send_trm_wrong_addr_RNO)) - (portRef B (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2)) + (net N_4968 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_a3_2)) + (portRef A (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) )) - (net buf_to_apl_INIT_PACKET_NUM_11 (joined - (portRef (member buf_to_apl_init_packet_num 0)) - (portRef buf_to_apl_INIT_PACKET_NUM_2 (instanceRef FIFO_TO_APL)) - (portRef D (instanceRef send_trm_wrong_addr_RNO)) - (portRef D (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2)) - (portRef D (instanceRef slave_start_0_a2_0_3_0_a2)) - (portRef D (instanceRef state_to_apl_ns_1_0__m8_0_a2)) + (net un21_next_int_master_dataready_out_0 (joined + (portRef Z (instanceRef to_int_un21_next_int_master_dataready_out)) + (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) )) - (net N_467_4 (joined - (portRef Z (instanceRef slave_start_0_a2_0_3_0_a2)) - (portRef D (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01)) - (portRef D (instanceRef state_to_apl_ns_1_0__m6_0_a2)) + (net m16_i_a3_0_8_5 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_5)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8)) )) - (net m15_3 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m15_3)) - (portRef C (instanceRef state_to_apl_ns_1_0__N_17_i)) + (net m16_i_a3_0_8_6 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_6)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8)) )) - (net un11_reg_apl_typ_out_1 (joined - (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out_1)) - (portRef D (instanceRef state_to_apl_ns_1_0__m19_bm)) + (net m16_i_a3_2_2 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_a3_2_2)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_a3_2)) )) - (net un9_saved_fifo_to_apl_packet_type_3 (joined - (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) - (portRef D (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (net un9_saved_fifo_to_apl_packet_type_0_a2_3 (joined + (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3)) + (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2)) )) - (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7)) + (net buf_to_apl_INIT_PACKET_NUM_9 (joined + (portRef (member buf_to_apl_init_packet_num 2)) + (portRef buf_to_apl_INIT_PACKET_NUM_0 (instanceRef FIFO_TO_APL)) + (portRef B (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_a3_2_2)) )) - (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2)) + (net buf_to_apl_INIT_PACKET_NUM_11 (joined + (portRef (member buf_to_apl_init_packet_num 0)) + (portRef buf_to_apl_INIT_PACKET_NUM_2 (instanceRef FIFO_TO_APL)) + (portRef C (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_a3_2_2)) )) (net next_INT_MASTER_DATA_OUT_sn_N_17_i (joined (portRef Z (instanceRef master_counter_RNI6K79_0_2)) @@ -116095,65 +116147,54 @@ (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_2)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_1)) (portRef SD (instanceRef next_INT_MASTER_DATA_OUT_0)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_12)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_6)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_3)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_14)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_10)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_9)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_6)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_5)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_15)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_3)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_13)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_12)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_11)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_9)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_15)) )) - (net buf_to_apl_INIT_PACKET_NUM_9 (joined - (portRef (member buf_to_apl_init_packet_num 2)) - (portRef buf_to_apl_INIT_PACKET_NUM_0 (instanceRef FIFO_TO_APL)) - (portRef B (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01)) - (portRef C (instanceRef send_trm_wrong_addr_RNO)) - (portRef C (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m8_0_a2)) - )) - (net N_7 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef C (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef C (instanceRef state_to_apl_ns_1_0__m19_am)) + (net N_101 (joined + (portRef Z (instanceRef fifo_to_apl_read_before_en_i_o2)) + (portRef A (instanceRef fifo_to_apl_read_before_en_i)) + (portRef A (instanceRef next_APL_DATAREADY_OUT_0_a2)) )) (net buf_api_stat_fifo_to_int_112 (joined - (portRef Z (instanceRef next_APL_DATAREADY_OUT)) + (portRef Z (instanceRef next_APL_DATAREADY_OUT_0_a2)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef SBUF_TO_APL2)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef SBUF_TO_APL)) )) - (net buf_to_apl_INIT_DATA_48 (joined - (portRef (member buf_to_apl_init_data 15)) - (portRef (member buf_to_apl_init_data 15) (instanceRef FIFO_TO_APL)) - (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7)) - (portRef A (instanceRef slave_start_0_a2_0_3_0_a2)) + (net un1_next_state_to_apl_0_sqmuxa_i_a2_0 (joined + (portRef Z (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0)) + (portRef D (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1)) )) - (net buf_to_apl_INIT_DATA_50 (joined - (portRef (member buf_to_apl_init_data 13)) - (portRef (member buf_to_apl_init_data 13) (instanceRef FIFO_TO_APL)) - (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7)) - (portRef C (instanceRef slave_start_0_a2_0_3_0_a2)) + (net N_1958 (joined + (portRef N_1958) + (portRef A (instanceRef fifo_to_int_write_0_a2)) )) - (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2)) + (net ADR_PACKET_NUM_OUT_2 (joined + (portRef (member adr_packet_num_out 0)) + (portRef C (instanceRef fifo_to_int_write_0_a2)) )) - (net un5_fifo_to_apl_read (joined - (portRef Z (instanceRef to_apl_un5_fifo_to_apl_read)) - (portRef D (instanceRef fifo_to_apl_read_iv)) + (net buf_API_DATAREADY_OUT (joined + (portRef buf_API_DATAREADY_OUT) + (portRef D (instanceRef fifo_to_int_write_0_a2)) )) - (net next_INT_MASTER_DATA_OUT_8_am_0 (joined + (net buf_api_stat_fifo_to_int_99 (joined + (portRef Z (instanceRef fifo_to_int_write_0_a2)) + (portRef buf_api_stat_fifo_to_int_0 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + )) + (net next_INT_MASTER_DATA_OUT_8_am_0_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) )) - (net next_INT_MASTER_DATA_OUT_8_bm_0 (joined + (net next_INT_MASTER_DATA_OUT_8_bm_0_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_bm_0)) (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) )) @@ -116170,80 +116211,38 @@ (portRef A (instanceRef state_to_int_ns_i_2)) (portRef A (instanceRef state_to_int_ns_0_0_4)) )) - (net N_1956 (joined - (portRef N_1956) - (portRef A (instanceRef fifo_to_int_write)) - )) - (net ADR_PACKET_NUM_OUT_2 (joined - (portRef (member adr_packet_num_out 0)) - (portRef C (instanceRef fifo_to_int_write)) - )) - (net buf_API_DATAREADY_OUT (joined - (portRef buf_API_DATAREADY_OUT) - (portRef D (instanceRef fifo_to_int_write)) - )) - (net buf_api_stat_fifo_to_int_99 (joined - (portRef Z (instanceRef fifo_to_int_write)) - (portRef buf_api_stat_fifo_to_int_0 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - )) - (net buf_API_SHORT_TRANSFER_OUT_Q (joined - (portRef buf_API_SHORT_TRANSFER_OUT_Q) - (portRef D (instanceRef state_to_int_ns_i_2)) - (portRef D (instanceRef state_to_int_ns_0_0_4)) - (portRef C (instanceRef update_registered_trailer_m4)) - (portRef A (instanceRef state_to_int_RNIPNG81_4)) - )) (net N_5 (joined (portRef Z (instanceRef update_registered_trailer_m4)) - (portRef B (instanceRef send_trm_wrong_addr_RNIC2DP1)) - (portRef B (instanceRef send_trm_wrong_addr_RNIC2DP1_0)) + (portRef B (instanceRef send_trm_wrong_addr_RNI8H3K1)) + (portRef B (instanceRef send_trm_wrong_addr_RNI8H3K1_0)) + )) + (net buf_to_apl_INIT_DATA_48 (joined + (portRef (member buf_to_apl_init_data 15)) + (portRef (member buf_to_apl_init_data 15) (instanceRef FIFO_TO_APL)) + (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_a3_2)) + )) + (net buf_to_apl_INIT_DATA_50 (joined + (portRef (member buf_to_apl_init_data 13)) + (portRef (member buf_to_apl_init_data 13) (instanceRef FIFO_TO_APL)) + (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_a3_2)) )) (net un9_saved_fifo_to_apl_packet_type (joined - (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) - (portRef D (instanceRef state_to_apl_ns_1_0__m19_am)) + (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i)) + (portRef C (instanceRef state_to_apl_ns_1_0__m19_0_a3_0)) )) (net next_INT_MASTER_DATAREADY_OUT_iv_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) (portRef next_INT_MASTER_DATAREADY_OUT_iv_0 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) )) - (net un10_current_fifo_to_apl_packet_type_0_a2_1 (joined - (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2_1)) - (portRef D (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2)) - )) - (net fifo_to_int_data_out_11 (joined - (portRef (member fifo_to_int_data_out 4) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_11 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_11)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_11 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_11)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_11)) - )) - (net N_653 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_11)) - (portRef N_653 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_11)) - )) - (net fifo_to_int_data_out_13 (joined - (portRef (member fifo_to_int_data_out 2) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_0_13 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_13 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - )) - (net N_655 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - (portRef N_655 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_13)) + (net un10_current_fifo_to_apl_packet_type_0_a3_1 (joined + (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_1)) + (portRef D (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) )) (net fifo_to_int_data_out_15 (joined (portRef (member fifo_to_int_data_out 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) @@ -116262,32 +116261,15 @@ (portRef N_657 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_15)) )) - (net fifo_to_int_data_out_8 (joined - (portRef (member fifo_to_int_data_out 7) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_8 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_8 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) - )) - (net N_650 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_8)) - (portRef N_650 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8)) - )) (net buf_api_stat_fifo_to_int_105 (joined (portRef buf_api_stat_fifo_to_int_6 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) )) - (net next_INT_MASTER_DATA_OUT_7_am_0 (joined + (net next_INT_MASTER_DATA_OUT_7_am_0_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_0 (joined + (net next_INT_MASTER_DATA_OUT_7_bm_0_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) )) @@ -116295,6 +116277,57 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_0)) (portRef D1 (instanceRef next_INT_MASTER_DATA_OUT_0)) )) + (net fifo_to_int_data_out_13 (joined + (portRef (member fifo_to_int_data_out 2) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_13 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_13 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + )) + (net N_655 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + (portRef N_655 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_13)) + )) + (net fifo_to_int_data_out_12 (joined + (portRef (member fifo_to_int_data_out 3) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_12 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_12)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_12 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_12)) + )) + (net N_654 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_12)) + (portRef N_654 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_12)) + )) + (net fifo_to_int_data_out_11 (joined + (portRef (member fifo_to_int_data_out 4) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_11 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_11)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_11 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_11)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_11)) + )) + (net N_653 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_11)) + (portRef N_653 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_11)) + )) (net fifo_to_int_data_out_9 (joined (portRef (member fifo_to_int_data_out 6) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) @@ -116312,22 +116345,39 @@ (portRef N_651 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_9)) )) - (net fifo_to_int_data_out_5 (joined - (portRef (member fifo_to_int_data_out 10) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) + (net fifo_to_int_data_out_8 (joined + (portRef (member fifo_to_int_data_out 7) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) )) - (net next_INT_MASTER_DATA_OUT_7_am_5 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + (net next_INT_MASTER_DATA_OUT_7_am_8 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_5 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_5)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + (net next_INT_MASTER_DATA_OUT_7_bm_8 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) )) - (net N_647 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_5)) - (portRef N_647 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_5)) + (net N_650 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_8)) + (portRef N_650 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8)) + )) + (net fifo_to_int_data_out_7 (joined + (portRef (member fifo_to_int_data_out 8) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_7 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_7 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + )) + (net N_649 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + (portRef N_649 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7)) )) (net fifo_to_int_data_out_14 (joined (portRef (member fifo_to_int_data_out 1) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) @@ -116363,40 +116413,6 @@ (portRef N_652 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_10)) )) - (net fifo_to_int_data_out_12 (joined - (portRef (member fifo_to_int_data_out 3) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_12 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_12)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_12 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_12)) - )) - (net N_654 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_12)) - (portRef N_654 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_12)) - )) - (net fifo_to_int_data_out_7 (joined - (portRef (member fifo_to_int_data_out 8) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_7 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_7 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - )) - (net N_649 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - (portRef N_649 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7)) - )) (net fifo_to_int_data_out_6 (joined (portRef (member fifo_to_int_data_out 9) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_6)) @@ -116414,6 +116430,23 @@ (portRef N_648 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_6)) )) + (net fifo_to_int_data_out_5 (joined + (portRef (member fifo_to_int_data_out 10) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_5 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_5 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_5)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + )) + (net N_647 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + (portRef N_647 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_5)) + )) (net fifo_to_int_data_out_3 (joined (portRef (member fifo_to_int_data_out 12) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_3)) @@ -116431,44 +116464,80 @@ (portRef N_645 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_3)) )) - (net next_INT_MASTER_DATA_OUT_11 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_11)) - (portRef (member next_int_master_data_out 4) (instanceRef SBUF)) + (net N_4969 (joined + (portRef Z (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2)) + (portRef N_4969 (instanceRef FIFO_TO_APL)) + (portRef A (instanceRef next_last_fifo_to_apl_read_RNO)) )) - (net next_INT_MASTER_DATA_OUT_13 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_13)) - (portRef (member next_int_master_data_out 2) (instanceRef SBUF)) + (net N_4994 (joined + (portRef Z (instanceRef to_apl_un21_int_slave_dataready_in_i_a3)) + (portRef A (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1)) + )) + (net N_87 (joined + (portRef N_87) + (portRef A (instanceRef state_to_apl_ns_1_0__m19_0_a3)) + )) + (net N_93 (joined + (portRef N_93) + (portRef B (instanceRef state_to_apl_ns_1_0__m19_0_a3)) + )) + (net N_5000 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m19_0_a3)) + (portRef C (instanceRef state_to_apl_ns_1_0__m19_0)) + )) + (net N_5001 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m19_0_a3_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m19_0)) + )) + (net N_102 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) + (portRef N_102 (instanceRef SBUF_TO_APL2)) + (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) + )) + (net N_103 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_m2_1)) + (portRef N_103 (instanceRef SBUF_TO_APL2)) + (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) )) (net next_INT_MASTER_DATA_OUT_15 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_15)) (portRef (member next_int_master_data_out 0) (instanceRef SBUF)) )) + (net next_INT_MASTER_DATA_OUT_7 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7)) + (portRef (member next_int_master_data_out 8) (instanceRef SBUF)) + )) (net next_INT_MASTER_DATA_OUT_8 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8)) (portRef (member next_int_master_data_out 7) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_0 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_0)) - (portRef (member current_fifo_to_apl_packet_type 2) (instanceRef SBUF_TO_APL2)) - (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2)) + (net next_INT_MASTER_DATA_OUT_9 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_9)) + (portRef (member next_int_master_data_out 6) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_1 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_1)) - (portRef (member current_fifo_to_apl_packet_type 1) (instanceRef SBUF_TO_APL2)) - (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2)) + (net next_INT_MASTER_DATA_OUT_11 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_11)) + (portRef (member next_int_master_data_out 4) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_2 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef (member current_fifo_to_apl_packet_type 0) (instanceRef SBUF_TO_APL2)) - (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2)) + (net next_INT_MASTER_DATA_OUT_12 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_12)) + (portRef (member next_int_master_data_out 3) (instanceRef SBUF)) + )) + (net next_INT_MASTER_DATA_OUT_13 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_13)) + (portRef (member next_int_master_data_out 2) (instanceRef SBUF)) + )) + (net next_INT_MASTER_DATA_OUT_3 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_3)) + (portRef (member next_int_master_data_out 12) (instanceRef SBUF)) )) (net next_INT_MASTER_DATA_OUT_5 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_5)) (portRef (member next_int_master_data_out 10) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_9 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_9)) - (portRef (member next_int_master_data_out 6) (instanceRef SBUF)) + (net next_INT_MASTER_DATA_OUT_6 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_6)) + (portRef (member next_int_master_data_out 9) (instanceRef SBUF)) )) (net next_INT_MASTER_DATA_OUT_10 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_10)) @@ -116478,21 +116547,17 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_14)) (portRef (member next_int_master_data_out 1) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_3 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_3)) - (portRef (member next_int_master_data_out 12) (instanceRef SBUF)) - )) - (net next_INT_MASTER_DATA_OUT_6 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_6)) - (portRef (member next_int_master_data_out 9) (instanceRef SBUF)) - )) - (net next_INT_MASTER_DATA_OUT_7 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7)) - (portRef (member next_int_master_data_out 8) (instanceRef SBUF)) + (net N_104 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_m2_2)) + (portRef N_104 (instanceRef SBUF_TO_APL2)) + (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) )) - (net next_INT_MASTER_DATA_OUT_12 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_12)) - (portRef (member next_int_master_data_out 3) (instanceRef SBUF)) + (net buf_API_SHORT_TRANSFER_OUT_Q (joined + (portRef buf_API_SHORT_TRANSFER_OUT_Q) + (portRef A (instanceRef update_registered_header_1_sqmuxa)) + (portRef D (instanceRef state_to_int_ns_i_2)) + (portRef D (instanceRef state_to_int_ns_0_0_4)) + (portRef C (instanceRef update_registered_trailer_m4)) )) (net state_to_int_ns_0_0_4 (joined (portRef Z (instanceRef state_to_int_ns_0_0_4)) @@ -116530,11 +116595,11 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1)) (portRef (member next_int_master_data_out 14) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_am_0_2 (joined + (net next_INT_MASTER_DATA_OUT_am_2 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_2)) (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_2)) )) - (net next_INT_MASTER_DATA_OUT_bm_0_2 (joined + (net next_INT_MASTER_DATA_OUT_bm_2 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_2)) )) @@ -116554,101 +116619,63 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4)) (portRef (member next_int_master_data_out 11) (instanceRef SBUF)) )) - (net N_5097 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2)) - )) - (net un9_int_slave_dataready_in (joined - (portRef S1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2)) - )) - (net N_5095_2 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2)) - (portRef A (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef A (instanceRef send_trm_wrong_addr_RNO)) - (portRef A (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2)) - )) - (net CO0_0_0 (joined - (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_0_2)) - (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - )) - (net buf_api_stat_fifo_to_apl_107 (joined - (portRef Z (instanceRef fifo_to_apl_read_iv)) - (portRef buf_api_stat_fifo_to_apl_8 (instanceRef FIFO_TO_APL)) - (portRef A (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) - )) - (net m19_am (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m19_am)) - (portRef BLUT (instanceRef state_to_apl_ns_1_0__m19)) - )) - (net N_2069_0_1 (joined - (portRef N_2069_0_1) - (portRef A (instanceRef state_to_apl_ns_1_0__m19_bm)) - )) - (net m19_bm (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m19_bm)) - (portRef ALUT (instanceRef state_to_apl_ns_1_0__m19)) - )) - (net N_466 (joined - (portRef Z (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2)) - (portRef C (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01)) + (net un26_clk_en (joined + (portRef Z (instanceRef master_counter_1_sqmuxa_0)) + (portRef B (instanceRef master_counter_RNO_0)) + (portRef B (instanceRef fifo_to_int_read_before_RNO)) )) - (net next_fifo_to_apl_empty (joined - (portRef next_fifo_to_apl_empty (instanceRef FIFO_TO_APL)) - (portRef B (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) + (net N_5810_tz (joined + (portRef Z (instanceRef state_to_int_RNIGK141_0)) + (portRef A (instanceRef state_to_int_ns_0_1_4)) + (portRef A (instanceRef state_to_int_ns_i_5)) )) (net state_to_int_ns_0_1_4 (joined (portRef Z (instanceRef state_to_int_ns_0_1_4)) (portRef D (instanceRef state_to_int_ns_0_i_4)) )) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1) + (portRef D (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) + (portRef C (instanceRef master_counter_RNO_0)) + (portRef A (instanceRef master_counter_RNO_1)) + )) (net endpoint_reached_i_m_2 (joined - (portRef Z (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01)) + (portRef Z (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1)) (portRef A (instanceRef state_to_int_RNO_5)) + (portRef A (instanceRef state_to_int_ns_0_i_1)) (portRef A (instanceRef state_to_int_ns_0_i_4)) (portRef C (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) - (portRef A (instanceRef state_to_int_ns_0_i_s_1)) - )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef D (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) )) - (net N_289 (joined - (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) - )) - (net m11_am_0 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef BLUT (instanceRef state_to_apl_ns_1_0__m11)) - )) - (net m11_bm_0 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef ALUT (instanceRef state_to_apl_ns_1_0__m11)) + (net next_fifo_to_apl_empty (joined + (portRef next_fifo_to_apl_empty (instanceRef FIFO_TO_APL)) + (portRef B (instanceRef next_last_fifo_to_apl_read_RNO)) )) - (net N_12 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m11)) - (portRef B (instanceRef state_to_apl_ns_1_0__N_17_i)) + (net master_counter_6_0_i_1_2 (joined + (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef D (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (net m16_i_1_0 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_1_0)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i)) )) - (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0)) + (net un21_int_slave_dataready_in_i_o2_1 (joined + (portRef Z (instanceRef to_apl_un21_int_slave_dataready_in_i_o2_1)) + (portRef D (instanceRef to_apl_un21_int_slave_dataready_in_i_o2)) )) (net buf_to_apl_INIT_DATA_49 (joined (portRef (member buf_to_apl_init_data 14)) (portRef (member buf_to_apl_init_data 14) (instanceRef FIFO_TO_APL)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0)) - (portRef B (instanceRef slave_start_0_a2_0_3_0_a2)) + (portRef A (instanceRef to_apl_un21_int_slave_dataready_in_i_o2)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_a3_2_2)) )) (net buf_to_apl_INIT_DATA_56 (joined (portRef (member buf_to_apl_init_data 7)) (portRef (member buf_to_apl_init_data 7) (instanceRef FIFO_TO_APL)) (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1)) + (portRef C (instanceRef to_apl_un21_int_slave_dataready_in_i_o2)) + (portRef D (instanceRef to_apl_un21_int_slave_dataready_in_i_o2_1)) )) (net sequence_counter_cry_0 (joined (portRef COUT (instanceRef sequence_counter_cry_0_0)) @@ -116709,7 +116736,7 @@ (portRef (member buf_to_apl_init_data 12)) (portRef (member buf_to_apl_init_data 12) (instanceRef FIFO_TO_APL)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1)) + (portRef A (instanceRef to_apl_un21_int_slave_dataready_in_i_o2_1)) )) (net MY_ADDRESS_4 (joined (portRef (member my_address 11)) @@ -116725,13 +116752,13 @@ (portRef (member buf_to_apl_init_data 11)) (portRef (member buf_to_apl_init_data 11) (instanceRef FIFO_TO_APL)) (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1)) + (portRef B (instanceRef to_apl_un21_int_slave_dataready_in_i_o2_1)) )) (net buf_to_apl_INIT_DATA_53 (joined (portRef (member buf_to_apl_init_data 10)) (portRef (member buf_to_apl_init_data 10) (instanceRef FIFO_TO_APL)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1)) + (portRef B (instanceRef to_apl_un21_int_slave_dataready_in_i_o2)) )) (net un9_int_slave_dataready_in_0_data_tmp_2 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) @@ -116757,13 +116784,13 @@ (portRef (member buf_to_apl_init_data 9)) (portRef (member buf_to_apl_init_data 9) (instanceRef FIFO_TO_APL)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_5)) )) (net buf_to_apl_INIT_DATA_55 (joined (portRef (member buf_to_apl_init_data 8)) (portRef (member buf_to_apl_init_data 8) (instanceRef FIFO_TO_APL)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0)) + (portRef C (instanceRef to_apl_un21_int_slave_dataready_in_i_o2_1)) )) (net MY_ADDRESS_8 (joined (portRef (member my_address 7)) @@ -116779,7 +116806,7 @@ (portRef (member buf_to_apl_init_data 6)) (portRef (member buf_to_apl_init_data 6) (instanceRef FIFO_TO_APL)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_6)) )) (net un9_int_slave_dataready_in_0_data_tmp_4 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) @@ -116805,13 +116832,13 @@ (portRef (member buf_to_apl_init_data 5)) (portRef (member buf_to_apl_init_data 5) (instanceRef FIFO_TO_APL)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_5)) )) (net buf_to_apl_INIT_DATA_59 (joined (portRef (member buf_to_apl_init_data 4)) (portRef (member buf_to_apl_init_data 4) (instanceRef FIFO_TO_APL)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_5)) )) (net MY_ADDRESS_12 (joined (portRef (member my_address 3)) @@ -116827,13 +116854,13 @@ (portRef (member buf_to_apl_init_data 3)) (portRef (member buf_to_apl_init_data 3) (instanceRef FIFO_TO_APL)) (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_6)) )) (net buf_to_apl_INIT_DATA_61 (joined (portRef (member buf_to_apl_init_data 2)) (portRef (member buf_to_apl_init_data 2) (instanceRef FIFO_TO_APL)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_6)) )) (net un9_int_slave_dataready_in_0_data_tmp_6 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) @@ -116859,13 +116886,13 @@ (portRef (member buf_to_apl_init_data 1)) (portRef (member buf_to_apl_init_data 1) (instanceRef FIFO_TO_APL)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_6)) )) (net buf_to_apl_INIT_DATA_63 (joined (portRef (member buf_to_apl_init_data 0)) (portRef (member buf_to_apl_init_data 0) (instanceRef FIFO_TO_APL)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_5)) )) (net un9_int_slave_dataready_in_0_I_21_0_COUT_0 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) @@ -117015,29 +117042,25 @@ )) (net buf_APL_PACKET_NUM_OUT_9 (joined (portRef (member buf_apl_packet_num_out 2) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef to_apl_un11_reg_apl_typ_out_1)) (portRef (member buf_apl_packet_num_out 2)) )) (net buf_APL_PACKET_NUM_OUT_10 (joined (portRef (member buf_apl_packet_num_out 1) (instanceRef SBUF_TO_APL)) - (portRef B (instanceRef to_apl_un11_reg_apl_typ_out_1)) (portRef (member buf_apl_packet_num_out 1)) )) (net buf_APL_PACKET_NUM_OUT_11 (joined (portRef (member buf_apl_packet_num_out 0) (instanceRef SBUF_TO_APL)) - (portRef C (instanceRef to_apl_un11_reg_apl_typ_out_1)) (portRef (member buf_apl_packet_num_out 0)) )) - (net buf_api_stat_fifo_to_apl_7 (joined + (net buf_api_stat_fifo_to_apl_2 (joined (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef APL_TYP_OUT_2)) - (portRef buf_api_stat_fifo_to_apl_7) + (portRef buf_api_stat_fifo_to_apl_2) )) (net buf_APL_READ_IN_3 (joined (portRef (member buf_apl_read_in 0)) (portRef (member buf_apl_read_in 0) (instanceRef SBUF_TO_APL2)) (portRef (member buf_apl_read_in 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef to_apl_un5_fifo_to_apl_read)) + (portRef A (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2)) )) (net buf_APL_TYP_OUT_0 (joined (portRef (member buf_apl_typ_out 2) (instanceRef SBUF_TO_APL2)) @@ -117049,85 +117072,89 @@ )) (net buf_APL_TYP_OUT_2 (joined (portRef (member buf_apl_typ_out 0) (instanceRef SBUF_TO_APL2)) - (portRef B (instanceRef APL_TYP_OUT_2)) (portRef (member buf_apl_typ_out 0)) )) (net buf_api_stat_fifo_to_apl_i_110 (joined (portRef (member buf_api_stat_fifo_to_apl_i 0) (instanceRef FIFO_TO_APL)) (portRef (member buf_api_stat_fifo_to_apl_i 0)) )) - (net reset_i_19 (joined - (portRef reset_i_19) - (portRef reset_i_19 (instanceRef FIFO_TO_APL)) + (net buf_to_apl_INIT_DATAREADY_3 (joined + (portRef (member buf_to_apl_init_dataready 0)) + (portRef (member buf_to_apl_init_dataready 0) (instanceRef FIFO_TO_APL)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + )) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef FIFO_TO_APL)) )) (net buf_api_stat_fifo_to_int_11 (joined (portRef buf_api_stat_fifo_to_int_11 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef fifo_to_int_write)) + (portRef B (instanceRef fifo_to_int_write_0_a2)) (portRef buf_api_stat_fifo_to_int_11) )) - (net buf_APL_DATA_IN_48 (joined - (portRef (member buf_apl_data_in 15)) - (portRef (member buf_apl_data_in 15) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_0 (joined + (portRef buf_APL_DATA_IN_0) + (portRef buf_APL_DATA_IN_0 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_49 (joined - (portRef (member buf_apl_data_in 14)) - (portRef (member buf_apl_data_in 14) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_1 (joined + (portRef buf_APL_DATA_IN_1) + (portRef buf_APL_DATA_IN_1 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_50 (joined - (portRef (member buf_apl_data_in 13)) - (portRef (member buf_apl_data_in 13) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_2 (joined + (portRef buf_APL_DATA_IN_2) + (portRef buf_APL_DATA_IN_2 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_51 (joined - (portRef (member buf_apl_data_in 12)) - (portRef (member buf_apl_data_in 12) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_3 (joined + (portRef buf_APL_DATA_IN_3) + (portRef buf_APL_DATA_IN_3 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_52 (joined - (portRef (member buf_apl_data_in 11)) - (portRef (member buf_apl_data_in 11) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_4 (joined + (portRef buf_APL_DATA_IN_4) + (portRef buf_APL_DATA_IN_4 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_53 (joined - (portRef (member buf_apl_data_in 10)) - (portRef (member buf_apl_data_in 10) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_5 (joined + (portRef buf_APL_DATA_IN_5) + (portRef buf_APL_DATA_IN_5 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_54 (joined - (portRef (member buf_apl_data_in 9)) - (portRef (member buf_apl_data_in 9) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_6 (joined + (portRef buf_APL_DATA_IN_6) + (portRef buf_APL_DATA_IN_6 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_55 (joined - (portRef (member buf_apl_data_in 8)) - (portRef (member buf_apl_data_in 8) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_7 (joined + (portRef buf_APL_DATA_IN_7) + (portRef buf_APL_DATA_IN_7 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_56 (joined - (portRef (member buf_apl_data_in 7)) - (portRef (member buf_apl_data_in 7) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_8 (joined + (portRef buf_APL_DATA_IN_8) + (portRef buf_APL_DATA_IN_8 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_57 (joined - (portRef (member buf_apl_data_in 6)) - (portRef (member buf_apl_data_in 6) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_10 (joined + (portRef buf_APL_DATA_IN_10) + (portRef buf_APL_DATA_IN_10 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_58 (joined - (portRef (member buf_apl_data_in 5)) - (portRef (member buf_apl_data_in 5) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_11 (joined + (portRef buf_APL_DATA_IN_11) + (portRef buf_APL_DATA_IN_11 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_59 (joined - (portRef (member buf_apl_data_in 4)) - (portRef (member buf_apl_data_in 4) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_12 (joined + (portRef buf_APL_DATA_IN_12) + (portRef buf_APL_DATA_IN_12 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_60 (joined - (portRef (member buf_apl_data_in 3)) - (portRef (member buf_apl_data_in 3) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_13 (joined + (portRef buf_APL_DATA_IN_13) + (portRef buf_APL_DATA_IN_13 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_61 (joined - (portRef (member buf_apl_data_in 2)) - (portRef (member buf_apl_data_in 2) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_14 (joined + (portRef buf_APL_DATA_IN_14) + (portRef buf_APL_DATA_IN_14 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_62 (joined - (portRef (member buf_apl_data_in 1)) - (portRef (member buf_apl_data_in 1) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_15 (joined + (portRef buf_APL_DATA_IN_15) + (portRef buf_APL_DATA_IN_15 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_63 (joined - (portRef (member buf_apl_data_in 0)) - (portRef (member buf_apl_data_in 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_API_DATA_OUT_RNIGU9Q_9 (joined + (portRef (member buf_api_data_out_rnigu9q 0)) + (portRef (member buf_api_data_out_rnigu9q 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) (net buf_APL_PACKET_NUM_IN_0 (joined (portRef buf_APL_PACKET_NUM_IN_0) @@ -117137,10 +117164,10 @@ (portRef buf_APL_PACKET_NUM_IN_2) (portRef buf_APL_PACKET_NUM_IN_2 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef reset_i_18 (instanceRef FIFO_TO_APL)) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef reset_i_19 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef reset_i_19 (instanceRef FIFO_TO_APL)) )) ) (property HGROUP (string "API_group")) @@ -117151,21 +117178,19 @@ (interface (port (array (rename buf_apl_packet_num_in "buf_APL_PACKET_NUM_IN(3:3)") 1) (direction INPUT)) (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(31:16)") 16) (direction INPUT)) - (port (array (rename state "state(1:0)") 2) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_apl_i "buf_api_stat_fifo_to_apl_i(46:46)") 1) (direction OUTPUT)) (port (array (rename buf_apl_data_out "buf_APL_DATA_OUT(31:16)") 16) (direction OUTPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(1:1)") 1) (direction INPUT)) + (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_0 (direction OUTPUT)) (port (array (rename apl_to_buf_reply_data "apl_to_buf_REPLY_DATA(31:16)") 16) (direction OUTPUT)) (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction OUTPUT)) (port (array (rename buf_apl_dataready_out "buf_APL_DATAREADY_OUT(1:1)") 1) (direction OUTPUT)) (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(5:3)") 3) (direction OUTPUT)) - (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(31:16)") 16) (direction INPUT)) (port (array (rename buf_apl_read_in "buf_APL_READ_IN(1:1)") 1) (direction INPUT)) - (port buf_api_stat_fifo_to_int_4 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_3 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_0 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_11 (direction OUTPUT)) - (port (array (rename buf_apl_dataready_in "buf_APL_DATAREADY_IN(1:1)") 1) (direction INPUT)) + (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(31:16)") 16) (direction INPUT)) + (port (array (rename buf_to_apl_init_packet_num "buf_to_apl_INIT_PACKET_NUM(5:3)") 3) (direction INPUT)) (port buf_api_stat_fifo_to_apl_9 (direction INPUT)) (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction INPUT)) (port (array (rename buf_ipu_length_in_i "buf_IPU_LENGTH_IN_i(1:1)") 1) (direction INPUT)) @@ -117194,34 +117219,29 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename buf_apl_send_in "buf_APL_SEND_IN(1:1)") 1) (direction INPUT)) (port (array (rename common_ctrl_reg "common_ctrl_reg(10:10)") 1) (direction INPUT)) - (port (array (rename buf_to_apl_init_packet_num "buf_to_apl_INIT_PACKET_NUM(5:3)") 3) (direction INPUT)) - (port FF_23_RNICS201 (direction OUTPUT)) - (port waiting_word (direction INPUT)) - (port reset_i_19 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) + (port N_5723_i (direction INPUT)) + (port reset_i_20 (direction INPUT)) (port reg_INT_READ_OUT (direction INPUT)) (port int_dataready_in_i (direction INPUT)) (port reset_i_rep1 (direction INPUT)) - (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) + (port GND (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port reset_i_rep1_1 (direction INPUT)) ) (contents - (instance send_trm_wrong_addr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance master_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (B A))+D (!B !A+B A))")) + (instance master_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (!B !A+B A))+D B)")) ) - (instance master_end_0_sqmuxa_3_RNI5J1A1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance master_end_0_sqmuxa_3_RNI231A1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) (instance send_trm_wrong_addr_RNIROOO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) (instance state_to_int_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (!B+!A)))")) + (property lut_function (string "(!D (!B A)+D (!C (!B A)+C (!B+!A)))")) ) (instance fifo_was_not_empty_RNIS4CU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B+C (!B !A))+D !B)")) @@ -117229,13 +117249,13 @@ (instance next_INT_MASTER_DATA_OUT_bm_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) (instance next_INT_MASTER_DATA_OUT_bm_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -117244,6 +117264,9 @@ (instance state_to_apl_ns_1_0__m15_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance fifo_to_apl_packet_num_out_RNI67961_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) (instance state_to_int_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance state_to_int_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -117452,7 +117475,7 @@ ) (instance registered_header_F0_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance next_last_fifo_to_apl_read (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance next_last_fifo_to_apl_read (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance master_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -117546,29 +117569,23 @@ ) (instance apl_send_in_timeout_counter_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance state_to_int_ns_0_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance state_to_int_RNIAF6H_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance un1_state_to_int_1_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) (instance fifo_to_apl_write (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance state_to_apl_ns_1_0__m1_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance fifo_to_int_write_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance un1_state_to_int_1_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) (instance state_to_int_ns_i_o4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance state_to_int_ns_0_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) ) (instance sequence_counterc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) @@ -117594,58 +117611,49 @@ (instance sequence_counterc_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance next_INT_MASTER_DATA_OUT_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_INT_MASTER_DATA_OUT_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance next_INT_MASTER_DATA_OUT_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_INT_MASTER_DATA_OUT_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) (instance next_INT_MASTER_DATA_OUT_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -117654,18 +117662,30 @@ (instance next_INT_MASTER_DATA_OUT_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) + (instance next_INT_MASTER_DATA_OUT_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_INT_MASTER_DATA_OUT_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance next_INT_MASTER_DATA_OUT_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance next_INT_MASTER_DATA_OUT_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_INT_MASTER_DATA_OUT_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance next_INT_MASTER_DATA_OUT_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_INT_MASTER_DATA_OUT_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -117678,27 +117698,21 @@ (instance next_INT_MASTER_DATA_OUT_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance to_apl_un5_fifo_to_apl_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) - ) - (instance to_int_un11_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C (B !A))")) + (instance apl_send_in_timeout_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A)+C B)")) ) (instance state_to_int_RNIHQ09_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B+!A))")) ) - (instance apl_send_in_timeout_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A)+C B)")) + (instance to_int_un11_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (B !A))")) + ) + (instance to_apl_un5_fifo_to_apl_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C !A)")) ) (instance apl_send_in_timeout_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A+B A)")) ) - (instance state_to_apl_ns_1_0__m6_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) - ) - (instance master_counter_RNIUJ79_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B+A)))")) - ) (instance to_int_un21_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) @@ -117708,77 +117722,62 @@ (instance next_APL_DATAREADY_OUT_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B !A))")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance state_to_apl_ns_1_0__m15_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance slave_start_0_a2_0_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) (instance to_apl_un11_reg_apl_typ_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) ) - (instance to_apl_un11_reg_apl_typ_out_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C (B+!A))")) - ) - (instance to_apl_and_all_tmp_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance to_apl_and_all_tmp_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance to_apl_and_all_tmp_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance to_apl_and_all_tmp_7_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance state_to_apl_ns_1_0__m15_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance to_apl_un9_saved_fifo_to_apl_packet_type_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance to_apl_un23_int_slave_dataready_in_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance to_apl_un23_int_slave_dataready_in_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance to_apl_un23_int_slave_dataready_in_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance next_INT_MASTER_DATA_OUT_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance apl_send_in_timeout_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) ) - (instance next_INT_MASTER_DATA_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance state_to_int_ns_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance fifo_to_apl_long_packet_num_out_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) + (instance PROC_FSM_REG_fifo_was_not_empty_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+!A)))")) ) - (instance next_INT_MASTER_DATA_OUT_4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) + (instance master_counter_RNIUJ79_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B+A)))")) + ) (instance master_counter_RNIUJ79_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !C+D (!C (B A)))")) ) - (instance state_to_int_ns_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance next_INT_MASTER_DATA_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance PROC_FSM_REG_fifo_was_not_empty_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) + (instance next_INT_MASTER_DATA_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance apl_send_in_timeout_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) + (instance next_INT_MASTER_DATA_OUT_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance state_to_apl_ns_1_0__m6_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance fifo_to_apl_long_packet_num_out_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) ) (instance fifo_to_int_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) @@ -117786,37 +117785,40 @@ (instance next_APL_DATAREADY_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance un1_next_state_to_apl_2_sqmuxa_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) - ) - (instance next_INT_MASTER_DATA_OUT_8_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance state_to_apl_ns_1_0__m6_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) ) - (instance next_INT_MASTER_DATA_OUT_8_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance to_apl_un11_reg_apl_typ_out_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) ) - (instance next_INT_MASTER_DATA_OUT_8_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance apl_send_in_timeout_counter_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B A)))")) ) + (instance state_to_apl_ns_1_0__m8_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B !A)))")) + ) (instance state_to_int_ns_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C (!B !A))")) ) - (instance to_apl_un11_reg_apl_typ_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance to_apl_un9_saved_fifo_to_apl_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance un1_fifo_to_apl_long_packet_num_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance next_INT_MASTER_DATAREADY_OUT_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!B+A))")) ) - (instance to_apl_and_all_tmp (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance slave_start_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (!C (B+!A)+C (B A)))")) ) - (instance to_apl_un23_int_slave_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C (!B A)+C (!B+!A)))")) ) - (instance next_INT_MASTER_DATAREADY_OUT_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!B+A))")) + (instance next_INT_MASTER_DATA_OUT_7_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance next_INT_MASTER_DATA_OUT_7_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+A))")) ) + (instance next_INT_MASTER_DATA_OUT_7_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -117824,34 +117826,26 @@ (property lut_function (string "(!C (!B A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_7_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_INT_MASTER_DATA_OUT_7_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_7_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_INT_MASTER_DATA_OUT_8_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_INT_MASTER_DATA_OUT_8_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_INT_MASTER_DATA_OUT_7_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_INT_MASTER_DATA_OUT_7_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) @@ -117859,27 +117853,34 @@ (property lut_function (string "(!C (!B A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_7_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_INT_MASTER_DATA_OUT_7_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_INT_MASTER_DATA_OUT_7_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_INT_MASTER_DATA_OUT_7_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_INT_MASTER_DATA_OUT_7_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_7_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -117900,85 +117901,81 @@ (instance fifo_to_apl_read_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+A))+D (C !B))")) ) + (instance un1_fifo_to_apl_long_packet_num_out_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) + ) (instance state_to_int_ns_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C+(B !A)))")) + (property lut_function (string "(!D (C !B)+D (!C A+C (!B+A)))")) + ) + (instance next_last_fifo_to_apl_readc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D (C+B))")) ) (instance next_INT_MASTER_DATAREADY_OUT_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (!B A))+D A)")) ) + (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) + ) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) (instance state_to_int_ns_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!B+A))")) + (property lut_function (string "(!D (!C+(!B+A))+D (C (!B+A)))")) ) (instance next_INT_MASTER_DATA_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance next_INT_MASTER_DATA_OUT_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance current_fifo_to_apl_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance current_fifo_to_apl_packet_type_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance current_fifo_to_apl_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance next_INT_MASTER_DATA_OUT_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance state_to_apl_ns_1_0__m17_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B A))")) - ) - (instance state_to_apl_ns_1_0__m17_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(C+(!B+!A)))")) - ) - (instance state_to_apl_ns_1_0__m17 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance sbuf_free_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+!A))+D (B+!A))")) ) - (instance next_INT_MASTER_DATA_OUT_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C (!B A))+D (!C B+C (B+A)))")) ) (instance next_INT_MASTER_DATA_OUT_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_INT_MASTER_DATA_OUT_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance un26_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+A)))")) + (instance next_INT_MASTER_DATA_OUT_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) + (instance next_INT_MASTER_DATA_OUT_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance next_INT_MASTER_DATA_OUT_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (property lut_function (string "(C (!B A))")) ) (instance next_INT_MASTER_DATA_OUT_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_INT_MASTER_DATA_OUT_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) (instance next_INT_MASTER_DATA_OUT_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) @@ -117993,59 +117990,76 @@ (property lut_function (string "(!C B+C A)")) ) (instance next_INT_MASTER_DATA_OUT_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance master_counter_1_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(B+A)))")) ) - (instance next_INT_MASTER_DATA_OUT_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance current_fifo_to_apl_packet_type_i_m2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance to_apl_un21_int_slave_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) + (instance current_fifo_to_apl_packet_type_i_0_m2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance current_fifo_to_apl_packet_type_i_0_m2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance state_to_apl_ns_1_0__m19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (C !A))")) + (instance state_to_apl_ns_1_0__m19_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C (B !A))")) ) - (instance master_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A)+C B)")) + (instance state_to_apl_ns_1_0__m19_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B A))")) ) + (instance state_to_apl_ns_1_0__m19 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fifo_to_int_read_before_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+!A))+D !A)")) + (instance send_trm_wrong_addr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance master_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A)+C A)")) ) - (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B A+B !A))+D C)")) + (instance slave_start_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (C (!B !A)))")) + ) + (instance PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D !B)")) ) (instance state_to_apl_ns_1_0__m11_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D !C)")) + (property lut_function (string "(!D (!C (!B+A)+C (!B !A))+D !C)")) ) (instance state_to_apl_ns_1_0__m11_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(C+(!B+!A)))")) + (property lut_function (string "(!D+(!C+(B+!A)))")) ) (instance state_to_apl_ns_1_0__m11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance PROC_ENDP_REACHED_endpoint_reached_1_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B !A))+D !C)")) + (instance PROC_ENDP_REACHED_endpoint_reached_1_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) ) (instance state_to_int_ns_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(C (B A)))")) + (property lut_function (string "(!D+(C (!B A)))")) ) (instance state_to_int_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C !B+C (!B+!A)))")) + (property lut_function (string "(!D (C !A)+D (!C B+C (B+!A)))")) ) (instance state_to_int_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (B !A)))")) + (property lut_function (string "(D+(C (!B !A)))")) ) (instance state_to_apl_ns_1_0__N_17_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C (!B !A)))")) ) + (instance next_INT_MASTER_DATA_OUT_8_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C (!B A+B !A))")) + ) + (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (!B !A+B A)+C A))")) + ) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!C+(!B+!A)))")) + ) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B !A)))")) + ) (instance send_trm_wrong_addr_RNIROOO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B !A))+D B)")) ) @@ -118119,151 +118133,119 @@ ) (instance GEN_FIFO_TO_INT_FIFO_TO_INT (viewRef netlist (cellRef trb_net16_fifo_1_6)) ) - (net un24_int_slave_dataready_in (joined - (portRef Z (instanceRef to_apl_un21_int_slave_dataready_in)) - (portRef D (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef C (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ)) - (portRef A (instanceRef send_trm_wrong_addr_RNO)) - )) - (net N_384 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0)) - (portRef A (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ)) - (portRef B (instanceRef send_trm_wrong_addr_RNO)) - )) - (net next_send_trm_wrong_addr_1_sqmuxa (joined - (portRef Z (instanceRef send_trm_wrong_addr_RNO)) - (portRef D (instanceRef send_trm_wrong_addr)) + (net buf_api_stat_fifo_to_int_55 (joined + (portRef Q (instanceRef master_counter_1)) + (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef B (instanceRef master_counter_1_sqmuxa_0)) + (portRef B (instanceRef master_counter_RNIUJ79_0_2)) + (portRef B (instanceRef master_counter_RNIUJ79_2)) + (portRef B (instanceRef master_end_0_sqmuxa_3)) + (portRef B (instanceRef state_to_int_RNIHQ09_3)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_0)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_1)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_3)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_4)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_5)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_6)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_7)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_8)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_9)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_12)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_13)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_10)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_15)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_2)) + (portRef B (instanceRef master_counter_RNO_1)) )) (net buf_api_stat_fifo_to_int_54 (joined (portRef Q (instanceRef master_counter_0)) - (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef A (instanceRef master_counter_RNO_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_2)) + (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef A (instanceRef master_counter_RNO_0)) + (portRef A (instanceRef master_counter_1_sqmuxa_0)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_6)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_11)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_5)) - (portRef A (instanceRef un26_clk_en)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_2)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_1)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_3)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_4)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_bm_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_1)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_5)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_6)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_2)) - (portRef A (instanceRef master_counter_RNIUJ79_0_2)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_11)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_5)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_1)) - (portRef A (instanceRef master_end_0_sqmuxa_3)) + (portRef A (instanceRef master_counter_RNIUJ79_0_2)) (portRef A (instanceRef master_counter_RNIUJ79_2)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_2)) + (portRef A (instanceRef master_end_0_sqmuxa_3)) (portRef A (instanceRef state_to_int_RNIHQ09_3)) - (portRef A (instanceRef master_counter_RNO_0)) + (portRef C (instanceRef master_counter_RNO_1)) )) (net next_INT_MASTER_DATAREADY_OUT_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) - (portRef D (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef C (instanceRef master_counter_RNO_1)) - (portRef D (instanceRef un26_clk_en)) - (portRef B (instanceRef master_counter_RNO_0)) - )) - (net buf_api_stat_fifo_to_int_56 (joined - (portRef Q (instanceRef master_counter_2)) - (portRef buf_api_stat_fifo_to_int_18 (instanceRef SBUF)) - (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef C (instanceRef un26_clk_en)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef B (instanceRef fifo_to_int_read)) - (portRef C (instanceRef master_counter_RNIUJ79_0_2)) - (portRef C (instanceRef master_end_0_sqmuxa_3)) - (portRef C (instanceRef to_int_un21_next_int_master_dataready_out)) - (portRef C (instanceRef master_counter_RNIUJ79_2)) - (portRef C (instanceRef to_int_un11_next_int_master_dataready_out)) - (portRef C (instanceRef master_counter_RNO_0)) - )) - (net buf_api_stat_fifo_to_int_55 (joined - (portRef Q (instanceRef master_counter_1)) - (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef B (instanceRef master_counter_RNO_1)) - (portRef B (instanceRef un26_clk_en)) - (portRef B (instanceRef master_counter_RNIUJ79_0_2)) - (portRef B (instanceRef master_end_0_sqmuxa_3)) - (portRef B (instanceRef master_counter_RNIUJ79_2)) - (portRef B (instanceRef state_to_int_RNIHQ09_3)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_2)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_3)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_4)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_6)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_12)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_14)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_15)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_13)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_11)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_5)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_10)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_9)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_8)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_7)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_0)) - (portRef D (instanceRef master_counter_RNO_0)) - )) - (net un2_master_counter_3_m_1 (joined - (portRef Z (instanceRef master_counter_RNO_0)) - (portRef D (instanceRef master_counter_0)) + (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef D (instanceRef master_counter_1_sqmuxa_0)) + (portRef D (instanceRef master_counter_RNO_1)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef A (instanceRef master_end_0_sqmuxa_3_RNI5J1A1)) + (net un2_master_counter_3_m_2 (joined + (portRef Z (instanceRef master_counter_RNO_1)) + (portRef D (instanceRef master_counter_1)) )) - (net un1_sbuf_free3_3_3 (joined - (portRef Z (instanceRef master_end_0_sqmuxa_3)) - (portRef D (instanceRef state_to_int_ns_0_0_4)) - (portRef D (instanceRef state_to_int_ns_0_i_3)) - (portRef C (instanceRef state_to_int_ns_i_5)) - (portRef B (instanceRef state_to_int_ns_0_a3_0_0)) - (portRef A (instanceRef state_to_int_RNO_3)) - (portRef C (instanceRef master_end_0_sqmuxa_3_RNI5J1A1)) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef A (instanceRef master_end_0_sqmuxa_3_RNI231A1)) )) (net state_to_int_1 (joined (portRef Q (instanceRef state_to_int_1)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_am_1)) - (portRef C (instanceRef state_to_int_ns_0_0_4)) + (portRef D (instanceRef state_to_int_ns_0_0_4)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef A (instanceRef state_to_int_ns_0_a3_0_0)) (portRef D (instanceRef master_counter_RNIUJ79_0_2)) (portRef D (instanceRef master_counter_RNIUJ79_2)) + (portRef B (instanceRef state_to_int_ns_0_a3_0_0)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_0)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_4)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_6)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_7)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_8)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_9)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_11)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_10)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_7)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_1)) (portRef A (instanceRef state_to_int_RNIAF6H_3)) (portRef A (instanceRef state_to_apl_ns_1_0__m15_4)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) - (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_11)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_6)) + (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - (portRef D (instanceRef master_end_0_sqmuxa_3_RNI5J1A1)) + (portRef C (instanceRef master_end_0_sqmuxa_3_RNI231A1)) + )) + (net N_445_0 (joined + (portRef Z (instanceRef master_end_0_sqmuxa_3)) + (portRef C (instanceRef state_to_int_ns_0_0_4)) + (portRef A (instanceRef state_to_int_ns_0_i_3)) + (portRef C (instanceRef state_to_int_ns_i_5)) + (portRef A (instanceRef state_to_int_ns_0_a3_0_0)) + (portRef B (instanceRef state_to_int_RNO_3)) + (portRef D (instanceRef master_end_0_sqmuxa_3_RNI231A1)) )) (net sequence_countere_0_i (joined - (portRef Z (instanceRef master_end_0_sqmuxa_3_RNI5J1A1)) + (portRef Z (instanceRef master_end_0_sqmuxa_3_RNI231A1)) (portRef SP (instanceRef sequence_counter_7)) (portRef SP (instanceRef sequence_counter_6)) (portRef SP (instanceRef sequence_counter_5)) @@ -118275,9 +118257,10 @@ )) (net state_to_int_2 (joined (portRef Q (instanceRef state_to_int_2)) + (portRef (member state_to_int 0) (instanceRef SBUF)) (portRef A (instanceRef send_trm_wrong_addr_RNIROOO_0)) - (portRef B (instanceRef state_to_int_ns_0_i_3)) - (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) + (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + (portRef C (instanceRef state_to_int_ns_0_i_3)) (portRef B (instanceRef state_to_apl_ns_1_0__m15_3)) (portRef B (instanceRef un1_state_to_int_1_i_a2)) (portRef C (instanceRef state_to_apl_ns_1_0__m15_4)) @@ -118323,17 +118306,17 @@ )) (net state_to_int_3 (joined (portRef Q (instanceRef state_to_int_3)) - (portRef C (instanceRef state_to_int_ns_0_i_3)) + (portRef D (instanceRef state_to_int_ns_0_i_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) (portRef C (instanceRef state_to_apl_ns_1_0__m15_3)) (portRef C (instanceRef state_to_int_RNIHQ09_3)) (portRef B (instanceRef state_to_int_RNIAF6H_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_11)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_6)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - (portRef B (instanceRef state_to_int_RNO_3)) + (portRef A (instanceRef state_to_int_RNO_3)) )) (net state_to_int_4 (joined (portRef Q (instanceRef state_to_int_4)) @@ -118347,8 +118330,8 @@ (portRef (member buf_apl_send_in 0)) (portRef D (instanceRef send_trm_wrong_addr_RNIROOO_0)) (portRef A (instanceRef state_to_int_ns_0_i_1)) - (portRef A (instanceRef state_to_int_ns_0_o2_3)) (portRef A (instanceRef state_to_int_ns_i_o4_2)) + (portRef A (instanceRef state_to_int_ns_0_o2_3)) (portRef CD (instanceRef apl_send_in_timeout_counter_3)) (portRef CD (instanceRef apl_send_in_timeout_counter_2)) (portRef CD (instanceRef apl_send_in_timeout_counter_1)) @@ -118363,23 +118346,22 @@ )) (net state_to_int_0 (joined (portRef Q (instanceRef state_to_int_0)) - (portRef (member state_to_int 0) (instanceRef SBUF)) - (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) (portRef A (instanceRef state_to_apl_ns_1_0__m15_3)) (portRef A (instanceRef un1_state_to_int_1_i_a2)) (portRef D (instanceRef state_to_apl_ns_1_0__m15_4)) (portRef B (instanceRef fifo_was_not_empty_RNIS4CU)) )) - (net N_5806_tz (joined + (net N_5811_tz (joined (portRef Z (instanceRef fifo_was_not_empty_RNIS4CU)) (portRef A (instanceRef state_to_int_ns_0_0_4)) (portRef A (instanceRef state_to_int_ns_i_5)) )) (net buf_api_stat_fifo_to_int_43 (joined - (portRef buf_api_stat_fifo_to_int_8 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef buf_api_stat_fifo_to_int_2 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) )) - (net N_5391 (joined + (net N_6672 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_2)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) )) @@ -118387,11 +118369,23 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) )) + (net fifo_to_int_data_out_5 (joined + (portRef (member fifo_to_int_data_out 10) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) + )) + (net N_6675 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_5)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) + )) + (net N_545 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_5)) + )) (net fifo_to_int_data_out_6 (joined (portRef (member fifo_to_int_data_out 9) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_6)) )) - (net N_5395 (joined + (net N_6676 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_6)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_6)) )) @@ -118403,7 +118397,7 @@ (portRef (member fifo_to_int_data_out 4) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_11)) )) - (net N_5400 (joined + (net N_6681 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_11)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_11)) )) @@ -118411,23 +118405,11 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_11)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_11)) )) - (net fifo_to_int_data_out_5 (joined - (portRef (member fifo_to_int_data_out 10) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) - )) - (net N_5394 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_5)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) - )) - (net N_545 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_5)) - )) (net buf_api_stat_fifo_to_int_42 (joined - (portRef buf_api_stat_fifo_to_int_7 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef buf_api_stat_fifo_to_int_1 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) )) - (net N_5390 (joined + (net N_6671 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_1)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) )) @@ -118438,18 +118420,55 @@ (net state_to_apl_1 (joined (portRef Q (instanceRef state_to_apl_1)) (portRef C0 (instanceRef state_to_apl_ns_1_0__m11)) - (portRef B (instanceRef state_to_apl_ns_1_0__m19)) - (portRef C0 (instanceRef state_to_apl_ns_1_0__m17)) + (portRef A (instanceRef state_to_apl_ns_1_0__m19_bm)) + (portRef A (instanceRef state_to_apl_ns_1_0__m19_am)) (portRef C (instanceRef fifo_to_apl_read_iv)) (portRef D (instanceRef fifo_to_apl_read_before_RNO)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) (portRef C (instanceRef next_APL_DATAREADY_OUT_2)) + (portRef D (instanceRef next_last_fifo_to_apl_read)) (portRef B (instanceRef state_to_apl_ns_1_0__m15_4)) )) (net m15_4 (joined (portRef Z (instanceRef state_to_apl_ns_1_0__m15_4)) (portRef D (instanceRef state_to_apl_ns_1_0__N_17_i)) )) + (net fifo_to_apl_packet_num_out_1 (joined + (portRef Q (instanceRef fifo_to_apl_packet_num_out_1)) + (portRef (member fifo_to_apl_packet_num_out 0) (instanceRef SBUF_TO_APL)) + (portRef B (instanceRef state_to_apl_ns_1_0__m11_bm)) + (portRef C (instanceRef un1_fifo_to_apl_long_packet_num_out_0_o2)) + (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2)) + (portRef A (instanceRef next_APL_DATAREADY_OUT_2)) + (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) + (portRef A (instanceRef fifo_to_apl_packet_num_out_RNI67961_0)) + )) + (net fifo_to_apl_packet_num_out_0 (joined + (portRef Q (instanceRef fifo_to_apl_packet_num_out_0)) + (portRef (member fifo_to_apl_packet_num_out 1) (instanceRef SBUF_TO_APL)) + (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) + (portRef B (instanceRef un1_fifo_to_apl_long_packet_num_out_0_o2)) + (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) + (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) + (portRef B (instanceRef fifo_to_apl_packet_num_out_RNI67961_0)) + )) + (net fifo_to_apl_long_packet_num_out_3_1 (joined + (portRef Z (instanceRef fifo_to_apl_long_packet_num_out_3_1)) + (portRef (member fifo_to_apl_long_packet_num_out_3 0) (instanceRef SBUF_TO_APL)) + (portRef A (instanceRef state_to_apl_ns_1_0__m11_bm)) + (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) + (portRef A (instanceRef un1_fifo_to_apl_long_packet_num_out_0_o2)) + (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) + (portRef C (instanceRef fifo_to_apl_packet_num_out_RNI67961_0)) + )) + (net N_5022_i (joined + (portRef Z (instanceRef fifo_to_apl_packet_num_out_RNI67961_0)) + (portRef SP (instanceRef saved_fifo_to_apl_packet_type_2)) + (portRef SP (instanceRef saved_fifo_to_apl_packet_type_1)) + (portRef SP (instanceRef saved_fifo_to_apl_packet_type_0)) + )) (net N_442_0 (joined (portRef Z (instanceRef state_to_int_ns_i_5)) (portRef D (instanceRef state_to_int_0)) @@ -118669,7 +118688,6 @@ (portRef VCC (instanceRef SBUF_TO_APL)) (portRef VCC (instanceRef SBUF)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef A1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) (portRef B0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) (portRef D1 (instanceRef sequence_counter_s_0_7)) @@ -118694,7 +118712,7 @@ )) (net N_452 (joined (portRef Z (instanceRef state_to_int_ns_0_a3_0_0)) - (portRef A (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) + (portRef A (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0)) (portRef D (instanceRef state_to_int_5)) )) (net state_to_ints_i (joined @@ -118714,9 +118732,10 @@ (net state_to_apl_0 (joined (portRef Q (instanceRef state_to_apl_0)) (portRef A (instanceRef state_to_apl_ns_1_0__N_17_i)) - (portRef A (instanceRef state_to_apl_ns_1_0__m19)) + (portRef C0 (instanceRef state_to_apl_ns_1_0__m19)) + (portRef C (instanceRef next_last_fifo_to_apl_readc)) (portRef B (instanceRef fifo_to_apl_read_iv)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) (portRef B (instanceRef next_APL_DATAREADY_OUT_2)) )) (net state_to_apl_ns_1 (joined @@ -118737,7 +118756,7 @@ (portRef CD (instanceRef sequence_counter_2)) (portRef CD (instanceRef sequence_counter_1)) (portRef CD (instanceRef sequence_counter_0)) - (portRef B (instanceRef master_end_0_sqmuxa_3_RNI5J1A1)) + (portRef B (instanceRef master_end_0_sqmuxa_3_RNI231A1)) )) (net buf_APL_SEQNR_OUT_8 (joined (portRef Q (instanceRef sequence_counter_0)) @@ -118815,12 +118834,17 @@ (portRef D (instanceRef registered_header_F3_11)) (portRef D (instanceRef registered_trailer_F3_11)) )) + (net N_5016_i (joined + (portRef Z (instanceRef send_trm_wrong_addr_RNO)) + (portRef D (instanceRef send_trm_wrong_addr)) + )) (net sbuf_to_apl_next_READ (joined (portRef sbuf_to_apl_next_READ (instanceRef SBUF_TO_APL)) (portRef D (instanceRef sbuf_to_apl_free)) )) (net buf_api_stat_fifo_to_int_49 (joined (portRef Q (instanceRef sbuf_to_apl_free)) + (portRef A (instanceRef next_last_fifo_to_apl_readc)) (portRef A (instanceRef fifo_to_apl_read_iv)) (portRef A (instanceRef fifo_to_apl_read_before_RNO)) (portRef A (instanceRef next_APL_DATAREADY_OUT)) @@ -118841,63 +118865,47 @@ (net buf_api_stat_fifo_to_apl_40 (joined (portRef Q (instanceRef fifo_to_apl_data_out_0)) (portRef (member buf_api_stat_fifo_to_apl 1) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_0)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) (portRef D (instanceRef combined_header_F1_0)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_0)) )) - (net un1_fifo_to_apl_long_packet_num_out (joined - (portRef Z (instanceRef un1_fifo_to_apl_long_packet_num_out)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_1)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_0)) - (portRef SP (instanceRef saved_fifo_to_apl_packet_type_2)) - (portRef SP (instanceRef saved_fifo_to_apl_packet_type_1)) - (portRef SP (instanceRef saved_fifo_to_apl_packet_type_0)) - )) (net saved_fifo_to_apl_packet_type_0 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_0)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_0)) - (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) + (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2)) (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) )) (net buf_api_stat_fifo_to_apl_41 (joined (portRef Q (instanceRef fifo_to_apl_data_out_1)) (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_1)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_0_m2_1)) (portRef D (instanceRef combined_header_F1_1)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_1)) )) (net saved_fifo_to_apl_packet_type_1 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_1)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_1)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_0_m2_1)) + (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2)) (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) )) (net fifo_to_apl_data_out_2 (joined (portRef Q (instanceRef fifo_to_apl_data_out_2)) (portRef (member fifo_to_apl_data_out 13) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_2)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_0_m2_2)) (portRef D (instanceRef combined_header_F1_2)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_2)) )) (net saved_fifo_to_apl_packet_type_2 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_2)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef D (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_0_m2_2)) + (portRef D (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2)) (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) )) - (net fifo_to_apl_packet_num_out_0 (joined - (portRef Q (instanceRef fifo_to_apl_packet_num_out_0)) - (portRef (member fifo_to_apl_packet_num_out 1) (instanceRef SBUF_TO_APL)) - (portRef B (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef B (instanceRef state_to_apl_ns_1_0__m17_bm)) - (portRef B (instanceRef un1_fifo_to_apl_long_packet_num_out)) - (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2)) - (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) - )) (net last_fifo_to_apl_read (joined (portRef Q (instanceRef last_fifo_to_apl_read)) + (portRef C (instanceRef state_to_apl_ns_1_0__m11_bm)) + (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) (portRef A (instanceRef fifo_to_apl_long_packet_num_out_3_1)) - (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) @@ -118906,29 +118914,10 @@ (portRef Q (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) (portRef B (instanceRef fifo_to_apl_long_packet_num_out_3_1)) )) - (net fifo_to_apl_long_packet_num_out_3_1 (joined - (portRef Z (instanceRef fifo_to_apl_long_packet_num_out_3_1)) - (portRef (member fifo_to_apl_long_packet_num_out_3 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type)) - (portRef A (instanceRef state_to_apl_ns_1_0__m17_bm)) - (portRef A (instanceRef un1_fifo_to_apl_long_packet_num_out)) - (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) - )) (net saved_fifo_to_apl_long_packet_num_out_1 (joined (portRef Q (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) (portRef C (instanceRef fifo_to_apl_long_packet_num_out_3_1)) )) - (net fifo_to_apl_packet_num_out_1 (joined - (portRef Q (instanceRef fifo_to_apl_packet_num_out_1)) - (portRef (member fifo_to_apl_packet_num_out 0) (instanceRef SBUF_TO_APL)) - (portRef C (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef C (instanceRef state_to_apl_ns_1_0__m17_bm)) - (portRef C (instanceRef un1_fifo_to_apl_long_packet_num_out)) - (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2)) - (portRef A (instanceRef next_APL_DATAREADY_OUT_2)) - (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) - )) (net saved_fifo_to_apl_long_packet_num_out_2 (joined (portRef Q (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) (portRef D (instanceRef fifo_to_apl_long_packet_num_out_3_1)) @@ -119005,7 +118994,7 @@ )) (net endpoint_reached (joined (portRef Q (instanceRef endpoint_reached)) - (portRef B (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) + (portRef C (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0)) (portRef D (instanceRef registered_trailer_F2_0)) )) (net registered_trailer_F2_0 (joined @@ -119511,9 +119500,9 @@ (portRef Q (instanceRef registered_header_F0_15)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_2_15)) )) - (net un1_fifo_to_apl_read (joined - (portRef Z (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) - (portRef D (instanceRef next_last_fifo_to_apl_read)) + (net next_last_fifo_to_apl_readc_i (joined + (portRef Z (instanceRef next_last_fifo_to_apl_readc)) + (portRef CD (instanceRef next_last_fifo_to_apl_read)) )) (net next_last_fifo_to_apl_read (joined (portRef Q (instanceRef next_last_fifo_to_apl_read)) @@ -119540,21 +119529,38 @@ (portRef D (instanceRef fifo_to_apl_read_before)) (portRef D (instanceRef last_fifo_to_apl_read)) )) - (net un2_master_counter_3_m_2 (joined - (portRef Z (instanceRef master_counter_RNO_1)) - (portRef D (instanceRef master_counter_1)) + (net un2_master_counter_3_m_1 (joined + (portRef Z (instanceRef master_counter_RNO_0)) + (portRef D (instanceRef master_counter_0)) )) (net master_counter_6_0_i_2 (joined (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) (portRef D (instanceRef master_counter_2)) )) + (net buf_api_stat_fifo_to_int_56 (joined + (portRef Q (instanceRef master_counter_2)) + (portRef buf_api_stat_fifo_to_int_18 (instanceRef SBUF)) + (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef C (instanceRef master_counter_1_sqmuxa_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_5)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_2)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_1)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) + (portRef B (instanceRef fifo_to_int_read)) + (portRef C (instanceRef master_counter_RNIUJ79_0_2)) + (portRef C (instanceRef master_counter_RNIUJ79_2)) + (portRef C (instanceRef master_end_0_sqmuxa_3)) + (portRef C (instanceRef to_int_un21_next_int_master_dataready_out)) + (portRef C (instanceRef to_int_un11_next_int_master_dataready_out)) + )) (net fifo_was_not_empty_3 (joined (portRef Z (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) (portRef D (instanceRef fifo_was_not_empty)) )) (net buf_api_stat_fifo_to_int_44 (joined (portRef Z (instanceRef fifo_to_int_read)) - (portRef buf_api_stat_fifo_to_int_9 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef buf_api_stat_fifo_to_int_3 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef fifo_to_int_read_before_RNO)) (portRef D (instanceRef fifo_to_int_read_before)) )) @@ -119714,11 +119720,11 @@ (portRef D (instanceRef combined_header_F1_15)) )) (net endpoint_reached_1 (joined - (portRef Z (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) + (portRef Z (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0)) (portRef D (instanceRef endpoint_reached)) )) (net un10_current_fifo_to_apl_packet_type (joined - (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type)) + (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) (portRef SP (instanceRef combined_header_F1_15)) (portRef SP (instanceRef combined_header_F1_14)) (portRef SP (instanceRef combined_header_F1_13)) @@ -119736,7 +119742,7 @@ (portRef SP (instanceRef combined_header_F1_1)) (portRef SP (instanceRef combined_header_F1_0)) )) - (net N_4328_i (joined + (net N_4335_i (joined (portRef Z (instanceRef apl_send_in_timeout_counter_RNO_0)) (portRef D (instanceRef apl_send_in_timeout_counter_0)) )) @@ -119773,8 +119779,8 @@ (net apl_send_in_timeout_counter_3 (joined (portRef Q (instanceRef apl_send_in_timeout_counter_3)) (portRef D (instanceRef apl_send_in_timeout_counter_RNO_3)) - (portRef D (instanceRef apl_send_in_timeout_counter_RNO_2)) (portRef B (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) + (portRef D (instanceRef apl_send_in_timeout_counter_RNO_2)) (portRef B (instanceRef apl_send_in_timeout_counter_RNO_0)) (portRef C (instanceRef apl_send_in_timeout_counter_RNO_1)) )) @@ -119787,6 +119793,7 @@ (portRef GND (instanceRef SBUF)) (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) (portRef B1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) + (portRef A1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) (portRef CIN (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) (portRef A0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) @@ -119813,40 +119820,28 @@ (portRef C0 (instanceRef sequence_counter_cry_0_0)) (portRef A0 (instanceRef sequence_counter_cry_0_0)) )) + (net N_446_i (joined + (portRef Z (instanceRef state_to_int_ns_0_o2_3)) + (portRef B (instanceRef state_to_int_ns_0_i_3)) + )) (net out_select (joined (portRef Z (instanceRef state_to_int_RNIAF6H_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_4)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) (portRef A (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) )) - (net buf_to_apl_INIT_PACKET_NUM_3 (joined - (portRef (member buf_to_apl_init_packet_num 2)) - (portRef buf_to_apl_INIT_PACKET_NUM_0 (instanceRef FIFO_TO_APL)) - (portRef B (instanceRef state_to_apl_ns_1_0__m6_0_a2_2)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_1)) - (portRef D (instanceRef send_trm_wrong_addr_RNO)) - )) - (net buf_to_apl_INIT_PACKET_NUM_5 (joined - (portRef (member buf_to_apl_init_packet_num 0)) - (portRef buf_to_apl_INIT_PACKET_NUM_2 (instanceRef FIFO_TO_APL)) - (portRef C (instanceRef state_to_apl_ns_1_0__m6_0_a2_2)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_1)) - (portRef C (instanceRef send_trm_wrong_addr_RNO)) - )) - (net N_387 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_1)) - (portRef A (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef B (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ)) - (portRef A (instanceRef state_to_apl_ns_1_0__m17_am)) + (net N_292 (joined + (portRef Z (instanceRef un1_state_to_int_1_i_a2)) + (portRef C (instanceRef fifo_to_int_read)) )) (net buf_api_stat_fifo_to_apl_46 (joined (portRef buf_api_stat_fifo_to_apl_11 (instanceRef FIFO_TO_APL)) @@ -119856,29 +119851,12 @@ (portRef Z (instanceRef fifo_to_apl_write)) (portRef buf_api_stat_fifo_to_apl_0 (instanceRef FIFO_TO_APL)) )) - (net buf_api_stat_fifo_to_apl_9 (joined - (portRef buf_api_stat_fifo_to_apl_9) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0)) - (portRef A (instanceRef state_to_apl_ns_1_0__m1_0_a2)) - (portRef A (instanceRef fifo_to_apl_write)) - )) - (net N_2 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m1_0_a2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef B (instanceRef state_to_apl_ns_1_0__m17_am)) - (portRef C (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - )) - (net buf_APL_DATAREADY_IN_1 (joined - (portRef (member buf_apl_dataready_in 0)) - (portRef A (instanceRef fifo_to_int_write_0_a2)) - )) - (net N_292 (joined - (portRef Z (instanceRef un1_state_to_int_1_i_a2)) - (portRef C (instanceRef fifo_to_int_read)) - )) - (net N_446_i (joined - (portRef Z (instanceRef state_to_int_ns_0_o2_3)) - (portRef A (instanceRef state_to_int_ns_0_i_3)) + (net N_5024 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) + (portRef A (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1)) + (portRef A (instanceRef slave_start_0_a2)) + (portRef A (instanceRef send_trm_wrong_addr_RNO)) + (portRef A (instanceRef state_to_apl_ns_1_0__m8_0)) )) (net sequence_counter_s_0 (joined (portRef S1 (instanceRef sequence_counter_cry_0_0)) @@ -119923,390 +119901,335 @@ (portRef S0 (instanceRef sequence_counter_s_0_7)) (portRef A (instanceRef sequence_counterc_6)) )) - (net N_5396 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_7)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - )) - (net N_5399 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_10)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) - )) - (net N_5503 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_0)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) - )) - (net N_5504 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_1)) + (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_4 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_4)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2)) )) - (net N_5510 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_7)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + (net N_5356 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_2)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_2)) )) - (net N_5511 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_8)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + (net N_5369 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_15)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) )) - (net N_5512 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_9)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) + (net N_6680 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_10)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) )) - (net N_4356 (joined + (net N_5364 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_10)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) )) - (net N_5508 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_5)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_5)) - )) - (net N_5514 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_11)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_11)) + (net N_5368 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) )) - (net N_5516 (joined + (net N_5367 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_13)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) )) - (net N_5518 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_15)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) - )) - (net N_5517 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_14)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) - )) - (net N_5515 (joined + (net N_5366 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_12)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) )) - (net N_5509 (joined + (net N_5365 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_11)) + )) + (net N_5363 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_9)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) + )) + (net N_5362 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_8)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + )) + (net N_5361 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_7)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + )) + (net N_5360 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_6)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_6)) )) - (net N_5507 (joined + (net N_5359 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_5)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_5)) + )) + (net N_5358 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_4)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_4)) )) - (net N_5506 (joined + (net N_5357 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_3)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_3)) )) - (net N_4348 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_2)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_2)) + (net N_5355 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_1)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_1)) )) - (net N_5398 (joined + (net N_5354 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_0)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) + )) + (net N_6679 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_9)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) )) - (net N_5397 (joined + (net N_6678 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_8)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) )) - (net N_5393 (joined + (net N_6677 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_7)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + )) + (net N_6674 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_4)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_4)) )) - (net N_5392 (joined + (net N_6673 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_3)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_3)) )) - (net N_5389 (joined + (net N_6670 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_0)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) )) - (net un5_fifo_to_apl_read (joined - (portRef Z (instanceRef to_apl_un5_fifo_to_apl_read)) - (portRef D (instanceRef fifo_to_apl_read_iv)) - )) - (net buf_api_stat_fifo_to_int_47 (joined - (portRef buf_api_stat_fifo_to_int_12 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef state_to_int_ns_0_0_4)) - (portRef B (instanceRef state_to_int_ns_i_5)) - (portRef A (instanceRef fifo_to_int_read)) - (portRef A (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) - (portRef B (instanceRef to_int_un21_next_int_master_dataready_out)) - (portRef B (instanceRef to_int_un11_next_int_master_dataready_out)) - )) - (net un11_next_int_master_dataready_out_0 (joined - (portRef Z (instanceRef to_int_un11_next_int_master_dataready_out)) - (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) - )) (net next_INT_MASTER_DATA_OUT_sn_N_12_0 (joined (portRef Z (instanceRef state_to_int_RNIHQ09_3)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_6)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_11)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_5)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_3)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_4)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_15)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_14)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_12)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_9)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_8)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_8)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_9)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_0)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_12)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_14)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_10)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_15)) )) - (net un1_next_state_to_apl_2_sqmuxa_i_a2_1 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m6_0_a2_2)) - (portRef C (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0)) - (portRef D (instanceRef state_to_apl_ns_1_0__m6_0_a2)) + (net buf_api_stat_fifo_to_int_47 (joined + (portRef buf_api_stat_fifo_to_int_6 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef state_to_int_ns_0_0_4)) + (portRef B (instanceRef state_to_int_ns_i_5)) + (portRef A (instanceRef fifo_to_int_read)) + (portRef A (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) + (portRef B (instanceRef to_int_un21_next_int_master_dataready_out)) + (portRef B (instanceRef to_int_un11_next_int_master_dataready_out)) )) - (net next_INT_MASTER_DATA_OUT_sn_N_15_0 (joined - (portRef Z (instanceRef master_counter_RNIUJ79_2)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_2)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_6)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_11)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_5)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_8_0)) + (net un11_next_int_master_dataready_out_0 (joined + (portRef Z (instanceRef to_int_un11_next_int_master_dataready_out)) + (portRef un11_next_int_master_dataready_out_0 (instanceRef SBUF)) + (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + )) + (net un5_fifo_to_apl_read (joined + (portRef Z (instanceRef to_apl_un5_fifo_to_apl_read)) + (portRef D (instanceRef next_last_fifo_to_apl_readc)) + (portRef D (instanceRef fifo_to_apl_read_iv)) )) (net un21_next_int_master_dataready_out_0 (joined (portRef Z (instanceRef to_int_un21_next_int_master_dataready_out)) - (portRef un21_next_int_master_dataready_out_0 (instanceRef SBUF)) - (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) )) (net next_APL_DATAREADY_OUT_2 (joined (portRef Z (instanceRef next_APL_DATAREADY_OUT_2)) (portRef B (instanceRef fifo_to_apl_read_before_RNO)) (portRef C (instanceRef next_APL_DATAREADY_OUT)) )) - (net buf_to_apl_INIT_PACKET_NUM_4 (joined - (portRef (member buf_to_apl_init_packet_num 1)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0)) - (portRef B (instanceRef state_to_apl_ns_1_0__m1_0_a2)) - )) - (net m15_3 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m15_3)) - (portRef C (instanceRef state_to_apl_ns_1_0__N_17_i)) - )) - (net un11_reg_apl_typ_out_2 (joined - (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out_2)) - (portRef C (instanceRef to_apl_un11_reg_apl_typ_out)) + (net buf_api_stat_fifo_to_apl_9 (joined + (portRef buf_api_stat_fifo_to_apl_9) + (portRef B (instanceRef state_to_apl_ns_1_0__m8_0)) + (portRef A (instanceRef state_to_apl_ns_1_0__m6_0_a3)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + (portRef A (instanceRef fifo_to_apl_write)) )) - (net un11_reg_apl_typ_out_3 (joined - (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out_3)) - (portRef D (instanceRef to_apl_un11_reg_apl_typ_out)) + (net N_5038 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + (portRef B (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1)) + (portRef B (instanceRef slave_start_0_a2)) + (portRef B (instanceRef send_trm_wrong_addr_RNO)) )) - (net tmp_4 (joined - (portRef Z (instanceRef to_apl_and_all_tmp_4)) - (portRef A (instanceRef to_apl_and_all_tmp)) + (net buf_to_apl_INIT_DATA_16 (joined + (portRef (member buf_to_apl_init_data 15)) + (portRef (member buf_to_apl_init_data 15) (instanceRef FIFO_TO_APL)) + (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) + (portRef A (instanceRef slave_start_0_a2_0_3_0_a2)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_4)) )) (net buf_to_apl_INIT_DATA_18 (joined (portRef (member buf_to_apl_init_data 13)) (portRef (member buf_to_apl_init_data 13) (instanceRef FIFO_TO_APL)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef B (instanceRef to_apl_un23_int_slave_dataready_in_7)) - (portRef A (instanceRef to_apl_and_all_tmp_5)) - (portRef A (instanceRef state_to_apl_ns_1_0__m6_0_a2_2)) + (portRef C (instanceRef slave_start_0_a2_0_3_0_a2)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_4)) )) - (net tmp_5 (joined - (portRef Z (instanceRef to_apl_and_all_tmp_5)) - (portRef B (instanceRef to_apl_and_all_tmp)) + (net buf_to_apl_INIT_PACKET_NUM_5 (joined + (portRef (member buf_to_apl_init_packet_num 0)) + (portRef buf_to_apl_INIT_PACKET_NUM_2 (instanceRef FIFO_TO_APL)) + (portRef B (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0)) + (portRef B (instanceRef slave_start_0_o2_0)) + (portRef D (instanceRef slave_start_0_a2_0_3_0_a2)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) )) - (net tmp_6_0 (joined - (portRef Z (instanceRef to_apl_and_all_tmp_6_0)) - (portRef A (instanceRef to_apl_un23_int_slave_dataready_in)) - (portRef C (instanceRef to_apl_and_all_tmp)) + (net N_380_4 (joined + (portRef Z (instanceRef slave_start_0_a2_0_3_0_a2)) + (portRef C (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0)) + (portRef C (instanceRef slave_start_0_o2_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m6_0_a3)) + )) + (net un11_reg_apl_typ_out_2 (joined + (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out_2)) + (portRef B (instanceRef state_to_apl_ns_1_0__m19_bm)) )) - (net tmp_7_0 (joined - (portRef Z (instanceRef to_apl_and_all_tmp_7_0)) - (portRef D (instanceRef to_apl_and_all_tmp)) + (net m15_3 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m15_3)) + (portRef C (instanceRef state_to_apl_ns_1_0__N_17_i)) )) (net un9_saved_fifo_to_apl_packet_type_3 (joined (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) (portRef D (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef D (instanceRef state_to_apl_ns_1_0__m17_bm)) - )) - (net buf_to_apl_INIT_DATA_17 (joined - (portRef (member buf_to_apl_init_data 14)) - (portRef (member buf_to_apl_init_data 14) (instanceRef FIFO_TO_APL)) - (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) - (portRef B (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0)) - (portRef B (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef A (instanceRef to_apl_un23_int_slave_dataready_in_5)) - (portRef B (instanceRef to_apl_and_all_tmp_7_0)) - )) - (net buf_to_apl_INIT_DATA_19 (joined - (portRef (member buf_to_apl_init_data 12)) - (portRef (member buf_to_apl_init_data 12) (instanceRef FIFO_TO_APL)) - (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef B (instanceRef to_apl_un23_int_slave_dataready_in_5)) - (portRef B (instanceRef to_apl_and_all_tmp_5)) - )) - (net buf_to_apl_INIT_DATA_22 (joined - (portRef (member buf_to_apl_init_data 9)) - (portRef (member buf_to_apl_init_data 9) (instanceRef FIFO_TO_APL)) - (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef C (instanceRef to_apl_un23_int_slave_dataready_in_5)) - (portRef C (instanceRef to_apl_and_all_tmp_4)) - )) - (net buf_to_apl_INIT_DATA_25 (joined - (portRef (member buf_to_apl_init_data 6)) - (portRef (member buf_to_apl_init_data 6) (instanceRef FIFO_TO_APL)) - (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef D (instanceRef to_apl_un23_int_slave_dataready_in_5)) - (portRef D (instanceRef to_apl_and_all_tmp_5)) - )) - (net un23_int_slave_dataready_in_5 (joined - (portRef Z (instanceRef to_apl_un23_int_slave_dataready_in_5)) - (portRef B (instanceRef to_apl_un23_int_slave_dataready_in)) - )) - (net buf_to_apl_INIT_DATA_20 (joined - (portRef (member buf_to_apl_init_data 11)) - (portRef (member buf_to_apl_init_data 11) (instanceRef FIFO_TO_APL)) - (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef A (instanceRef to_apl_un23_int_slave_dataready_in_6)) - (portRef A (instanceRef to_apl_and_all_tmp_4)) - )) - (net buf_to_apl_INIT_DATA_21 (joined - (portRef (member buf_to_apl_init_data 10)) - (portRef (member buf_to_apl_init_data 10) (instanceRef FIFO_TO_APL)) - (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef B (instanceRef to_apl_un23_int_slave_dataready_in_6)) - (portRef B (instanceRef to_apl_and_all_tmp_4)) - )) - (net buf_to_apl_INIT_DATA_23 (joined - (portRef (member buf_to_apl_init_data 8)) - (portRef (member buf_to_apl_init_data 8) (instanceRef FIFO_TO_APL)) - (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef C (instanceRef to_apl_un23_int_slave_dataready_in_6)) - (portRef D (instanceRef to_apl_and_all_tmp_4)) - )) - (net buf_to_apl_INIT_DATA_24 (joined - (portRef (member buf_to_apl_init_data 7)) - (portRef (member buf_to_apl_init_data 7) (instanceRef FIFO_TO_APL)) - (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef D (instanceRef to_apl_un23_int_slave_dataready_in_6)) - (portRef C (instanceRef to_apl_and_all_tmp_5)) - )) - (net un23_int_slave_dataready_in_6 (joined - (portRef Z (instanceRef to_apl_un23_int_slave_dataready_in_6)) - (portRef C (instanceRef to_apl_un23_int_slave_dataready_in)) - )) - (net buf_to_apl_INIT_DATA_16 (joined - (portRef (member buf_to_apl_init_data 15)) - (portRef (member buf_to_apl_init_data 15) (instanceRef FIFO_TO_APL)) - (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) - (portRef A (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0)) - (portRef A (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef A (instanceRef to_apl_un23_int_slave_dataready_in_7)) - (portRef A (instanceRef to_apl_and_all_tmp_7_0)) - )) - (net buf_to_apl_INIT_DATA_26 (joined - (portRef (member buf_to_apl_init_data 5)) - (portRef (member buf_to_apl_init_data 5) (instanceRef FIFO_TO_APL)) - (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef C (instanceRef to_apl_un23_int_slave_dataready_in_7)) - (portRef C (instanceRef to_apl_and_all_tmp_7_0)) - )) - (net buf_to_apl_INIT_DATA_27 (joined - (portRef (member buf_to_apl_init_data 4)) - (portRef (member buf_to_apl_init_data 4) (instanceRef FIFO_TO_APL)) - (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef D (instanceRef to_apl_un23_int_slave_dataready_in_7)) - (portRef D (instanceRef to_apl_and_all_tmp_7_0)) + (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) )) - (net un23_int_slave_dataready_in_7 (joined - (portRef Z (instanceRef to_apl_un23_int_slave_dataready_in_7)) - (portRef D (instanceRef to_apl_un23_int_slave_dataready_in)) + (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2)) )) - (net un10_current_fifo_to_apl_packet_type_2 (joined - (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2)) - (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type)) + (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2)) )) - (net N_5402 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) + (net un10_current_fifo_to_apl_packet_type_0_a3_2 (joined + (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2)) + (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) )) - (net N_5405 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_5)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_5)) + (net N_4372 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_2)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) )) - (net N_5410 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_11)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_11)) + (net next_INT_MASTER_DATA_OUT_sn_N_15_0 (joined + (portRef Z (instanceRef master_counter_RNIUJ79_2)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_8_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_6)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_11)) )) (net next_INT_MASTER_DATA_OUT_sn_N_17_0 (joined (portRef Z (instanceRef master_counter_RNIUJ79_0_2)) (portRef next_INT_MASTER_DATA_OUT_sn_N_17_0 (instanceRef SBUF)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_2)) + (portRef SD (instanceRef next_INT_MASTER_DATA_OUT_0)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_6)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_11)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_5)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_2)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_1)) - (portRef SD (instanceRef next_INT_MASTER_DATA_OUT_0)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_3)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_14)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_13)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_12)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_9)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_3)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_10)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_12)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_14)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_15)) )) - (net N_4364 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_2)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) + (net N_4381 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_11)) )) - (net N_5406 (joined + (net N_4376 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_6)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_6)) )) - (net N_7 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef C (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef C (instanceRef state_to_apl_ns_1_0__m17_am)) + (net N_4375 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_5)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_5)) + )) + (net N_4371 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_1)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) )) (net buf_api_stat_fifo_to_int_48 (joined (portRef Z (instanceRef next_APL_DATAREADY_OUT)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef SBUF_TO_APL2)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef SBUF_TO_APL)) )) - (net un1_next_state_to_apl_2_sqmuxa_i_a2_0 (joined - (portRef Z (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0)) - (portRef D (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ)) - )) - (net next_INT_MASTER_DATA_OUT_8_am_0_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) - )) - (net next_INT_MASTER_DATA_OUT_8_bm_0_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_bm_0)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) + (net buf_to_apl_INIT_PACKET_NUM_3 (joined + (portRef (member buf_to_apl_init_packet_num 2)) + (portRef buf_to_apl_INIT_PACKET_NUM_0 (instanceRef FIFO_TO_APL)) + (portRef A (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0)) + (portRef A (instanceRef slave_start_0_o2_0)) + (portRef B (instanceRef state_to_apl_ns_1_0__m6_0_a3)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) )) - (net N_574 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_0)) - (portRef D0 (instanceRef next_INT_MASTER_DATA_OUT_0)) + (net buf_to_apl_INIT_PACKET_NUM_4 (joined + (portRef (member buf_to_apl_init_packet_num 1)) + (portRef C (instanceRef state_to_apl_ns_1_0__m8_0)) + (portRef C (instanceRef state_to_apl_ns_1_0__m6_0_a3)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) )) - (net buf_APL_DATAREADY_OUT_1 (joined - (portRef (member buf_apl_dataready_out 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef to_apl_un11_reg_apl_typ_out)) - (portRef A (instanceRef to_apl_un11_reg_apl_typ_out_3)) - (portRef (member buf_apl_dataready_out 0)) + (net N_7 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m6_0_a3)) + (portRef B (instanceRef state_to_apl_ns_1_0__m11_am)) + (portRef D (instanceRef state_to_apl_ns_1_0__m8_0)) )) - (net un11_reg_apl_typ_out (joined - (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out)) - (portRef D (instanceRef state_to_apl_ns_1_0__m19)) + (net un11_reg_apl_typ_out_3_0 (joined + (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out_3_0)) + (portRef C (instanceRef state_to_apl_ns_1_0__m19_bm)) )) - (net tmp (joined - (portRef Z (instanceRef to_apl_and_all_tmp)) - (portRef A (instanceRef to_apl_un21_int_slave_dataready_in)) + (net N_9 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m8_0)) + (portRef C (instanceRef state_to_apl_ns_1_0__m11_am)) + (portRef B (instanceRef state_to_apl_ns_1_0__m19_am)) )) - (net un23_int_slave_dataready_in (joined - (portRef Z (instanceRef to_apl_un23_int_slave_dataready_in)) - (portRef C (instanceRef to_apl_un21_int_slave_dataready_in)) + (net un9_saved_fifo_to_apl_packet_type (joined + (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (portRef C (instanceRef state_to_apl_ns_1_0__m19_am)) )) (net next_INT_MASTER_DATAREADY_OUT_iv_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) (portRef next_INT_MASTER_DATAREADY_OUT_iv_0 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) )) + (net un9_int_slave_dataready_in (joined + (portRef S1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m11_am)) + (portRef D (instanceRef send_trm_wrong_addr_RNO)) + (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0)) + (portRef D (instanceRef slave_start_0_o2_0)) + )) + (net slave_start_0_o2_0 (joined + (portRef Z (instanceRef slave_start_0_o2_0)) + (portRef D (instanceRef slave_start_0_a2)) + )) + (net endpoint_reached_1_iv_0_1_tz_0 (joined + (portRef Z (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0)) + (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1)) + )) + (net fifo_to_int_data_out_15 (joined + (portRef (member fifo_to_int_data_out 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_0_15 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_15)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_0_15 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_15)) + )) + (net N_572 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_15)) + (portRef N_572 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_15)) + )) (net fifo_to_int_data_out_10 (joined (portRef (member fifo_to_int_data_out 5) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) @@ -120324,72 +120247,47 @@ (portRef N_567 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_10)) )) - (net buf_api_stat_fifo_to_int_41 (joined - (portRef buf_api_stat_fifo_to_int_6 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_0_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) - )) - (net N_557 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_0)) - (portRef D1 (instanceRef next_INT_MASTER_DATA_OUT_0)) - )) - (net fifo_to_int_data_out_7 (joined - (portRef (member fifo_to_int_data_out 8) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_0_7 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_7 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + (net next_INT_MASTER_DATA_OUT_8_am_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) )) - (net N_564 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - (portRef N_564 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7)) + (net next_INT_MASTER_DATA_OUT_8_bm_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_bm_0)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) )) - (net fifo_to_int_data_out_8 (joined - (portRef (member fifo_to_int_data_out 7) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + (net fifo_to_int_data_out_14 (joined + (portRef (member fifo_to_int_data_out 1) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) )) - (net next_INT_MASTER_DATA_OUT_7_am_0_8 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) + (net next_INT_MASTER_DATA_OUT_7_am_0_14 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_14)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_8 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) + (net next_INT_MASTER_DATA_OUT_7_bm_0_14 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_14)) )) - (net N_565 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_8)) - (portRef N_565 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8)) + (net N_571 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_14)) + (portRef N_571 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_14)) )) - (net fifo_to_int_data_out_9 (joined - (portRef (member fifo_to_int_data_out 6) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) + (net fifo_to_int_data_out_13 (joined + (portRef (member fifo_to_int_data_out 2) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) )) - (net next_INT_MASTER_DATA_OUT_7_am_0_9 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_9)) + (net next_INT_MASTER_DATA_OUT_7_am_0_13 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_9 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_9)) + (net next_INT_MASTER_DATA_OUT_7_bm_0_13 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) )) - (net N_566 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_9)) - (portRef N_566 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_9)) + (net N_570 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + (portRef N_570 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_13)) )) (net fifo_to_int_data_out_12 (joined (portRef (member fifo_to_int_data_out 3) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) @@ -120408,56 +120306,72 @@ (portRef N_569 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_12)) )) - (net fifo_to_int_data_out_14 (joined - (portRef (member fifo_to_int_data_out 1) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) + (net buf_api_stat_fifo_to_int_41 (joined + (portRef buf_api_stat_fifo_to_int_0 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) )) - (net next_INT_MASTER_DATA_OUT_7_am_0_14 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_14)) + (net next_INT_MASTER_DATA_OUT_7_am_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_14 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_14)) + (net next_INT_MASTER_DATA_OUT_7_bm_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) )) - (net N_571 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_14)) - (portRef N_571 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_14)) + (net N_557 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_0)) + (portRef D1 (instanceRef next_INT_MASTER_DATA_OUT_0)) )) - (net fifo_to_int_data_out_15 (joined - (portRef (member fifo_to_int_data_out 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) + (net fifo_to_int_data_out_9 (joined + (portRef (member fifo_to_int_data_out 6) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) )) - (net next_INT_MASTER_DATA_OUT_7_am_0_15 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_15)) + (net next_INT_MASTER_DATA_OUT_7_am_0_9 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_9)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_15 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_15)) + (net next_INT_MASTER_DATA_OUT_7_bm_0_9 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_9)) )) - (net N_572 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_15)) - (portRef N_572 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_15)) + (net N_566 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_9)) + (portRef N_566 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_9)) )) - (net fifo_to_int_data_out_13 (joined - (portRef (member fifo_to_int_data_out 2) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) + (net fifo_to_int_data_out_8 (joined + (portRef (member fifo_to_int_data_out 7) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) )) - (net next_INT_MASTER_DATA_OUT_7_am_13 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + (net next_INT_MASTER_DATA_OUT_7_am_0_8 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_13 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + (net next_INT_MASTER_DATA_OUT_7_bm_0_8 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) )) - (net N_570 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - (portRef N_570 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_13)) + (net N_565 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_8)) + (portRef N_565 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8)) + )) + (net fifo_to_int_data_out_7 (joined + (portRef (member fifo_to_int_data_out 8) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_0_7 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_0_7 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + )) + (net N_564 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + (portRef N_564 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7)) )) (net fifo_to_int_data_out_4 (joined (portRef (member fifo_to_int_data_out 11) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) @@ -120496,7 +120410,27 @@ (net buf_api_stat_fifo_to_apl_43 (joined (portRef Z (instanceRef fifo_to_apl_read_iv)) (portRef buf_api_stat_fifo_to_apl_8 (instanceRef FIFO_TO_APL)) - (portRef A (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) + )) + (net un1_fifo_to_apl_long_packet_num_out_0_o2 (joined + (portRef Z (instanceRef un1_fifo_to_apl_long_packet_num_out_0_o2)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_0_m2_2)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_0_m2_1)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) + )) + (net next_fifo_to_apl_empty (joined + (portRef next_fifo_to_apl_empty (instanceRef FIFO_TO_APL)) + (portRef B (instanceRef next_last_fifo_to_apl_readc)) + )) + (net N_5020 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2)) + )) + (net N_5075 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2)) + (portRef A (instanceRef state_to_apl_ns_1_0__m11_am)) + (portRef C (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1)) + (portRef C (instanceRef slave_start_0_a2)) + (portRef C (instanceRef send_trm_wrong_addr_RNO)) )) (net state_to_int_ns_0_0_4 (joined (portRef Z (instanceRef state_to_int_ns_0_0_4)) @@ -120506,66 +120440,41 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_15)) (portRef (member next_int_master_data_out 0) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_14 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_14)) - (portRef (member next_int_master_data_out 1) (instanceRef SBUF)) - )) - (net next_INT_MASTER_DATA_OUT_12 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_12)) - (portRef (member next_int_master_data_out 3) (instanceRef SBUF)) - )) (net next_INT_MASTER_DATA_OUT_10 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_10)) (portRef (member next_int_master_data_out 5) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_9 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_9)) - (portRef (member next_int_master_data_out 6) (instanceRef SBUF)) + (net next_INT_MASTER_DATA_OUT_3 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_3)) + (portRef (member next_int_master_data_out 12) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_8 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8)) - (portRef (member next_int_master_data_out 7) (instanceRef SBUF)) + (net next_INT_MASTER_DATA_OUT_4 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4)) + (portRef (member next_int_master_data_out 11) (instanceRef SBUF)) )) (net next_INT_MASTER_DATA_OUT_7 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7)) (portRef (member next_int_master_data_out 8) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_0 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_0)) - (portRef (member current_fifo_to_apl_packet_type 2) (instanceRef SBUF_TO_APL2)) + (net next_INT_MASTER_DATA_OUT_8 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8)) + (portRef (member next_int_master_data_out 7) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_1 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_1)) - (portRef (member current_fifo_to_apl_packet_type 1) (instanceRef SBUF_TO_APL2)) - (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type)) + (net next_INT_MASTER_DATA_OUT_9 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_9)) + (portRef (member next_int_master_data_out 6) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_2 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef (member current_fifo_to_apl_packet_type 0) (instanceRef SBUF_TO_APL2)) + (net next_INT_MASTER_DATA_OUT_12 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_12)) + (portRef (member next_int_master_data_out 3) (instanceRef SBUF)) )) (net next_INT_MASTER_DATA_OUT_13 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_13)) (portRef (member next_int_master_data_out 2) (instanceRef SBUF)) )) - (net m17_am (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m17_am)) - (portRef BLUT (instanceRef state_to_apl_ns_1_0__m17)) - )) - (net m17_bm (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m17_bm)) - (portRef ALUT (instanceRef state_to_apl_ns_1_0__m17)) - )) - (net N_18 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m17)) - (portRef C (instanceRef state_to_apl_ns_1_0__m19)) - )) - (net next_INT_MASTER_DATA_OUT_3 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_3)) - (portRef (member next_int_master_data_out 12) (instanceRef SBUF)) - )) - (net next_INT_MASTER_DATA_OUT_4 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4)) - (portRef (member next_int_master_data_out 11) (instanceRef SBUF)) + (net next_INT_MASTER_DATA_OUT_14 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_14)) + (portRef (member next_int_master_data_out 1) (instanceRef SBUF)) )) (net int_dataready_in_i (joined (portRef int_dataready_in_i) @@ -120583,10 +120492,6 @@ (portRef un3_current_syn_dataready_out (instanceRef SBUF)) (portRef D (instanceRef sbuf_free_1_0_i)) )) - (net next_INT_MASTER_DATA_OUT_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_0)) - (portRef (member next_int_master_data_out 15) (instanceRef SBUF)) - )) (net next_INT_MASTER_DATA_OUT_am_0_1 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_1)) (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_1)) @@ -120599,9 +120504,17 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1)) (portRef (member next_int_master_data_out 14) (instanceRef SBUF)) )) - (net un26_clk_en (joined - (portRef Z (instanceRef un26_clk_en)) - (portRef B (instanceRef fifo_to_int_read_before_RNO)) + (net next_INT_MASTER_DATA_OUT_am_0_2 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_2)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_2)) + )) + (net next_INT_MASTER_DATA_OUT_bm_0_2 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_2)) + )) + (net next_INT_MASTER_DATA_OUT_2 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2)) + (portRef (member next_int_master_data_out 13) (instanceRef SBUF)) )) (net next_INT_MASTER_DATA_OUT_am_5 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_5)) @@ -120615,10 +120528,6 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_5)) (portRef (member next_int_master_data_out 10) (instanceRef SBUF)) )) - (net next_fifo_to_apl_empty (joined - (portRef next_fifo_to_apl_empty (instanceRef FIFO_TO_APL)) - (portRef B (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) - )) (net next_INT_MASTER_DATA_OUT_am_11 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_11)) (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_11)) @@ -120643,32 +120552,48 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_6)) (portRef (member next_int_master_data_out 9) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_am_2 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_2)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_2)) + (net un26_clk_en (joined + (portRef Z (instanceRef master_counter_1_sqmuxa_0)) + (portRef B (instanceRef master_counter_RNO_0)) + (portRef B (instanceRef fifo_to_int_read_before_RNO)) )) - (net next_INT_MASTER_DATA_OUT_bm_2 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_2)) + (net N_5039 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) + (portRef N_5039 (instanceRef SBUF_TO_APL2)) )) - (net next_INT_MASTER_DATA_OUT_2 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2)) - (portRef (member next_int_master_data_out 13) (instanceRef SBUF)) + (net N_5040 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_0_m2_1)) + (portRef N_5040 (instanceRef SBUF_TO_APL2)) )) - (net un9_int_slave_dataready_in_i (joined - (portRef S1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef B (instanceRef to_apl_un21_int_slave_dataready_in)) + (net N_5041 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_0_m2_2)) + (portRef N_5041 (instanceRef SBUF_TO_APL2)) )) - (net slave_start_i_0 (joined - (portRef Z (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ)) + (net m19_am (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m19_am)) + (portRef BLUT (instanceRef state_to_apl_ns_1_0__m19)) + )) + (net m19_bm (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m19_bm)) + (portRef ALUT (instanceRef state_to_apl_ns_1_0__m19)) + )) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1) + (portRef D (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef D (instanceRef state_to_int_RNO_5)) + (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0)) + (portRef C (instanceRef master_counter_RNO_0)) + (portRef A (instanceRef master_counter_RNO_1)) + )) + (net slave_start (joined + (portRef Z (instanceRef slave_start_0_a2)) (portRef B (instanceRef state_to_int_RNO_5)) (portRef B (instanceRef state_to_int_ns_0_i_1)) (portRef B (instanceRef state_to_int_ns_0_i_4)) - (portRef C (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) )) - (net un2_master_counter_3_m_3 (joined - (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (net endpoint_reached_1_iv_0_1 (joined + (portRef Z (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1)) + (portRef B (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0)) )) (net m11_am (joined (portRef Z (instanceRef state_to_apl_ns_1_0__m11_am)) @@ -120682,12 +120607,36 @@ (portRef Z (instanceRef state_to_apl_ns_1_0__m11)) (portRef B (instanceRef state_to_apl_ns_1_0__N_17_i)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef D (instanceRef state_to_int_RNO_5)) - (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) + (net N_574 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_0)) + (portRef D0 (instanceRef next_INT_MASTER_DATA_OUT_0)) + )) + (net next_INT_MASTER_DATA_OUT_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_0)) + (portRef (member next_int_master_data_out 15) (instanceRef SBUF)) + )) + (net master_counter_6_0_i_1_2 (joined + (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) )) + (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2)) + )) + (net buf_to_apl_INIT_DATA_17 (joined + (portRef (member buf_to_apl_init_data 14)) + (portRef (member buf_to_apl_init_data 14) (instanceRef FIFO_TO_APL)) + (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2)) + (portRef B (instanceRef slave_start_0_a2_0_3_0_a2)) + )) + (net buf_to_apl_INIT_DATA_23 (joined + (portRef (member buf_to_apl_init_data 8)) + (portRef (member buf_to_apl_init_data 8) (instanceRef FIFO_TO_APL)) + (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1)) + )) (net sequence_counter_cry_0 (joined (portRef COUT (instanceRef sequence_counter_cry_0_0)) (portRef CIN (instanceRef sequence_counter_cry_0_1)) @@ -120743,6 +120692,12 @@ (portRef B0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) (portRef D (instanceRef registered_header_F0_3)) )) + (net buf_to_apl_INIT_DATA_19 (joined + (portRef (member buf_to_apl_init_data 12)) + (portRef (member buf_to_apl_init_data 12) (instanceRef FIFO_TO_APL)) + (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1)) + )) (net MY_ADDRESS_4 (joined (portRef (member my_address 11)) (portRef A1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) @@ -120753,6 +120708,18 @@ (portRef B1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) (portRef D (instanceRef registered_header_F0_5)) )) + (net buf_to_apl_INIT_DATA_20 (joined + (portRef (member buf_to_apl_init_data 11)) + (portRef (member buf_to_apl_init_data 11) (instanceRef FIFO_TO_APL)) + (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1)) + )) + (net buf_to_apl_INIT_DATA_21 (joined + (portRef (member buf_to_apl_init_data 10)) + (portRef (member buf_to_apl_init_data 10) (instanceRef FIFO_TO_APL)) + (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1)) + )) (net un9_int_slave_dataready_in_0_data_tmp_2 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) (portRef CIN (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) @@ -120773,6 +120740,12 @@ (portRef B0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) (portRef D (instanceRef registered_header_F0_7)) )) + (net buf_to_apl_INIT_DATA_22 (joined + (portRef (member buf_to_apl_init_data 9)) + (portRef (member buf_to_apl_init_data 9) (instanceRef FIFO_TO_APL)) + (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + )) (net MY_ADDRESS_8 (joined (portRef (member my_address 7)) (portRef A1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) @@ -120783,6 +120756,18 @@ (portRef B1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) (portRef D (instanceRef registered_header_F0_9)) )) + (net buf_to_apl_INIT_DATA_24 (joined + (portRef (member buf_to_apl_init_data 7)) + (portRef (member buf_to_apl_init_data 7) (instanceRef FIFO_TO_APL)) + (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2)) + )) + (net buf_to_apl_INIT_DATA_25 (joined + (portRef (member buf_to_apl_init_data 6)) + (portRef (member buf_to_apl_init_data 6) (instanceRef FIFO_TO_APL)) + (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + )) (net un9_int_slave_dataready_in_0_data_tmp_4 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) (portRef CIN (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) @@ -120803,6 +120788,18 @@ (portRef B0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) (portRef D (instanceRef registered_header_F0_11)) )) + (net buf_to_apl_INIT_DATA_26 (joined + (portRef (member buf_to_apl_init_data 5)) + (portRef (member buf_to_apl_init_data 5) (instanceRef FIFO_TO_APL)) + (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + )) + (net buf_to_apl_INIT_DATA_27 (joined + (portRef (member buf_to_apl_init_data 4)) + (portRef (member buf_to_apl_init_data 4) (instanceRef FIFO_TO_APL)) + (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + )) (net MY_ADDRESS_12 (joined (portRef (member my_address 3)) (portRef A1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) @@ -120817,13 +120814,13 @@ (portRef (member buf_to_apl_init_data 3)) (portRef (member buf_to_apl_init_data 3) (instanceRef FIFO_TO_APL)) (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef A (instanceRef to_apl_and_all_tmp_6_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) )) (net buf_to_apl_INIT_DATA_29 (joined (portRef (member buf_to_apl_init_data 2)) (portRef (member buf_to_apl_init_data 2) (instanceRef FIFO_TO_APL)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef B (instanceRef to_apl_and_all_tmp_6_0)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) )) (net un9_int_slave_dataready_in_0_data_tmp_6 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) @@ -120849,13 +120846,13 @@ (portRef (member buf_to_apl_init_data 1)) (portRef (member buf_to_apl_init_data 1) (instanceRef FIFO_TO_APL)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef C (instanceRef to_apl_and_all_tmp_6_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) )) (net buf_to_apl_INIT_DATA_31 (joined (portRef (member buf_to_apl_init_data 0)) (portRef (member buf_to_apl_init_data 0) (instanceRef FIFO_TO_APL)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef D (instanceRef to_apl_and_all_tmp_6_0)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) )) (net un9_int_slave_dataready_in_0_I_21_0_COUT (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) @@ -120927,13 +120924,13 @@ (portRef (member apl_to_buf_reply_data 0) (instanceRef SBUF)) (portRef (member apl_to_buf_reply_data 0)) )) - (net buf_api_stat_fifo_to_int_3 (joined + (net buf_api_stat_fifo_to_int_0 (joined (portRef buf_api_stat_fifo_to_int_0 (instanceRef SBUF)) - (portRef buf_api_stat_fifo_to_int_3) + (portRef buf_api_stat_fifo_to_int_0) )) - (net buf_api_stat_fifo_to_int_4 (joined + (net buf_api_stat_fifo_to_int_1 (joined (portRef buf_api_stat_fifo_to_int_1 (instanceRef SBUF)) - (portRef buf_api_stat_fifo_to_int_4) + (portRef buf_api_stat_fifo_to_int_1) )) (net apl_to_buf_REPLY_READ_i_3_i_1 (joined (portRef (member apl_to_buf_reply_read_i_3_i 0)) @@ -121018,6 +121015,11 @@ (portRef C (instanceRef to_apl_un11_reg_apl_typ_out_2)) (portRef (member buf_apl_packet_num_out 0)) )) + (net buf_APL_DATAREADY_OUT_1 (joined + (portRef (member buf_apl_dataready_out 0) (instanceRef SBUF_TO_APL)) + (portRef A (instanceRef to_apl_un11_reg_apl_typ_out_3_0)) + (portRef (member buf_apl_dataready_out 0)) + )) (net buf_APL_READ_IN_1 (joined (portRef (member buf_apl_read_in 0)) (portRef (member buf_apl_read_in 0) (instanceRef SBUF_TO_APL2)) @@ -121026,40 +121028,26 @@ )) (net buf_APL_TYP_OUT_0 (joined (portRef (member buf_apl_typ_out 2) (instanceRef SBUF_TO_APL2)) - (portRef B (instanceRef to_apl_un11_reg_apl_typ_out_3)) + (portRef B (instanceRef to_apl_un11_reg_apl_typ_out_3_0)) (portRef (member buf_apl_typ_out 2)) )) (net buf_APL_TYP_OUT_1 (joined (portRef (member buf_apl_typ_out 1) (instanceRef SBUF_TO_APL2)) - (portRef C (instanceRef to_apl_un11_reg_apl_typ_out_3)) + (portRef C (instanceRef to_apl_un11_reg_apl_typ_out_3_0)) (portRef (member buf_apl_typ_out 1)) )) (net buf_APL_TYP_OUT_2 (joined (portRef (member buf_apl_typ_out 0) (instanceRef SBUF_TO_APL2)) - (portRef B (instanceRef to_apl_un11_reg_apl_typ_out)) + (portRef D (instanceRef to_apl_un11_reg_apl_typ_out_3_0)) (portRef (member buf_apl_typ_out 0)) )) (net buf_api_stat_fifo_to_apl_i_46 (joined (portRef (member buf_api_stat_fifo_to_apl_i 0) (instanceRef FIFO_TO_APL)) (portRef (member buf_api_stat_fifo_to_apl_i 0)) )) - (net buf_api_stat_fifo_to_int_11 (joined - (portRef buf_api_stat_fifo_to_int_11 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef fifo_to_int_write_0_a2)) - (portRef buf_api_stat_fifo_to_int_11) - )) - (net buf_api_stat_fifo_to_int_0 (joined - (portRef Z (instanceRef fifo_to_int_write_0_a2)) - (portRef buf_api_stat_fifo_to_int_0 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef buf_api_stat_fifo_to_int_0) - )) - (net state_0 (joined - (portRef (member state 1)) - (portRef (member state 1) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - )) - (net state_1 (joined - (portRef (member state 0)) - (portRef (member state 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_api_stat_fifo_to_int_8 (joined + (portRef buf_api_stat_fifo_to_int_5 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef buf_api_stat_fifo_to_int_8) )) (net buf_APL_DATA_IN_16 (joined (portRef (member buf_apl_data_in 15)) @@ -121129,18 +121117,14 @@ (portRef (member buf_apl_packet_num_in 0)) (portRef (member buf_apl_packet_num_in 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net waiting_word (joined - (portRef waiting_word) - (portRef waiting_word (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net N_5723_i (joined + (portRef N_5723_i) + (portRef N_5723_i (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net FF_23_RNICS201 (joined - (portRef FF_23_RNICS201 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef FF_23_RNICS201) - )) - (net reset_i_19 (joined - (portRef reset_i_19) - (portRef reset_i_19 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef reset_i_19 (instanceRef FIFO_TO_APL)) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef reset_i_20 (instanceRef FIFO_TO_APL)) )) ) (property HGROUP (string "API_group")) @@ -121150,7 +121134,9 @@ (view netlist (viewType NETLIST) (interface (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(23:21)") 3) (direction OUTPUT)) - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction OUTPUT)) + (port current_output_data_buffer_0 (direction OUTPUT)) + (port current_output_data_buffer_3 (direction OUTPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction OUTPUT)) (port (array (rename timer_ticks "timer_ticks(1:1)") 1) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(103:102)") 2) (direction INPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(3:3)") 1) (direction OUTPUT)) @@ -121654,21 +121640,21 @@ (portRef (member timer_ticks 0)) (portRef (member timer_ticks 0) (instanceRef genREPLYOBUF1_REPLYOBUF)) )) - (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3) (instanceRef genREPLYOBUF1_REPLYOBUF)) - (portRef (member current_output_data_buffer 3)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1) (instanceRef genREPLYOBUF1_REPLYOBUF)) + (portRef (member current_output_data_buffer_mb 1)) )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2) (instanceRef genREPLYOBUF1_REPLYOBUF)) - (portRef (member current_output_data_buffer 2)) - )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1) (instanceRef genREPLYOBUF1_REPLYOBUF)) - (portRef (member current_output_data_buffer 1)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0) (instanceRef genREPLYOBUF1_REPLYOBUF)) + (portRef (member current_output_data_buffer_mb 0)) )) (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0) (instanceRef genREPLYOBUF1_REPLYOBUF)) - (portRef (member current_output_data_buffer 0)) + (portRef current_output_data_buffer_3 (instanceRef genREPLYOBUF1_REPLYOBUF)) + (portRef current_output_data_buffer_3) + )) + (net current_output_data_buffer_0 (joined + (portRef current_output_data_buffer_0 (instanceRef genREPLYOBUF1_REPLYOBUF)) + (portRef current_output_data_buffer_0) )) (net MED_IO_PACKET_NUM_OUT_21 (joined (portRef (member med_io_packet_num_out 2) (instanceRef genREPLYOBUF1_REPLYOBUF)) @@ -122840,7 +122826,7 @@ (cell edge_to_pulse_0_30 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -122912,11 +122898,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_16_0 (joined + (net channel_debug_01_i_29_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_16 0)) + (portRef (member channel_debug_01_i_29 0)) )) (net VCC (joined (portRef VCC) @@ -122930,15 +122916,15 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(16:16)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(2:2)") 1) (direction INPUT)) - (port reset_i_10 (direction INPUT)) - (port reset_i_9 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(29:29)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(2:2)") 1) (direction INPUT)) + (port reset_i_4 (direction INPUT)) + (port reset_i_3 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -123419,8 +123405,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_16_2 (joined - (portRef (member channel_debug_01_i_16 0)) + (net channel_debug_01_i_29_2 (joined + (portRef (member channel_debug_01_i_29 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -123467,7 +123453,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_16 (joined + (net rd_en_i_29 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -123511,8 +123497,8 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) (portRef B (instanceRef OR2_t18)) (portRef A (instanceRef OR2_t18)) )) @@ -123903,10 +123889,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -124196,6 +124179,9 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -124469,8 +124455,9 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_9 (joined - (portRef reset_i_9) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef CD (instanceRef FF_82)) (portRef CD (instanceRef FF_83)) (portRef CD (instanceRef FF_84)) (portRef CD (instanceRef FF_85)) @@ -124568,8 +124555,8 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) - (net reset_i_10 (joined - (portRef reset_i_10) + (net reset_i_4 (joined + (portRef reset_i_4) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -124601,7 +124588,6 @@ (portRef CD (instanceRef FF_79)) (portRef CD (instanceRef FF_80)) (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) @@ -125271,11 +125257,12 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(2:1)") 2) (direction INOUT)) - (port reset_tdc_51 (direction INPUT)) - (port reset_tdc_fast_36_r25 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(2:1)") 2) (direction INOUT)) + (port reset_tdc_12 (direction INPUT)) + (port reset_tdc_fast_37_r16 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -125644,7 +125631,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIOMUI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI6DGC (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -125726,15 +125713,18 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) @@ -125744,9 +125734,6 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) - ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -125981,6 +125968,9 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -126262,9 +126252,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -126409,6 +126396,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNIE2UD1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -126418,38 +126411,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) - ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -126656,7 +126649,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIOMUI)) + (portRef A (instanceRef start_reg_RNI6DGC)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -126909,8 +126902,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -126996,6 +126989,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNIE2UD1)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -127004,6 +126998,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNIE2UD1)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -127012,6 +127007,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNIE2UD1)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -127020,6 +127016,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNIE2UD1)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -127116,8 +127113,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_RNO_0)) + (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -127232,6 +127229,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -127273,7 +127280,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -127964,8 +127971,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIOMUI (joined - (portRef Z (instanceRef start_reg_RNIOMUI)) + (net start_reg_RNI6DGC (joined + (portRef Z (instanceRef start_reg_RNI6DGC)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -128010,8 +128017,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_16_1 (joined - (portRef (member channel_debug_01_i_16 1)) + (net channel_debug_01_i_29_1 (joined + (portRef (member channel_debug_01_i_29 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -128054,7 +128061,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIOMUI)) + (portRef B (instanceRef start_reg_RNI6DGC)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -128070,21 +128077,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_16_2 (joined + (net channel_debug_01_i_29_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_16 0)) + (portRef (member channel_debug_01_i_29 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -128647,7 +128644,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIOMUI)) + (portRef C (instanceRef start_reg_RNI6DGC)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -128776,19 +128773,13 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -128797,6 +128788,14 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) @@ -128809,10 +128808,6 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net interval_reg_41_14_am_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -129544,6 +129539,21 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) + )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -130247,19 +130257,6 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - )) (net interval_reg_41_15_0_1 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -130689,6 +130686,27 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNIE2UD1)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -130697,8 +130715,12 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r25 (joined - (portRef reset_tdc_fast_36_r25) + (net un3_binary_code_out_cry_1_0_S0 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_1)) + )) + (net reset_tdc_fast_37_r16 (joined + (portRef reset_tdc_fast_37_r16) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -130708,11 +130730,6 @@ (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_cry_1_0_S0 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) (net un3_binary_code_out_cry_3_0_S1 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -131269,9 +131286,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) - (portRef reset_tdc_51 (instanceRef ROM_Encoder_1)) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) + (portRef reset_tdc_12 (instanceRef ROM_Encoder_1)) )) ) ) @@ -131281,10 +131298,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_51 (direction INPUT)) - (port reset_tdc_50 (direction INPUT)) - (port reset_tdc_49 (direction INPUT)) - (port reset_tdc_48 (direction INPUT)) + (port reset_tdc_12 (direction INPUT)) + (port reset_tdc_11 (direction INPUT)) + (port reset_tdc_10 (direction INPUT)) + (port reset_tdc_9 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -133440,8 +133457,73 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) (portRef CD (instanceRef FF_284)) (portRef CD (instanceRef FF_285)) (portRef CD (instanceRef FF_286)) @@ -133623,109 +133705,6 @@ (portRef S0 (instanceRef GEN_141_ADD)) (portRef D (instanceRef FF_282)) )) - (net reset_tdc_49 (joined - (portRef reset_tdc_49) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - )) (net result_i_282 (joined (portRef Q (instanceRef FF_282)) (portRef (member result_i 21)) @@ -134246,6 +134225,109 @@ (portRef S1 (instanceRef GEN_108_ADD)) (portRef D (instanceRef FF_217)) )) + (net reset_tdc_10 (joined + (portRef reset_tdc_10) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + )) (net result_i_217 (joined (portRef Q (instanceRef FF_217)) (portRef (member result_i 86)) @@ -134526,109 +134608,6 @@ (portRef S0 (instanceRef GEN_91_ADD)) (portRef D (instanceRef FF_182)) )) - (net reset_tdc_50 (joined - (portRef reset_tdc_50) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - )) (net result_i_182 (joined (portRef Q (instanceRef FF_182)) (portRef (member result_i 121)) @@ -135149,6 +135128,109 @@ (portRef S1 (instanceRef GEN_58_ADD)) (portRef D (instanceRef FF_117)) )) + (net reset_tdc_11 (joined + (portRef reset_tdc_11) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + )) (net result_i_117 (joined (portRef Q (instanceRef FF_117)) (portRef (member result_i 186)) @@ -135429,93 +135511,6 @@ (portRef S0 (instanceRef GEN_41_ADD)) (portRef D (instanceRef FF_82)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - )) (net result_i_82 (joined (portRef Q (instanceRef FF_82)) (portRef (member result_i 221)) @@ -136036,6 +136031,28 @@ (portRef S1 (instanceRef GEN_8_ADD)) (portRef D (instanceRef FF_17)) )) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + )) (net result_i_17 (joined (portRef Q (instanceRef FF_17)) (portRef (member result_i 286)) @@ -137101,7 +137118,7 @@ (cell edge_to_pulse_0_29 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -137173,11 +137190,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_29_0 (joined + (net channel_debug_01_i_14_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_29 0)) + (portRef (member channel_debug_01_i_14 0)) )) (net VCC (joined (portRef VCC) @@ -137191,16 +137208,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(29:29)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(2:2)") 1) (direction INPUT)) - (port reset_i_3 (direction INPUT)) - (port reset_i_2 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(14:14)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(2:2)") 1) (direction INPUT)) + (port reset_i_12 (direction INPUT)) + (port reset_i_11 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -137680,8 +137698,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_29_2 (joined - (portRef (member channel_debug_01_i_29 0)) + (net channel_debug_01_i_14_2 (joined + (portRef (member channel_debug_01_i_14 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -137724,11 +137742,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_29 (joined + (net rd_en_i_14 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -138164,7 +138178,8 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -138453,10 +138468,9 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -138730,16 +138744,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) + (net reset_i_11 (joined + (portRef reset_i_11) (portRef CD (instanceRef FF_91)) (portRef CD (instanceRef FF_92)) (portRef CD (instanceRef FF_93)) @@ -138797,40 +138803,8 @@ (portRef Q (instanceRef FF_90)) (portRef D (instanceRef FF_40)) )) - (net w_gcount_2 (joined - (portRef Q (instanceRef FF_89)) - (portRef D (instanceRef FF_39)) - )) - (net w_gcount_3 (joined - (portRef Q (instanceRef FF_88)) - (portRef D (instanceRef FF_38)) - )) - (net w_gcount_4 (joined - (portRef Q (instanceRef FF_87)) - (portRef D (instanceRef FF_37)) - )) - (net w_gcount_5 (joined - (portRef Q (instanceRef FF_86)) - (portRef D (instanceRef FF_36)) - )) - (net w_gcount_6 (joined - (portRef Q (instanceRef FF_85)) - (portRef D (instanceRef FF_35)) - )) - (net w_gcount_7 (joined - (portRef Q (instanceRef FF_84)) - (portRef D (instanceRef FF_34)) - )) - (net w_gcount_8 (joined - (portRef Q (instanceRef FF_83)) - (portRef D (instanceRef FF_33)) - )) - (net w_gcount_9 (joined - (portRef Q (instanceRef FF_82)) - (portRef D (instanceRef FF_32)) - )) - (net reset_i_3 (joined - (portRef reset_i_3) + (net reset_i_12 (joined + (portRef reset_i_12) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -138863,6 +138837,46 @@ (portRef CD (instanceRef FF_80)) (portRef CD (instanceRef FF_81)) (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + )) + (net w_gcount_2 (joined + (portRef Q (instanceRef FF_89)) + (portRef D (instanceRef FF_39)) + )) + (net w_gcount_3 (joined + (portRef Q (instanceRef FF_88)) + (portRef D (instanceRef FF_38)) + )) + (net w_gcount_4 (joined + (portRef Q (instanceRef FF_87)) + (portRef D (instanceRef FF_37)) + )) + (net w_gcount_5 (joined + (portRef Q (instanceRef FF_86)) + (portRef D (instanceRef FF_36)) + )) + (net w_gcount_6 (joined + (portRef Q (instanceRef FF_85)) + (portRef D (instanceRef FF_35)) + )) + (net w_gcount_7 (joined + (portRef Q (instanceRef FF_84)) + (portRef D (instanceRef FF_34)) + )) + (net w_gcount_8 (joined + (portRef Q (instanceRef FF_83)) + (portRef D (instanceRef FF_33)) + )) + (net w_gcount_9 (joined + (portRef Q (instanceRef FF_82)) + (portRef D (instanceRef FF_32)) )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) @@ -139092,6 +139106,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -139532,12 +139551,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(2:1)") 2) (direction INOUT)) - (port reset_tdc_12 (direction INPUT)) - (port reset_tdc_fast_37_r16 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(2:1)") 2) (direction INOUT)) + (port reset_tdc_57 (direction INPUT)) + (port reset_tdc_fast_36_r23 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -139906,7 +139924,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI6DGC (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIGIT11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -139951,10 +139969,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -139988,19 +140006,19 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -140240,6 +140258,9 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -140454,10 +140475,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -140518,9 +140539,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -140671,12 +140689,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNIE2UD1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -140686,38 +140698,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) + ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) + ) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -140924,7 +140936,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI6DGC)) + (portRef A (instanceRef start_reg_RNIGIT11)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -141189,8 +141201,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -141264,7 +141276,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNIE2UD1)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -141273,7 +141284,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNIE2UD1)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -141282,7 +141292,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNIE2UD1)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -141291,7 +141300,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNIE2UD1)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -141421,8 +141429,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -141504,16 +141512,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -141555,7 +141553,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -142246,8 +142244,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI6DGC (joined - (portRef Z (instanceRef start_reg_RNI6DGC)) + (net start_reg_RNIGIT11 (joined + (portRef Z (instanceRef start_reg_RNIGIT11)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -142292,8 +142290,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_29_1 (joined - (portRef (member channel_debug_01_i_29 1)) + (net channel_debug_01_i_14_1 (joined + (portRef (member channel_debug_01_i_14 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -142336,7 +142334,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI6DGC)) + (portRef B (instanceRef start_reg_RNIGIT11)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -142352,11 +142350,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_29_2 (joined + (net channel_debug_01_i_14_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_29 0)) + (portRef (member channel_debug_01_i_14 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -142512,8 +142520,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -142767,8 +142775,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -142919,7 +142927,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI6DGC)) + (portRef C (instanceRef start_reg_RNIGIT11)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -142946,8 +142954,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -143018,14 +143026,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_0_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -143048,13 +143056,19 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -143063,18 +143077,14 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) @@ -144509,19 +144519,18 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) - )) (net mux_control_6_5_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) + (net mux_control_6_5_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) + )) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -144530,7 +144539,6 @@ (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -144961,27 +144969,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNIE2UD1)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -144990,30 +144977,31 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) - (net reset_tdc_fast_37_r16 (joined - (portRef reset_tdc_fast_37_r16) + (net reset_tdc_fast_36_r23 (joined + (portRef reset_tdc_fast_36_r23) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) - (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) + (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) )) - (net un3_binary_code_out_4 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_4)) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) + (net un3_binary_code_out_4 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_4)) + )) (net un3_binary_code_out_6 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) @@ -145561,9 +145549,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) - (portRef reset_tdc_12 (instanceRef ROM_Encoder_1)) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) + (portRef reset_tdc_57 (instanceRef ROM_Encoder_1)) )) ) ) @@ -145573,10 +145561,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_12 (direction INPUT)) - (port reset_tdc_11 (direction INPUT)) - (port reset_tdc_10 (direction INPUT)) - (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_57 (direction INPUT)) + (port reset_tdc_56 (direction INPUT)) + (port reset_tdc_55 (direction INPUT)) + (port reset_tdc_54 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -147732,83 +147720,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - (portRef CD (instanceRef FF_288)) - (portRef CD (instanceRef FF_289)) - (portRef CD (instanceRef FF_290)) - (portRef CD (instanceRef FF_291)) - (portRef CD (instanceRef FF_292)) - (portRef CD (instanceRef FF_293)) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) (portRef CD (instanceRef FF_294)) (portRef CD (instanceRef FF_295)) (portRef CD (instanceRef FF_296)) @@ -147900,6 +147813,109 @@ (portRef S0 (instanceRef GEN_146_ADD)) (portRef D (instanceRef FF_292)) )) + (net reset_tdc_55 (joined + (portRef reset_tdc_55) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + (portRef CD (instanceRef FF_288)) + (portRef CD (instanceRef FF_289)) + (portRef CD (instanceRef FF_290)) + (portRef CD (instanceRef FF_291)) + (portRef CD (instanceRef FF_292)) + (portRef CD (instanceRef FF_293)) + )) (net result_i_292 (joined (portRef Q (instanceRef FF_292)) (portRef (member result_i 11)) @@ -148500,109 +148516,6 @@ (portRef S1 (instanceRef GEN_108_ADD)) (portRef D (instanceRef FF_217)) )) - (net reset_tdc_10 (joined - (portRef reset_tdc_10) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - )) (net result_i_217 (joined (portRef Q (instanceRef FF_217)) (portRef (member result_i 86)) @@ -148803,6 +148716,109 @@ (portRef S0 (instanceRef GEN_96_ADD)) (portRef D (instanceRef FF_192)) )) + (net reset_tdc_56 (joined + (portRef reset_tdc_56) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + )) (net result_i_192 (joined (portRef Q (instanceRef FF_192)) (portRef (member result_i 111)) @@ -149403,109 +149419,6 @@ (portRef S1 (instanceRef GEN_58_ADD)) (portRef D (instanceRef FF_117)) )) - (net reset_tdc_11 (joined - (portRef reset_tdc_11) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - )) (net result_i_117 (joined (portRef Q (instanceRef FF_117)) (portRef (member result_i 186)) @@ -149706,6 +149619,103 @@ (portRef S0 (instanceRef GEN_46_ADD)) (portRef D (instanceRef FF_92)) )) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + )) (net result_i_92 (joined (portRef Q (instanceRef FF_92)) (portRef (member result_i 211)) @@ -150306,28 +150316,6 @@ (portRef S1 (instanceRef GEN_8_ADD)) (portRef D (instanceRef FF_17)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - )) (net result_i_17 (joined (portRef Q (instanceRef FF_17)) (portRef (member result_i 286)) @@ -151393,7 +151381,7 @@ (cell edge_to_pulse_0_28 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -151465,11 +151453,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_24_0 (joined + (net channel_debug_01_i_31_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_24 0)) + (portRef (member channel_debug_01_i_31 0)) )) (net VCC (joined (portRef VCC) @@ -151483,15 +151471,15 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(24:24)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(2:2)") 1) (direction INPUT)) - (port reset_i_6 (direction INPUT)) - (port reset_i_5 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(31:31)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(2:2)") 1) (direction INPUT)) + (port reset_i_3 (direction INPUT)) + (port reset_i_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -151972,8 +151960,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_24_2 (joined - (portRef (member channel_debug_01_i_24 0)) + (net channel_debug_01_i_31_2 (joined + (portRef (member channel_debug_01_i_31 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -152020,7 +152008,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_24 (joined + (net rd_en_i_31 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -152064,8 +152052,8 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) (portRef B (instanceRef OR2_t18)) (portRef A (instanceRef OR2_t18)) )) @@ -152456,9 +152444,6 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -152749,6 +152734,9 @@ (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -152879,16 +152867,16 @@ (portRef DO13 (instanceRef pdp_ram_0_0_0)) (portRef (member fifo_data_out_i 0)) )) - (net un1_pdp_ram_0_0_0_1 (joined + (net pdp_ram_0_0_0_DO14 (joined (portRef DO14 (instanceRef pdp_ram_0_0_0)) )) - (net un1_pdp_ram_0_0_0_2 (joined + (net pdp_ram_0_0_0_DO15 (joined (portRef DO15 (instanceRef pdp_ram_0_0_0)) )) - (net un1_pdp_ram_0_0_0_3 (joined + (net pdp_ram_0_0_0_DO16 (joined (portRef DO16 (instanceRef pdp_ram_0_0_0)) )) - (net un1_pdp_ram_0_0_0 (joined + (net pdp_ram_0_0_0_DO17 (joined (portRef DO17 (instanceRef pdp_ram_0_0_0)) )) (net fifo_data_out_i_0 (joined @@ -153022,31 +153010,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_5 (joined - (portRef reset_i_5) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) + (net reset_i_2 (joined + (portRef reset_i_2) (portRef CD (instanceRef FF_75)) (portRef CD (instanceRef FF_76)) (portRef CD (instanceRef FF_77)) @@ -153152,6 +153117,33 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -153380,18 +153372,14 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) - (net reset_i_6 (joined - (portRef reset_i_6) - (portRef CD (instanceRef FF_0)) - )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) )) - (net un1_w_gctr_cia (joined + (net w_gctr_cia_S0 (joined (portRef S0 (instanceRef w_gctr_cia)) )) - (net un1_w_gctr_cia_1 (joined + (net w_gctr_cia_S1 (joined (portRef S1 (instanceRef w_gctr_cia)) )) (net co0 (joined @@ -153417,10 +153405,10 @@ (portRef COUT (instanceRef r_gctr_cia)) (portRef CI (instanceRef r_gctr_0)) )) - (net un1_r_gctr_cia (joined + (net r_gctr_cia_S0 (joined (portRef S0 (instanceRef r_gctr_cia)) )) - (net un1_r_gctr_cia_1 (joined + (net r_gctr_cia_S1 (joined (portRef S1 (instanceRef r_gctr_cia)) )) (net co0_1 (joined @@ -153446,40 +153434,40 @@ (portRef COUT (instanceRef empty_cmp_ci_a)) (portRef CI (instanceRef empty_cmp_0)) )) - (net un1_empty_cmp_ci_a (joined + (net empty_cmp_ci_a_S0 (joined (portRef S0 (instanceRef empty_cmp_ci_a)) )) - (net un1_empty_cmp_ci_a_1 (joined + (net empty_cmp_ci_a_S1 (joined (portRef S1 (instanceRef empty_cmp_ci_a)) )) (net empty_d_c (joined (portRef GE (instanceRef empty_cmp_4)) (portRef CI (instanceRef a0)) )) - (net un1_a0 (joined + (net a0_COUT (joined (portRef COUT (instanceRef a0)) )) - (net un1_a0_1 (joined + (net a0_S1 (joined (portRef S1 (instanceRef a0)) )) (net cmp_ci_1 (joined (portRef COUT (instanceRef full_cmp_ci_a)) (portRef CI (instanceRef full_cmp_0)) )) - (net un1_full_cmp_ci_a (joined + (net full_cmp_ci_a_S0 (joined (portRef S0 (instanceRef full_cmp_ci_a)) )) - (net un1_full_cmp_ci_a_1 (joined + (net full_cmp_ci_a_S1 (joined (portRef S1 (instanceRef full_cmp_ci_a)) )) (net full_d_c (joined (portRef GE (instanceRef full_cmp_4)) (portRef CI (instanceRef a1)) )) - (net un1_a1 (joined + (net a1_COUT (joined (portRef COUT (instanceRef a1)) )) - (net un1_a1_1 (joined + (net a1_S1 (joined (portRef S1 (instanceRef a1)) )) (net co3_3 (joined @@ -153824,9 +153812,10 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(2:1)") 2) (direction INOUT)) - (port reset_tdc_27 (direction INPUT)) - (port reset_tdc_fast_36_r33 (direction INPUT)) + (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(2:1)") 2) (direction INOUT)) + (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_fast_37_r18 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) @@ -154197,7 +154186,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIIIT11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI8SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154242,10 +154231,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154288,16 +154277,16 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154534,7 +154523,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154751,10 +154740,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154815,7 +154804,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154962,6 +154951,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNII10S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -154971,38 +154966,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) - ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -155209,7 +155204,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIIIT11)) + (portRef A (instanceRef start_reg_RNI8SB81)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -155450,8 +155445,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -155462,8 +155457,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -155549,6 +155544,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNII10S)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -155557,6 +155553,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNII10S)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -155565,6 +155562,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNII10S)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -155573,6 +155571,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNII10S)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -155636,8 +155635,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -155669,8 +155668,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -155785,6 +155784,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -155826,7 +155835,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -156517,8 +156526,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIIIT11 (joined - (portRef Z (instanceRef start_reg_RNIIIT11)) + (net start_reg_RNI8SB81 (joined + (portRef Z (instanceRef start_reg_RNI8SB81)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -156563,8 +156572,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_24_1 (joined - (portRef (member channel_debug_01_i_24 1)) + (net channel_debug_01_i_31_1 (joined + (portRef (member channel_debug_01_i_31 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -156607,7 +156616,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIIIT11)) + (portRef B (instanceRef start_reg_RNI8SB81)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -156623,21 +156632,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_24_2 (joined + (net channel_debug_01_i_31_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_24 0)) + (portRef (member channel_debug_01_i_31 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -156793,8 +156792,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -157048,8 +157047,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -157200,7 +157199,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIIIT11)) + (portRef C (instanceRef start_reg_RNI8SB81)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -157227,8 +157226,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -157299,14 +157298,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_1_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -157348,21 +157347,21 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) + )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net interval_reg_41_14_am_1_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -158097,7 +158096,7 @@ )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -158107,7 +158106,7 @@ (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) )) (net mux_control_6_14_0 (joined @@ -158805,14 +158804,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -159242,6 +159241,27 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNII10S)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_0_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -159250,22 +159270,21 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r33 (joined - (portRef reset_tdc_fast_36_r33) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) + )) + (net reset_tdc_fast_37_r18 (joined + (portRef reset_tdc_fast_37_r18) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) + (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -159283,14 +159302,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) - (net un3_binary_code_out_8 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_8)) - )) (net un3_binary_code_out_7 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_7)) )) + (net un3_binary_code_out_8 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_8)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -159822,9 +159841,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) - (portRef reset_tdc_27 (instanceRef ROM_Encoder_1)) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) + (portRef reset_tdc_6 (instanceRef ROM_Encoder_1)) )) ) ) @@ -159834,10 +159853,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_27 (direction INPUT)) - (port reset_tdc_26 (direction INPUT)) - (port reset_tdc_25 (direction INPUT)) - (port reset_tdc_24 (direction INPUT)) + (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_5 (direction INPUT)) + (port reset_tdc_4 (direction INPUT)) + (port reset_tdc_3 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -161993,8 +162012,43 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) + (net reset_tdc_3 (joined + (portRef reset_tdc_3) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) (portRef CD (instanceRef FF_244)) (portRef CD (instanceRef FF_245)) (portRef CD (instanceRef FF_246)) @@ -162536,109 +162590,6 @@ (portRef S0 (instanceRef GEN_121_ADD)) (portRef D (instanceRef FF_242)) )) - (net reset_tdc_25 (joined - (portRef reset_tdc_25) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - )) (net result_i_242 (joined (portRef Q (instanceRef FF_242)) (portRef (member result_i 61)) @@ -162919,6 +162870,109 @@ (portRef S1 (instanceRef GEN_103_ADD)) (portRef D (instanceRef FF_207)) )) + (net reset_tdc_4 (joined + (portRef reset_tdc_4) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + )) (net result_i_207 (joined (portRef Q (instanceRef FF_207)) (portRef (member result_i 96)) @@ -163439,109 +163493,6 @@ (portRef S0 (instanceRef GEN_71_ADD)) (portRef D (instanceRef FF_142)) )) - (net reset_tdc_26 (joined - (portRef reset_tdc_26) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - )) (net result_i_142 (joined (portRef Q (instanceRef FF_142)) (portRef (member result_i 161)) @@ -163822,6 +163773,109 @@ (portRef S1 (instanceRef GEN_53_ADD)) (portRef D (instanceRef FF_107)) )) + (net reset_tdc_5 (joined + (portRef reset_tdc_5) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + )) (net result_i_107 (joined (portRef Q (instanceRef FF_107)) (portRef (member result_i 196)) @@ -164342,53 +164396,6 @@ (portRef S0 (instanceRef GEN_21_ADD)) (portRef D (instanceRef FF_42)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - )) (net result_i_42 (joined (portRef Q (instanceRef FF_42)) (portRef (member result_i 261)) @@ -164669,6 +164676,18 @@ (portRef S1 (instanceRef GEN_3_ADD)) (portRef D (instanceRef FF_7)) )) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + )) (net result_i_7 (joined (portRef Q (instanceRef FF_7)) (portRef (member result_i 296)) @@ -165654,7 +165673,7 @@ (cell edge_to_pulse_0_27 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -165726,11 +165745,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_12_0 (joined + (net channel_debug_01_i_24_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_12 0)) + (portRef (member channel_debug_01_i_24 0)) )) (net VCC (joined (portRef VCC) @@ -165744,10 +165763,9 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(12:12)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(2:2)") 1) (direction INPUT)) - (port reset_i_12 (direction INPUT)) - (port reset_i_11 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(24:24)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(2:2)") 1) (direction INPUT)) + (port reset_i_6 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -166233,8 +166251,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_12_2 (joined - (portRef (member channel_debug_01_i_12 0)) + (net channel_debug_01_i_24_2 (joined + (portRef (member channel_debug_01_i_24 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -166281,7 +166299,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_12 (joined + (net rd_en_i_24 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -166717,7 +166735,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) @@ -167008,8 +167026,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -167283,31 +167301,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef PD (instanceRef FF_101)) - (portRef RST (instanceRef pdp_ram_0_0_0)) - )) - (net iwcount_1 (joined - (portRef NC1 (instanceRef w_gctr_0)) - (portRef D (instanceRef FF_100)) - )) - (net iwcount_2 (joined - (portRef NC0 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_99)) - )) - (net iwcount_3 (joined - (portRef NC1 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_98)) - )) - (net iwcount_4 (joined - (portRef NC0 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_97)) - )) - (net reset_i_12 (joined - (portRef reset_i_12) + (net reset_i_6 (joined + (portRef reset_i_6) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -167356,6 +167351,26 @@ (portRef CD (instanceRef FF_96)) (portRef CD (instanceRef FF_97)) (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef PD (instanceRef FF_101)) + (portRef RST (instanceRef pdp_ram_0_0_0)) + )) + (net iwcount_1 (joined + (portRef NC1 (instanceRef w_gctr_0)) + (portRef D (instanceRef FF_100)) + )) + (net iwcount_2 (joined + (portRef NC0 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_99)) + )) + (net iwcount_3 (joined + (portRef NC1 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_98)) + )) + (net iwcount_4 (joined + (portRef NC0 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_97)) )) (net iwcount_5 (joined (portRef NC1 (instanceRef w_gctr_2)) @@ -168085,11 +168100,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(2:1)") 2) (direction INOUT)) - (port reset_tdc_64 (direction INPUT)) - (port reset_tdc_fast_36_r21 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(2:1)") 2) (direction INOUT)) + (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_fast_36_r33 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -168458,7 +168473,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI8ES01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIIIT11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -168503,10 +168518,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -168555,10 +168570,10 @@ (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -169015,10 +169030,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -169244,10 +169259,10 @@ (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -169470,7 +169485,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI8ES01)) + (portRef A (instanceRef start_reg_RNIIIT11)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -170778,8 +170793,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI8ES01 (joined - (portRef Z (instanceRef start_reg_RNI8ES01)) + (net start_reg_RNIIIT11 (joined + (portRef Z (instanceRef start_reg_RNIIIT11)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -170824,8 +170839,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_12_1 (joined - (portRef (member channel_debug_01_i_12 1)) + (net channel_debug_01_i_24_1 (joined + (portRef (member channel_debug_01_i_24 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -170868,7 +170883,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI8ES01)) + (portRef B (instanceRef start_reg_RNIIIT11)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -170889,16 +170904,16 @@ (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_12_2 (joined + (net channel_debug_01_i_24_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_12 0)) + (portRef (member channel_debug_01_i_24 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -171054,8 +171069,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -171309,8 +171324,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -171461,7 +171476,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI8ES01)) + (portRef C (instanceRef start_reg_RNIIIT11)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -171488,8 +171503,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -171560,14 +171575,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_2_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -171590,10 +171605,8 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) @@ -171621,14 +171634,14 @@ (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) (net interval_reg_41_14_am_2_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -172360,6 +172373,19 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -173055,24 +173081,13 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -173511,15 +173526,15 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r21 (joined - (portRef reset_tdc_fast_36_r21) + (net reset_tdc_fast_36_r33 (joined + (portRef reset_tdc_fast_36_r33) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) - (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) + (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef C (instanceRef BINARY_CODE_OUTd_0)) @@ -173528,14 +173543,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net un3_binary_code_out_4 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_4)) - )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) + (net un3_binary_code_out_4 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_4)) + )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) @@ -174083,9 +174098,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) - (portRef reset_tdc_64 (instanceRef ROM_Encoder_1)) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) + (portRef reset_tdc_27 (instanceRef ROM_Encoder_1)) )) ) ) @@ -174095,10 +174110,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_64 (direction INPUT)) - (port reset_tdc_63 (direction INPUT)) - (port reset_tdc_62 (direction INPUT)) - (port reset_tdc_61 (direction INPUT)) + (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_26 (direction INPUT)) + (port reset_tdc_25 (direction INPUT)) + (port reset_tdc_24 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -176254,44 +176269,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) (portRef CD (instanceRef FF_244)) (portRef CD (instanceRef FF_245)) (portRef CD (instanceRef FF_246)) @@ -176833,6 +176812,109 @@ (portRef S0 (instanceRef GEN_121_ADD)) (portRef D (instanceRef FF_242)) )) + (net reset_tdc_25 (joined + (portRef reset_tdc_25) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + )) (net result_i_242 (joined (portRef Q (instanceRef FF_242)) (portRef (member result_i 61)) @@ -177121,108 +177203,6 @@ (portRef S0 (instanceRef GEN_103_ADD)) (portRef D (instanceRef FF_206)) )) - (net reset_tdc_62 (joined - (portRef reset_tdc_62) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - )) (net result_i_206 (joined (portRef Q (instanceRef FF_206)) (portRef (member result_i 97)) @@ -177735,6 +177715,109 @@ (portRef S0 (instanceRef GEN_71_ADD)) (portRef D (instanceRef FF_142)) )) + (net reset_tdc_26 (joined + (portRef reset_tdc_26) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + )) (net result_i_142 (joined (portRef Q (instanceRef FF_142)) (portRef (member result_i 161)) @@ -178015,108 +178098,6 @@ (portRef S1 (instanceRef GEN_53_ADD)) (portRef D (instanceRef FF_107)) )) - (net reset_tdc_63 (joined - (portRef reset_tdc_63) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - )) (net result_i_107 (joined (portRef Q (instanceRef FF_107)) (portRef (member result_i 196)) @@ -178637,6 +178618,53 @@ (portRef S0 (instanceRef GEN_21_ADD)) (portRef D (instanceRef FF_42)) )) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + )) (net result_i_42 (joined (portRef Q (instanceRef FF_42)) (portRef (member result_i 261)) @@ -178909,19 +178937,6 @@ (portRef S0 (instanceRef GEN_4_ADD)) (portRef D (instanceRef FF_8)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - )) (net result_i_8 (joined (portRef Q (instanceRef FF_8)) (portRef (member result_i 295)) @@ -179915,7 +179930,7 @@ (cell edge_to_pulse_0_26 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -179987,11 +180002,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_27_0 (joined + (net channel_debug_01_i_10_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_27 0)) + (portRef (member channel_debug_01_i_10 0)) )) (net VCC (joined (portRef VCC) @@ -180005,16 +180020,16 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(27:27)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(2:2)") 1) (direction INPUT)) - (port reset_i_4 (direction INPUT)) - (port reset_i_3 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(10:10)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(2:2)") 1) (direction INPUT)) + (port reset_i_14 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -180494,8 +180509,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_27_2 (joined - (portRef (member channel_debug_01_i_27 0)) + (net channel_debug_01_i_10_2 (joined + (portRef (member channel_debug_01_i_10 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -180538,11 +180553,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_27 (joined + (net rd_en_i_10 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -180978,7 +180989,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -181267,10 +181280,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -181544,8 +181555,47 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_3 (joined - (portRef reset_i_3) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) (portRef CD (instanceRef FF_90)) (portRef CD (instanceRef FF_91)) (portRef CD (instanceRef FF_92)) @@ -181608,48 +181658,6 @@ (portRef Q (instanceRef FF_89)) (portRef D (instanceRef FF_39)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - )) (net w_gcount_3 (joined (portRef Q (instanceRef FF_88)) (portRef D (instanceRef FF_38)) @@ -181906,6 +181914,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -182346,12 +182359,13 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(2:1)") 2) (direction INOUT)) - (port reset_tdc_18 (direction INPUT)) - (port reset_tdc_fast_37_r14 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(2:1)") 2) (direction INOUT)) + (port reset_tdc_70 (direction INPUT)) + (port reset_tdc_fast_36_r17 (direction INPUT)) + (port reset_tdc_fast_37_r11 (direction INPUT)) + (port reset_tdc_fast_37_r10 (direction INPUT)) + (port reset_tdc_fast_36_r18 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -182720,7 +182734,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIU8FR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI0ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -182765,10 +182779,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -182790,16 +182804,16 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -182811,16 +182825,16 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -183054,15 +183068,9 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -183274,10 +183282,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -183338,9 +183346,15 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -183485,12 +183499,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNI6IE9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -183500,38 +183508,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) + ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -183738,7 +183746,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIU8FR)) + (portRef A (instanceRef start_reg_RNI0ARF)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -183950,8 +183958,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_4_2_iv_2)) + (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -184003,8 +184011,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -184078,7 +184086,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNI6IE9)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -184087,7 +184094,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNI6IE9)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -184096,7 +184102,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNI6IE9)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -184105,7 +184110,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNI6IE9)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -184136,8 +184140,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef B (instanceRef proc_cnt_4_2_iv_2)) + (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -184235,8 +184239,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -184318,16 +184322,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -184369,7 +184363,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -185060,8 +185054,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIU8FR (joined - (portRef Z (instanceRef start_reg_RNIU8FR)) + (net start_reg_RNI0ARF (joined + (portRef Z (instanceRef start_reg_RNI0ARF)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -185106,8 +185100,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_27_1 (joined - (portRef (member channel_debug_01_i_27 1)) + (net channel_debug_01_i_10_1 (joined + (portRef (member channel_debug_01_i_10 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -185150,7 +185144,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIU8FR)) + (portRef B (instanceRef start_reg_RNI0ARF)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -185166,11 +185160,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_27_2 (joined + (net channel_debug_01_i_10_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_27 0)) + (portRef (member channel_debug_01_i_10 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -185326,8 +185330,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -185581,8 +185585,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -185733,7 +185737,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIU8FR)) + (portRef C (instanceRef start_reg_RNI0ARF)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -185760,8 +185764,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -185832,14 +185836,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_3_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -185862,12 +185866,11 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) + (portRef D (instanceRef proc_finished_3c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -185881,22 +185884,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net interval_reg_41_14_am_3_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -186628,20 +186631,10 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (net reset_tdc_fast_36_r18 (joined + (portRef reset_tdc_fast_36_r18) (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (portRef D (instanceRef proc_finished_2c)) )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) @@ -187338,13 +187331,30 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (net reset_tdc_fast_37_r10 (joined + (portRef reset_tdc_fast_37_r10) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + )) + (net reset_tdc_fast_37_r11 (joined + (portRef reset_tdc_fast_37_r11) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -187775,27 +187785,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNI6IE9)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_0_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -187804,12 +187793,8 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) - (net reset_tdc_fast_37_r14 (joined - (portRef reset_tdc_fast_37_r14) + (net reset_tdc_fast_36_r17 (joined + (portRef reset_tdc_fast_36_r17) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -187819,6 +187804,11 @@ (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) + )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) @@ -188375,9 +188365,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) - (portRef reset_tdc_18 (instanceRef ROM_Encoder_1)) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) + (portRef reset_tdc_70 (instanceRef ROM_Encoder_1)) )) ) ) @@ -188387,10 +188377,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_18 (direction INPUT)) - (port reset_tdc_17 (direction INPUT)) - (port reset_tdc_16 (direction INPUT)) - (port reset_tdc_15 (direction INPUT)) + (port reset_tdc_70 (direction INPUT)) + (port reset_tdc_69 (direction INPUT)) + (port reset_tdc_68 (direction INPUT)) + (port reset_tdc_67 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -190546,8 +190536,13 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) (portRef CD (instanceRef FF_229)) (portRef CD (instanceRef FF_230)) (portRef CD (instanceRef FF_231)) @@ -191224,8 +191219,52 @@ (portRef S1 (instanceRef GEN_113_ADD)) (portRef D (instanceRef FF_227)) )) - (net reset_tdc_16 (joined - (portRef reset_tdc_16) + (net result_i_227 (joined + (portRef Q (instanceRef FF_227)) + (portRef (member result_i 76)) + )) + (net tsum_226 (joined + (portRef S0 (instanceRef GEN_113_ADD)) + (portRef D (instanceRef FF_226)) + )) + (net result_i_226 (joined + (portRef Q (instanceRef FF_226)) + (portRef (member result_i 77)) + )) + (net tsum_225 (joined + (portRef S1 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_225)) + )) + (net result_i_225 (joined + (portRef Q (instanceRef FF_225)) + (portRef (member result_i 78)) + )) + (net tsum_224 (joined + (portRef S0 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_224)) + )) + (net result_i_224 (joined + (portRef Q (instanceRef FF_224)) + (portRef (member result_i 79)) + )) + (net tsum_223 (joined + (portRef S1 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_223)) + )) + (net result_i_223 (joined + (portRef Q (instanceRef FF_223)) + (portRef (member result_i 80)) + )) + (net tsum_222 (joined + (portRef S0 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_222)) + )) + (net reset_tdc_68 (joined + (portRef reset_tdc_68) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) (portRef CD (instanceRef FF_129)) (portRef CD (instanceRef FF_130)) (portRef CD (instanceRef FF_131)) @@ -191321,51 +191360,6 @@ (portRef CD (instanceRef FF_221)) (portRef CD (instanceRef FF_222)) (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - )) - (net result_i_227 (joined - (portRef Q (instanceRef FF_227)) - (portRef (member result_i 76)) - )) - (net tsum_226 (joined - (portRef S0 (instanceRef GEN_113_ADD)) - (portRef D (instanceRef FF_226)) - )) - (net result_i_226 (joined - (portRef Q (instanceRef FF_226)) - (portRef (member result_i 77)) - )) - (net tsum_225 (joined - (portRef S1 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_225)) - )) - (net result_i_225 (joined - (portRef Q (instanceRef FF_225)) - (portRef (member result_i 78)) - )) - (net tsum_224 (joined - (portRef S0 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_224)) - )) - (net result_i_224 (joined - (portRef Q (instanceRef FF_224)) - (portRef (member result_i 79)) - )) - (net tsum_223 (joined - (portRef S1 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_223)) - )) - (net result_i_223 (joined - (portRef Q (instanceRef FF_223)) - (portRef (member result_i 80)) - )) - (net tsum_222 (joined - (portRef S0 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_222)) )) (net result_i_222 (joined (portRef Q (instanceRef FF_222)) @@ -192127,8 +192121,43 @@ (portRef S1 (instanceRef GEN_63_ADD)) (portRef D (instanceRef FF_127)) )) - (net reset_tdc_17 (joined - (portRef reset_tdc_17) + (net result_i_127 (joined + (portRef Q (instanceRef FF_127)) + (portRef (member result_i 176)) + )) + (net tsum_126 (joined + (portRef S0 (instanceRef GEN_63_ADD)) + (portRef D (instanceRef FF_126)) + )) + (net result_i_126 (joined + (portRef Q (instanceRef FF_126)) + (portRef (member result_i 177)) + )) + (net tsum_125 (joined + (portRef S1 (instanceRef GEN_62_ADD)) + (portRef D (instanceRef FF_125)) + )) + (net result_i_125 (joined + (portRef Q (instanceRef FF_125)) + (portRef (member result_i 178)) + )) + (net tsum_124 (joined + (portRef S0 (instanceRef GEN_62_ADD)) + (portRef D (instanceRef FF_124)) + )) + (net result_i_124 (joined + (portRef Q (instanceRef FF_124)) + (portRef (member result_i 179)) + )) + (net tsum_123 (joined + (portRef S1 (instanceRef GEN_61_ADD)) + (portRef D (instanceRef FF_123)) + )) + (net reset_tdc_69 (joined + (portRef reset_tdc_69) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) (portRef CD (instanceRef FF_29)) (portRef CD (instanceRef FF_30)) (portRef CD (instanceRef FF_31)) @@ -192225,42 +192254,6 @@ (portRef CD (instanceRef FF_122)) (portRef CD (instanceRef FF_123)) (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - )) - (net result_i_127 (joined - (portRef Q (instanceRef FF_127)) - (portRef (member result_i 176)) - )) - (net tsum_126 (joined - (portRef S0 (instanceRef GEN_63_ADD)) - (portRef D (instanceRef FF_126)) - )) - (net result_i_126 (joined - (portRef Q (instanceRef FF_126)) - (portRef (member result_i 177)) - )) - (net tsum_125 (joined - (portRef S1 (instanceRef GEN_62_ADD)) - (portRef D (instanceRef FF_125)) - )) - (net result_i_125 (joined - (portRef Q (instanceRef FF_125)) - (portRef (member result_i 178)) - )) - (net tsum_124 (joined - (portRef S0 (instanceRef GEN_62_ADD)) - (portRef D (instanceRef FF_124)) - )) - (net result_i_124 (joined - (portRef Q (instanceRef FF_124)) - (portRef (member result_i 179)) - )) - (net tsum_123 (joined - (portRef S1 (instanceRef GEN_61_ADD)) - (portRef D (instanceRef FF_123)) )) (net result_i_123 (joined (portRef Q (instanceRef FF_123)) @@ -193030,8 +193023,32 @@ (portRef S1 (instanceRef GEN_13_ADD)) (portRef D (instanceRef FF_27)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) + (net result_i_27 (joined + (portRef Q (instanceRef FF_27)) + (portRef (member result_i 276)) + )) + (net tsum_26 (joined + (portRef S0 (instanceRef GEN_13_ADD)) + (portRef D (instanceRef FF_26)) + )) + (net result_i_26 (joined + (portRef Q (instanceRef FF_26)) + (portRef (member result_i 277)) + )) + (net tsum_25 (joined + (portRef S1 (instanceRef GEN_12_ADD)) + (portRef D (instanceRef FF_25)) + )) + (net result_i_25 (joined + (portRef Q (instanceRef FF_25)) + (portRef (member result_i 278)) + )) + (net tsum_24 (joined + (portRef S0 (instanceRef GEN_12_ADD)) + (portRef D (instanceRef FF_24)) + )) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -193058,33 +193075,6 @@ (portRef CD (instanceRef FF_23)) (portRef CD (instanceRef FF_24)) (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - )) - (net result_i_27 (joined - (portRef Q (instanceRef FF_27)) - (portRef (member result_i 276)) - )) - (net tsum_26 (joined - (portRef S0 (instanceRef GEN_13_ADD)) - (portRef D (instanceRef FF_26)) - )) - (net result_i_26 (joined - (portRef Q (instanceRef FF_26)) - (portRef (member result_i 277)) - )) - (net tsum_25 (joined - (portRef S1 (instanceRef GEN_12_ADD)) - (portRef D (instanceRef FF_25)) - )) - (net result_i_25 (joined - (portRef Q (instanceRef FF_25)) - (portRef (member result_i 278)) - )) - (net tsum_24 (joined - (portRef S0 (instanceRef GEN_12_ADD)) - (portRef D (instanceRef FF_24)) )) (net result_i_24 (joined (portRef Q (instanceRef FF_24)) @@ -194299,8 +194289,8 @@ (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) (port (array (rename rd_en_i "rd_en_i(22:22)") 1) (direction INPUT)) (port (array (rename channel_debug_01_i_22 "channel_debug_01_i_22(2:2)") 1) (direction INPUT)) + (port reset_i_8 (direction INPUT)) (port reset_i_7 (direction INPUT)) - (port reset_i_6 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -195836,8 +195826,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_6 (joined - (portRef reset_i_6) + (net reset_i_7 (joined + (portRef reset_i_7) (portRef CD (instanceRef FF_19)) (portRef CD (instanceRef FF_20)) (portRef CD (instanceRef FF_21)) @@ -196174,8 +196164,8 @@ (portRef Q (instanceRef FF_22)) (portRef D (instanceRef FF_2)) )) - (net reset_i_7 (joined - (portRef reset_i_7) + (net reset_i_8 (joined + (portRef reset_i_8) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -197056,10 +197046,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -197102,13 +197092,13 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -197345,9 +197335,15 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -197562,10 +197558,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -197626,12 +197622,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -198264,8 +198254,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -198288,8 +198278,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -198450,8 +198440,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -198516,8 +198506,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -199607,8 +199597,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -199862,8 +199852,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -200041,8 +200031,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -200113,14 +200103,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_4_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -200146,7 +200136,9 @@ (net reset_tdc_rep1_35 (joined (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) @@ -200164,17 +200156,17 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) @@ -201606,18 +201598,16 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) - )) (net mux_control_6_5_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) + (net mux_control_6_5_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) + )) (net reset_tdc_rep1_36 (joined (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -208468,7 +208458,7 @@ (cell edge_to_pulse_0_24 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -208540,11 +208530,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_10_0 (joined + (net channel_debug_01_i_27_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_10 0)) + (portRef (member channel_debug_01_i_27 0)) )) (net VCC (joined (portRef VCC) @@ -208558,9 +208548,10 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(10:10)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(2:2)") 1) (direction INPUT)) - (port reset_i_13 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(27:27)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(2:2)") 1) (direction INPUT)) + (port reset_i_5 (direction INPUT)) + (port reset_i_4 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -209046,8 +209037,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_10_2 (joined - (portRef (member channel_debug_01_i_10 0)) + (net channel_debug_01_i_27_2 (joined + (portRef (member channel_debug_01_i_27 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -209094,7 +209085,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_10 (joined + (net rd_en_i_27 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -209530,9 +209521,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -209821,8 +209810,10 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -210096,46 +210087,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) + (net reset_i_4 (joined + (portRef reset_i_4) (portRef CD (instanceRef FF_89)) (portRef CD (instanceRef FF_90)) (portRef CD (instanceRef FF_91)) @@ -210203,6 +210156,47 @@ (portRef Q (instanceRef FF_88)) (portRef D (instanceRef FF_38)) )) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + )) (net w_gcount_4 (joined (portRef Q (instanceRef FF_87)) (portRef D (instanceRef FF_37)) @@ -210895,13 +210889,12 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(2:1)") 2) (direction INOUT)) - (port reset_tdc_70 (direction INPUT)) - (port reset_tdc_fast_36_r17 (direction INPUT)) - (port reset_tdc_fast_37_r11 (direction INPUT)) - (port reset_tdc_fast_37_r10 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_36_r20 (direction INPUT)) + (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(2:1)") 2) (direction INOUT)) + (port reset_tdc_18 (direction INPUT)) + (port reset_tdc_fast_37_r14 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -211270,7 +211263,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI0ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIU8FR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -211352,25 +211345,25 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -211604,10 +211597,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -211885,10 +211878,10 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -212035,6 +212028,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNI6IE9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -212044,38 +212043,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) - ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -212282,7 +212281,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI0ARF)) + (portRef A (instanceRef start_reg_RNIU8FR)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -212494,8 +212493,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_4_2_iv_2)) + (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -212547,8 +212546,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -212622,6 +212621,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNI6IE9)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -212630,6 +212630,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNI6IE9)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -212638,6 +212639,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNI6IE9)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -212646,6 +212648,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNI6IE9)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -212676,8 +212679,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef B (instanceRef proc_cnt_4_2_iv_2)) + (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -212775,8 +212778,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -212858,6 +212861,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -212899,7 +212912,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -213590,8 +213603,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI0ARF (joined - (portRef Z (instanceRef start_reg_RNI0ARF)) + (net start_reg_RNIU8FR (joined + (portRef Z (instanceRef start_reg_RNIU8FR)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -213636,8 +213649,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_10_1 (joined - (portRef (member channel_debug_01_i_10 1)) + (net channel_debug_01_i_27_1 (joined + (portRef (member channel_debug_01_i_27 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -213680,7 +213693,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI0ARF)) + (portRef B (instanceRef start_reg_RNIU8FR)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -213696,21 +213709,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_10_2 (joined + (net channel_debug_01_i_27_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_10 0)) + (portRef (member channel_debug_01_i_27 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -214273,7 +214276,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI0ARF)) + (portRef C (instanceRef start_reg_RNIU8FR)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -214402,17 +214405,15 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r20 (joined - (portRef reset_tdc_fast_36_r20) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -214421,21 +214422,25 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) (net interval_reg_41_14_am_5_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -215168,11 +215173,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_finished_3c)) - )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -215876,21 +215876,18 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r10 (joined - (portRef reset_tdc_fast_37_r10) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - )) - (net reset_tdc_fast_37_r11 (joined - (portRef reset_tdc_fast_37_r11) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -216321,6 +216318,27 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNI6IE9)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_1_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -216329,22 +216347,21 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r17 (joined - (portRef reset_tdc_fast_36_r17) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) + )) + (net reset_tdc_fast_37_r14 (joined + (portRef reset_tdc_fast_37_r14) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) + (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) @@ -216362,14 +216379,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) - (net un3_binary_code_out_7 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_7)) - )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) )) + (net un3_binary_code_out_7 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_7)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -216901,9 +216918,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) - (portRef reset_tdc_70 (instanceRef ROM_Encoder_1)) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) + (portRef reset_tdc_18 (instanceRef ROM_Encoder_1)) )) ) ) @@ -216913,10 +216930,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_70 (direction INPUT)) - (port reset_tdc_69 (direction INPUT)) - (port reset_tdc_68 (direction INPUT)) - (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_18 (direction INPUT)) + (port reset_tdc_17 (direction INPUT)) + (port reset_tdc_16 (direction INPUT)) + (port reset_tdc_15 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -219072,13 +219089,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) (portRef CD (instanceRef FF_229)) (portRef CD (instanceRef FF_230)) (portRef CD (instanceRef FF_231)) @@ -219755,52 +219767,8 @@ (portRef S1 (instanceRef GEN_113_ADD)) (portRef D (instanceRef FF_227)) )) - (net result_i_227 (joined - (portRef Q (instanceRef FF_227)) - (portRef (member result_i 76)) - )) - (net tsum_226 (joined - (portRef S0 (instanceRef GEN_113_ADD)) - (portRef D (instanceRef FF_226)) - )) - (net result_i_226 (joined - (portRef Q (instanceRef FF_226)) - (portRef (member result_i 77)) - )) - (net tsum_225 (joined - (portRef S1 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_225)) - )) - (net result_i_225 (joined - (portRef Q (instanceRef FF_225)) - (portRef (member result_i 78)) - )) - (net tsum_224 (joined - (portRef S0 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_224)) - )) - (net result_i_224 (joined - (portRef Q (instanceRef FF_224)) - (portRef (member result_i 79)) - )) - (net tsum_223 (joined - (portRef S1 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_223)) - )) - (net result_i_223 (joined - (portRef Q (instanceRef FF_223)) - (portRef (member result_i 80)) - )) - (net tsum_222 (joined - (portRef S0 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_222)) - )) - (net reset_tdc_68 (joined - (portRef reset_tdc_68) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) + (net reset_tdc_16 (joined + (portRef reset_tdc_16) (portRef CD (instanceRef FF_129)) (portRef CD (instanceRef FF_130)) (portRef CD (instanceRef FF_131)) @@ -219896,6 +219864,51 @@ (portRef CD (instanceRef FF_221)) (portRef CD (instanceRef FF_222)) (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + )) + (net result_i_227 (joined + (portRef Q (instanceRef FF_227)) + (portRef (member result_i 76)) + )) + (net tsum_226 (joined + (portRef S0 (instanceRef GEN_113_ADD)) + (portRef D (instanceRef FF_226)) + )) + (net result_i_226 (joined + (portRef Q (instanceRef FF_226)) + (portRef (member result_i 77)) + )) + (net tsum_225 (joined + (portRef S1 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_225)) + )) + (net result_i_225 (joined + (portRef Q (instanceRef FF_225)) + (portRef (member result_i 78)) + )) + (net tsum_224 (joined + (portRef S0 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_224)) + )) + (net result_i_224 (joined + (portRef Q (instanceRef FF_224)) + (portRef (member result_i 79)) + )) + (net tsum_223 (joined + (portRef S1 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_223)) + )) + (net result_i_223 (joined + (portRef Q (instanceRef FF_223)) + (portRef (member result_i 80)) + )) + (net tsum_222 (joined + (portRef S0 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_222)) )) (net result_i_222 (joined (portRef Q (instanceRef FF_222)) @@ -220657,43 +220670,8 @@ (portRef S1 (instanceRef GEN_63_ADD)) (portRef D (instanceRef FF_127)) )) - (net result_i_127 (joined - (portRef Q (instanceRef FF_127)) - (portRef (member result_i 176)) - )) - (net tsum_126 (joined - (portRef S0 (instanceRef GEN_63_ADD)) - (portRef D (instanceRef FF_126)) - )) - (net result_i_126 (joined - (portRef Q (instanceRef FF_126)) - (portRef (member result_i 177)) - )) - (net tsum_125 (joined - (portRef S1 (instanceRef GEN_62_ADD)) - (portRef D (instanceRef FF_125)) - )) - (net result_i_125 (joined - (portRef Q (instanceRef FF_125)) - (portRef (member result_i 178)) - )) - (net tsum_124 (joined - (portRef S0 (instanceRef GEN_62_ADD)) - (portRef D (instanceRef FF_124)) - )) - (net result_i_124 (joined - (portRef Q (instanceRef FF_124)) - (portRef (member result_i 179)) - )) - (net tsum_123 (joined - (portRef S1 (instanceRef GEN_61_ADD)) - (portRef D (instanceRef FF_123)) - )) - (net reset_tdc_69 (joined - (portRef reset_tdc_69) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) + (net reset_tdc_17 (joined + (portRef reset_tdc_17) (portRef CD (instanceRef FF_29)) (portRef CD (instanceRef FF_30)) (portRef CD (instanceRef FF_31)) @@ -220790,6 +220768,42 @@ (portRef CD (instanceRef FF_122)) (portRef CD (instanceRef FF_123)) (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + )) + (net result_i_127 (joined + (portRef Q (instanceRef FF_127)) + (portRef (member result_i 176)) + )) + (net tsum_126 (joined + (portRef S0 (instanceRef GEN_63_ADD)) + (portRef D (instanceRef FF_126)) + )) + (net result_i_126 (joined + (portRef Q (instanceRef FF_126)) + (portRef (member result_i 177)) + )) + (net tsum_125 (joined + (portRef S1 (instanceRef GEN_62_ADD)) + (portRef D (instanceRef FF_125)) + )) + (net result_i_125 (joined + (portRef Q (instanceRef FF_125)) + (portRef (member result_i 178)) + )) + (net tsum_124 (joined + (portRef S0 (instanceRef GEN_62_ADD)) + (portRef D (instanceRef FF_124)) + )) + (net result_i_124 (joined + (portRef Q (instanceRef FF_124)) + (portRef (member result_i 179)) + )) + (net tsum_123 (joined + (portRef S1 (instanceRef GEN_61_ADD)) + (portRef D (instanceRef FF_123)) )) (net result_i_123 (joined (portRef Q (instanceRef FF_123)) @@ -221559,32 +221573,8 @@ (portRef S1 (instanceRef GEN_13_ADD)) (portRef D (instanceRef FF_27)) )) - (net result_i_27 (joined - (portRef Q (instanceRef FF_27)) - (portRef (member result_i 276)) - )) - (net tsum_26 (joined - (portRef S0 (instanceRef GEN_13_ADD)) - (portRef D (instanceRef FF_26)) - )) - (net result_i_26 (joined - (portRef Q (instanceRef FF_26)) - (portRef (member result_i 277)) - )) - (net tsum_25 (joined - (portRef S1 (instanceRef GEN_12_ADD)) - (portRef D (instanceRef FF_25)) - )) - (net result_i_25 (joined - (portRef Q (instanceRef FF_25)) - (portRef (member result_i 278)) - )) - (net tsum_24 (joined - (portRef S0 (instanceRef GEN_12_ADD)) - (portRef D (instanceRef FF_24)) - )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -221611,6 +221601,33 @@ (portRef CD (instanceRef FF_23)) (portRef CD (instanceRef FF_24)) (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + )) + (net result_i_27 (joined + (portRef Q (instanceRef FF_27)) + (portRef (member result_i 276)) + )) + (net tsum_26 (joined + (portRef S0 (instanceRef GEN_13_ADD)) + (portRef D (instanceRef FF_26)) + )) + (net result_i_26 (joined + (portRef Q (instanceRef FF_26)) + (portRef (member result_i 277)) + )) + (net tsum_25 (joined + (portRef S1 (instanceRef GEN_12_ADD)) + (portRef D (instanceRef FF_25)) + )) + (net result_i_25 (joined + (portRef Q (instanceRef FF_25)) + (portRef (member result_i 278)) + )) + (net tsum_24 (joined + (portRef S0 (instanceRef GEN_12_ADD)) + (portRef D (instanceRef FF_24)) )) (net result_i_24 (joined (portRef Q (instanceRef FF_24)) @@ -222733,7 +222750,7 @@ (cell edge_to_pulse_0_23 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -222805,11 +222822,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_25_0 (joined + (net channel_debug_01_i_12_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_25 0)) + (portRef (member channel_debug_01_i_12 0)) )) (net VCC (joined (portRef VCC) @@ -222823,16 +222840,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(25:25)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(2:2)") 1) (direction INPUT)) - (port reset_i_5 (direction INPUT)) - (port reset_i_4 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(12:12)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(2:2)") 1) (direction INPUT)) + (port reset_i_13 (direction INPUT)) + (port reset_i_12 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -223312,8 +223330,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_25_2 (joined - (portRef (member channel_debug_01_i_25 0)) + (net channel_debug_01_i_12_2 (joined + (portRef (member channel_debug_01_i_12 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -223356,11 +223374,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_25 (joined + (net rd_en_i_12 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -223796,8 +223810,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -224086,9 +224101,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -224362,10 +224376,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) + (net reset_i_12 (joined + (portRef reset_i_12) (portRef CD (instanceRef FF_99)) (portRef CD (instanceRef FF_100)) (portRef PD (instanceRef FF_101)) @@ -224387,16 +224399,8 @@ (portRef NC0 (instanceRef w_gctr_2)) (portRef D (instanceRef FF_97)) )) - (net iwcount_5 (joined - (portRef NC1 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_96)) - )) - (net iwcount_6 (joined - (portRef NC0 (instanceRef w_gctr_3)) - (portRef D (instanceRef FF_95)) - )) - (net reset_i_5 (joined - (portRef reset_i_5) + (net reset_i_13 (joined + (portRef reset_i_13) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -224443,6 +224447,16 @@ (portRef CD (instanceRef FF_94)) (portRef CD (instanceRef FF_95)) (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + )) + (net iwcount_5 (joined + (portRef NC1 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_96)) + )) + (net iwcount_6 (joined + (portRef NC0 (instanceRef w_gctr_3)) + (portRef D (instanceRef FF_95)) )) (net iwcount_7 (joined (portRef NC1 (instanceRef w_gctr_3)) @@ -224724,6 +224738,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -225164,12 +225183,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(2:1)") 2) (direction INOUT)) - (port reset_tdc_24 (direction INPUT)) - (port reset_tdc_fast_37_r12 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(2:1)") 2) (direction INOUT)) + (port reset_tdc_64 (direction INPUT)) + (port reset_tdc_fast_36_r21 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -225538,7 +225556,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIM4EQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI8ES01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -225583,10 +225601,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -225620,27 +225638,27 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -225875,7 +225893,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -226092,10 +226110,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -226156,7 +226174,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -226303,12 +226321,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNIU1V41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -226318,38 +226330,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) + ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) + ) + (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -226556,7 +226568,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIM4EQ)) + (portRef A (instanceRef start_reg_RNI8ES01)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -226797,8 +226809,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -226809,8 +226821,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -226896,7 +226908,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNIU1V41)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -226905,7 +226916,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNIU1V41)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -226914,7 +226924,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNIU1V41)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -226923,7 +226932,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNIU1V41)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -226987,8 +226995,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -227020,8 +227028,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -227136,16 +227144,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -227187,7 +227185,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -227878,8 +227876,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIM4EQ (joined - (portRef Z (instanceRef start_reg_RNIM4EQ)) + (net start_reg_RNI8ES01 (joined + (portRef Z (instanceRef start_reg_RNI8ES01)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -227924,8 +227922,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_25_1 (joined - (portRef (member channel_debug_01_i_25 1)) + (net channel_debug_01_i_12_1 (joined + (portRef (member channel_debug_01_i_12 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -227968,7 +227966,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIM4EQ)) + (portRef B (instanceRef start_reg_RNI8ES01)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -227984,11 +227982,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_25_2 (joined + (net channel_debug_01_i_12_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_25 0)) + (portRef (member channel_debug_01_i_12 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -228144,8 +228152,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -228399,8 +228407,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -228551,7 +228559,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIM4EQ)) + (portRef C (instanceRef start_reg_RNI8ES01)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -228578,8 +228586,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -228650,14 +228658,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_6_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -228680,20 +228688,16 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -228702,22 +228706,26 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) (net interval_reg_41_14_am_6_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -230144,17 +230152,17 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) - )) (net mux_control_6_5_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (net mux_control_6_5_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) + )) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -230593,27 +230601,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNIU1V41)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_1_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -230622,21 +230609,22 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) - (net reset_tdc_fast_37_r12 (joined - (portRef reset_tdc_fast_37_r12) + (net reset_tdc_fast_36_r21 (joined + (portRef reset_tdc_fast_36_r21) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) - (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) + (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) + )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) @@ -230646,14 +230634,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_4)) )) - (net un3_binary_code_out_6 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_6)) - )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) + (net un3_binary_code_out_6 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_6)) + )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) @@ -231193,9 +231181,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) - (portRef reset_tdc_24 (instanceRef ROM_Encoder_1)) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) + (portRef reset_tdc_64 (instanceRef ROM_Encoder_1)) )) ) ) @@ -231205,10 +231193,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_24 (direction INPUT)) - (port reset_tdc_23 (direction INPUT)) - (port reset_tdc_22 (direction INPUT)) - (port reset_tdc_21 (direction INPUT)) + (port reset_tdc_64 (direction INPUT)) + (port reset_tdc_63 (direction INPUT)) + (port reset_tdc_62 (direction INPUT)) + (port reset_tdc_61 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -233364,8 +233352,39 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) (portRef CD (instanceRef FF_239)) (portRef CD (instanceRef FF_240)) (portRef CD (instanceRef FF_241)) @@ -233952,8 +233971,286 @@ (portRef S1 (instanceRef GEN_118_ADD)) (portRef D (instanceRef FF_237)) )) - (net reset_tdc_22 (joined - (portRef reset_tdc_22) + (net result_i_237 (joined + (portRef Q (instanceRef FF_237)) + (portRef (member result_i 66)) + )) + (net tsum_236 (joined + (portRef S0 (instanceRef GEN_118_ADD)) + (portRef D (instanceRef FF_236)) + )) + (net result_i_236 (joined + (portRef Q (instanceRef FF_236)) + (portRef (member result_i 67)) + )) + (net tsum_235 (joined + (portRef S1 (instanceRef GEN_117_ADD)) + (portRef D (instanceRef FF_235)) + )) + (net result_i_235 (joined + (portRef Q (instanceRef FF_235)) + (portRef (member result_i 68)) + )) + (net tsum_234 (joined + (portRef S0 (instanceRef GEN_117_ADD)) + (portRef D (instanceRef FF_234)) + )) + (net result_i_234 (joined + (portRef Q (instanceRef FF_234)) + (portRef (member result_i 69)) + )) + (net tsum_233 (joined + (portRef S1 (instanceRef GEN_116_ADD)) + (portRef D (instanceRef FF_233)) + )) + (net result_i_233 (joined + (portRef Q (instanceRef FF_233)) + (portRef (member result_i 70)) + )) + (net tsum_232 (joined + (portRef S0 (instanceRef GEN_116_ADD)) + (portRef D (instanceRef FF_232)) + )) + (net result_i_232 (joined + (portRef Q (instanceRef FF_232)) + (portRef (member result_i 71)) + )) + (net tsum_231 (joined + (portRef S1 (instanceRef GEN_115_ADD)) + (portRef D (instanceRef FF_231)) + )) + (net result_i_231 (joined + (portRef Q (instanceRef FF_231)) + (portRef (member result_i 72)) + )) + (net tsum_230 (joined + (portRef S0 (instanceRef GEN_115_ADD)) + (portRef D (instanceRef FF_230)) + )) + (net result_i_230 (joined + (portRef Q (instanceRef FF_230)) + (portRef (member result_i 73)) + )) + (net tsum_229 (joined + (portRef S1 (instanceRef GEN_114_ADD)) + (portRef D (instanceRef FF_229)) + )) + (net result_i_229 (joined + (portRef Q (instanceRef FF_229)) + (portRef (member result_i 74)) + )) + (net tsum_228 (joined + (portRef S0 (instanceRef GEN_114_ADD)) + (portRef D (instanceRef FF_228)) + )) + (net result_i_228 (joined + (portRef Q (instanceRef FF_228)) + (portRef (member result_i 75)) + )) + (net tsum_227 (joined + (portRef S1 (instanceRef GEN_113_ADD)) + (portRef D (instanceRef FF_227)) + )) + (net result_i_227 (joined + (portRef Q (instanceRef FF_227)) + (portRef (member result_i 76)) + )) + (net tsum_226 (joined + (portRef S0 (instanceRef GEN_113_ADD)) + (portRef D (instanceRef FF_226)) + )) + (net result_i_226 (joined + (portRef Q (instanceRef FF_226)) + (portRef (member result_i 77)) + )) + (net tsum_225 (joined + (portRef S1 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_225)) + )) + (net result_i_225 (joined + (portRef Q (instanceRef FF_225)) + (portRef (member result_i 78)) + )) + (net tsum_224 (joined + (portRef S0 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_224)) + )) + (net result_i_224 (joined + (portRef Q (instanceRef FF_224)) + (portRef (member result_i 79)) + )) + (net tsum_223 (joined + (portRef S1 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_223)) + )) + (net result_i_223 (joined + (portRef Q (instanceRef FF_223)) + (portRef (member result_i 80)) + )) + (net tsum_222 (joined + (portRef S0 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_222)) + )) + (net result_i_222 (joined + (portRef Q (instanceRef FF_222)) + (portRef (member result_i 81)) + )) + (net tsum_221 (joined + (portRef S1 (instanceRef GEN_110_ADD)) + (portRef D (instanceRef FF_221)) + )) + (net result_i_221 (joined + (portRef Q (instanceRef FF_221)) + (portRef (member result_i 82)) + )) + (net tsum_220 (joined + (portRef S0 (instanceRef GEN_110_ADD)) + (portRef D (instanceRef FF_220)) + )) + (net result_i_220 (joined + (portRef Q (instanceRef FF_220)) + (portRef (member result_i 83)) + )) + (net tsum_219 (joined + (portRef S1 (instanceRef GEN_109_ADD)) + (portRef D (instanceRef FF_219)) + )) + (net result_i_219 (joined + (portRef Q (instanceRef FF_219)) + (portRef (member result_i 84)) + )) + (net tsum_218 (joined + (portRef S0 (instanceRef GEN_109_ADD)) + (portRef D (instanceRef FF_218)) + )) + (net result_i_218 (joined + (portRef Q (instanceRef FF_218)) + (portRef (member result_i 85)) + )) + (net tsum_217 (joined + (portRef S1 (instanceRef GEN_108_ADD)) + (portRef D (instanceRef FF_217)) + )) + (net result_i_217 (joined + (portRef Q (instanceRef FF_217)) + (portRef (member result_i 86)) + )) + (net tsum_216 (joined + (portRef S0 (instanceRef GEN_108_ADD)) + (portRef D (instanceRef FF_216)) + )) + (net result_i_216 (joined + (portRef Q (instanceRef FF_216)) + (portRef (member result_i 87)) + )) + (net tsum_215 (joined + (portRef S1 (instanceRef GEN_107_ADD)) + (portRef D (instanceRef FF_215)) + )) + (net result_i_215 (joined + (portRef Q (instanceRef FF_215)) + (portRef (member result_i 88)) + )) + (net tsum_214 (joined + (portRef S0 (instanceRef GEN_107_ADD)) + (portRef D (instanceRef FF_214)) + )) + (net result_i_214 (joined + (portRef Q (instanceRef FF_214)) + (portRef (member result_i 89)) + )) + (net tsum_213 (joined + (portRef S1 (instanceRef GEN_106_ADD)) + (portRef D (instanceRef FF_213)) + )) + (net result_i_213 (joined + (portRef Q (instanceRef FF_213)) + (portRef (member result_i 90)) + )) + (net tsum_212 (joined + (portRef S0 (instanceRef GEN_106_ADD)) + (portRef D (instanceRef FF_212)) + )) + (net result_i_212 (joined + (portRef Q (instanceRef FF_212)) + (portRef (member result_i 91)) + )) + (net tsum_211 (joined + (portRef S1 (instanceRef GEN_105_ADD)) + (portRef D (instanceRef FF_211)) + )) + (net result_i_211 (joined + (portRef Q (instanceRef FF_211)) + (portRef (member result_i 92)) + )) + (net tsum_210 (joined + (portRef S0 (instanceRef GEN_105_ADD)) + (portRef D (instanceRef FF_210)) + )) + (net result_i_210 (joined + (portRef Q (instanceRef FF_210)) + (portRef (member result_i 93)) + )) + (net tsum_209 (joined + (portRef S1 (instanceRef GEN_104_ADD)) + (portRef D (instanceRef FF_209)) + )) + (net result_i_209 (joined + (portRef Q (instanceRef FF_209)) + (portRef (member result_i 94)) + )) + (net tsum_208 (joined + (portRef S0 (instanceRef GEN_104_ADD)) + (portRef D (instanceRef FF_208)) + )) + (net result_i_208 (joined + (portRef Q (instanceRef FF_208)) + (portRef (member result_i 95)) + )) + (net tsum_207 (joined + (portRef S1 (instanceRef GEN_103_ADD)) + (portRef D (instanceRef FF_207)) + )) + (net result_i_207 (joined + (portRef Q (instanceRef FF_207)) + (portRef (member result_i 96)) + )) + (net tsum_206 (joined + (portRef S0 (instanceRef GEN_103_ADD)) + (portRef D (instanceRef FF_206)) + )) + (net reset_tdc_62 (joined + (portRef reset_tdc_62) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) (portRef CD (instanceRef FF_139)) (portRef CD (instanceRef FF_140)) (portRef CD (instanceRef FF_141)) @@ -234023,285 +234320,6 @@ (portRef CD (instanceRef FF_205)) (portRef CD (instanceRef FF_206)) (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - )) - (net result_i_237 (joined - (portRef Q (instanceRef FF_237)) - (portRef (member result_i 66)) - )) - (net tsum_236 (joined - (portRef S0 (instanceRef GEN_118_ADD)) - (portRef D (instanceRef FF_236)) - )) - (net result_i_236 (joined - (portRef Q (instanceRef FF_236)) - (portRef (member result_i 67)) - )) - (net tsum_235 (joined - (portRef S1 (instanceRef GEN_117_ADD)) - (portRef D (instanceRef FF_235)) - )) - (net result_i_235 (joined - (portRef Q (instanceRef FF_235)) - (portRef (member result_i 68)) - )) - (net tsum_234 (joined - (portRef S0 (instanceRef GEN_117_ADD)) - (portRef D (instanceRef FF_234)) - )) - (net result_i_234 (joined - (portRef Q (instanceRef FF_234)) - (portRef (member result_i 69)) - )) - (net tsum_233 (joined - (portRef S1 (instanceRef GEN_116_ADD)) - (portRef D (instanceRef FF_233)) - )) - (net result_i_233 (joined - (portRef Q (instanceRef FF_233)) - (portRef (member result_i 70)) - )) - (net tsum_232 (joined - (portRef S0 (instanceRef GEN_116_ADD)) - (portRef D (instanceRef FF_232)) - )) - (net result_i_232 (joined - (portRef Q (instanceRef FF_232)) - (portRef (member result_i 71)) - )) - (net tsum_231 (joined - (portRef S1 (instanceRef GEN_115_ADD)) - (portRef D (instanceRef FF_231)) - )) - (net result_i_231 (joined - (portRef Q (instanceRef FF_231)) - (portRef (member result_i 72)) - )) - (net tsum_230 (joined - (portRef S0 (instanceRef GEN_115_ADD)) - (portRef D (instanceRef FF_230)) - )) - (net result_i_230 (joined - (portRef Q (instanceRef FF_230)) - (portRef (member result_i 73)) - )) - (net tsum_229 (joined - (portRef S1 (instanceRef GEN_114_ADD)) - (portRef D (instanceRef FF_229)) - )) - (net result_i_229 (joined - (portRef Q (instanceRef FF_229)) - (portRef (member result_i 74)) - )) - (net tsum_228 (joined - (portRef S0 (instanceRef GEN_114_ADD)) - (portRef D (instanceRef FF_228)) - )) - (net result_i_228 (joined - (portRef Q (instanceRef FF_228)) - (portRef (member result_i 75)) - )) - (net tsum_227 (joined - (portRef S1 (instanceRef GEN_113_ADD)) - (portRef D (instanceRef FF_227)) - )) - (net result_i_227 (joined - (portRef Q (instanceRef FF_227)) - (portRef (member result_i 76)) - )) - (net tsum_226 (joined - (portRef S0 (instanceRef GEN_113_ADD)) - (portRef D (instanceRef FF_226)) - )) - (net result_i_226 (joined - (portRef Q (instanceRef FF_226)) - (portRef (member result_i 77)) - )) - (net tsum_225 (joined - (portRef S1 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_225)) - )) - (net result_i_225 (joined - (portRef Q (instanceRef FF_225)) - (portRef (member result_i 78)) - )) - (net tsum_224 (joined - (portRef S0 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_224)) - )) - (net result_i_224 (joined - (portRef Q (instanceRef FF_224)) - (portRef (member result_i 79)) - )) - (net tsum_223 (joined - (portRef S1 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_223)) - )) - (net result_i_223 (joined - (portRef Q (instanceRef FF_223)) - (portRef (member result_i 80)) - )) - (net tsum_222 (joined - (portRef S0 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_222)) - )) - (net result_i_222 (joined - (portRef Q (instanceRef FF_222)) - (portRef (member result_i 81)) - )) - (net tsum_221 (joined - (portRef S1 (instanceRef GEN_110_ADD)) - (portRef D (instanceRef FF_221)) - )) - (net result_i_221 (joined - (portRef Q (instanceRef FF_221)) - (portRef (member result_i 82)) - )) - (net tsum_220 (joined - (portRef S0 (instanceRef GEN_110_ADD)) - (portRef D (instanceRef FF_220)) - )) - (net result_i_220 (joined - (portRef Q (instanceRef FF_220)) - (portRef (member result_i 83)) - )) - (net tsum_219 (joined - (portRef S1 (instanceRef GEN_109_ADD)) - (portRef D (instanceRef FF_219)) - )) - (net result_i_219 (joined - (portRef Q (instanceRef FF_219)) - (portRef (member result_i 84)) - )) - (net tsum_218 (joined - (portRef S0 (instanceRef GEN_109_ADD)) - (portRef D (instanceRef FF_218)) - )) - (net result_i_218 (joined - (portRef Q (instanceRef FF_218)) - (portRef (member result_i 85)) - )) - (net tsum_217 (joined - (portRef S1 (instanceRef GEN_108_ADD)) - (portRef D (instanceRef FF_217)) - )) - (net result_i_217 (joined - (portRef Q (instanceRef FF_217)) - (portRef (member result_i 86)) - )) - (net tsum_216 (joined - (portRef S0 (instanceRef GEN_108_ADD)) - (portRef D (instanceRef FF_216)) - )) - (net result_i_216 (joined - (portRef Q (instanceRef FF_216)) - (portRef (member result_i 87)) - )) - (net tsum_215 (joined - (portRef S1 (instanceRef GEN_107_ADD)) - (portRef D (instanceRef FF_215)) - )) - (net result_i_215 (joined - (portRef Q (instanceRef FF_215)) - (portRef (member result_i 88)) - )) - (net tsum_214 (joined - (portRef S0 (instanceRef GEN_107_ADD)) - (portRef D (instanceRef FF_214)) - )) - (net result_i_214 (joined - (portRef Q (instanceRef FF_214)) - (portRef (member result_i 89)) - )) - (net tsum_213 (joined - (portRef S1 (instanceRef GEN_106_ADD)) - (portRef D (instanceRef FF_213)) - )) - (net result_i_213 (joined - (portRef Q (instanceRef FF_213)) - (portRef (member result_i 90)) - )) - (net tsum_212 (joined - (portRef S0 (instanceRef GEN_106_ADD)) - (portRef D (instanceRef FF_212)) - )) - (net result_i_212 (joined - (portRef Q (instanceRef FF_212)) - (portRef (member result_i 91)) - )) - (net tsum_211 (joined - (portRef S1 (instanceRef GEN_105_ADD)) - (portRef D (instanceRef FF_211)) - )) - (net result_i_211 (joined - (portRef Q (instanceRef FF_211)) - (portRef (member result_i 92)) - )) - (net tsum_210 (joined - (portRef S0 (instanceRef GEN_105_ADD)) - (portRef D (instanceRef FF_210)) - )) - (net result_i_210 (joined - (portRef Q (instanceRef FF_210)) - (portRef (member result_i 93)) - )) - (net tsum_209 (joined - (portRef S1 (instanceRef GEN_104_ADD)) - (portRef D (instanceRef FF_209)) - )) - (net result_i_209 (joined - (portRef Q (instanceRef FF_209)) - (portRef (member result_i 94)) - )) - (net tsum_208 (joined - (portRef S0 (instanceRef GEN_104_ADD)) - (portRef D (instanceRef FF_208)) - )) - (net result_i_208 (joined - (portRef Q (instanceRef FF_208)) - (portRef (member result_i 95)) - )) - (net tsum_207 (joined - (portRef S1 (instanceRef GEN_103_ADD)) - (portRef D (instanceRef FF_207)) - )) - (net result_i_207 (joined - (portRef Q (instanceRef FF_207)) - (portRef (member result_i 96)) - )) - (net tsum_206 (joined - (portRef S0 (instanceRef GEN_103_ADD)) - (portRef D (instanceRef FF_206)) )) (net result_i_206 (joined (portRef Q (instanceRef FF_206)) @@ -234855,109 +234873,6 @@ (portRef S1 (instanceRef GEN_68_ADD)) (portRef D (instanceRef FF_137)) )) - (net reset_tdc_23 (joined - (portRef reset_tdc_23) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - )) (net result_i_137 (joined (portRef Q (instanceRef FF_137)) (portRef (member result_i 166)) @@ -235198,6 +235113,108 @@ (portRef S1 (instanceRef GEN_53_ADD)) (portRef D (instanceRef FF_107)) )) + (net reset_tdc_63 (joined + (portRef reset_tdc_63) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + )) (net result_i_107 (joined (portRef Q (instanceRef FF_107)) (portRef (member result_i 196)) @@ -235758,48 +235775,6 @@ (portRef S1 (instanceRef GEN_18_ADD)) (portRef D (instanceRef FF_37)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - )) (net result_i_37 (joined (portRef Q (instanceRef FF_37)) (portRef (member result_i 266)) @@ -236032,6 +236007,19 @@ (portRef S0 (instanceRef GEN_4_ADD)) (portRef D (instanceRef FF_8)) )) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + )) (net result_i_8 (joined (portRef Q (instanceRef FF_8)) (portRef (member result_i 295)) @@ -237025,7 +237013,7 @@ (cell edge_to_pulse_0_22 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -237097,11 +237085,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_13_0 (joined + (net channel_debug_01_i_25_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_13 0)) + (portRef (member channel_debug_01_i_25 0)) )) (net VCC (joined (portRef VCC) @@ -237115,9 +237103,10 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(13:13)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(2:2)") 1) (direction INPUT)) - (port reset_i_11 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(25:25)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(2:2)") 1) (direction INPUT)) + (port reset_i_6 (direction INPUT)) + (port reset_i_5 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -237603,8 +237592,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_13_2 (joined - (portRef (member channel_debug_01_i_13 0)) + (net channel_debug_01_i_25_2 (joined + (portRef (member channel_debug_01_i_25 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -237651,7 +237640,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_13 (joined + (net rd_en_i_25 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -238087,7 +238076,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) @@ -238377,8 +238366,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -238653,8 +238642,46 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_11 (joined - (portRef reset_i_11) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef PD (instanceRef FF_101)) + (portRef RST (instanceRef pdp_ram_0_0_0)) + )) + (net iwcount_1 (joined + (portRef NC1 (instanceRef w_gctr_0)) + (portRef D (instanceRef FF_100)) + )) + (net iwcount_2 (joined + (portRef NC0 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_99)) + )) + (net iwcount_3 (joined + (portRef NC1 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_98)) + )) + (net iwcount_4 (joined + (portRef NC0 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_97)) + )) + (net iwcount_5 (joined + (portRef NC1 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_96)) + )) + (net iwcount_6 (joined + (portRef NC0 (instanceRef w_gctr_3)) + (portRef D (instanceRef FF_95)) + )) + (net iwcount_7 (joined + (portRef NC1 (instanceRef w_gctr_3)) + (portRef D (instanceRef FF_94)) + )) + (net reset_i_6 (joined + (portRef reset_i_6) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -238700,41 +238727,6 @@ (portRef CD (instanceRef FF_93)) (portRef CD (instanceRef FF_94)) (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef PD (instanceRef FF_101)) - (portRef RST (instanceRef pdp_ram_0_0_0)) - )) - (net iwcount_1 (joined - (portRef NC1 (instanceRef w_gctr_0)) - (portRef D (instanceRef FF_100)) - )) - (net iwcount_2 (joined - (portRef NC0 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_99)) - )) - (net iwcount_3 (joined - (portRef NC1 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_98)) - )) - (net iwcount_4 (joined - (portRef NC0 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_97)) - )) - (net iwcount_5 (joined - (portRef NC1 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_96)) - )) - (net iwcount_6 (joined - (portRef NC0 (instanceRef w_gctr_3)) - (portRef D (instanceRef FF_95)) - )) - (net iwcount_7 (joined - (portRef NC1 (instanceRef w_gctr_3)) - (portRef D (instanceRef FF_94)) )) (net iwcount_8 (joined (portRef NC0 (instanceRef w_gctr_4)) @@ -239452,11 +239444,12 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(2:1)") 2) (direction INOUT)) - (port reset_tdc_61 (direction INPUT)) - (port reset_tdc_fast_36_r22 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(2:1)") 2) (direction INOUT)) + (port reset_tdc_24 (direction INPUT)) + (port reset_tdc_fast_37_r12 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -239825,7 +239818,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIC0DP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIM4EQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -239907,25 +239900,25 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -240165,9 +240158,6 @@ (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -240446,6 +240436,9 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -240590,6 +240583,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNIU1V41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -240599,38 +240598,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) + (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -240837,7 +240836,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIC0DP)) + (portRef A (instanceRef start_reg_RNIM4EQ)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -241078,8 +241077,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -241177,6 +241176,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNIU1V41)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -241185,6 +241185,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNIU1V41)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -241193,6 +241194,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNIU1V41)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -241201,6 +241203,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNIU1V41)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -241264,8 +241267,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -241413,6 +241416,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -241454,7 +241467,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -242145,8 +242158,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIC0DP (joined - (portRef Z (instanceRef start_reg_RNIC0DP)) + (net start_reg_RNIM4EQ (joined + (portRef Z (instanceRef start_reg_RNIM4EQ)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -242191,8 +242204,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_13_1 (joined - (portRef (member channel_debug_01_i_13 1)) + (net channel_debug_01_i_25_1 (joined + (portRef (member channel_debug_01_i_25 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -242235,7 +242248,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIC0DP)) + (portRef B (instanceRef start_reg_RNIM4EQ)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -242251,21 +242264,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_13_2 (joined + (net channel_debug_01_i_25_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_13 0)) + (portRef (member channel_debug_01_i_25 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -242828,7 +242831,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIC0DP)) + (portRef C (instanceRef start_reg_RNIM4EQ)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -242957,10 +242960,9 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) @@ -242968,10 +242970,6 @@ (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -242980,21 +242978,25 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) (net interval_reg_41_14_am_7_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -244430,8 +244432,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -244870,16 +244873,41 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNIU1V41)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_2_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) + )) (net un3_binary_code_out_1 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r22 (joined - (portRef reset_tdc_fast_36_r22) + (net reset_tdc_fast_37_r12 (joined + (portRef reset_tdc_fast_37_r12) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -244887,13 +244915,8 @@ (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) - (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) + (portRef B (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -245450,9 +245473,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) - (portRef reset_tdc_61 (instanceRef ROM_Encoder_1)) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) + (portRef reset_tdc_24 (instanceRef ROM_Encoder_1)) )) ) ) @@ -245462,11 +245485,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_61 (direction INPUT)) - (port reset_tdc_60 (direction INPUT)) - (port reset_tdc_59 (direction INPUT)) - (port reset_tdc_58 (direction INPUT)) - (port reset_tdc_57 (direction INPUT)) + (port reset_tdc_24 (direction INPUT)) + (port reset_tdc_23 (direction INPUT)) + (port reset_tdc_22 (direction INPUT)) + (port reset_tdc_21 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -247622,95 +247644,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) - (portRef CD (instanceRef FF_299)) - (portRef CD (instanceRef FF_300)) - (portRef CD (instanceRef FF_301)) - (portRef CD (instanceRef FF_302)) - (portRef CD (instanceRef FF_303)) - )) - (net result_i_302 (joined - (portRef Q (instanceRef FF_302)) - (portRef (member result_i 1)) - )) - (net tsum_301 (joined - (portRef S1 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_301)) - )) - (net result_i_301 (joined - (portRef Q (instanceRef FF_301)) - (portRef (member result_i 2)) - )) - (net tsum_300 (joined - (portRef S0 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_300)) - )) - (net result_i_300 (joined - (portRef Q (instanceRef FF_300)) - (portRef (member result_i 3)) - )) - (net tsum_299 (joined - (portRef S1 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_299)) - )) - (net result_i_299 (joined - (portRef Q (instanceRef FF_299)) - (portRef (member result_i 4)) - )) - (net tsum_298 (joined - (portRef S0 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_298)) - )) - (net result_i_298 (joined - (portRef Q (instanceRef FF_298)) - (portRef (member result_i 5)) - )) - (net tsum_297 (joined - (portRef S1 (instanceRef GEN_148_ADD)) - (portRef D (instanceRef FF_297)) - )) - (net reset_tdc_58 (joined - (portRef reset_tdc_58) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) (portRef CD (instanceRef FF_239)) (portRef CD (instanceRef FF_240)) (portRef CD (instanceRef FF_241)) @@ -247771,6 +247706,51 @@ (portRef CD (instanceRef FF_296)) (portRef CD (instanceRef FF_297)) (portRef CD (instanceRef FF_298)) + (portRef CD (instanceRef FF_299)) + (portRef CD (instanceRef FF_300)) + (portRef CD (instanceRef FF_301)) + (portRef CD (instanceRef FF_302)) + (portRef CD (instanceRef FF_303)) + )) + (net result_i_302 (joined + (portRef Q (instanceRef FF_302)) + (portRef (member result_i 1)) + )) + (net tsum_301 (joined + (portRef S1 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_301)) + )) + (net result_i_301 (joined + (portRef Q (instanceRef FF_301)) + (portRef (member result_i 2)) + )) + (net tsum_300 (joined + (portRef S0 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_300)) + )) + (net result_i_300 (joined + (portRef Q (instanceRef FF_300)) + (portRef (member result_i 3)) + )) + (net tsum_299 (joined + (portRef S1 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_299)) + )) + (net result_i_299 (joined + (portRef Q (instanceRef FF_299)) + (portRef (member result_i 4)) + )) + (net tsum_298 (joined + (portRef S0 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_298)) + )) + (net result_i_298 (joined + (portRef Q (instanceRef FF_298)) + (portRef (member result_i 5)) + )) + (net tsum_297 (joined + (portRef S1 (instanceRef GEN_148_ADD)) + (portRef D (instanceRef FF_297)) )) (net result_i_297 (joined (portRef Q (instanceRef FF_297)) @@ -248252,6 +248232,109 @@ (portRef S1 (instanceRef GEN_118_ADD)) (portRef D (instanceRef FF_237)) )) + (net reset_tdc_22 (joined + (portRef reset_tdc_22) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + )) (net result_i_237 (joined (portRef Q (instanceRef FF_237)) (portRef (member result_i 66)) @@ -248564,108 +248647,6 @@ (portRef S0 (instanceRef GEN_99_ADD)) (portRef D (instanceRef FF_198)) )) - (net reset_tdc_59 (joined - (portRef reset_tdc_59) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - )) (net result_i_198 (joined (portRef Q (instanceRef FF_198)) (portRef (member result_i 105)) @@ -249154,6 +249135,109 @@ (portRef S1 (instanceRef GEN_68_ADD)) (portRef D (instanceRef FF_137)) )) + (net reset_tdc_23 (joined + (portRef reset_tdc_23) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + )) (net result_i_137 (joined (portRef Q (instanceRef FF_137)) (portRef (member result_i 166)) @@ -249458,108 +249542,6 @@ (portRef S1 (instanceRef GEN_49_ADD)) (portRef D (instanceRef FF_99)) )) - (net reset_tdc_60 (joined - (portRef reset_tdc_60) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - )) (net result_i_99 (joined (portRef Q (instanceRef FF_99)) (portRef (member result_i 204)) @@ -250056,6 +250038,48 @@ (portRef S1 (instanceRef GEN_18_ADD)) (portRef D (instanceRef FF_37)) )) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + )) (net result_i_37 (joined (portRef Q (instanceRef FF_37)) (portRef (member result_i 266)) @@ -250352,11 +250376,6 @@ (portRef S0 (instanceRef GEN_0_ADD)) (portRef D (instanceRef FF_0)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - )) (net result_i_0 (joined (portRef Q (instanceRef FF_0)) (portRef (member result_i 303)) @@ -251286,7 +251305,7 @@ (cell edge_to_pulse_0_21 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -251358,11 +251377,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_28_0 (joined + (net channel_debug_01_i_15_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_28 0)) + (portRef (member channel_debug_01_i_15 0)) )) (net VCC (joined (portRef VCC) @@ -251376,15 +251395,16 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(28:28)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(2:2)") 1) (direction INPUT)) - (port reset_i_3 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(15:15)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(2:2)") 1) (direction INPUT)) + (port reset_i_11 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -251864,8 +251884,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_28_2 (joined - (portRef (member channel_debug_01_i_28 0)) + (net channel_debug_01_i_15_2 (joined + (portRef (member channel_debug_01_i_15 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -251908,11 +251928,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_28 (joined + (net rd_en_i_15 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -252348,8 +252364,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -252638,9 +252653,10 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -252914,8 +252930,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_3 (joined - (portRef reset_i_3) + (net reset_i_11 (joined + (portRef reset_i_11) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -253273,6 +253289,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -253713,12 +253734,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(2:1)") 2) (direction INOUT)) - (port reset_tdc_15 (direction INPUT)) - (port reset_tdc_fast_37_r15 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(2:1)") 2) (direction INOUT)) + (port reset_tdc_54 (direction INPUT)) + (port reset_tdc_fast_36_r24 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -254087,7 +254107,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI2RVJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIK4EQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -254157,16 +254177,16 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -254178,16 +254198,16 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -254421,6 +254441,12 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -254702,12 +254728,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -254852,12 +254872,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNIAAMR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -254867,38 +254881,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) + ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -255105,7 +255119,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI2RVJ)) + (portRef A (instanceRef start_reg_RNIK4EQ)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -255358,8 +255372,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -255370,8 +255384,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -255445,7 +255459,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNIAAMR)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -255454,7 +255467,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNIAAMR)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -255463,7 +255475,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNIAAMR)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -255472,7 +255483,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNIAAMR)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -255569,8 +255579,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_RNO_0)) + (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -255602,8 +255612,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -255685,16 +255695,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -255736,7 +255736,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -256427,8 +256427,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI2RVJ (joined - (portRef Z (instanceRef start_reg_RNI2RVJ)) + (net start_reg_RNIK4EQ (joined + (portRef Z (instanceRef start_reg_RNIK4EQ)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -256473,8 +256473,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_28_1 (joined - (portRef (member channel_debug_01_i_28 1)) + (net channel_debug_01_i_15_1 (joined + (portRef (member channel_debug_01_i_15 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -256517,7 +256517,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI2RVJ)) + (portRef B (instanceRef start_reg_RNIK4EQ)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -256533,11 +256533,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_28_2 (joined + (net channel_debug_01_i_15_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_28 0)) + (portRef (member channel_debug_01_i_15 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -257100,7 +257110,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI2RVJ)) + (portRef C (instanceRef start_reg_RNIK4EQ)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -257229,14 +257239,16 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) + (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_3c)) )) (net mux_control_6_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) @@ -257250,22 +257262,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) - )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) + )) (net interval_reg_41_14_am_8_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -258700,10 +258712,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -259142,27 +259152,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNIAAMR)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_2_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -259171,12 +259160,8 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) - (net reset_tdc_fast_37_r15 (joined - (portRef reset_tdc_fast_37_r15) + (net reset_tdc_fast_36_r24 (joined + (portRef reset_tdc_fast_36_r24) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -259186,6 +259171,11 @@ (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) + )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -259742,9 +259732,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) - (portRef reset_tdc_15 (instanceRef ROM_Encoder_1)) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) + (portRef reset_tdc_54 (instanceRef ROM_Encoder_1)) )) ) ) @@ -259754,10 +259744,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_15 (direction INPUT)) - (port reset_tdc_14 (direction INPUT)) - (port reset_tdc_13 (direction INPUT)) - (port reset_tdc_12 (direction INPUT)) + (port reset_tdc_54 (direction INPUT)) + (port reset_tdc_53 (direction INPUT)) + (port reset_tdc_52 (direction INPUT)) + (port reset_tdc_51 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -261913,73 +261903,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - (portRef CD (instanceRef FF_288)) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) (portRef CD (instanceRef FF_289)) (portRef CD (instanceRef FF_290)) (portRef CD (instanceRef FF_291)) @@ -262116,6 +262041,109 @@ (portRef S1 (instanceRef GEN_143_ADD)) (portRef D (instanceRef FF_287)) )) + (net reset_tdc_52 (joined + (portRef reset_tdc_52) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + (portRef CD (instanceRef FF_288)) + )) (net result_i_287 (joined (portRef Q (instanceRef FF_287)) (portRef (member result_i 16)) @@ -262636,109 +262664,6 @@ (portRef S0 (instanceRef GEN_111_ADD)) (portRef D (instanceRef FF_222)) )) - (net reset_tdc_13 (joined - (portRef reset_tdc_13) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - )) (net result_i_222 (joined (portRef Q (instanceRef FF_222)) (portRef (member result_i 81)) @@ -263019,6 +262944,109 @@ (portRef S1 (instanceRef GEN_93_ADD)) (portRef D (instanceRef FF_187)) )) + (net reset_tdc_53 (joined + (portRef reset_tdc_53) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + )) (net result_i_187 (joined (portRef Q (instanceRef FF_187)) (portRef (member result_i 116)) @@ -263539,109 +263567,6 @@ (portRef S0 (instanceRef GEN_61_ADD)) (portRef D (instanceRef FF_122)) )) - (net reset_tdc_14 (joined - (portRef reset_tdc_14) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - )) (net result_i_122 (joined (portRef Q (instanceRef FF_122)) (portRef (member result_i 181)) @@ -263922,6 +263847,98 @@ (portRef S1 (instanceRef GEN_43_ADD)) (portRef D (instanceRef FF_87)) )) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + )) (net result_i_87 (joined (portRef Q (instanceRef FF_87)) (portRef (member result_i 216)) @@ -264442,33 +264459,6 @@ (portRef S0 (instanceRef GEN_11_ADD)) (portRef D (instanceRef FF_22)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - )) (net result_i_22 (joined (portRef Q (instanceRef FF_22)) (portRef (member result_i 281)) @@ -265574,7 +265564,7 @@ (cell edge_to_pulse_0_20 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -265646,11 +265636,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_23_0 (joined + (net channel_debug_01_i_30_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_23 0)) + (portRef (member channel_debug_01_i_30 0)) )) (net VCC (joined (portRef VCC) @@ -265664,14 +265654,14 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(23:23)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(2:2)") 1) (direction INPUT)) - (port reset_i_6 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(30:30)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(2:2)") 1) (direction INPUT)) + (port reset_i_3 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -266152,8 +266142,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_23_2 (joined - (portRef (member channel_debug_01_i_23 0)) + (net channel_debug_01_i_30_2 (joined + (portRef (member channel_debug_01_i_30 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -266200,7 +266190,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_23 (joined + (net rd_en_i_30 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -266244,8 +266234,8 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) (portRef B (instanceRef OR2_t18)) (portRef A (instanceRef OR2_t18)) )) @@ -266636,7 +266626,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -266926,9 +266916,9 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -267202,8 +267192,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_6 (joined - (portRef reset_i_6) + (net reset_i_3 (joined + (portRef reset_i_3) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -268001,9 +267991,10 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(2:1)") 2) (direction INOUT)) - (port reset_tdc_30 (direction INPUT)) - (port reset_tdc_fast_36_r32 (direction INPUT)) + (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(2:1)") 2) (direction INOUT)) + (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_fast_37_r17 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) @@ -268374,7 +268365,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIE0DP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI4ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -268456,25 +268447,25 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -269139,6 +269130,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNIE9O9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -269148,38 +269145,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) - ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -269386,7 +269383,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIE0DP)) + (portRef A (instanceRef start_reg_RNI4ARF)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -269726,6 +269723,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNIE9O9)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -269734,6 +269732,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNIE9O9)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -269742,6 +269741,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNIE9O9)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -269750,6 +269750,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNIE9O9)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -269962,6 +269963,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -270003,7 +270014,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -270694,8 +270705,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIE0DP (joined - (portRef Z (instanceRef start_reg_RNIE0DP)) + (net start_reg_RNI4ARF (joined + (portRef Z (instanceRef start_reg_RNI4ARF)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -270740,8 +270751,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_23_1 (joined - (portRef (member channel_debug_01_i_23 1)) + (net channel_debug_01_i_30_1 (joined + (portRef (member channel_debug_01_i_30 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -270784,7 +270795,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIE0DP)) + (portRef B (instanceRef start_reg_RNI4ARF)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -270800,21 +270811,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_23_2 (joined + (net channel_debug_01_i_30_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_23 0)) + (portRef (member channel_debug_01_i_30 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -271377,7 +271378,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIE0DP)) + (portRef C (instanceRef start_reg_RNI4ARF)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -271516,10 +271517,6 @@ (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -271528,13 +271525,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) - )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) @@ -271544,6 +271537,14 @@ (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) + )) (net interval_reg_41_14_am_9_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -273419,6 +273420,27 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNIE9O9)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_3_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -273427,8 +273449,12 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r32 (joined - (portRef reset_tdc_fast_36_r32) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) + )) + (net reset_tdc_fast_37_r17 (joined + (portRef reset_tdc_fast_37_r17) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -273438,11 +273464,6 @@ (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -273999,9 +274020,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_30 (joined - (portRef reset_tdc_30) - (portRef reset_tdc_30 (instanceRef ROM_Encoder_1)) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) + (portRef reset_tdc_9 (instanceRef ROM_Encoder_1)) )) ) ) @@ -274011,10 +274032,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_30 (direction INPUT)) - (port reset_tdc_29 (direction INPUT)) - (port reset_tdc_28 (direction INPUT)) - (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_8 (direction INPUT)) + (port reset_tdc_7 (direction INPUT)) + (port reset_tdc_6 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -276170,8 +276191,43 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) (portRef CD (instanceRef FF_249)) (portRef CD (instanceRef FF_250)) (portRef CD (instanceRef FF_251)) @@ -276668,109 +276724,6 @@ (portRef S1 (instanceRef GEN_123_ADD)) (portRef D (instanceRef FF_247)) )) - (net reset_tdc_28 (joined - (portRef reset_tdc_28) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - )) (net result_i_247 (joined (portRef Q (instanceRef FF_247)) (portRef (member result_i 56)) @@ -277051,6 +277004,109 @@ (portRef S0 (instanceRef GEN_106_ADD)) (portRef D (instanceRef FF_212)) )) + (net reset_tdc_7 (joined + (portRef reset_tdc_7) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + )) (net result_i_212 (joined (portRef Q (instanceRef FF_212)) (portRef (member result_i 91)) @@ -277571,109 +277627,6 @@ (portRef S1 (instanceRef GEN_73_ADD)) (portRef D (instanceRef FF_147)) )) - (net reset_tdc_29 (joined - (portRef reset_tdc_29) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - )) (net result_i_147 (joined (portRef Q (instanceRef FF_147)) (portRef (member result_i 156)) @@ -277954,6 +277907,109 @@ (portRef S0 (instanceRef GEN_56_ADD)) (portRef D (instanceRef FF_112)) )) + (net reset_tdc_8 (joined + (portRef reset_tdc_8) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + )) (net result_i_112 (joined (portRef Q (instanceRef FF_112)) (portRef (member result_i 191)) @@ -278474,58 +278530,6 @@ (portRef S1 (instanceRef GEN_23_ADD)) (portRef D (instanceRef FF_47)) )) - (net reset_tdc_30 (joined - (portRef reset_tdc_30) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - )) (net result_i_47 (joined (portRef Q (instanceRef FF_47)) (portRef (member result_i 256)) @@ -278806,6 +278810,23 @@ (portRef S0 (instanceRef GEN_6_ADD)) (portRef D (instanceRef FF_12)) )) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + )) (net result_i_12 (joined (portRef Q (instanceRef FF_12)) (portRef (member result_i 291)) @@ -279831,7 +279852,7 @@ (cell edge_to_pulse_0_19 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -279903,11 +279924,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_11_0 (joined + (net channel_debug_01_i_13_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_11 0)) + (portRef (member channel_debug_01_i_13 0)) )) (net VCC (joined (portRef VCC) @@ -279921,9 +279942,8 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(11:11)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(2:2)") 1) (direction INPUT)) - (port reset_i_13 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(13:13)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(2:2)") 1) (direction INPUT)) (port reset_i_12 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) @@ -279931,6 +279951,7 @@ (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -280410,8 +280431,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_11_2 (joined - (portRef (member channel_debug_01_i_11 0)) + (net channel_debug_01_i_13_2 (joined + (portRef (member channel_debug_01_i_13 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -280454,11 +280475,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_11 (joined + (net rd_en_i_13 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -280895,7 +280912,7 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -281185,7 +281202,7 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -281462,6 +281479,10 @@ )) (net reset_i_12 (joined (portRef reset_i_12) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) (portRef CD (instanceRef FF_15)) (portRef CD (instanceRef FF_16)) (portRef CD (instanceRef FF_17)) @@ -281802,13 +281823,6 @@ (portRef Q (instanceRef FF_22)) (portRef D (instanceRef FF_2)) )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - )) (net empty_d (joined (portRef S0 (instanceRef a0)) (portRef D (instanceRef FF_1)) @@ -281822,6 +281836,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -282262,13 +282281,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(2:1)") 2) (direction INOUT)) - (port reset_tdc_67 (direction INPUT)) - (port reset_tdc_fast_36_r19 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_fast_37_r11 (direction INPUT)) - (port reset_tdc_fast_36_r1 (direction INPUT)) - (port reset_tdc_fast_36_r20 (direction INPUT)) + (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(2:1)") 2) (direction INOUT)) + (port reset_tdc_61 (direction INPUT)) + (port reset_tdc_fast_36_r22 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -282637,7 +282654,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI4SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIC0DP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -282719,25 +282736,25 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -282971,9 +282988,6 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -283258,6 +283272,9 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -283417,18 +283434,18 @@ (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A+B !A)))")) ) - (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) + ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) @@ -283649,7 +283666,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI4SB81)) + (portRef A (instanceRef start_reg_RNIC0DP)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -283914,8 +283931,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -284142,8 +284159,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -284957,8 +284974,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI4SB81 (joined - (portRef Z (instanceRef start_reg_RNI4SB81)) + (net start_reg_RNIC0DP (joined + (portRef Z (instanceRef start_reg_RNIC0DP)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -285003,8 +285020,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_11_1 (joined - (portRef (member channel_debug_01_i_11 1)) + (net channel_debug_01_i_13_1 (joined + (portRef (member channel_debug_01_i_13 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -285047,7 +285064,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI4SB81)) + (portRef B (instanceRef start_reg_RNIC0DP)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -285068,16 +285085,16 @@ (portRef C (instanceRef BINARY_CODE_OUTd_8)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_11_2 (joined + (net channel_debug_01_i_13_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_11 0)) + (portRef (member channel_debug_01_i_13 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -285640,7 +285657,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI4SB81)) + (portRef C (instanceRef start_reg_RNIC0DP)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -285769,23 +285786,15 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r20 (joined - (portRef reset_tdc_fast_36_r20) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) - )) - (net reset_tdc_fast_36_r1 (joined - (portRef reset_tdc_fast_36_r1) (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) - )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (portRef D (instanceRef proc_finished_3c)) + (portRef D (instanceRef proc_finished_4c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -285795,6 +285804,10 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) @@ -285803,14 +285816,14 @@ (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net interval_reg_41_14_am_10_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -287245,19 +287258,17 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_fast_37_r11 (joined - (portRef reset_tdc_fast_37_r11) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -287692,35 +287703,35 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) + (net un3_binary_code_out_1 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r19 (joined - (portRef reset_tdc_fast_36_r19) + (net reset_tdc_fast_36_r22 (joined + (portRef reset_tdc_fast_36_r22) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) - (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) - (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) + (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef C (instanceRef BINARY_CODE_OUTd_0)) )) - (net un3_binary_code_out_1 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_1)) - )) - (net un3_binary_code_out_4 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_4)) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) + (net un3_binary_code_out_4 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_4)) + )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) @@ -288268,9 +288279,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) - (portRef reset_tdc_67 (instanceRef ROM_Encoder_1)) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) + (portRef reset_tdc_61 (instanceRef ROM_Encoder_1)) )) ) ) @@ -288280,10 +288291,11 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_67 (direction INPUT)) - (port reset_tdc_66 (direction INPUT)) - (port reset_tdc_65 (direction INPUT)) - (port reset_tdc_64 (direction INPUT)) + (port reset_tdc_61 (direction INPUT)) + (port reset_tdc_60 (direction INPUT)) + (port reset_tdc_59 (direction INPUT)) + (port reset_tdc_58 (direction INPUT)) + (port reset_tdc_57 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -290439,8 +290451,72 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) + (portRef CD (instanceRef FF_299)) + (portRef CD (instanceRef FF_300)) + (portRef CD (instanceRef FF_301)) + (portRef CD (instanceRef FF_302)) + (portRef CD (instanceRef FF_303)) + )) + (net result_i_302 (joined + (portRef Q (instanceRef FF_302)) + (portRef (member result_i 1)) + )) + (net tsum_301 (joined + (portRef S1 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_301)) + )) + (net result_i_301 (joined + (portRef Q (instanceRef FF_301)) + (portRef (member result_i 2)) + )) + (net tsum_300 (joined + (portRef S0 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_300)) + )) + (net result_i_300 (joined + (portRef Q (instanceRef FF_300)) + (portRef (member result_i 3)) + )) + (net tsum_299 (joined + (portRef S1 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_299)) + )) + (net result_i_299 (joined + (portRef Q (instanceRef FF_299)) + (portRef (member result_i 4)) + )) + (net tsum_298 (joined + (portRef S0 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_298)) + )) + (net result_i_298 (joined + (portRef Q (instanceRef FF_298)) + (portRef (member result_i 5)) + )) + (net tsum_297 (joined + (portRef S1 (instanceRef GEN_148_ADD)) + (portRef D (instanceRef FF_297)) + )) + (net reset_tdc_58 (joined + (portRef reset_tdc_58) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) (portRef CD (instanceRef FF_216)) (portRef CD (instanceRef FF_217)) (portRef CD (instanceRef FF_218)) @@ -290524,51 +290600,6 @@ (portRef CD (instanceRef FF_296)) (portRef CD (instanceRef FF_297)) (portRef CD (instanceRef FF_298)) - (portRef CD (instanceRef FF_299)) - (portRef CD (instanceRef FF_300)) - (portRef CD (instanceRef FF_301)) - (portRef CD (instanceRef FF_302)) - (portRef CD (instanceRef FF_303)) - )) - (net result_i_302 (joined - (portRef Q (instanceRef FF_302)) - (portRef (member result_i 1)) - )) - (net tsum_301 (joined - (portRef S1 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_301)) - )) - (net result_i_301 (joined - (portRef Q (instanceRef FF_301)) - (portRef (member result_i 2)) - )) - (net tsum_300 (joined - (portRef S0 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_300)) - )) - (net result_i_300 (joined - (portRef Q (instanceRef FF_300)) - (portRef (member result_i 3)) - )) - (net tsum_299 (joined - (portRef S1 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_299)) - )) - (net result_i_299 (joined - (portRef Q (instanceRef FF_299)) - (portRef (member result_i 4)) - )) - (net tsum_298 (joined - (portRef S0 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_298)) - )) - (net result_i_298 (joined - (portRef Q (instanceRef FF_298)) - (portRef (member result_i 5)) - )) - (net tsum_297 (joined - (portRef S1 (instanceRef GEN_148_ADD)) - (portRef D (instanceRef FF_297)) )) (net result_i_297 (joined (portRef Q (instanceRef FF_297)) @@ -291234,108 +291265,6 @@ (portRef S0 (instanceRef GEN_107_ADD)) (portRef D (instanceRef FF_214)) )) - (net reset_tdc_65 (joined - (portRef reset_tdc_65) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - )) (net result_i_214 (joined (portRef Q (instanceRef FF_214)) (portRef (member result_i 89)) @@ -291464,6 +291393,108 @@ (portRef S0 (instanceRef GEN_99_ADD)) (portRef D (instanceRef FF_198)) )) + (net reset_tdc_59 (joined + (portRef reset_tdc_59) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + )) (net result_i_198 (joined (portRef Q (instanceRef FF_198)) (portRef (member result_i 105)) @@ -292128,108 +292159,6 @@ (portRef S1 (instanceRef GEN_57_ADD)) (portRef D (instanceRef FF_115)) )) - (net reset_tdc_66 (joined - (portRef reset_tdc_66) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - )) (net result_i_115 (joined (portRef Q (instanceRef FF_115)) (portRef (member result_i 188)) @@ -292358,6 +292287,108 @@ (portRef S1 (instanceRef GEN_49_ADD)) (portRef D (instanceRef FF_99)) )) + (net reset_tdc_60 (joined + (portRef reset_tdc_60) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + )) (net result_i_99 (joined (portRef Q (instanceRef FF_99)) (portRef (member result_i 204)) @@ -293022,27 +293053,6 @@ (portRef S0 (instanceRef GEN_8_ADD)) (portRef D (instanceRef FF_16)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - )) (net result_i_16 (joined (portRef Q (instanceRef FF_16)) (portRef (member result_i 287)) @@ -293171,6 +293181,11 @@ (portRef S0 (instanceRef GEN_0_ADD)) (portRef D (instanceRef FF_0)) )) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + )) (net result_i_0 (joined (portRef Q (instanceRef FF_0)) (portRef (member result_i 303)) @@ -294100,7 +294115,7 @@ (cell edge_to_pulse_0_18 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -294172,11 +294187,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_26_0 (joined + (net channel_debug_01_i_16_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_26 0)) + (portRef (member channel_debug_01_i_16 0)) )) (net VCC (joined (portRef VCC) @@ -294190,9 +294205,10 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(26:26)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(2:2)") 1) (direction INPUT)) - (port reset_i_4 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(16:16)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(2:2)") 1) (direction INPUT)) + (port reset_i_11 (direction INPUT)) + (port reset_i_10 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -294678,8 +294694,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_26_2 (joined - (portRef (member channel_debug_01_i_26 0)) + (net channel_debug_01_i_16_2 (joined + (portRef (member channel_debug_01_i_16 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -294726,7 +294742,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_26 (joined + (net rd_en_i_16 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -295162,7 +295178,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) @@ -295453,8 +295471,6 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -295728,40 +295744,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) + (net reset_i_10 (joined + (portRef reset_i_10) (portRef CD (instanceRef FF_83)) (portRef CD (instanceRef FF_84)) (portRef CD (instanceRef FF_85)) @@ -295859,6 +295843,41 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) + (net reset_i_11 (joined + (portRef reset_i_11) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -296527,12 +296546,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(2:1)") 2) (direction INOUT)) - (port reset_tdc_21 (direction INPUT)) - (port reset_tdc_fast_37_r13 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(2:1)") 2) (direction INOUT)) + (port reset_tdc_51 (direction INPUT)) + (port reset_tdc_fast_36_r25 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -296901,7 +296919,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIQMUI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIOMUI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -296992,16 +297010,16 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -297238,15 +297256,9 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -297522,6 +297534,12 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -297666,12 +297684,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNI2Q6N1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -297681,38 +297693,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) + ) + (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) + ) + (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -297919,7 +297931,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIQMUI)) + (portRef A (instanceRef start_reg_RNIOMUI)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -298131,8 +298143,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_4_2_iv_2)) + (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -298172,8 +298184,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -298259,7 +298271,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNI2Q6N1)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -298268,7 +298279,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNI2Q6N1)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -298277,7 +298287,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNI2Q6N1)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -298286,7 +298295,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNI2Q6N1)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -298317,8 +298325,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef B (instanceRef proc_cnt_4_2_iv_2)) + (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -298383,8 +298391,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -298499,16 +298507,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -298550,7 +298548,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -299241,8 +299239,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIQMUI (joined - (portRef Z (instanceRef start_reg_RNIQMUI)) + (net start_reg_RNIOMUI (joined + (portRef Z (instanceRef start_reg_RNIOMUI)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -299287,8 +299285,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_26_1 (joined - (portRef (member channel_debug_01_i_26 1)) + (net channel_debug_01_i_16_1 (joined + (portRef (member channel_debug_01_i_16 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -299331,7 +299329,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIQMUI)) + (portRef B (instanceRef start_reg_RNIOMUI)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -299347,11 +299345,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_26_2 (joined + (net channel_debug_01_i_16_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_26 0)) + (portRef (member channel_debug_01_i_16 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -299914,7 +299922,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIQMUI)) + (portRef C (instanceRef start_reg_RNIOMUI)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -300043,11 +300051,9 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) @@ -300066,14 +300072,6 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) - )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) @@ -300082,6 +300080,14 @@ (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) + )) (net interval_reg_41_14_am_11_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -301516,8 +301522,10 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -301956,50 +301964,30 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNI2Q6N1)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_3_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) (net un3_binary_code_out_1 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_37_r13 (joined - (portRef reset_tdc_fast_37_r13) + (net reset_tdc_fast_36_r25 (joined + (portRef reset_tdc_fast_36_r25) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) - (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) + (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) - (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) + (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) + )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -302009,14 +301997,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) - (net un3_binary_code_out_6 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_6)) - )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) + (net un3_binary_code_out_6 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_6)) + )) (net un3_binary_code_out_7 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_7)) @@ -302556,9 +302544,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) - (portRef reset_tdc_21 (instanceRef ROM_Encoder_1)) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) + (portRef reset_tdc_51 (instanceRef ROM_Encoder_1)) )) ) ) @@ -302568,10 +302556,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_21 (direction INPUT)) - (port reset_tdc_20 (direction INPUT)) - (port reset_tdc_19 (direction INPUT)) - (port reset_tdc_18 (direction INPUT)) + (port reset_tdc_51 (direction INPUT)) + (port reset_tdc_50 (direction INPUT)) + (port reset_tdc_49 (direction INPUT)) + (port reset_tdc_48 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -304727,58 +304715,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) (portRef CD (instanceRef FF_284)) (portRef CD (instanceRef FF_285)) (portRef CD (instanceRef FF_286)) @@ -304960,6 +304898,109 @@ (portRef S0 (instanceRef GEN_141_ADD)) (portRef D (instanceRef FF_282)) )) + (net reset_tdc_49 (joined + (portRef reset_tdc_49) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + )) (net result_i_282 (joined (portRef Q (instanceRef FF_282)) (portRef (member result_i 21)) @@ -305360,109 +305401,6 @@ (portRef S0 (instanceRef GEN_116_ADD)) (portRef D (instanceRef FF_232)) )) - (net reset_tdc_19 (joined - (portRef reset_tdc_19) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - )) (net result_i_232 (joined (portRef Q (instanceRef FF_232)) (portRef (member result_i 71)) @@ -305863,6 +305801,109 @@ (portRef S0 (instanceRef GEN_91_ADD)) (portRef D (instanceRef FF_182)) )) + (net reset_tdc_50 (joined + (portRef reset_tdc_50) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + )) (net result_i_182 (joined (portRef Q (instanceRef FF_182)) (portRef (member result_i 121)) @@ -306263,109 +306304,6 @@ (portRef S0 (instanceRef GEN_66_ADD)) (portRef D (instanceRef FF_132)) )) - (net reset_tdc_20 (joined - (portRef reset_tdc_20) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - )) (net result_i_132 (joined (portRef Q (instanceRef FF_132)) (portRef (member result_i 171)) @@ -306766,6 +306704,93 @@ (portRef S0 (instanceRef GEN_41_ADD)) (portRef D (instanceRef FF_82)) )) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + )) (net result_i_82 (joined (portRef Q (instanceRef FF_82)) (portRef (member result_i 221)) @@ -307166,43 +307191,6 @@ (portRef S0 (instanceRef GEN_16_ADD)) (portRef D (instanceRef FF_32)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - )) (net result_i_32 (joined (portRef Q (instanceRef FF_32)) (portRef (member result_i 271)) @@ -308388,7 +308376,7 @@ (cell edge_to_pulse_0_17 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -308460,11 +308448,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_31_0 (joined + (net channel_debug_01_i_23_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_31 0)) + (portRef (member channel_debug_01_i_23 0)) )) (net VCC (joined (portRef VCC) @@ -308478,15 +308466,14 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(31:31)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(2:2)") 1) (direction INPUT)) - (port reset_i_2 (direction INPUT)) - (port reset_i_1_1 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(23:23)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(2:2)") 1) (direction INPUT)) + (port reset_i_7 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) + (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -308967,8 +308954,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_31_2 (joined - (portRef (member channel_debug_01_i_31 0)) + (net channel_debug_01_i_23_2 (joined + (portRef (member channel_debug_01_i_23 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -309015,7 +309002,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_31 (joined + (net rd_en_i_23 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -309059,8 +309046,8 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) + (net reset_i_rep2_1 (joined + (portRef reset_i_rep2_1) (portRef B (instanceRef OR2_t18)) (portRef A (instanceRef OR2_t18)) )) @@ -309451,6 +309438,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -309740,7 +309728,6 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) @@ -309874,16 +309861,16 @@ (portRef DO13 (instanceRef pdp_ram_0_0_0)) (portRef (member fifo_data_out_i 0)) )) - (net pdp_ram_0_0_0_DO14 (joined + (net un1_pdp_ram_0_0_0_1 (joined (portRef DO14 (instanceRef pdp_ram_0_0_0)) )) - (net pdp_ram_0_0_0_DO15 (joined + (net un1_pdp_ram_0_0_0_2 (joined (portRef DO15 (instanceRef pdp_ram_0_0_0)) )) - (net pdp_ram_0_0_0_DO16 (joined + (net un1_pdp_ram_0_0_0_3 (joined (portRef DO16 (instanceRef pdp_ram_0_0_0)) )) - (net pdp_ram_0_0_0_DO17 (joined + (net un1_pdp_ram_0_0_0 (joined (portRef DO17 (instanceRef pdp_ram_0_0_0)) )) (net fifo_data_out_i_0 (joined @@ -310017,8 +310004,33 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) + (net reset_i_7 (joined + (portRef reset_i_7) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) (portRef CD (instanceRef FF_76)) (portRef CD (instanceRef FF_77)) (portRef CD (instanceRef FF_78)) @@ -310123,34 +310135,6 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -310383,10 +310367,10 @@ (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) )) - (net w_gctr_cia_S0 (joined + (net un1_w_gctr_cia (joined (portRef S0 (instanceRef w_gctr_cia)) )) - (net w_gctr_cia_S1 (joined + (net un1_w_gctr_cia_1 (joined (portRef S1 (instanceRef w_gctr_cia)) )) (net co0 (joined @@ -310412,10 +310396,10 @@ (portRef COUT (instanceRef r_gctr_cia)) (portRef CI (instanceRef r_gctr_0)) )) - (net r_gctr_cia_S0 (joined + (net un1_r_gctr_cia (joined (portRef S0 (instanceRef r_gctr_cia)) )) - (net r_gctr_cia_S1 (joined + (net un1_r_gctr_cia_1 (joined (portRef S1 (instanceRef r_gctr_cia)) )) (net co0_1 (joined @@ -310441,40 +310425,40 @@ (portRef COUT (instanceRef empty_cmp_ci_a)) (portRef CI (instanceRef empty_cmp_0)) )) - (net empty_cmp_ci_a_S0 (joined + (net un1_empty_cmp_ci_a (joined (portRef S0 (instanceRef empty_cmp_ci_a)) )) - (net empty_cmp_ci_a_S1 (joined + (net un1_empty_cmp_ci_a_1 (joined (portRef S1 (instanceRef empty_cmp_ci_a)) )) (net empty_d_c (joined (portRef GE (instanceRef empty_cmp_4)) (portRef CI (instanceRef a0)) )) - (net a0_COUT (joined + (net un1_a0 (joined (portRef COUT (instanceRef a0)) )) - (net a0_S1 (joined + (net un1_a0_1 (joined (portRef S1 (instanceRef a0)) )) (net cmp_ci_1 (joined (portRef COUT (instanceRef full_cmp_ci_a)) (portRef CI (instanceRef full_cmp_0)) )) - (net full_cmp_ci_a_S0 (joined + (net un1_full_cmp_ci_a (joined (portRef S0 (instanceRef full_cmp_ci_a)) )) - (net full_cmp_ci_a_S1 (joined + (net un1_full_cmp_ci_a_1 (joined (portRef S1 (instanceRef full_cmp_ci_a)) )) (net full_d_c (joined (portRef GE (instanceRef full_cmp_4)) (portRef CI (instanceRef a1)) )) - (net a1_COUT (joined + (net un1_a1 (joined (portRef COUT (instanceRef a1)) )) - (net a1_S1 (joined + (net un1_a1_1 (joined (portRef S1 (instanceRef a1)) )) (net co3_3 (joined @@ -310819,10 +310803,9 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(2:1)") 2) (direction INOUT)) - (port reset_tdc_6 (direction INPUT)) - (port reset_tdc_fast_37_r18 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) + (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(2:1)") 2) (direction INOUT)) + (port reset_tdc_30 (direction INPUT)) + (port reset_tdc_fast_36_r32 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) @@ -311193,7 +311176,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI8SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIE0DP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -311275,22 +311258,22 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -311527,15 +311510,15 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -311811,7 +311794,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -311958,12 +311941,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNII10S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -311973,38 +311950,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) + ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) + ) + (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -312211,7 +312188,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI8SB81)) + (portRef A (instanceRef start_reg_RNIE0DP)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -312423,8 +312400,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -312476,8 +312453,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -312551,7 +312528,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNII10S)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -312560,7 +312536,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNII10S)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -312569,7 +312544,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNII10S)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -312578,7 +312552,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNII10S)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -312609,8 +312582,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_RNO_0)) + (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -312708,8 +312681,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -312791,16 +312764,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -312842,7 +312805,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -313533,8 +313496,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI8SB81 (joined - (portRef Z (instanceRef start_reg_RNI8SB81)) + (net start_reg_RNIE0DP (joined + (portRef Z (instanceRef start_reg_RNIE0DP)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -313579,8 +313542,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_31_1 (joined - (portRef (member channel_debug_01_i_31 1)) + (net channel_debug_01_i_23_1 (joined + (portRef (member channel_debug_01_i_23 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -313623,7 +313586,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI8SB81)) + (portRef B (instanceRef start_reg_RNIE0DP)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -313639,11 +313602,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_31_2 (joined + (net channel_debug_01_i_23_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_31 0)) + (portRef (member channel_debug_01_i_23 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -314206,7 +314179,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI8SB81)) + (portRef C (instanceRef start_reg_RNIE0DP)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -314337,18 +314310,14 @@ )) (net reset_tdc_rep2_35 (joined (portRef reset_tdc_rep2_35) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -314357,18 +314326,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) @@ -315809,7 +315782,7 @@ )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -316248,27 +316221,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNII10S)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_4_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -316277,21 +316229,22 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) - (net reset_tdc_fast_37_r18 (joined - (portRef reset_tdc_fast_37_r18) + (net reset_tdc_fast_36_r32 (joined + (portRef reset_tdc_fast_36_r32) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) + (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) + )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -316309,14 +316262,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) - (net un3_binary_code_out_7 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_7)) - )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) )) + (net un3_binary_code_out_7 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_7)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -316848,9 +316801,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) - (portRef reset_tdc_6 (instanceRef ROM_Encoder_1)) + (net reset_tdc_30 (joined + (portRef reset_tdc_30) + (portRef reset_tdc_30 (instanceRef ROM_Encoder_1)) )) ) ) @@ -316860,10 +316813,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_6 (direction INPUT)) - (port reset_tdc_5 (direction INPUT)) - (port reset_tdc_4 (direction INPUT)) - (port reset_tdc_3 (direction INPUT)) + (port reset_tdc_30 (direction INPUT)) + (port reset_tdc_29 (direction INPUT)) + (port reset_tdc_28 (direction INPUT)) + (port reset_tdc_27 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -319019,48 +318972,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_3 (joined - (portRef reset_tdc_3) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) (portRef CD (instanceRef FF_249)) (portRef CD (instanceRef FF_250)) (portRef CD (instanceRef FF_251)) @@ -319557,6 +319470,109 @@ (portRef S1 (instanceRef GEN_123_ADD)) (portRef D (instanceRef FF_247)) )) + (net reset_tdc_28 (joined + (portRef reset_tdc_28) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + )) (net result_i_247 (joined (portRef Q (instanceRef FF_247)) (portRef (member result_i 56)) @@ -319877,109 +319893,6 @@ (portRef S1 (instanceRef GEN_103_ADD)) (portRef D (instanceRef FF_207)) )) - (net reset_tdc_4 (joined - (portRef reset_tdc_4) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - )) (net result_i_207 (joined (portRef Q (instanceRef FF_207)) (portRef (member result_i 96)) @@ -320460,6 +320373,109 @@ (portRef S1 (instanceRef GEN_73_ADD)) (portRef D (instanceRef FF_147)) )) + (net reset_tdc_29 (joined + (portRef reset_tdc_29) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + )) (net result_i_147 (joined (portRef Q (instanceRef FF_147)) (portRef (member result_i 156)) @@ -320780,109 +320796,6 @@ (portRef S1 (instanceRef GEN_53_ADD)) (portRef D (instanceRef FF_107)) )) - (net reset_tdc_5 (joined - (portRef reset_tdc_5) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - )) (net result_i_107 (joined (portRef Q (instanceRef FF_107)) (portRef (member result_i 196)) @@ -321363,6 +321276,58 @@ (portRef S1 (instanceRef GEN_23_ADD)) (portRef D (instanceRef FF_47)) )) + (net reset_tdc_30 (joined + (portRef reset_tdc_30) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + )) (net result_i_47 (joined (portRef Q (instanceRef FF_47)) (portRef (member result_i 256)) @@ -321683,18 +321648,6 @@ (portRef S1 (instanceRef GEN_3_ADD)) (portRef D (instanceRef FF_7)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - )) (net result_i_7 (joined (portRef Q (instanceRef FF_7)) (portRef (member result_i 296)) @@ -322680,7 +322633,7 @@ (cell edge_to_pulse_0_16 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -322752,11 +322705,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_14_0 (joined + (net channel_debug_01_i_28_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_14 0)) + (portRef (member channel_debug_01_i_28 0)) )) (net VCC (joined (portRef VCC) @@ -322770,15 +322723,15 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(14:14)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(2:2)") 1) (direction INPUT)) - (port reset_i_11 (direction INPUT)) - (port reset_i_10 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(28:28)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(2:2)") 1) (direction INPUT)) + (port reset_i_4 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -323259,8 +323212,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_14_2 (joined - (portRef (member channel_debug_01_i_14 0)) + (net channel_debug_01_i_28_2 (joined + (portRef (member channel_debug_01_i_28 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -323307,7 +323260,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_14 (joined + (net rd_en_i_28 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -323351,10 +323304,13 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef A (instanceRef OR2_t18)) + )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef B (instanceRef OR2_t18)) - (portRef A (instanceRef OR2_t18)) )) (net rRst (joined (portRef Z (instanceRef OR2_t18)) @@ -323743,7 +323699,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) @@ -324033,9 +323989,9 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -324309,8 +324265,48 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_10 (joined - (portRef reset_i_10) + (net reset_i_4 (joined + (portRef reset_i_4) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) (portRef CD (instanceRef FF_91)) (portRef CD (instanceRef FF_92)) (portRef CD (instanceRef FF_93)) @@ -324368,49 +324364,6 @@ (portRef Q (instanceRef FF_90)) (portRef D (instanceRef FF_40)) )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - )) (net w_gcount_2 (joined (portRef Q (instanceRef FF_89)) (portRef D (instanceRef FF_39)) @@ -325111,11 +325064,12 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(2:1)") 2) (direction INOUT)) - (port reset_tdc_57 (direction INPUT)) - (port reset_tdc_fast_36_r23 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(2:1)") 2) (direction INOUT)) + (port reset_tdc_15 (direction INPUT)) + (port reset_tdc_fast_37_r15 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -325484,7 +325438,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIGIT11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI2RVJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -325554,16 +325508,16 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -325575,16 +325529,16 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -325824,6 +325778,9 @@ (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -326102,9 +326059,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -326249,6 +326203,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNIAAMR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -326258,38 +326218,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) - ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -326496,7 +326456,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIGIT11)) + (portRef A (instanceRef start_reg_RNI2RVJ)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -326737,8 +326697,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -326836,6 +326796,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNIAAMR)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -326844,6 +326805,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNIAAMR)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -326852,6 +326814,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNIAAMR)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -326860,6 +326823,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNIAAMR)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -326923,8 +326887,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -327072,6 +327036,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -327113,7 +327087,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -327804,8 +327778,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIGIT11 (joined - (portRef Z (instanceRef start_reg_RNIGIT11)) + (net start_reg_RNI2RVJ (joined + (portRef Z (instanceRef start_reg_RNI2RVJ)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -327850,8 +327824,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_14_1 (joined - (portRef (member channel_debug_01_i_14 1)) + (net channel_debug_01_i_28_1 (joined + (portRef (member channel_debug_01_i_28 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -327894,7 +327868,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIGIT11)) + (portRef B (instanceRef start_reg_RNI2RVJ)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -327910,21 +327884,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_14_2 (joined + (net channel_debug_01_i_28_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_14 0)) + (portRef (member channel_debug_01_i_28 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -328487,7 +328451,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIGIT11)) + (portRef C (instanceRef start_reg_RNI2RVJ)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -328616,15 +328580,16 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) + (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_3c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -328638,22 +328603,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) - )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net interval_reg_41_14_am_13_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -330088,9 +330053,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -330529,6 +330493,27 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNIAAMR)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_4_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -330537,8 +330522,12 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r23 (joined - (portRef reset_tdc_fast_36_r23) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) + )) + (net reset_tdc_fast_37_r15 (joined + (portRef reset_tdc_fast_37_r15) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -330548,11 +330537,6 @@ (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -331109,9 +331093,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) - (portRef reset_tdc_57 (instanceRef ROM_Encoder_1)) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) + (portRef reset_tdc_15 (instanceRef ROM_Encoder_1)) )) ) ) @@ -331121,10 +331105,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_57 (direction INPUT)) - (port reset_tdc_56 (direction INPUT)) - (port reset_tdc_55 (direction INPUT)) - (port reset_tdc_54 (direction INPUT)) + (port reset_tdc_15 (direction INPUT)) + (port reset_tdc_14 (direction INPUT)) + (port reset_tdc_13 (direction INPUT)) + (port reset_tdc_12 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -333280,8 +333264,78 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + (portRef CD (instanceRef FF_288)) + (portRef CD (instanceRef FF_289)) + (portRef CD (instanceRef FF_290)) + (portRef CD (instanceRef FF_291)) + (portRef CD (instanceRef FF_292)) + (portRef CD (instanceRef FF_293)) (portRef CD (instanceRef FF_294)) (portRef CD (instanceRef FF_295)) (portRef CD (instanceRef FF_296)) @@ -333373,109 +333427,6 @@ (portRef S0 (instanceRef GEN_146_ADD)) (portRef D (instanceRef FF_292)) )) - (net reset_tdc_55 (joined - (portRef reset_tdc_55) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - (portRef CD (instanceRef FF_288)) - (portRef CD (instanceRef FF_289)) - (portRef CD (instanceRef FF_290)) - (portRef CD (instanceRef FF_291)) - (portRef CD (instanceRef FF_292)) - (portRef CD (instanceRef FF_293)) - )) (net result_i_292 (joined (portRef Q (instanceRef FF_292)) (portRef (member result_i 11)) @@ -334036,6 +333987,109 @@ (portRef S0 (instanceRef GEN_111_ADD)) (portRef D (instanceRef FF_222)) )) + (net reset_tdc_13 (joined + (portRef reset_tdc_13) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + )) (net result_i_222 (joined (portRef Q (instanceRef FF_222)) (portRef (member result_i 81)) @@ -334276,109 +334330,6 @@ (portRef S0 (instanceRef GEN_96_ADD)) (portRef D (instanceRef FF_192)) )) - (net reset_tdc_56 (joined - (portRef reset_tdc_56) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - )) (net result_i_192 (joined (portRef Q (instanceRef FF_192)) (portRef (member result_i 111)) @@ -334939,6 +334890,109 @@ (portRef S0 (instanceRef GEN_61_ADD)) (portRef D (instanceRef FF_122)) )) + (net reset_tdc_14 (joined + (portRef reset_tdc_14) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + )) (net result_i_122 (joined (portRef Q (instanceRef FF_122)) (portRef (member result_i 181)) @@ -335179,103 +335233,6 @@ (portRef S0 (instanceRef GEN_46_ADD)) (portRef D (instanceRef FF_92)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - )) (net result_i_92 (joined (portRef Q (instanceRef FF_92)) (portRef (member result_i 211)) @@ -335836,6 +335793,33 @@ (portRef S0 (instanceRef GEN_11_ADD)) (portRef D (instanceRef FF_22)) )) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + )) (net result_i_22 (joined (portRef Q (instanceRef FF_22)) (portRef (member result_i 281)) @@ -336941,7 +336925,7 @@ (cell edge_to_pulse_0_15 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -337013,11 +336997,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_30_0 (joined + (net channel_debug_01_i_26_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_30 0)) + (portRef (member channel_debug_01_i_26 0)) )) (net VCC (joined (portRef VCC) @@ -337031,15 +337015,14 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(30:30)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(2:2)") 1) (direction INPUT)) - (port reset_i_2 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(26:26)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(2:2)") 1) (direction INPUT)) + (port reset_i_5 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -337520,8 +337503,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_30_2 (joined - (portRef (member channel_debug_01_i_30 0)) + (net channel_debug_01_i_26_2 (joined + (portRef (member channel_debug_01_i_26 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -337568,7 +337551,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_30 (joined + (net rd_en_i_26 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -337612,13 +337595,10 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef A (instanceRef OR2_t18)) - )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef B (instanceRef OR2_t18)) + (portRef A (instanceRef OR2_t18)) )) (net rRst (joined (portRef Z (instanceRef OR2_t18)) @@ -338007,6 +337987,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) @@ -338298,7 +338279,6 @@ (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -338573,8 +338553,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_2 (joined - (portRef reset_i_2) + (net reset_i_5 (joined + (portRef reset_i_5) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -339372,10 +339352,10 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(2:1)") 2) (direction INOUT)) - (port reset_tdc_9 (direction INPUT)) - (port reset_tdc_fast_37_r17 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) + (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(2:1)") 2) (direction INOUT)) + (port reset_tdc_21 (direction INPUT)) + (port reset_tdc_fast_37_r13 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) @@ -339746,7 +339726,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI4ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIQMUI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -339837,16 +339817,16 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -340083,10 +340063,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -340364,7 +340341,10 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -340511,7 +340491,7 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNIE9O9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1_RNI2Q6N1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -340544,10 +340524,10 @@ (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -340764,7 +340744,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI4ARF)) + (portRef A (instanceRef start_reg_RNIQMUI)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -340976,8 +340956,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -341005,8 +340985,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -341017,8 +340997,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -341104,7 +341084,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNIE9O9)) + (portRef D (instanceRef proc_finished_1_RNI2Q6N1)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -341113,7 +341093,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNIE9O9)) + (portRef C (instanceRef proc_finished_1_RNI2Q6N1)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -341122,7 +341102,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNIE9O9)) + (portRef B (instanceRef proc_finished_1_RNI2Q6N1)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -341131,7 +341111,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNIE9O9)) + (portRef A (instanceRef proc_finished_1_RNI2Q6N1)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -341162,8 +341142,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_RNO_0)) + (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -341195,8 +341175,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -341228,8 +341208,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -341347,8 +341327,8 @@ (portRef C (instanceRef BINARY_CODE_OUTd_9)) (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) @@ -342086,8 +342066,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI4ARF (joined - (portRef Z (instanceRef start_reg_RNI4ARF)) + (net start_reg_RNIQMUI (joined + (portRef Z (instanceRef start_reg_RNIQMUI)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -342132,8 +342112,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_30_1 (joined - (portRef (member channel_debug_01_i_30 1)) + (net channel_debug_01_i_26_1 (joined + (portRef (member channel_debug_01_i_26 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -342176,7 +342156,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI4ARF)) + (portRef B (instanceRef start_reg_RNIQMUI)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -342194,9 +342174,9 @@ (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_30_2 (joined + (net channel_debug_01_i_26_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_30 0)) + (portRef (member channel_debug_01_i_26 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -342759,7 +342739,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI4ARF)) + (portRef C (instanceRef start_reg_RNIQMUI)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -342890,8 +342870,7 @@ )) (net reset_tdc_rep2_35 (joined (portRef reset_tdc_rep2_35) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) @@ -342910,22 +342889,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net interval_reg_41_14_am_14_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -344362,7 +344341,8 @@ )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -344802,20 +344782,20 @@ (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNIE9O9)) + (portRef Z (instanceRef proc_finished_1_RNI2Q6N1)) (portRef D (instanceRef BINARY_CODE_OUTd_9)) (portRef D (instanceRef BINARY_CODE_OUTd_7)) (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) (portRef D (instanceRef BINARY_CODE_OUTd_3)) (portRef D (instanceRef BINARY_CODE_OUTd_4)) (portRef D (instanceRef BINARY_CODE_OUTd_2)) (portRef D (instanceRef BINARY_CODE_OUTd_1)) (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) )) (net BINARY_CODE_OUTd_RNO_5_0 (joined @@ -344834,13 +344814,13 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_37_r17 (joined - (portRef reset_tdc_fast_37_r17) + (net reset_tdc_fast_37_r13 (joined + (portRef reset_tdc_fast_37_r13) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) - (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) + (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) @@ -344854,14 +344834,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) - (net un3_binary_code_out_5 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_5)) - )) (net un3_binary_code_out_6 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) )) + (net un3_binary_code_out_5 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_5)) + )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) @@ -345401,9 +345381,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) - (portRef reset_tdc_9 (instanceRef ROM_Encoder_1)) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) + (portRef reset_tdc_21 (instanceRef ROM_Encoder_1)) )) ) ) @@ -345413,10 +345393,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_9 (direction INPUT)) - (port reset_tdc_8 (direction INPUT)) - (port reset_tdc_7 (direction INPUT)) - (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_21 (direction INPUT)) + (port reset_tdc_20 (direction INPUT)) + (port reset_tdc_19 (direction INPUT)) + (port reset_tdc_18 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -347572,28 +347552,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) (portRef CD (instanceRef FF_234)) (portRef CD (instanceRef FF_235)) (portRef CD (instanceRef FF_236)) @@ -348225,6 +348185,109 @@ (portRef S0 (instanceRef GEN_116_ADD)) (portRef D (instanceRef FF_232)) )) + (net reset_tdc_19 (joined + (portRef reset_tdc_19) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + )) (net result_i_232 (joined (portRef Q (instanceRef FF_232)) (portRef (member result_i 71)) @@ -348385,109 +348448,6 @@ (portRef S0 (instanceRef GEN_106_ADD)) (portRef D (instanceRef FF_212)) )) - (net reset_tdc_7 (joined - (portRef reset_tdc_7) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - )) (net result_i_212 (joined (portRef Q (instanceRef FF_212)) (portRef (member result_i 91)) @@ -349128,6 +349088,109 @@ (portRef S0 (instanceRef GEN_66_ADD)) (portRef D (instanceRef FF_132)) )) + (net reset_tdc_20 (joined + (portRef reset_tdc_20) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + )) (net result_i_132 (joined (portRef Q (instanceRef FF_132)) (portRef (member result_i 171)) @@ -349288,109 +349351,6 @@ (portRef S0 (instanceRef GEN_56_ADD)) (portRef D (instanceRef FF_112)) )) - (net reset_tdc_8 (joined - (portRef reset_tdc_8) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - )) (net result_i_112 (joined (portRef Q (instanceRef FF_112)) (portRef (member result_i 191)) @@ -350031,6 +349991,43 @@ (portRef S0 (instanceRef GEN_16_ADD)) (portRef D (instanceRef FF_32)) )) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + )) (net result_i_32 (joined (portRef Q (instanceRef FF_32)) (portRef (member result_i 271)) @@ -350191,23 +350188,6 @@ (portRef S0 (instanceRef GEN_6_ADD)) (portRef D (instanceRef FF_12)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - )) (net result_i_12 (joined (portRef Q (instanceRef FF_12)) (portRef (member result_i 291)) @@ -351233,7 +351213,7 @@ (cell edge_to_pulse_0_14 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -351305,11 +351285,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_15_0 (joined + (net channel_debug_01_i_11_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_15 0)) + (portRef (member channel_debug_01_i_11 0)) )) (net VCC (joined (portRef VCC) @@ -351323,15 +351303,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(15:15)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(2:2)") 1) (direction INPUT)) - (port reset_i_10 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(11:11)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(2:2)") 1) (direction INPUT)) + (port reset_i_14 (direction INPUT)) + (port reset_i_13 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -351811,8 +351793,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_15_2 (joined - (portRef (member channel_debug_01_i_15 0)) + (net channel_debug_01_i_11_2 (joined + (portRef (member channel_debug_01_i_11 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -351855,11 +351837,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_15 (joined + (net rd_en_i_11 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -352296,6 +352274,7 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -352585,7 +352564,6 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) @@ -352861,12 +352839,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_10 (joined - (portRef reset_i_10) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) + (net reset_i_13 (joined + (portRef reset_i_13) (portRef CD (instanceRef FF_15)) (portRef CD (instanceRef FF_16)) (portRef CD (instanceRef FF_17)) @@ -353207,6 +353181,13 @@ (portRef Q (instanceRef FF_22)) (portRef D (instanceRef FF_2)) )) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + )) (net empty_d (joined (portRef S0 (instanceRef a0)) (portRef D (instanceRef FF_1)) @@ -353220,6 +353201,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -353660,11 +353646,13 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(2:1)") 2) (direction INOUT)) - (port reset_tdc_54 (direction INPUT)) - (port reset_tdc_fast_36_r24 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(2:1)") 2) (direction INOUT)) + (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_fast_36_r19 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_fast_37_r11 (direction INPUT)) + (port reset_tdc_fast_36_r1 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -354033,7 +354021,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIK4EQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI4SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -354124,13 +354112,13 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -354370,6 +354358,9 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -354651,9 +354642,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -354825,18 +354813,18 @@ (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) + (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) + ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) @@ -355045,7 +355033,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIK4EQ)) + (portRef A (instanceRef start_reg_RNI4SB81)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -355298,8 +355286,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -355505,8 +355493,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_RNO_0)) + (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -356353,8 +356341,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIK4EQ (joined - (portRef Z (instanceRef start_reg_RNIK4EQ)) + (net start_reg_RNI4SB81 (joined + (portRef Z (instanceRef start_reg_RNI4SB81)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -356399,8 +356387,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_15_1 (joined - (portRef (member channel_debug_01_i_15 1)) + (net channel_debug_01_i_11_1 (joined + (portRef (member channel_debug_01_i_11 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -356443,7 +356431,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIK4EQ)) + (portRef B (instanceRef start_reg_RNI4SB81)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -356460,10 +356448,10 @@ (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) @@ -356471,9 +356459,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_15_2 (joined + (net channel_debug_01_i_11_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_15 0)) + (portRef (member channel_debug_01_i_11 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -357036,7 +357024,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIK4EQ)) + (portRef C (instanceRef start_reg_RNI4SB81)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -357165,15 +357153,19 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_finished_3c)) + (portRef D (instanceRef proc_finished_4c)) + )) + (net reset_tdc_fast_36_r1 (joined + (portRef reset_tdc_fast_36_r1) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -357187,17 +357179,17 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) @@ -358637,18 +358629,20 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) + (net reset_tdc_fast_37_r11 (joined + (portRef reset_tdc_fast_37_r11) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -359086,13 +359080,13 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r24 (joined - (portRef reset_tdc_fast_36_r24) + (net reset_tdc_fast_36_r19 (joined + (portRef reset_tdc_fast_36_r19) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) - (portRef B (instanceRef BINARY_CODE_OUTd_6)) + (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) + (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) @@ -359111,22 +359105,22 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) - (net un3_binary_code_out_5 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_5)) - )) (net un3_binary_code_out_6 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) )) - (net un3_binary_code_out_7 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_7)) + (net un3_binary_code_out_5 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) )) + (net un3_binary_code_out_7 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_7)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -359658,9 +359652,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) - (portRef reset_tdc_54 (instanceRef ROM_Encoder_1)) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) + (portRef reset_tdc_67 (instanceRef ROM_Encoder_1)) )) ) ) @@ -359670,10 +359664,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_54 (direction INPUT)) - (port reset_tdc_53 (direction INPUT)) - (port reset_tdc_52 (direction INPUT)) - (port reset_tdc_51 (direction INPUT)) + (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_66 (direction INPUT)) + (port reset_tdc_65 (direction INPUT)) + (port reset_tdc_64 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -361829,8 +361823,81 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + (portRef CD (instanceRef FF_288)) (portRef CD (instanceRef FF_289)) (portRef CD (instanceRef FF_290)) (portRef CD (instanceRef FF_291)) @@ -361967,109 +362034,6 @@ (portRef S1 (instanceRef GEN_143_ADD)) (portRef D (instanceRef FF_287)) )) - (net reset_tdc_52 (joined - (portRef reset_tdc_52) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - (portRef CD (instanceRef FF_288)) - )) (net result_i_287 (joined (portRef Q (instanceRef FF_287)) (portRef (member result_i 16)) @@ -362654,6 +362618,108 @@ (portRef S0 (instanceRef GEN_107_ADD)) (portRef D (instanceRef FF_214)) )) + (net reset_tdc_65 (joined + (portRef reset_tdc_65) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + )) (net result_i_214 (joined (portRef Q (instanceRef FF_214)) (portRef (member result_i 89)) @@ -362870,109 +362936,6 @@ (portRef S1 (instanceRef GEN_93_ADD)) (portRef D (instanceRef FF_187)) )) - (net reset_tdc_53 (joined - (portRef reset_tdc_53) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - )) (net result_i_187 (joined (portRef Q (instanceRef FF_187)) (portRef (member result_i 116)) @@ -363549,6 +363512,108 @@ (portRef S1 (instanceRef GEN_57_ADD)) (portRef D (instanceRef FF_115)) )) + (net reset_tdc_66 (joined + (portRef reset_tdc_66) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + )) (net result_i_115 (joined (portRef Q (instanceRef FF_115)) (portRef (member result_i 188)) @@ -363773,98 +363838,6 @@ (portRef S1 (instanceRef GEN_43_ADD)) (portRef D (instanceRef FF_87)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - )) (net result_i_87 (joined (portRef Q (instanceRef FF_87)) (portRef (member result_i 216)) @@ -364433,6 +364406,27 @@ (portRef S0 (instanceRef GEN_8_ADD)) (portRef D (instanceRef FF_16)) )) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + )) (net result_i_16 (joined (portRef Q (instanceRef FF_16)) (portRef (member result_i 287)) @@ -365490,7 +365484,7 @@ (cell edge_to_pulse_0_13 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -365562,11 +365556,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_7_0 (joined + (net channel_debug_01_i_21_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_7 0)) + (portRef (member channel_debug_01_i_21 0)) )) (net VCC (joined (portRef VCC) @@ -365580,17 +365574,15 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(7:7)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(2:2)") 1) (direction INPUT)) - (port reset_i_15 (direction INPUT)) - (port reset_i_14 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(21:21)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(2:2)") 1) (direction INPUT)) + (port reset_i_8 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) - (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -366070,8 +366062,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_7_2 (joined - (portRef (member channel_debug_01_i_7 0)) + (net channel_debug_01_i_21_2 (joined + (portRef (member channel_debug_01_i_21 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -366114,7 +366106,11 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net rd_en_i_7 (joined + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + )) + (net rd_en_i_21 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -366550,8 +366546,8 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -366840,8 +366836,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -367116,8 +367112,28 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_14 (joined - (portRef reset_i_14) + (net reset_i_8 (joined + (portRef reset_i_8) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) (portRef CD (instanceRef FF_41)) (portRef CD (instanceRef FF_72)) (portRef CD (instanceRef FF_73)) @@ -367370,29 +367386,6 @@ (portRef Q (instanceRef FF_40)) (portRef D (instanceRef FF_20)) )) - (net reset_i_15 (joined - (portRef reset_i_15) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - )) (net w_gcount_r2 (joined (portRef Q (instanceRef FF_39)) (portRef D (instanceRef FF_19)) @@ -367478,11 +367471,6 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - (portRef fifo_full_i) - )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -367923,12 +367911,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(2:1)") 2) (direction INOUT)) - (port reset_tdc_79 (direction INPUT)) - (port reset_tdc_fast_36_r12 (direction INPUT)) - (port reset_tdc_fast_37_r8 (direction INPUT)) - (port reset_tdc_fast_37_r7 (direction INPUT)) - (port reset_tdc_fast_36_r13 (direction INPUT)) + (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(2:1)") 2) (direction INOUT)) + (port reset_tdc_36 (direction INPUT)) + (port reset_tdc_fast_36_r30 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -368297,7 +368284,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIGV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI6SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368342,10 +368329,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368379,16 +368366,16 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368397,7 +368384,7 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368631,7 +368618,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368845,10 +368835,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368909,10 +368899,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -369309,7 +369296,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIGV5Q)) + (portRef A (instanceRef start_reg_RNI6SB81)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -369550,8 +369537,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -369562,8 +369549,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -369574,8 +369561,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -369736,8 +369723,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -369769,8 +369756,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -369802,8 +369789,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -370617,8 +370604,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIGV5Q (joined - (portRef Z (instanceRef start_reg_RNIGV5Q)) + (net start_reg_RNI6SB81 (joined + (portRef Z (instanceRef start_reg_RNI6SB81)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -370663,8 +370650,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_7_1 (joined - (portRef (member channel_debug_01_i_7 1)) + (net channel_debug_01_i_21_1 (joined + (portRef (member channel_debug_01_i_21 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -370707,7 +370694,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIGV5Q)) + (portRef B (instanceRef start_reg_RNI6SB81)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -370735,9 +370722,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_7_2 (joined + (net channel_debug_01_i_21_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_7 0)) + (portRef (member channel_debug_01_i_21 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -370893,8 +370880,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -371148,8 +371135,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -371300,7 +371287,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIGV5Q)) + (portRef C (instanceRef start_reg_RNI6SB81)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -371327,8 +371314,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -371399,14 +371386,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_16_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -371429,18 +371416,15 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r13 (joined - (portRef reset_tdc_fast_36_r13) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -371449,9 +371433,13 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) @@ -371461,9 +371449,9 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net interval_reg_41_14_am_16_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -372891,30 +372879,26 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r7 (joined - (portRef reset_tdc_fast_37_r7) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_fast_37_r8 (joined - (portRef reset_tdc_fast_37_r8) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -373353,8 +373337,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r12 (joined - (portRef reset_tdc_fast_36_r12) + (net reset_tdc_fast_36_r30 (joined + (portRef reset_tdc_fast_36_r30) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -373925,9 +373909,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_79 (joined - (portRef reset_tdc_79) - (portRef reset_tdc_79 (instanceRef ROM_Encoder_1)) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) + (portRef reset_tdc_36 (instanceRef ROM_Encoder_1)) )) ) ) @@ -373937,10 +373921,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_79 (direction INPUT)) - (port reset_tdc_78 (direction INPUT)) - (port reset_tdc_77 (direction INPUT)) - (port reset_tdc_76 (direction INPUT)) + (port reset_tdc_36 (direction INPUT)) + (port reset_tdc_35 (direction INPUT)) + (port reset_tdc_34 (direction INPUT)) + (port reset_tdc_33 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -376096,19 +376080,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) + (net reset_tdc_33 (joined + (portRef reset_tdc_33) (portRef CD (instanceRef FF_259)) (portRef CD (instanceRef FF_260)) (portRef CD (instanceRef FF_261)) @@ -376515,106 +376488,8 @@ (portRef S1 (instanceRef GEN_128_ADD)) (portRef D (instanceRef FF_257)) )) - (net result_i_257 (joined - (portRef Q (instanceRef FF_257)) - (portRef (member result_i 46)) - )) - (net tsum_256 (joined - (portRef S0 (instanceRef GEN_128_ADD)) - (portRef D (instanceRef FF_256)) - )) - (net result_i_256 (joined - (portRef Q (instanceRef FF_256)) - (portRef (member result_i 47)) - )) - (net tsum_255 (joined - (portRef S1 (instanceRef GEN_127_ADD)) - (portRef D (instanceRef FF_255)) - )) - (net result_i_255 (joined - (portRef Q (instanceRef FF_255)) - (portRef (member result_i 48)) - )) - (net tsum_254 (joined - (portRef S0 (instanceRef GEN_127_ADD)) - (portRef D (instanceRef FF_254)) - )) - (net result_i_254 (joined - (portRef Q (instanceRef FF_254)) - (portRef (member result_i 49)) - )) - (net tsum_253 (joined - (portRef S1 (instanceRef GEN_126_ADD)) - (portRef D (instanceRef FF_253)) - )) - (net result_i_253 (joined - (portRef Q (instanceRef FF_253)) - (portRef (member result_i 50)) - )) - (net tsum_252 (joined - (portRef S0 (instanceRef GEN_126_ADD)) - (portRef D (instanceRef FF_252)) - )) - (net result_i_252 (joined - (portRef Q (instanceRef FF_252)) - (portRef (member result_i 51)) - )) - (net tsum_251 (joined - (portRef S1 (instanceRef GEN_125_ADD)) - (portRef D (instanceRef FF_251)) - )) - (net result_i_251 (joined - (portRef Q (instanceRef FF_251)) - (portRef (member result_i 52)) - )) - (net tsum_250 (joined - (portRef S0 (instanceRef GEN_125_ADD)) - (portRef D (instanceRef FF_250)) - )) - (net result_i_250 (joined - (portRef Q (instanceRef FF_250)) - (portRef (member result_i 53)) - )) - (net tsum_249 (joined - (portRef S1 (instanceRef GEN_124_ADD)) - (portRef D (instanceRef FF_249)) - )) - (net result_i_249 (joined - (portRef Q (instanceRef FF_249)) - (portRef (member result_i 54)) - )) - (net tsum_248 (joined - (portRef S0 (instanceRef GEN_124_ADD)) - (portRef D (instanceRef FF_248)) - )) - (net result_i_248 (joined - (portRef Q (instanceRef FF_248)) - (portRef (member result_i 55)) - )) - (net tsum_247 (joined - (portRef S1 (instanceRef GEN_123_ADD)) - (portRef D (instanceRef FF_247)) - )) - (net result_i_247 (joined - (portRef Q (instanceRef FF_247)) - (portRef (member result_i 56)) - )) - (net tsum_246 (joined - (portRef S0 (instanceRef GEN_123_ADD)) - (portRef D (instanceRef FF_246)) - )) - (net reset_tdc_77 (joined - (portRef reset_tdc_77) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) + (net reset_tdc_34 (joined + (portRef reset_tdc_34) (portRef CD (instanceRef FF_159)) (portRef CD (instanceRef FF_160)) (portRef CD (instanceRef FF_161)) @@ -376704,6 +376579,105 @@ (portRef CD (instanceRef FF_245)) (portRef CD (instanceRef FF_246)) (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + )) + (net result_i_257 (joined + (portRef Q (instanceRef FF_257)) + (portRef (member result_i 46)) + )) + (net tsum_256 (joined + (portRef S0 (instanceRef GEN_128_ADD)) + (portRef D (instanceRef FF_256)) + )) + (net result_i_256 (joined + (portRef Q (instanceRef FF_256)) + (portRef (member result_i 47)) + )) + (net tsum_255 (joined + (portRef S1 (instanceRef GEN_127_ADD)) + (portRef D (instanceRef FF_255)) + )) + (net result_i_255 (joined + (portRef Q (instanceRef FF_255)) + (portRef (member result_i 48)) + )) + (net tsum_254 (joined + (portRef S0 (instanceRef GEN_127_ADD)) + (portRef D (instanceRef FF_254)) + )) + (net result_i_254 (joined + (portRef Q (instanceRef FF_254)) + (portRef (member result_i 49)) + )) + (net tsum_253 (joined + (portRef S1 (instanceRef GEN_126_ADD)) + (portRef D (instanceRef FF_253)) + )) + (net result_i_253 (joined + (portRef Q (instanceRef FF_253)) + (portRef (member result_i 50)) + )) + (net tsum_252 (joined + (portRef S0 (instanceRef GEN_126_ADD)) + (portRef D (instanceRef FF_252)) + )) + (net result_i_252 (joined + (portRef Q (instanceRef FF_252)) + (portRef (member result_i 51)) + )) + (net tsum_251 (joined + (portRef S1 (instanceRef GEN_125_ADD)) + (portRef D (instanceRef FF_251)) + )) + (net result_i_251 (joined + (portRef Q (instanceRef FF_251)) + (portRef (member result_i 52)) + )) + (net tsum_250 (joined + (portRef S0 (instanceRef GEN_125_ADD)) + (portRef D (instanceRef FF_250)) + )) + (net result_i_250 (joined + (portRef Q (instanceRef FF_250)) + (portRef (member result_i 53)) + )) + (net tsum_249 (joined + (portRef S1 (instanceRef GEN_124_ADD)) + (portRef D (instanceRef FF_249)) + )) + (net result_i_249 (joined + (portRef Q (instanceRef FF_249)) + (portRef (member result_i 54)) + )) + (net tsum_248 (joined + (portRef S0 (instanceRef GEN_124_ADD)) + (portRef D (instanceRef FF_248)) + )) + (net result_i_248 (joined + (portRef Q (instanceRef FF_248)) + (portRef (member result_i 55)) + )) + (net tsum_247 (joined + (portRef S1 (instanceRef GEN_123_ADD)) + (portRef D (instanceRef FF_247)) + )) + (net result_i_247 (joined + (portRef Q (instanceRef FF_247)) + (portRef (member result_i 56)) + )) + (net tsum_246 (joined + (portRef S0 (instanceRef GEN_123_ADD)) + (portRef D (instanceRef FF_246)) )) (net result_i_246 (joined (portRef Q (instanceRef FF_246)) @@ -377417,97 +377391,8 @@ (portRef S1 (instanceRef GEN_78_ADD)) (portRef D (instanceRef FF_157)) )) - (net result_i_157 (joined - (portRef Q (instanceRef FF_157)) - (portRef (member result_i 146)) - )) - (net tsum_156 (joined - (portRef S0 (instanceRef GEN_78_ADD)) - (portRef D (instanceRef FF_156)) - )) - (net result_i_156 (joined - (portRef Q (instanceRef FF_156)) - (portRef (member result_i 147)) - )) - (net tsum_155 (joined - (portRef S1 (instanceRef GEN_77_ADD)) - (portRef D (instanceRef FF_155)) - )) - (net result_i_155 (joined - (portRef Q (instanceRef FF_155)) - (portRef (member result_i 148)) - )) - (net tsum_154 (joined - (portRef S0 (instanceRef GEN_77_ADD)) - (portRef D (instanceRef FF_154)) - )) - (net result_i_154 (joined - (portRef Q (instanceRef FF_154)) - (portRef (member result_i 149)) - )) - (net tsum_153 (joined - (portRef S1 (instanceRef GEN_76_ADD)) - (portRef D (instanceRef FF_153)) - )) - (net result_i_153 (joined - (portRef Q (instanceRef FF_153)) - (portRef (member result_i 150)) - )) - (net tsum_152 (joined - (portRef S0 (instanceRef GEN_76_ADD)) - (portRef D (instanceRef FF_152)) - )) - (net result_i_152 (joined - (portRef Q (instanceRef FF_152)) - (portRef (member result_i 151)) - )) - (net tsum_151 (joined - (portRef S1 (instanceRef GEN_75_ADD)) - (portRef D (instanceRef FF_151)) - )) - (net result_i_151 (joined - (portRef Q (instanceRef FF_151)) - (portRef (member result_i 152)) - )) - (net tsum_150 (joined - (portRef S0 (instanceRef GEN_75_ADD)) - (portRef D (instanceRef FF_150)) - )) - (net result_i_150 (joined - (portRef Q (instanceRef FF_150)) - (portRef (member result_i 153)) - )) - (net tsum_149 (joined - (portRef S1 (instanceRef GEN_74_ADD)) - (portRef D (instanceRef FF_149)) - )) - (net result_i_149 (joined - (portRef Q (instanceRef FF_149)) - (portRef (member result_i 154)) - )) - (net tsum_148 (joined - (portRef S0 (instanceRef GEN_74_ADD)) - (portRef D (instanceRef FF_148)) - )) - (net result_i_148 (joined - (portRef Q (instanceRef FF_148)) - (portRef (member result_i 155)) - )) - (net tsum_147 (joined - (portRef S1 (instanceRef GEN_73_ADD)) - (portRef D (instanceRef FF_147)) - )) - (net reset_tdc_78 (joined - (portRef reset_tdc_78) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) + (net reset_tdc_35 (joined + (portRef reset_tdc_35) (portRef CD (instanceRef FF_59)) (portRef CD (instanceRef FF_60)) (portRef CD (instanceRef FF_61)) @@ -377598,6 +377483,96 @@ (portRef CD (instanceRef FF_146)) (portRef CD (instanceRef FF_147)) (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + )) + (net result_i_157 (joined + (portRef Q (instanceRef FF_157)) + (portRef (member result_i 146)) + )) + (net tsum_156 (joined + (portRef S0 (instanceRef GEN_78_ADD)) + (portRef D (instanceRef FF_156)) + )) + (net result_i_156 (joined + (portRef Q (instanceRef FF_156)) + (portRef (member result_i 147)) + )) + (net tsum_155 (joined + (portRef S1 (instanceRef GEN_77_ADD)) + (portRef D (instanceRef FF_155)) + )) + (net result_i_155 (joined + (portRef Q (instanceRef FF_155)) + (portRef (member result_i 148)) + )) + (net tsum_154 (joined + (portRef S0 (instanceRef GEN_77_ADD)) + (portRef D (instanceRef FF_154)) + )) + (net result_i_154 (joined + (portRef Q (instanceRef FF_154)) + (portRef (member result_i 149)) + )) + (net tsum_153 (joined + (portRef S1 (instanceRef GEN_76_ADD)) + (portRef D (instanceRef FF_153)) + )) + (net result_i_153 (joined + (portRef Q (instanceRef FF_153)) + (portRef (member result_i 150)) + )) + (net tsum_152 (joined + (portRef S0 (instanceRef GEN_76_ADD)) + (portRef D (instanceRef FF_152)) + )) + (net result_i_152 (joined + (portRef Q (instanceRef FF_152)) + (portRef (member result_i 151)) + )) + (net tsum_151 (joined + (portRef S1 (instanceRef GEN_75_ADD)) + (portRef D (instanceRef FF_151)) + )) + (net result_i_151 (joined + (portRef Q (instanceRef FF_151)) + (portRef (member result_i 152)) + )) + (net tsum_150 (joined + (portRef S0 (instanceRef GEN_75_ADD)) + (portRef D (instanceRef FF_150)) + )) + (net result_i_150 (joined + (portRef Q (instanceRef FF_150)) + (portRef (member result_i 153)) + )) + (net tsum_149 (joined + (portRef S1 (instanceRef GEN_74_ADD)) + (portRef D (instanceRef FF_149)) + )) + (net result_i_149 (joined + (portRef Q (instanceRef FF_149)) + (portRef (member result_i 154)) + )) + (net tsum_148 (joined + (portRef S0 (instanceRef GEN_74_ADD)) + (portRef D (instanceRef FF_148)) + )) + (net result_i_148 (joined + (portRef Q (instanceRef FF_148)) + (portRef (member result_i 155)) + )) + (net tsum_147 (joined + (portRef S1 (instanceRef GEN_73_ADD)) + (portRef D (instanceRef FF_147)) )) (net result_i_147 (joined (portRef Q (instanceRef FF_147)) @@ -378319,80 +378294,8 @@ (portRef S1 (instanceRef GEN_28_ADD)) (portRef D (instanceRef FF_57)) )) - (net result_i_57 (joined - (portRef Q (instanceRef FF_57)) - (portRef (member result_i 246)) - )) - (net tsum_56 (joined - (portRef S0 (instanceRef GEN_28_ADD)) - (portRef D (instanceRef FF_56)) - )) - (net result_i_56 (joined - (portRef Q (instanceRef FF_56)) - (portRef (member result_i 247)) - )) - (net tsum_55 (joined - (portRef S1 (instanceRef GEN_27_ADD)) - (portRef D (instanceRef FF_55)) - )) - (net result_i_55 (joined - (portRef Q (instanceRef FF_55)) - (portRef (member result_i 248)) - )) - (net tsum_54 (joined - (portRef S0 (instanceRef GEN_27_ADD)) - (portRef D (instanceRef FF_54)) - )) - (net result_i_54 (joined - (portRef Q (instanceRef FF_54)) - (portRef (member result_i 249)) - )) - (net tsum_53 (joined - (portRef S1 (instanceRef GEN_26_ADD)) - (portRef D (instanceRef FF_53)) - )) - (net result_i_53 (joined - (portRef Q (instanceRef FF_53)) - (portRef (member result_i 250)) - )) - (net tsum_52 (joined - (portRef S0 (instanceRef GEN_26_ADD)) - (portRef D (instanceRef FF_52)) - )) - (net result_i_52 (joined - (portRef Q (instanceRef FF_52)) - (portRef (member result_i 251)) - )) - (net tsum_51 (joined - (portRef S1 (instanceRef GEN_25_ADD)) - (portRef D (instanceRef FF_51)) - )) - (net result_i_51 (joined - (portRef Q (instanceRef FF_51)) - (portRef (member result_i 252)) - )) - (net tsum_50 (joined - (portRef S0 (instanceRef GEN_25_ADD)) - (portRef D (instanceRef FF_50)) - )) - (net result_i_50 (joined - (portRef Q (instanceRef FF_50)) - (portRef (member result_i 253)) - )) - (net tsum_49 (joined - (portRef S1 (instanceRef GEN_24_ADD)) - (portRef D (instanceRef FF_49)) - )) - (net result_i_49 (joined - (portRef Q (instanceRef FF_49)) - (portRef (member result_i 254)) - )) - (net tsum_48 (joined - (portRef S0 (instanceRef GEN_24_ADD)) - (portRef D (instanceRef FF_48)) - )) - (net reset_tdc_79 (joined - (portRef reset_tdc_79) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -378443,6 +378346,87 @@ (portRef CD (instanceRef FF_47)) (portRef CD (instanceRef FF_48)) (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + )) + (net result_i_57 (joined + (portRef Q (instanceRef FF_57)) + (portRef (member result_i 246)) + )) + (net tsum_56 (joined + (portRef S0 (instanceRef GEN_28_ADD)) + (portRef D (instanceRef FF_56)) + )) + (net result_i_56 (joined + (portRef Q (instanceRef FF_56)) + (portRef (member result_i 247)) + )) + (net tsum_55 (joined + (portRef S1 (instanceRef GEN_27_ADD)) + (portRef D (instanceRef FF_55)) + )) + (net result_i_55 (joined + (portRef Q (instanceRef FF_55)) + (portRef (member result_i 248)) + )) + (net tsum_54 (joined + (portRef S0 (instanceRef GEN_27_ADD)) + (portRef D (instanceRef FF_54)) + )) + (net result_i_54 (joined + (portRef Q (instanceRef FF_54)) + (portRef (member result_i 249)) + )) + (net tsum_53 (joined + (portRef S1 (instanceRef GEN_26_ADD)) + (portRef D (instanceRef FF_53)) + )) + (net result_i_53 (joined + (portRef Q (instanceRef FF_53)) + (portRef (member result_i 250)) + )) + (net tsum_52 (joined + (portRef S0 (instanceRef GEN_26_ADD)) + (portRef D (instanceRef FF_52)) + )) + (net result_i_52 (joined + (portRef Q (instanceRef FF_52)) + (portRef (member result_i 251)) + )) + (net tsum_51 (joined + (portRef S1 (instanceRef GEN_25_ADD)) + (portRef D (instanceRef FF_51)) + )) + (net result_i_51 (joined + (portRef Q (instanceRef FF_51)) + (portRef (member result_i 252)) + )) + (net tsum_50 (joined + (portRef S0 (instanceRef GEN_25_ADD)) + (portRef D (instanceRef FF_50)) + )) + (net result_i_50 (joined + (portRef Q (instanceRef FF_50)) + (portRef (member result_i 253)) + )) + (net tsum_49 (joined + (portRef S1 (instanceRef GEN_24_ADD)) + (portRef D (instanceRef FF_49)) + )) + (net result_i_49 (joined + (portRef Q (instanceRef FF_49)) + (portRef (member result_i 254)) + )) + (net tsum_48 (joined + (portRef S0 (instanceRef GEN_24_ADD)) + (portRef D (instanceRef FF_48)) )) (net result_i_48 (joined (portRef Q (instanceRef FF_48)) @@ -379754,3143 +379738,836 @@ ) ) ) - (cell signal_sync_24_3_38 (cellType GENERIC) + (cell edge_to_pulse_0_12 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename measurement_cntr "measurement_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(631:608)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) + (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) + (port GND (direction INPUT)) + (port G_1690_Q (direction INPUT)) + (port G_1693_Q (direction INPUT)) + (port sync_q_and_11 (direction INPUT)) + (port G_1695_Q (direction INPUT)) ) (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance signal_sync_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C A+C B))")) ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_state_1__fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance signal_sync (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member measurement_cntr 23)) - ) + (instance current_state_1 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member measurement_cntr 22)) - ) + (instance current_statec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) + (net G_1695_Q (joined + (portRef G_1695_Q) + (portRef A (instanceRef signal_sync_RNO)) + )) + (net sync_q_and_11 (joined + (portRef sync_q_and_11) + (portRef B (instanceRef signal_sync_RNO)) + )) + (net G_1693_Q (joined + (portRef G_1693_Q) + (portRef C (instanceRef signal_sync_RNO)) + )) + (net G_1690_Q (joined + (portRef G_1690_Q) + (portRef D (instanceRef signal_sync_RNO)) + )) + (net sync_q_3 (joined + (portRef Z (instanceRef signal_sync_RNO)) + (portRef D (instanceRef signal_sync)) + )) + (net current_state_1 (joined + (portRef Q (instanceRef current_state_1)) + (portRef A (instanceRef current_statec)) + (portRef A (instanceRef current_state_1__fb)) + )) + (net signal_sync (joined + (portRef Q (instanceRef signal_sync)) + (portRef B (instanceRef current_statec)) + (portRef B (instanceRef current_state_1__fb)) + )) + (net GND (joined + (portRef GND) + )) + (net fb (joined + (portRef Z (instanceRef current_state_1__fb)) + (portRef PD (instanceRef current_state_1)) + )) + (net current_statec (joined + (portRef Z (instanceRef current_statec)) + (portRef D (instanceRef current_state_0)) + )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) + (portRef CK (instanceRef current_state_1)) + (portRef CK (instanceRef current_state_0)) + (portRef CK (instanceRef signal_sync)) )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) + (net channel_debug_01_i_17_0 (joined + (portRef Q (instanceRef current_state_0)) + (portRef D (instanceRef current_state_1)) + (portRef CD (instanceRef current_state_0)) + (portRef (member channel_debug_01_i_17 0)) )) (net VCC (joined (portRef VCC) )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member measurement_cntr 21)) - ) - ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member measurement_cntr 20)) - ) - ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member measurement_cntr 19)) - ) + ) + ) + ) + (cell FIFO_32x512_OutReg_13 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) + (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) + (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(17:17)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(2:2)") 1) (direction INPUT)) + (port reset_i_10 (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + (port VCC (direction INPUT)) + (port GND (direction INPUT)) + (port reset_i_rep2_1 (direction INPUT)) + (port fifo_empty_i (direction OUTPUT)) + ) + (contents + (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member measurement_cntr 18)) - ) + (instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member measurement_cntr 17)) - ) + (instance AND2_t19 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member measurement_cntr 16)) - ) + (instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member measurement_cntr 15)) - ) + (instance OR2_t18 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT))) ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member measurement_cntr 14)) - ) + (instance XOR2_t17 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member measurement_cntr 13)) - ) + (instance XOR2_t16 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member measurement_cntr 12)) - ) + (instance XOR2_t15 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member measurement_cntr 11)) - ) + (instance XOR2_t14 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member measurement_cntr 10)) - ) + (instance XOR2_t13 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member measurement_cntr 9)) - ) + (instance XOR2_t12 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member measurement_cntr 8)) - ) + (instance XOR2_t11 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member measurement_cntr 7)) - ) + (instance XOR2_t10 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member measurement_cntr 6)) - ) + (instance XOR2_t9 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member measurement_cntr 5)) - ) + (instance XOR2_t8 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member measurement_cntr 4)) - ) + (instance XOR2_t7 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member measurement_cntr 3)) - ) + (instance XOR2_t6 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member measurement_cntr 2)) - ) + (instance XOR2_t5 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member measurement_cntr 1)) - ) + (instance XOR2_t4 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member measurement_cntr 0)) - ) + (instance XOR2_t3 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) + (instance XOR2_t2 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) + (instance XOR2_t1 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) + (instance XOR2_t0 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) + (instance LUT4_23 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) + (instance LUT4_22 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) + (instance LUT4_21 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) + (instance LUT4_20 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) + (instance LUT4_19 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) + (instance LUT4_18 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) + (instance LUT4_17 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) + (instance LUT4_16 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) + (instance LUT4_15 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) + (instance LUT4_14 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) + (instance LUT4_13 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) + (instance LUT4_12 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) + (instance LUT4_11 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) + (instance LUT4_10 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) + (instance LUT4_9 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) + (instance LUT4_8 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) + (instance LUT4_7 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) + (instance LUT4_6 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) + (instance LUT4_5 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) + (instance LUT4_4 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) + (instance LUT4_3 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x0410")) ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) + (instance LUT4_2 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x1004")) ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) + (instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x0140")) ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) + (instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x4001")) ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) + (instance pdp_ram_0_0_0 (viewRef PRIM (cellRef PDPW16KC (libraryRef LUCENT))) + (property DATA_WIDTH_W (integer 36)) + (property DATA_WIDTH_R (integer 36)) + (property REGMODE (string "OUTREG")) + (property CSDECODE_W (string "0b001")) + (property CSDECODE_R (string "0b001")) + (property GSR (string "DISABLED")) + (property RESETMODE (string "SYNC")) + (property MEM_INIT_FILE (string "")) + (property MEM_LPC_FILE (string "FIFO_32x512_OutReg.lpc")) ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) + (instance FF_101 (viewRef PRIM (cellRef FD1P3BX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) + (instance FF_100 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) + (instance FF_99 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) + (instance FF_98 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) + (instance FF_97 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) + (instance FF_96 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) + (instance FF_95 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) + (instance FF_94 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) + (instance FF_93 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) + (instance FF_92 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) + (instance FF_91 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) + (instance FF_90 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) + (instance FF_89 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) + (instance FF_88 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) + (instance FF_87 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) + (instance FF_86 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) + (instance FF_85 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) + (instance FF_84 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) + (instance FF_83 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) + (instance FF_82 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - ) - ) - ) - (cell signal_sync_24_3_37 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename encoder_start_cntr "encoder_start_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(663:640)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - ) - (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_81 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_80 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_79 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_78 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_77 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_76 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_75 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_74 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_73 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_72 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_71 (viewRef PRIM (cellRef FD1P3BX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_70 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_69 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_68 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_67 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_66 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_65 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_64 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_63 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_62 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_61 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_60 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_59 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_58 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_57 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_56 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_55 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_54 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_53 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_52 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_51 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_50 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_49 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_48 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_47 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_46 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_45 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_44 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_43 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_42 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_41 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_40 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_39 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_38 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_37 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_36 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_35 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_34 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_33 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_32 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_31 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_30 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_29 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_28 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_27 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_26 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_25 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_24 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_23 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_22 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_21 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_20 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_19 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_18 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_17 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_16 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_15 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_14 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_13 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_12 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_11 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_10 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member encoder_start_cntr 23)) - ) + (instance FF_9 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member encoder_start_cntr 22)) - ) + (instance FF_8 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) - )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) - )) - (net VCC (joined - (portRef VCC) - )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member encoder_start_cntr 21)) - ) + (instance FF_7 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member encoder_start_cntr 20)) - ) + (instance FF_6 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member encoder_start_cntr 19)) - ) + (instance FF_5 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member encoder_start_cntr 18)) - ) + (instance FF_4 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member encoder_start_cntr 17)) - ) + (instance FF_3 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member encoder_start_cntr 16)) - ) + (instance FF_2 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member encoder_start_cntr 15)) - ) + (instance FF_1 (viewRef PRIM (cellRef FD1S3BX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member encoder_start_cntr 14)) - ) + (instance FF_0 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member encoder_start_cntr 13)) - ) + (instance w_gctr_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member encoder_start_cntr 12)) - ) + (instance w_gctr_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member encoder_start_cntr 11)) - ) + (instance w_gctr_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member encoder_start_cntr 10)) - ) + (instance w_gctr_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member encoder_start_cntr 9)) - ) + (instance w_gctr_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member encoder_start_cntr 8)) - ) + (instance w_gctr_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member encoder_start_cntr 7)) - ) + (instance r_gctr_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member encoder_start_cntr 6)) - ) + (instance r_gctr_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member encoder_start_cntr 5)) - ) + (instance r_gctr_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member encoder_start_cntr 4)) - ) + (instance r_gctr_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member encoder_start_cntr 3)) - ) + (instance r_gctr_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member encoder_start_cntr 2)) - ) + (instance r_gctr_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member encoder_start_cntr 1)) - ) + (instance empty_cmp_ci_a (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member encoder_start_cntr 0)) - ) + (instance a0 (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) + (instance full_cmp_ci_a (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) + (instance a1 (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (instance full_cmp_4 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance full_cmp_3 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance full_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance full_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance full_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance empty_cmp_4 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance empty_cmp_3 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) + (net channel_debug_01_i_17_2 (joined + (portRef (member channel_debug_01_i_17 0)) + (portRef A (instanceRef AND2_t20)) )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) - ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) - ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) - ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) - ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) - ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) - ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) - ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) - ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) - ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) - ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) - ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) - ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) - ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) - ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) - ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) - ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) - ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) - ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) - ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) - ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) - ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) - ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) - ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) - ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) - ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) - ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) - ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) - ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) - ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) - ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) - ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) - ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) - ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) - ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) - ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) - ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) - ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) - ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) - ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) - ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) - ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) - ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) - ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) - ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) - ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) - ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (net invout_1 (joined + (portRef Z (instanceRef INV_1)) + (portRef B (instanceRef AND2_t20)) )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (net wren_i (joined + (portRef Z (instanceRef AND2_t20)) + (portRef B1 (instanceRef full_cmp_ci_a)) + (portRef A1 (instanceRef full_cmp_ci_a)) + (portRef SP (instanceRef FF_72)) + (portRef SP (instanceRef FF_73)) + (portRef SP (instanceRef FF_74)) + (portRef SP (instanceRef FF_75)) + (portRef SP (instanceRef FF_76)) + (portRef SP (instanceRef FF_77)) + (portRef SP (instanceRef FF_78)) + (portRef SP (instanceRef FF_79)) + (portRef SP (instanceRef FF_80)) + (portRef SP (instanceRef FF_81)) + (portRef SP (instanceRef FF_82)) + (portRef SP (instanceRef FF_83)) + (portRef SP (instanceRef FF_84)) + (portRef SP (instanceRef FF_85)) + (portRef SP (instanceRef FF_86)) + (portRef SP (instanceRef FF_87)) + (portRef SP (instanceRef FF_88)) + (portRef SP (instanceRef FF_89)) + (portRef SP (instanceRef FF_90)) + (portRef SP (instanceRef FF_91)) + (portRef SP (instanceRef FF_92)) + (portRef SP (instanceRef FF_93)) + (portRef SP (instanceRef FF_94)) + (portRef SP (instanceRef FF_95)) + (portRef SP (instanceRef FF_96)) + (portRef SP (instanceRef FF_97)) + (portRef SP (instanceRef FF_98)) + (portRef SP (instanceRef FF_99)) + (portRef SP (instanceRef FF_100)) + (portRef SP (instanceRef FF_101)) + (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (net rd_en_i_17 (joined + (portRef (member rd_en_i 0)) + (portRef A (instanceRef AND2_t19)) )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (net invout_0 (joined + (portRef Z (instanceRef INV_0)) + (portRef B (instanceRef AND2_t19)) )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (net rden_i (joined + (portRef Z (instanceRef AND2_t19)) + (portRef B1 (instanceRef empty_cmp_ci_a)) + (portRef A1 (instanceRef empty_cmp_ci_a)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_51)) + (portRef SP (instanceRef FF_52)) + (portRef SP (instanceRef FF_53)) + (portRef SP (instanceRef FF_54)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_57)) + (portRef SP (instanceRef FF_58)) + (portRef SP (instanceRef FF_59)) + (portRef SP (instanceRef FF_60)) + (portRef SP (instanceRef FF_61)) + (portRef SP (instanceRef FF_62)) + (portRef SP (instanceRef FF_63)) + (portRef SP (instanceRef FF_64)) + (portRef SP (instanceRef FF_65)) + (portRef SP (instanceRef FF_66)) + (portRef SP (instanceRef FF_67)) + (portRef SP (instanceRef FF_68)) + (portRef SP (instanceRef FF_69)) + (portRef SP (instanceRef FF_70)) + (portRef SP (instanceRef FF_71)) + (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (net reset_i_rep2_1 (joined + (portRef reset_i_rep2_1) + (portRef B (instanceRef OR2_t18)) + (portRef A (instanceRef OR2_t18)) )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (net rRst (joined + (portRef Z (instanceRef OR2_t18)) + (portRef PD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef PD (instanceRef FF_71)) )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (net wcount_0 (joined + (portRef Q (instanceRef FF_101)) + (portRef A0 (instanceRef full_cmp_0)) + (portRef PC0 (instanceRef w_gctr_0)) + (portRef D (instanceRef FF_81)) + (portRef A (instanceRef XOR2_t17)) )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (net wcount_1 (joined + (portRef Q (instanceRef FF_100)) + (portRef A1 (instanceRef full_cmp_0)) + (portRef PC1 (instanceRef w_gctr_0)) + (portRef D (instanceRef FF_80)) + (portRef A (instanceRef XOR2_t16)) + (portRef B (instanceRef XOR2_t17)) )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (net w_gdata_0 (joined + (portRef Z (instanceRef XOR2_t17)) + (portRef D (instanceRef FF_91)) )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (net wcount_2 (joined + (portRef Q (instanceRef FF_99)) + (portRef A0 (instanceRef full_cmp_1)) + (portRef PC0 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_79)) + (portRef A (instanceRef XOR2_t15)) + (portRef B (instanceRef XOR2_t16)) )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (net w_gdata_1 (joined + (portRef Z (instanceRef XOR2_t16)) + (portRef D (instanceRef FF_90)) )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (net wcount_3 (joined + (portRef Q (instanceRef FF_98)) + (portRef A1 (instanceRef full_cmp_1)) + (portRef PC1 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_78)) + (portRef A (instanceRef XOR2_t14)) + (portRef B (instanceRef XOR2_t15)) )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (net w_gdata_2 (joined + (portRef Z (instanceRef XOR2_t15)) + (portRef D (instanceRef FF_89)) )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (net wcount_4 (joined + (portRef Q (instanceRef FF_97)) + (portRef A0 (instanceRef full_cmp_2)) + (portRef PC0 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_77)) + (portRef A (instanceRef XOR2_t13)) + (portRef B (instanceRef XOR2_t14)) )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (net w_gdata_3 (joined + (portRef Z (instanceRef XOR2_t14)) + (portRef D (instanceRef FF_88)) )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (net wcount_5 (joined + (portRef Q (instanceRef FF_96)) + (portRef A1 (instanceRef full_cmp_2)) + (portRef PC1 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_76)) + (portRef A (instanceRef XOR2_t12)) + (portRef B (instanceRef XOR2_t13)) )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (net w_gdata_4 (joined + (portRef Z (instanceRef XOR2_t13)) + (portRef D (instanceRef FF_87)) )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (net wcount_6 (joined + (portRef Q (instanceRef FF_95)) + (portRef A0 (instanceRef full_cmp_3)) + (portRef PC0 (instanceRef w_gctr_3)) + (portRef D (instanceRef FF_75)) + (portRef A (instanceRef XOR2_t11)) + (portRef B (instanceRef XOR2_t12)) )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (net w_gdata_5 (joined + (portRef Z (instanceRef XOR2_t12)) + (portRef D (instanceRef FF_86)) )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (net wcount_7 (joined + (portRef Q (instanceRef FF_94)) + (portRef A1 (instanceRef full_cmp_3)) + (portRef PC1 (instanceRef w_gctr_3)) + (portRef D (instanceRef FF_74)) + (portRef A (instanceRef XOR2_t10)) + (portRef B (instanceRef XOR2_t11)) )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (net w_gdata_6 (joined + (portRef Z (instanceRef XOR2_t11)) + (portRef D (instanceRef FF_85)) )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - ) - ) - ) - (cell signal_sync_24_3_36 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename lost_hit_cntr "lost_hit_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(599:576)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - ) - (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member lost_hit_cntr 23)) - ) - ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member lost_hit_cntr 22)) - ) - ) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) - )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) - )) - (net VCC (joined - (portRef VCC) - )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member lost_hit_cntr 21)) - ) - ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member lost_hit_cntr 20)) - ) - ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member lost_hit_cntr 19)) - ) - ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member lost_hit_cntr 18)) - ) - ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member lost_hit_cntr 17)) - ) - ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member lost_hit_cntr 16)) - ) - ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member lost_hit_cntr 15)) - ) - ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member lost_hit_cntr 14)) - ) - ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member lost_hit_cntr 13)) - ) - ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member lost_hit_cntr 12)) - ) - ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member lost_hit_cntr 11)) - ) - ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member lost_hit_cntr 10)) - ) - ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member lost_hit_cntr 9)) - ) - ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member lost_hit_cntr 8)) - ) - ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member lost_hit_cntr 7)) - ) - ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member lost_hit_cntr 6)) - ) - ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member lost_hit_cntr 5)) - ) - ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member lost_hit_cntr 4)) - ) - ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member lost_hit_cntr 3)) - ) - ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member lost_hit_cntr 2)) - ) - ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member lost_hit_cntr 1)) - ) - ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member lost_hit_cntr 0)) - ) - ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) - ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) - ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) - ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) - ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) - ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) - ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) - ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) - ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) - ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) - ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) - ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) - ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) - ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) - ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) - ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) - ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) - ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) - ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) - ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) - ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) - ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) - ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) - ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) - ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) - ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) - ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) - ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) - ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) - ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) - ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) - ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) - ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) - ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) - ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) - ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) - ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) - ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) - ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) - ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) - ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) - ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) - ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) - ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) - ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) - ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) - ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) - ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) - ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - ) - ) - ) - (cell edge_to_pulse_0_12 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(0:0)") 1) (direction OUTPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - (port GND (direction INPUT)) - (port G_1690_Q (direction INPUT)) - (port G_1693_Q (direction INPUT)) - (port sync_q_and_11 (direction INPUT)) - (port G_1695_Q (direction INPUT)) - ) - (contents - (instance signal_sync_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C A+C B))")) - ) - (instance current_state_1__fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance signal_sync (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) - (instance current_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance current_state_1 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) - ) - (instance current_statec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (net G_1695_Q (joined - (portRef G_1695_Q) - (portRef A (instanceRef signal_sync_RNO)) - )) - (net sync_q_and_11 (joined - (portRef sync_q_and_11) - (portRef B (instanceRef signal_sync_RNO)) - )) - (net G_1693_Q (joined - (portRef G_1693_Q) - (portRef C (instanceRef signal_sync_RNO)) - )) - (net G_1690_Q (joined - (portRef G_1690_Q) - (portRef D (instanceRef signal_sync_RNO)) - )) - (net sync_q_3 (joined - (portRef Z (instanceRef signal_sync_RNO)) - (portRef D (instanceRef signal_sync)) - )) - (net current_state_1 (joined - (portRef Q (instanceRef current_state_1)) - (portRef A (instanceRef current_statec)) - (portRef A (instanceRef current_state_1__fb)) - )) - (net signal_sync (joined - (portRef Q (instanceRef signal_sync)) - (portRef B (instanceRef current_statec)) - (portRef B (instanceRef current_state_1__fb)) - )) - (net GND (joined - (portRef GND) - )) - (net fb (joined - (portRef Z (instanceRef current_state_1__fb)) - (portRef PD (instanceRef current_state_1)) - )) - (net current_statec (joined - (portRef Z (instanceRef current_statec)) - (portRef D (instanceRef current_state_0)) - )) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef current_state_1)) - (portRef CK (instanceRef current_state_0)) - (portRef CK (instanceRef signal_sync)) - )) - (net channel_debug_01_i_2_0 (joined - (portRef Q (instanceRef current_state_0)) - (portRef D (instanceRef current_state_1)) - (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_2 0)) - )) - (net VCC (joined - (portRef VCC) - )) - ) - ) - ) - (cell FIFO_32x512_OutReg_13 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) - (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) - (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(2:2)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(2:2)") 1) (direction INPUT)) - (port reset_i_17 (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - (port VCC (direction INPUT)) - (port GND (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) - (port fifo_empty_i (direction OUTPUT)) - (port fifo_full_i (direction OUTPUT)) - ) - (contents - (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) - ) - (instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) - ) - (instance AND2_t19 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) - ) - (instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) - ) - (instance OR2_t18 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT))) - ) - (instance XOR2_t17 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t16 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t15 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t14 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t13 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t12 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t11 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t10 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t9 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t8 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t7 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t6 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t5 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t4 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t3 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t2 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t1 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t0 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance LUT4_23 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_22 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_21 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_20 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_19 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_18 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_17 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_16 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_15 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_14 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_13 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_12 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_11 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_10 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_9 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_8 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_7 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_6 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_5 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_4 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_3 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x0410")) - ) - (instance LUT4_2 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x1004")) - ) - (instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x0140")) - ) - (instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x4001")) - ) - (instance pdp_ram_0_0_0 (viewRef PRIM (cellRef PDPW16KC (libraryRef LUCENT))) - (property DATA_WIDTH_W (integer 36)) - (property DATA_WIDTH_R (integer 36)) - (property REGMODE (string "OUTREG")) - (property CSDECODE_W (string "0b001")) - (property CSDECODE_R (string "0b001")) - (property GSR (string "DISABLED")) - (property RESETMODE (string "SYNC")) - (property MEM_INIT_FILE (string "")) - (property MEM_LPC_FILE (string "FIFO_32x512_OutReg.lpc")) - ) - (instance FF_101 (viewRef PRIM (cellRef FD1P3BX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_100 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_99 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_98 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_97 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_96 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_95 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_94 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_93 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_92 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_91 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_90 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_89 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_88 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_87 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_86 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_85 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_84 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_83 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_82 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_81 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_80 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_79 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_78 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_77 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_76 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_75 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_74 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_73 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_72 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_71 (viewRef PRIM (cellRef FD1P3BX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_70 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_69 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_68 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_67 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_66 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_65 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_64 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_63 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_62 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_61 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_60 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_59 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_58 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_57 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_56 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_55 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_54 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_53 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_52 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_51 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_50 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_49 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_48 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_47 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_46 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_45 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_44 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_43 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_42 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_41 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_40 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_39 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_38 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_37 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_36 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_35 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_34 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_33 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_32 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_31 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_30 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_29 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_28 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_27 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_26 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_25 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_24 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_23 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_22 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_21 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_20 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_19 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_18 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_17 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_16 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_15 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_14 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_13 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_12 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_11 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_10 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_9 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_8 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_7 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_6 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_5 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_4 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_3 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_2 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_1 (viewRef PRIM (cellRef FD1S3BX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_0 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance w_gctr_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance w_gctr_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance w_gctr_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance w_gctr_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance w_gctr_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance w_gctr_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance r_gctr_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance r_gctr_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance r_gctr_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance r_gctr_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance r_gctr_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance r_gctr_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance empty_cmp_ci_a (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance a0 (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance full_cmp_ci_a (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance a1 (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance full_cmp_4 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance full_cmp_3 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance full_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance full_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance full_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance empty_cmp_4 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance empty_cmp_3 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_2_2 (joined - (portRef (member channel_debug_01_i_2 0)) - (portRef A (instanceRef AND2_t20)) - )) - (net invout_1 (joined - (portRef Z (instanceRef INV_1)) - (portRef B (instanceRef AND2_t20)) - )) - (net wren_i (joined - (portRef Z (instanceRef AND2_t20)) - (portRef B1 (instanceRef full_cmp_ci_a)) - (portRef A1 (instanceRef full_cmp_ci_a)) - (portRef SP (instanceRef FF_72)) - (portRef SP (instanceRef FF_73)) - (portRef SP (instanceRef FF_74)) - (portRef SP (instanceRef FF_75)) - (portRef SP (instanceRef FF_76)) - (portRef SP (instanceRef FF_77)) - (portRef SP (instanceRef FF_78)) - (portRef SP (instanceRef FF_79)) - (portRef SP (instanceRef FF_80)) - (portRef SP (instanceRef FF_81)) - (portRef SP (instanceRef FF_82)) - (portRef SP (instanceRef FF_83)) - (portRef SP (instanceRef FF_84)) - (portRef SP (instanceRef FF_85)) - (portRef SP (instanceRef FF_86)) - (portRef SP (instanceRef FF_87)) - (portRef SP (instanceRef FF_88)) - (portRef SP (instanceRef FF_89)) - (portRef SP (instanceRef FF_90)) - (portRef SP (instanceRef FF_91)) - (portRef SP (instanceRef FF_92)) - (portRef SP (instanceRef FF_93)) - (portRef SP (instanceRef FF_94)) - (portRef SP (instanceRef FF_95)) - (portRef SP (instanceRef FF_96)) - (portRef SP (instanceRef FF_97)) - (portRef SP (instanceRef FF_98)) - (portRef SP (instanceRef FF_99)) - (portRef SP (instanceRef FF_100)) - (portRef SP (instanceRef FF_101)) - (portRef CEW (instanceRef pdp_ram_0_0_0)) - )) - (net rd_en_i_2 (joined - (portRef (member rd_en_i 0)) - (portRef A (instanceRef AND2_t19)) - )) - (net invout_0 (joined - (portRef Z (instanceRef INV_0)) - (portRef B (instanceRef AND2_t19)) - )) - (net rden_i (joined - (portRef Z (instanceRef AND2_t19)) - (portRef B1 (instanceRef empty_cmp_ci_a)) - (portRef A1 (instanceRef empty_cmp_ci_a)) - (portRef SP (instanceRef FF_42)) - (portRef SP (instanceRef FF_43)) - (portRef SP (instanceRef FF_44)) - (portRef SP (instanceRef FF_45)) - (portRef SP (instanceRef FF_46)) - (portRef SP (instanceRef FF_47)) - (portRef SP (instanceRef FF_48)) - (portRef SP (instanceRef FF_49)) - (portRef SP (instanceRef FF_50)) - (portRef SP (instanceRef FF_51)) - (portRef SP (instanceRef FF_52)) - (portRef SP (instanceRef FF_53)) - (portRef SP (instanceRef FF_54)) - (portRef SP (instanceRef FF_55)) - (portRef SP (instanceRef FF_56)) - (portRef SP (instanceRef FF_57)) - (portRef SP (instanceRef FF_58)) - (portRef SP (instanceRef FF_59)) - (portRef SP (instanceRef FF_60)) - (portRef SP (instanceRef FF_61)) - (portRef SP (instanceRef FF_62)) - (portRef SP (instanceRef FF_63)) - (portRef SP (instanceRef FF_64)) - (portRef SP (instanceRef FF_65)) - (portRef SP (instanceRef FF_66)) - (portRef SP (instanceRef FF_67)) - (portRef SP (instanceRef FF_68)) - (portRef SP (instanceRef FF_69)) - (portRef SP (instanceRef FF_70)) - (portRef SP (instanceRef FF_71)) - (portRef CSR0 (instanceRef pdp_ram_0_0_0)) - )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) - (portRef B (instanceRef OR2_t18)) - (portRef A (instanceRef OR2_t18)) - )) - (net rRst (joined - (portRef Z (instanceRef OR2_t18)) - (portRef PD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef PD (instanceRef FF_71)) - )) - (net wcount_0 (joined - (portRef Q (instanceRef FF_101)) - (portRef A0 (instanceRef full_cmp_0)) - (portRef PC0 (instanceRef w_gctr_0)) - (portRef D (instanceRef FF_81)) - (portRef A (instanceRef XOR2_t17)) - )) - (net wcount_1 (joined - (portRef Q (instanceRef FF_100)) - (portRef A1 (instanceRef full_cmp_0)) - (portRef PC1 (instanceRef w_gctr_0)) - (portRef D (instanceRef FF_80)) - (portRef A (instanceRef XOR2_t16)) - (portRef B (instanceRef XOR2_t17)) - )) - (net w_gdata_0 (joined - (portRef Z (instanceRef XOR2_t17)) - (portRef D (instanceRef FF_91)) - )) - (net wcount_2 (joined - (portRef Q (instanceRef FF_99)) - (portRef A0 (instanceRef full_cmp_1)) - (portRef PC0 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_79)) - (portRef A (instanceRef XOR2_t15)) - (portRef B (instanceRef XOR2_t16)) - )) - (net w_gdata_1 (joined - (portRef Z (instanceRef XOR2_t16)) - (portRef D (instanceRef FF_90)) - )) - (net wcount_3 (joined - (portRef Q (instanceRef FF_98)) - (portRef A1 (instanceRef full_cmp_1)) - (portRef PC1 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_78)) - (portRef A (instanceRef XOR2_t14)) - (portRef B (instanceRef XOR2_t15)) - )) - (net w_gdata_2 (joined - (portRef Z (instanceRef XOR2_t15)) - (portRef D (instanceRef FF_89)) - )) - (net wcount_4 (joined - (portRef Q (instanceRef FF_97)) - (portRef A0 (instanceRef full_cmp_2)) - (portRef PC0 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_77)) - (portRef A (instanceRef XOR2_t13)) - (portRef B (instanceRef XOR2_t14)) - )) - (net w_gdata_3 (joined - (portRef Z (instanceRef XOR2_t14)) - (portRef D (instanceRef FF_88)) - )) - (net wcount_5 (joined - (portRef Q (instanceRef FF_96)) - (portRef A1 (instanceRef full_cmp_2)) - (portRef PC1 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_76)) - (portRef A (instanceRef XOR2_t12)) - (portRef B (instanceRef XOR2_t13)) - )) - (net w_gdata_4 (joined - (portRef Z (instanceRef XOR2_t13)) - (portRef D (instanceRef FF_87)) - )) - (net wcount_6 (joined - (portRef Q (instanceRef FF_95)) - (portRef A0 (instanceRef full_cmp_3)) - (portRef PC0 (instanceRef w_gctr_3)) - (portRef D (instanceRef FF_75)) - (portRef A (instanceRef XOR2_t11)) - (portRef B (instanceRef XOR2_t12)) - )) - (net w_gdata_5 (joined - (portRef Z (instanceRef XOR2_t12)) - (portRef D (instanceRef FF_86)) - )) - (net wcount_7 (joined - (portRef Q (instanceRef FF_94)) - (portRef A1 (instanceRef full_cmp_3)) - (portRef PC1 (instanceRef w_gctr_3)) - (portRef D (instanceRef FF_74)) - (portRef A (instanceRef XOR2_t10)) - (portRef B (instanceRef XOR2_t11)) - )) - (net w_gdata_6 (joined - (portRef Z (instanceRef XOR2_t11)) - (portRef D (instanceRef FF_85)) - )) - (net wcount_8 (joined - (portRef Q (instanceRef FF_93)) - (portRef A0 (instanceRef full_cmp_4)) - (portRef PC0 (instanceRef w_gctr_4)) - (portRef D (instanceRef FF_73)) - (portRef A (instanceRef XOR2_t9)) - (portRef B (instanceRef XOR2_t10)) + (net wcount_8 (joined + (portRef Q (instanceRef FF_93)) + (portRef A0 (instanceRef full_cmp_4)) + (portRef PC0 (instanceRef w_gctr_4)) + (portRef D (instanceRef FF_73)) + (portRef A (instanceRef XOR2_t9)) + (portRef B (instanceRef XOR2_t10)) )) (net w_gdata_7 (joined (portRef Z (instanceRef XOR2_t10)) @@ -383126,10 +380803,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -383418,7 +381094,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -383692,8 +381369,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_17 (joined - (portRef reset_i_17) + (net reset_i_10 (joined + (portRef reset_i_10) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -384051,11 +381728,6 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - (portRef fifo_full_i) - )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -384496,13 +382168,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(2:1)") 2) (direction INOUT)) - (port reset_tdc_94 (direction INPUT)) - (port reset_tdc_fast_36_r3 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_37_r2 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_36_r5 (direction INPUT)) + (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(2:1)") 2) (direction INOUT)) + (port reset_tdc_48 (direction INPUT)) + (port reset_tdc_fast_36_r26 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -384871,7 +382541,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI6V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIS8FR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -384962,16 +382632,16 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -385883,7 +383553,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI6V5Q)) + (portRef A (instanceRef start_reg_RNIS8FR)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -387191,8 +384861,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI6V5Q (joined - (portRef Z (instanceRef start_reg_RNI6V5Q)) + (net start_reg_RNIS8FR (joined + (portRef Z (instanceRef start_reg_RNIS8FR)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -387237,8 +384907,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_2_1 (joined - (portRef (member channel_debug_01_i_2 1)) + (net channel_debug_01_i_17_1 (joined + (portRef (member channel_debug_01_i_17 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -387281,7 +384951,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI6V5Q)) + (portRef B (instanceRef start_reg_RNIS8FR)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -387309,9 +384979,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_2_2 (joined + (net channel_debug_01_i_17_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_2 0)) + (portRef (member channel_debug_01_i_17 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -387874,7 +385544,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI6V5Q)) + (portRef C (instanceRef start_reg_RNIS8FR)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -388003,17 +385673,14 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) - (portRef D (instanceRef proc_finished_3c)) - )) - (net reset_tdc_fast_36_r5 (joined - (portRef reset_tdc_fast_36_r5) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) (net mux_control_6_1_2 (joined @@ -388028,21 +385695,21 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net interval_reg_41_14_am_17_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -389478,10 +387145,11 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r2 (joined - (portRef reset_tdc_fast_37_r2) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) @@ -389489,10 +387157,6 @@ (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -389930,8 +387594,8 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r3 (joined - (portRef reset_tdc_fast_36_r3) + (net reset_tdc_fast_36_r26 (joined + (portRef reset_tdc_fast_36_r26) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -390502,9 +388166,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) - (portRef reset_tdc_94 (instanceRef ROM_Encoder_1)) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) + (portRef reset_tdc_48 (instanceRef ROM_Encoder_1)) )) ) ) @@ -390514,10 +388178,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_94 (direction INPUT)) - (port reset_tdc_93 (direction INPUT)) - (port reset_tdc_92 (direction INPUT)) - (port reset_tdc_91 (direction INPUT)) + (port reset_tdc_48 (direction INPUT)) + (port reset_tdc_47 (direction INPUT)) + (port reset_tdc_46 (direction INPUT)) + (port reset_tdc_45 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -392673,8 +390337,17 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) (portRef CD (instanceRef FF_288)) (portRef CD (instanceRef FF_289)) (portRef CD (instanceRef FF_290)) @@ -392820,8 +390493,90 @@ (portRef S0 (instanceRef GEN_143_ADD)) (portRef D (instanceRef FF_286)) )) - (net reset_tdc_92 (joined - (portRef reset_tdc_92) + (net result_i_286 (joined + (portRef Q (instanceRef FF_286)) + (portRef (member result_i 17)) + )) + (net tsum_285 (joined + (portRef S1 (instanceRef GEN_142_ADD)) + (portRef D (instanceRef FF_285)) + )) + (net result_i_285 (joined + (portRef Q (instanceRef FF_285)) + (portRef (member result_i 18)) + )) + (net tsum_284 (joined + (portRef S0 (instanceRef GEN_142_ADD)) + (portRef D (instanceRef FF_284)) + )) + (net result_i_284 (joined + (portRef Q (instanceRef FF_284)) + (portRef (member result_i 19)) + )) + (net tsum_283 (joined + (portRef S1 (instanceRef GEN_141_ADD)) + (portRef D (instanceRef FF_283)) + )) + (net result_i_283 (joined + (portRef Q (instanceRef FF_283)) + (portRef (member result_i 20)) + )) + (net tsum_282 (joined + (portRef S0 (instanceRef GEN_141_ADD)) + (portRef D (instanceRef FF_282)) + )) + (net result_i_282 (joined + (portRef Q (instanceRef FF_282)) + (portRef (member result_i 21)) + )) + (net tsum_281 (joined + (portRef S1 (instanceRef GEN_140_ADD)) + (portRef D (instanceRef FF_281)) + )) + (net result_i_281 (joined + (portRef Q (instanceRef FF_281)) + (portRef (member result_i 22)) + )) + (net tsum_280 (joined + (portRef S0 (instanceRef GEN_140_ADD)) + (portRef D (instanceRef FF_280)) + )) + (net result_i_280 (joined + (portRef Q (instanceRef FF_280)) + (portRef (member result_i 23)) + )) + (net tsum_279 (joined + (portRef S1 (instanceRef GEN_139_ADD)) + (portRef D (instanceRef FF_279)) + )) + (net result_i_279 (joined + (portRef Q (instanceRef FF_279)) + (portRef (member result_i 24)) + )) + (net tsum_278 (joined + (portRef S0 (instanceRef GEN_139_ADD)) + (portRef D (instanceRef FF_278)) + )) + (net result_i_278 (joined + (portRef Q (instanceRef FF_278)) + (portRef (member result_i 25)) + )) + (net tsum_277 (joined + (portRef S1 (instanceRef GEN_138_ADD)) + (portRef D (instanceRef FF_277)) + )) + (net reset_tdc_46 (joined + (portRef reset_tdc_46) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) (portRef CD (instanceRef FF_189)) (portRef CD (instanceRef FF_190)) (portRef CD (instanceRef FF_191)) @@ -392912,87 +390667,6 @@ (portRef CD (instanceRef FF_276)) (portRef CD (instanceRef FF_277)) (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - )) - (net result_i_286 (joined - (portRef Q (instanceRef FF_286)) - (portRef (member result_i 17)) - )) - (net tsum_285 (joined - (portRef S1 (instanceRef GEN_142_ADD)) - (portRef D (instanceRef FF_285)) - )) - (net result_i_285 (joined - (portRef Q (instanceRef FF_285)) - (portRef (member result_i 18)) - )) - (net tsum_284 (joined - (portRef S0 (instanceRef GEN_142_ADD)) - (portRef D (instanceRef FF_284)) - )) - (net result_i_284 (joined - (portRef Q (instanceRef FF_284)) - (portRef (member result_i 19)) - )) - (net tsum_283 (joined - (portRef S1 (instanceRef GEN_141_ADD)) - (portRef D (instanceRef FF_283)) - )) - (net result_i_283 (joined - (portRef Q (instanceRef FF_283)) - (portRef (member result_i 20)) - )) - (net tsum_282 (joined - (portRef S0 (instanceRef GEN_141_ADD)) - (portRef D (instanceRef FF_282)) - )) - (net result_i_282 (joined - (portRef Q (instanceRef FF_282)) - (portRef (member result_i 21)) - )) - (net tsum_281 (joined - (portRef S1 (instanceRef GEN_140_ADD)) - (portRef D (instanceRef FF_281)) - )) - (net result_i_281 (joined - (portRef Q (instanceRef FF_281)) - (portRef (member result_i 22)) - )) - (net tsum_280 (joined - (portRef S0 (instanceRef GEN_140_ADD)) - (portRef D (instanceRef FF_280)) - )) - (net result_i_280 (joined - (portRef Q (instanceRef FF_280)) - (portRef (member result_i 23)) - )) - (net tsum_279 (joined - (portRef S1 (instanceRef GEN_139_ADD)) - (portRef D (instanceRef FF_279)) - )) - (net result_i_279 (joined - (portRef Q (instanceRef FF_279)) - (portRef (member result_i 24)) - )) - (net tsum_278 (joined - (portRef S0 (instanceRef GEN_139_ADD)) - (portRef D (instanceRef FF_278)) - )) - (net result_i_278 (joined - (portRef Q (instanceRef FF_278)) - (portRef (member result_i 25)) - )) - (net tsum_277 (joined - (portRef S1 (instanceRef GEN_138_ADD)) - (portRef D (instanceRef FF_277)) )) (net result_i_277 (joined (portRef Q (instanceRef FF_277)) @@ -393714,8 +391388,99 @@ (portRef S1 (instanceRef GEN_93_ADD)) (portRef D (instanceRef FF_187)) )) - (net reset_tdc_93 (joined - (portRef reset_tdc_93) + (net result_i_187 (joined + (portRef Q (instanceRef FF_187)) + (portRef (member result_i 116)) + )) + (net tsum_186 (joined + (portRef S0 (instanceRef GEN_93_ADD)) + (portRef D (instanceRef FF_186)) + )) + (net result_i_186 (joined + (portRef Q (instanceRef FF_186)) + (portRef (member result_i 117)) + )) + (net tsum_185 (joined + (portRef S1 (instanceRef GEN_92_ADD)) + (portRef D (instanceRef FF_185)) + )) + (net result_i_185 (joined + (portRef Q (instanceRef FF_185)) + (portRef (member result_i 118)) + )) + (net tsum_184 (joined + (portRef S0 (instanceRef GEN_92_ADD)) + (portRef D (instanceRef FF_184)) + )) + (net result_i_184 (joined + (portRef Q (instanceRef FF_184)) + (portRef (member result_i 119)) + )) + (net tsum_183 (joined + (portRef S1 (instanceRef GEN_91_ADD)) + (portRef D (instanceRef FF_183)) + )) + (net result_i_183 (joined + (portRef Q (instanceRef FF_183)) + (portRef (member result_i 120)) + )) + (net tsum_182 (joined + (portRef S0 (instanceRef GEN_91_ADD)) + (portRef D (instanceRef FF_182)) + )) + (net result_i_182 (joined + (portRef Q (instanceRef FF_182)) + (portRef (member result_i 121)) + )) + (net tsum_181 (joined + (portRef S1 (instanceRef GEN_90_ADD)) + (portRef D (instanceRef FF_181)) + )) + (net result_i_181 (joined + (portRef Q (instanceRef FF_181)) + (portRef (member result_i 122)) + )) + (net tsum_180 (joined + (portRef S0 (instanceRef GEN_90_ADD)) + (portRef D (instanceRef FF_180)) + )) + (net result_i_180 (joined + (portRef Q (instanceRef FF_180)) + (portRef (member result_i 123)) + )) + (net tsum_179 (joined + (portRef S1 (instanceRef GEN_89_ADD)) + (portRef D (instanceRef FF_179)) + )) + (net result_i_179 (joined + (portRef Q (instanceRef FF_179)) + (portRef (member result_i 124)) + )) + (net tsum_178 (joined + (portRef S0 (instanceRef GEN_89_ADD)) + (portRef D (instanceRef FF_178)) + )) + (net result_i_178 (joined + (portRef Q (instanceRef FF_178)) + (portRef (member result_i 125)) + )) + (net tsum_177 (joined + (portRef S1 (instanceRef GEN_88_ADD)) + (portRef D (instanceRef FF_177)) + )) + (net reset_tdc_47 (joined + (portRef reset_tdc_47) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) (portRef CD (instanceRef FF_90)) (portRef CD (instanceRef FF_91)) (portRef CD (instanceRef FF_92)) @@ -393805,96 +391570,6 @@ (portRef CD (instanceRef FF_176)) (portRef CD (instanceRef FF_177)) (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - )) - (net result_i_187 (joined - (portRef Q (instanceRef FF_187)) - (portRef (member result_i 116)) - )) - (net tsum_186 (joined - (portRef S0 (instanceRef GEN_93_ADD)) - (portRef D (instanceRef FF_186)) - )) - (net result_i_186 (joined - (portRef Q (instanceRef FF_186)) - (portRef (member result_i 117)) - )) - (net tsum_185 (joined - (portRef S1 (instanceRef GEN_92_ADD)) - (portRef D (instanceRef FF_185)) - )) - (net result_i_185 (joined - (portRef Q (instanceRef FF_185)) - (portRef (member result_i 118)) - )) - (net tsum_184 (joined - (portRef S0 (instanceRef GEN_92_ADD)) - (portRef D (instanceRef FF_184)) - )) - (net result_i_184 (joined - (portRef Q (instanceRef FF_184)) - (portRef (member result_i 119)) - )) - (net tsum_183 (joined - (portRef S1 (instanceRef GEN_91_ADD)) - (portRef D (instanceRef FF_183)) - )) - (net result_i_183 (joined - (portRef Q (instanceRef FF_183)) - (portRef (member result_i 120)) - )) - (net tsum_182 (joined - (portRef S0 (instanceRef GEN_91_ADD)) - (portRef D (instanceRef FF_182)) - )) - (net result_i_182 (joined - (portRef Q (instanceRef FF_182)) - (portRef (member result_i 121)) - )) - (net tsum_181 (joined - (portRef S1 (instanceRef GEN_90_ADD)) - (portRef D (instanceRef FF_181)) - )) - (net result_i_181 (joined - (portRef Q (instanceRef FF_181)) - (portRef (member result_i 122)) - )) - (net tsum_180 (joined - (portRef S0 (instanceRef GEN_90_ADD)) - (portRef D (instanceRef FF_180)) - )) - (net result_i_180 (joined - (portRef Q (instanceRef FF_180)) - (portRef (member result_i 123)) - )) - (net tsum_179 (joined - (portRef S1 (instanceRef GEN_89_ADD)) - (portRef D (instanceRef FF_179)) - )) - (net result_i_179 (joined - (portRef Q (instanceRef FF_179)) - (portRef (member result_i 124)) - )) - (net tsum_178 (joined - (portRef S0 (instanceRef GEN_89_ADD)) - (portRef D (instanceRef FF_178)) - )) - (net result_i_178 (joined - (portRef Q (instanceRef FF_178)) - (portRef (member result_i 125)) - )) - (net tsum_177 (joined - (portRef S1 (instanceRef GEN_88_ADD)) - (portRef D (instanceRef FF_177)) )) (net result_i_177 (joined (portRef Q (instanceRef FF_177)) @@ -394608,99 +392283,6 @@ (portRef S0 (instanceRef GEN_44_ADD)) (portRef D (instanceRef FF_88)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - )) (net result_i_88 (joined (portRef Q (instanceRef FF_88)) (portRef (member result_i 215)) @@ -394789,6 +392371,88 @@ (portRef S1 (instanceRef GEN_38_ADD)) (portRef D (instanceRef FF_77)) )) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + )) (net result_i_77 (joined (portRef Q (instanceRef FF_77)) (portRef (member result_i 226)) @@ -396331,10 +393995,2320 @@ ) ) ) + (cell signal_sync_24_3_35 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename measurement_cntr "measurement_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(631:608)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member measurement_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member measurement_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) + )) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member measurement_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member measurement_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member measurement_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member measurement_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member measurement_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member measurement_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member measurement_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member measurement_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member measurement_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member measurement_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member measurement_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member measurement_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member measurement_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member measurement_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member measurement_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member measurement_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member measurement_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member measurement_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member measurement_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member measurement_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member measurement_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member measurement_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + )) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + )) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + )) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + )) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + )) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + )) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + )) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + )) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + )) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + )) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + )) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + )) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + )) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + )) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + )) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + )) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + )) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + )) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + )) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + )) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + )) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + )) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + )) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + ) + ) + ) + (cell signal_sync_24_3_34 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename encoder_start_cntr "encoder_start_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(663:640)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member encoder_start_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member encoder_start_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) + )) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member encoder_start_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member encoder_start_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member encoder_start_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member encoder_start_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member encoder_start_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member encoder_start_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member encoder_start_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member encoder_start_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member encoder_start_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member encoder_start_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member encoder_start_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member encoder_start_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member encoder_start_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member encoder_start_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member encoder_start_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member encoder_start_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member encoder_start_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member encoder_start_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member encoder_start_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member encoder_start_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member encoder_start_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member encoder_start_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + )) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + )) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + )) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + )) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + )) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + )) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + )) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + )) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + )) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + )) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + )) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + )) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + )) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + )) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + )) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + )) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + )) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + )) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + )) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + )) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + )) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + )) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + )) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + ) + ) + ) + (cell signal_sync_24_3_33 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename lost_hit_cntr "lost_hit_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(599:576)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member lost_hit_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member lost_hit_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) + )) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member lost_hit_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member lost_hit_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member lost_hit_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member lost_hit_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member lost_hit_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member lost_hit_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member lost_hit_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member lost_hit_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member lost_hit_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member lost_hit_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member lost_hit_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member lost_hit_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member lost_hit_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member lost_hit_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member lost_hit_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member lost_hit_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member lost_hit_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member lost_hit_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member lost_hit_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member lost_hit_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member lost_hit_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member lost_hit_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + )) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + )) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + )) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + )) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + )) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + )) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + )) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + )) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + )) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + )) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + )) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + )) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + )) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + )) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + )) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + )) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + )) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + )) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + )) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + )) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + )) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + )) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + )) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + ) + ) + ) (cell edge_to_pulse_0_11 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -396406,11 +396380,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_17_0 (joined + (net channel_debug_01_i_2_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_17 0)) + (portRef (member channel_debug_01_i_2 0)) )) (net VCC (joined (portRef VCC) @@ -396424,15 +396398,16 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(17:17)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(2:2)") 1) (direction INPUT)) - (port reset_i_9 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(2:2)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(2:2)") 1) (direction INPUT)) + (port reset_i_18 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -396912,8 +396887,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_17_2 (joined - (portRef (member channel_debug_01_i_17 0)) + (net channel_debug_01_i_2_2 (joined + (portRef (member channel_debug_01_i_2 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -396956,11 +396931,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_17 (joined + (net rd_en_i_2 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -397396,9 +397367,10 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -397687,8 +397659,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -397962,8 +397933,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_9 (joined - (portRef reset_i_9) + (net reset_i_18 (joined + (portRef reset_i_18) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -398321,6 +398292,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -398761,11 +398737,14 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(2:1)") 2) (direction INOUT)) - (port reset_tdc_48 (direction INPUT)) - (port reset_tdc_fast_36_r26 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(2:1)") 2) (direction INOUT)) + (port reset_tdc_94 (direction INPUT)) + (port reset_tdc_fast_36_r2 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_37_r3 (direction INPUT)) + (port reset_tdc_fast_37_r2 (direction INPUT)) + (port reset_tdc_fast_36_r3 (direction INPUT)) + (port reset_tdc_fast_36_r5 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -399134,7 +399113,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIS8FR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI6V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399179,10 +399158,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399204,16 +399183,16 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399225,16 +399204,16 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399468,13 +399447,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399688,10 +399664,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399752,7 +399728,10 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -400146,7 +400125,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIS8FR)) + (portRef A (instanceRef start_reg_RNI6V5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -400358,8 +400337,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -400387,8 +400366,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -400411,8 +400390,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -400540,8 +400519,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_RNO_0)) + (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -400573,8 +400552,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -400639,8 +400618,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -401454,8 +401433,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIS8FR (joined - (portRef Z (instanceRef start_reg_RNIS8FR)) + (net start_reg_RNI6V5Q (joined + (portRef Z (instanceRef start_reg_RNI6V5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -401500,8 +401479,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_17_1 (joined - (portRef (member channel_debug_01_i_17 1)) + (net channel_debug_01_i_2_1 (joined + (portRef (member channel_debug_01_i_2 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -401544,7 +401523,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIS8FR)) + (portRef B (instanceRef start_reg_RNI6V5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -401572,9 +401551,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_17_2 (joined + (net channel_debug_01_i_2_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_17 0)) + (portRef (member channel_debug_01_i_2 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -401730,8 +401709,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -401985,8 +401964,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -402137,7 +402116,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIS8FR)) + (portRef C (instanceRef start_reg_RNI6V5Q)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -402164,8 +402143,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -402236,14 +402215,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_18_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -402266,15 +402245,12 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) + (net reset_tdc_fast_36_r5 (joined + (portRef reset_tdc_fast_36_r5) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) + (portRef D (instanceRef proc_finished_3c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -402288,22 +402264,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) (net interval_reg_41_14_am_18_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -403035,6 +403011,11 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net reset_tdc_fast_36_r3 (joined + (portRef reset_tdc_fast_36_r3) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_finished_2c)) + )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -403730,26 +403711,33 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) + (net reset_tdc_fast_37_r2 (joined + (portRef reset_tdc_fast_37_r2) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) + (net reset_tdc_fast_37_r3 (joined + (portRef reset_tdc_fast_37_r3) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + )) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -404187,8 +404175,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r26 (joined - (portRef reset_tdc_fast_36_r26) + (net reset_tdc_fast_36_r2 (joined + (portRef reset_tdc_fast_36_r2) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -404759,9 +404747,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) - (portRef reset_tdc_48 (instanceRef ROM_Encoder_1)) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) + (portRef reset_tdc_94 (instanceRef ROM_Encoder_1)) )) ) ) @@ -404771,10 +404759,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_48 (direction INPUT)) - (port reset_tdc_47 (direction INPUT)) - (port reset_tdc_46 (direction INPUT)) - (port reset_tdc_45 (direction INPUT)) + (port reset_tdc_94 (direction INPUT)) + (port reset_tdc_93 (direction INPUT)) + (port reset_tdc_92 (direction INPUT)) + (port reset_tdc_91 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -406930,17 +406918,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) (portRef CD (instanceRef FF_288)) (portRef CD (instanceRef FF_289)) (portRef CD (instanceRef FF_290)) @@ -407086,90 +407065,8 @@ (portRef S0 (instanceRef GEN_143_ADD)) (portRef D (instanceRef FF_286)) )) - (net result_i_286 (joined - (portRef Q (instanceRef FF_286)) - (portRef (member result_i 17)) - )) - (net tsum_285 (joined - (portRef S1 (instanceRef GEN_142_ADD)) - (portRef D (instanceRef FF_285)) - )) - (net result_i_285 (joined - (portRef Q (instanceRef FF_285)) - (portRef (member result_i 18)) - )) - (net tsum_284 (joined - (portRef S0 (instanceRef GEN_142_ADD)) - (portRef D (instanceRef FF_284)) - )) - (net result_i_284 (joined - (portRef Q (instanceRef FF_284)) - (portRef (member result_i 19)) - )) - (net tsum_283 (joined - (portRef S1 (instanceRef GEN_141_ADD)) - (portRef D (instanceRef FF_283)) - )) - (net result_i_283 (joined - (portRef Q (instanceRef FF_283)) - (portRef (member result_i 20)) - )) - (net tsum_282 (joined - (portRef S0 (instanceRef GEN_141_ADD)) - (portRef D (instanceRef FF_282)) - )) - (net result_i_282 (joined - (portRef Q (instanceRef FF_282)) - (portRef (member result_i 21)) - )) - (net tsum_281 (joined - (portRef S1 (instanceRef GEN_140_ADD)) - (portRef D (instanceRef FF_281)) - )) - (net result_i_281 (joined - (portRef Q (instanceRef FF_281)) - (portRef (member result_i 22)) - )) - (net tsum_280 (joined - (portRef S0 (instanceRef GEN_140_ADD)) - (portRef D (instanceRef FF_280)) - )) - (net result_i_280 (joined - (portRef Q (instanceRef FF_280)) - (portRef (member result_i 23)) - )) - (net tsum_279 (joined - (portRef S1 (instanceRef GEN_139_ADD)) - (portRef D (instanceRef FF_279)) - )) - (net result_i_279 (joined - (portRef Q (instanceRef FF_279)) - (portRef (member result_i 24)) - )) - (net tsum_278 (joined - (portRef S0 (instanceRef GEN_139_ADD)) - (portRef D (instanceRef FF_278)) - )) - (net result_i_278 (joined - (portRef Q (instanceRef FF_278)) - (portRef (member result_i 25)) - )) - (net tsum_277 (joined - (portRef S1 (instanceRef GEN_138_ADD)) - (portRef D (instanceRef FF_277)) - )) - (net reset_tdc_46 (joined - (portRef reset_tdc_46) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) + (net reset_tdc_92 (joined + (portRef reset_tdc_92) (portRef CD (instanceRef FF_189)) (portRef CD (instanceRef FF_190)) (portRef CD (instanceRef FF_191)) @@ -407260,6 +407157,87 @@ (portRef CD (instanceRef FF_276)) (portRef CD (instanceRef FF_277)) (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + )) + (net result_i_286 (joined + (portRef Q (instanceRef FF_286)) + (portRef (member result_i 17)) + )) + (net tsum_285 (joined + (portRef S1 (instanceRef GEN_142_ADD)) + (portRef D (instanceRef FF_285)) + )) + (net result_i_285 (joined + (portRef Q (instanceRef FF_285)) + (portRef (member result_i 18)) + )) + (net tsum_284 (joined + (portRef S0 (instanceRef GEN_142_ADD)) + (portRef D (instanceRef FF_284)) + )) + (net result_i_284 (joined + (portRef Q (instanceRef FF_284)) + (portRef (member result_i 19)) + )) + (net tsum_283 (joined + (portRef S1 (instanceRef GEN_141_ADD)) + (portRef D (instanceRef FF_283)) + )) + (net result_i_283 (joined + (portRef Q (instanceRef FF_283)) + (portRef (member result_i 20)) + )) + (net tsum_282 (joined + (portRef S0 (instanceRef GEN_141_ADD)) + (portRef D (instanceRef FF_282)) + )) + (net result_i_282 (joined + (portRef Q (instanceRef FF_282)) + (portRef (member result_i 21)) + )) + (net tsum_281 (joined + (portRef S1 (instanceRef GEN_140_ADD)) + (portRef D (instanceRef FF_281)) + )) + (net result_i_281 (joined + (portRef Q (instanceRef FF_281)) + (portRef (member result_i 22)) + )) + (net tsum_280 (joined + (portRef S0 (instanceRef GEN_140_ADD)) + (portRef D (instanceRef FF_280)) + )) + (net result_i_280 (joined + (portRef Q (instanceRef FF_280)) + (portRef (member result_i 23)) + )) + (net tsum_279 (joined + (portRef S1 (instanceRef GEN_139_ADD)) + (portRef D (instanceRef FF_279)) + )) + (net result_i_279 (joined + (portRef Q (instanceRef FF_279)) + (portRef (member result_i 24)) + )) + (net tsum_278 (joined + (portRef S0 (instanceRef GEN_139_ADD)) + (portRef D (instanceRef FF_278)) + )) + (net result_i_278 (joined + (portRef Q (instanceRef FF_278)) + (portRef (member result_i 25)) + )) + (net tsum_277 (joined + (portRef S1 (instanceRef GEN_138_ADD)) + (portRef D (instanceRef FF_277)) )) (net result_i_277 (joined (portRef Q (instanceRef FF_277)) @@ -407981,99 +407959,8 @@ (portRef S1 (instanceRef GEN_93_ADD)) (portRef D (instanceRef FF_187)) )) - (net result_i_187 (joined - (portRef Q (instanceRef FF_187)) - (portRef (member result_i 116)) - )) - (net tsum_186 (joined - (portRef S0 (instanceRef GEN_93_ADD)) - (portRef D (instanceRef FF_186)) - )) - (net result_i_186 (joined - (portRef Q (instanceRef FF_186)) - (portRef (member result_i 117)) - )) - (net tsum_185 (joined - (portRef S1 (instanceRef GEN_92_ADD)) - (portRef D (instanceRef FF_185)) - )) - (net result_i_185 (joined - (portRef Q (instanceRef FF_185)) - (portRef (member result_i 118)) - )) - (net tsum_184 (joined - (portRef S0 (instanceRef GEN_92_ADD)) - (portRef D (instanceRef FF_184)) - )) - (net result_i_184 (joined - (portRef Q (instanceRef FF_184)) - (portRef (member result_i 119)) - )) - (net tsum_183 (joined - (portRef S1 (instanceRef GEN_91_ADD)) - (portRef D (instanceRef FF_183)) - )) - (net result_i_183 (joined - (portRef Q (instanceRef FF_183)) - (portRef (member result_i 120)) - )) - (net tsum_182 (joined - (portRef S0 (instanceRef GEN_91_ADD)) - (portRef D (instanceRef FF_182)) - )) - (net result_i_182 (joined - (portRef Q (instanceRef FF_182)) - (portRef (member result_i 121)) - )) - (net tsum_181 (joined - (portRef S1 (instanceRef GEN_90_ADD)) - (portRef D (instanceRef FF_181)) - )) - (net result_i_181 (joined - (portRef Q (instanceRef FF_181)) - (portRef (member result_i 122)) - )) - (net tsum_180 (joined - (portRef S0 (instanceRef GEN_90_ADD)) - (portRef D (instanceRef FF_180)) - )) - (net result_i_180 (joined - (portRef Q (instanceRef FF_180)) - (portRef (member result_i 123)) - )) - (net tsum_179 (joined - (portRef S1 (instanceRef GEN_89_ADD)) - (portRef D (instanceRef FF_179)) - )) - (net result_i_179 (joined - (portRef Q (instanceRef FF_179)) - (portRef (member result_i 124)) - )) - (net tsum_178 (joined - (portRef S0 (instanceRef GEN_89_ADD)) - (portRef D (instanceRef FF_178)) - )) - (net result_i_178 (joined - (portRef Q (instanceRef FF_178)) - (portRef (member result_i 125)) - )) - (net tsum_177 (joined - (portRef S1 (instanceRef GEN_88_ADD)) - (portRef D (instanceRef FF_177)) - )) - (net reset_tdc_47 (joined - (portRef reset_tdc_47) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) + (net reset_tdc_93 (joined + (portRef reset_tdc_93) (portRef CD (instanceRef FF_90)) (portRef CD (instanceRef FF_91)) (portRef CD (instanceRef FF_92)) @@ -408163,6 +408050,96 @@ (portRef CD (instanceRef FF_176)) (portRef CD (instanceRef FF_177)) (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + )) + (net result_i_187 (joined + (portRef Q (instanceRef FF_187)) + (portRef (member result_i 116)) + )) + (net tsum_186 (joined + (portRef S0 (instanceRef GEN_93_ADD)) + (portRef D (instanceRef FF_186)) + )) + (net result_i_186 (joined + (portRef Q (instanceRef FF_186)) + (portRef (member result_i 117)) + )) + (net tsum_185 (joined + (portRef S1 (instanceRef GEN_92_ADD)) + (portRef D (instanceRef FF_185)) + )) + (net result_i_185 (joined + (portRef Q (instanceRef FF_185)) + (portRef (member result_i 118)) + )) + (net tsum_184 (joined + (portRef S0 (instanceRef GEN_92_ADD)) + (portRef D (instanceRef FF_184)) + )) + (net result_i_184 (joined + (portRef Q (instanceRef FF_184)) + (portRef (member result_i 119)) + )) + (net tsum_183 (joined + (portRef S1 (instanceRef GEN_91_ADD)) + (portRef D (instanceRef FF_183)) + )) + (net result_i_183 (joined + (portRef Q (instanceRef FF_183)) + (portRef (member result_i 120)) + )) + (net tsum_182 (joined + (portRef S0 (instanceRef GEN_91_ADD)) + (portRef D (instanceRef FF_182)) + )) + (net result_i_182 (joined + (portRef Q (instanceRef FF_182)) + (portRef (member result_i 121)) + )) + (net tsum_181 (joined + (portRef S1 (instanceRef GEN_90_ADD)) + (portRef D (instanceRef FF_181)) + )) + (net result_i_181 (joined + (portRef Q (instanceRef FF_181)) + (portRef (member result_i 122)) + )) + (net tsum_180 (joined + (portRef S0 (instanceRef GEN_90_ADD)) + (portRef D (instanceRef FF_180)) + )) + (net result_i_180 (joined + (portRef Q (instanceRef FF_180)) + (portRef (member result_i 123)) + )) + (net tsum_179 (joined + (portRef S1 (instanceRef GEN_89_ADD)) + (portRef D (instanceRef FF_179)) + )) + (net result_i_179 (joined + (portRef Q (instanceRef FF_179)) + (portRef (member result_i 124)) + )) + (net tsum_178 (joined + (portRef S0 (instanceRef GEN_89_ADD)) + (portRef D (instanceRef FF_178)) + )) + (net result_i_178 (joined + (portRef Q (instanceRef FF_178)) + (portRef (member result_i 125)) + )) + (net tsum_177 (joined + (portRef S1 (instanceRef GEN_88_ADD)) + (portRef D (instanceRef FF_177)) )) (net result_i_177 (joined (portRef Q (instanceRef FF_177)) @@ -408876,6 +408853,99 @@ (portRef S0 (instanceRef GEN_44_ADD)) (portRef D (instanceRef FF_88)) )) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + )) (net result_i_88 (joined (portRef Q (instanceRef FF_88)) (portRef (member result_i 215)) @@ -408964,88 +409034,6 @@ (portRef S1 (instanceRef GEN_38_ADD)) (portRef D (instanceRef FF_77)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - )) (net result_i_77 (joined (portRef Q (instanceRef FF_77)) (portRef (member result_i 226)) @@ -410591,7 +410579,7 @@ (cell edge_to_pulse_0_10 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -410663,11 +410651,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_5_0 (joined + (net channel_debug_01_i_7_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_5 0)) + (portRef (member channel_debug_01_i_7 0)) )) (net VCC (joined (portRef VCC) @@ -410681,8 +410669,8 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(5:5)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(2:2)") 1) (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(7:7)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(2:2)") 1) (direction INPUT)) (port reset_i_16 (direction INPUT)) (port reset_i_15 (direction INPUT)) (port clk_100_i_c (direction INPUT)) @@ -411171,8 +411159,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_5_2 (joined - (portRef (member channel_debug_01_i_5 0)) + (net channel_debug_01_i_7_2 (joined + (portRef (member channel_debug_01_i_7 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -411215,7 +411203,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net rd_en_i_5 (joined + (net rd_en_i_7 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -411653,7 +411641,6 @@ (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -411943,6 +411930,7 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -412219,6 +412207,14 @@ )) (net reset_i_15 (joined (portRef reset_i_15) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) (portRef CD (instanceRef FF_79)) (portRef CD (instanceRef FF_80)) (portRef CD (instanceRef FF_81)) @@ -412320,37 +412316,6 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) - (net reset_i_16 (joined - (portRef reset_i_16) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -412494,6 +412459,29 @@ (portRef Q (instanceRef FF_40)) (portRef D (instanceRef FF_20)) )) + (net reset_i_16 (joined + (portRef reset_i_16) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + )) (net w_gcount_r2 (joined (portRef Q (instanceRef FF_39)) (portRef D (instanceRef FF_19)) @@ -413024,13 +413012,12 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(2:1)") 2) (direction INOUT)) - (port reset_tdc_85 (direction INPUT)) - (port reset_tdc_fast_36_r8 (direction INPUT)) - (port reset_tdc_fast_37_r5 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_36_r11 (direction INPUT)) + (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(2:1)") 2) (direction INOUT)) + (port reset_tdc_79 (direction INPUT)) + (port reset_tdc_fast_36_r12 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) + (port reset_tdc_fast_37_r7 (direction INPUT)) + (port reset_tdc_fast_36_r13 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -413399,7 +413386,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNICV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIGV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -413493,10 +413480,10 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -413733,12 +413720,18 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -414014,12 +414007,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -414411,7 +414398,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNICV5Q)) + (portRef A (instanceRef start_reg_RNIGV5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -414623,8 +414610,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -414676,8 +414663,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -414805,8 +414792,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_RNO_0)) + (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -414904,8 +414891,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -415719,8 +415706,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNICV5Q (joined - (portRef Z (instanceRef start_reg_RNICV5Q)) + (net start_reg_RNIGV5Q (joined + (portRef Z (instanceRef start_reg_RNIGV5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -415765,8 +415752,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_5_1 (joined - (portRef (member channel_debug_01_i_5 1)) + (net channel_debug_01_i_7_1 (joined + (portRef (member channel_debug_01_i_7 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -415809,7 +415796,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNICV5Q)) + (portRef B (instanceRef start_reg_RNIGV5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -415837,9 +415824,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_5_2 (joined + (net channel_debug_01_i_7_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_5 0)) + (portRef (member channel_debug_01_i_7 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -416402,7 +416389,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNICV5Q)) + (portRef C (instanceRef start_reg_RNIGV5Q)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -416531,10 +416518,14 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r11 (joined - (portRef reset_tdc_fast_36_r11) + (net reset_tdc_fast_36_r13 (joined + (portRef reset_tdc_fast_36_r13) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) + (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) @@ -416554,14 +416545,14 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) - )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) @@ -417297,11 +417288,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_finished_2c)) - )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -418005,16 +417991,19 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r5 (joined - (portRef reset_tdc_fast_37_r5) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) + (net reset_tdc_fast_37_r7 (joined + (portRef reset_tdc_fast_37_r7) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + )) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -418128,11 +418117,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_61_7)) (portRef D1 (instanceRef Interval_Selection_interval_reg_41_62_7)) )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - )) (net mux_control_6_0_10_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -418458,8 +418442,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r8 (joined - (portRef reset_tdc_fast_36_r8) + (net reset_tdc_fast_36_r12 (joined + (portRef reset_tdc_fast_36_r12) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -419030,9 +419014,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) - (portRef reset_tdc_85 (instanceRef ROM_Encoder_1)) + (net reset_tdc_79 (joined + (portRef reset_tdc_79) + (portRef reset_tdc_79 (instanceRef ROM_Encoder_1)) )) ) ) @@ -419042,10 +419026,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_85 (direction INPUT)) - (port reset_tdc_84 (direction INPUT)) - (port reset_tdc_83 (direction INPUT)) - (port reset_tdc_82 (direction INPUT)) + (port reset_tdc_79 (direction INPUT)) + (port reset_tdc_78 (direction INPUT)) + (port reset_tdc_77 (direction INPUT)) + (port reset_tdc_76 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -421201,8 +421185,24 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_82 (joined - (portRef reset_tdc_82) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) (portRef CD (instanceRef FF_264)) (portRef CD (instanceRef FF_265)) (portRef CD (instanceRef FF_266)) @@ -421564,8 +421564,152 @@ (portRef S0 (instanceRef GEN_131_ADD)) (portRef D (instanceRef FF_262)) )) - (net reset_tdc_83 (joined - (portRef reset_tdc_83) + (net result_i_262 (joined + (portRef Q (instanceRef FF_262)) + (portRef (member result_i 41)) + )) + (net tsum_261 (joined + (portRef S1 (instanceRef GEN_130_ADD)) + (portRef D (instanceRef FF_261)) + )) + (net result_i_261 (joined + (portRef Q (instanceRef FF_261)) + (portRef (member result_i 42)) + )) + (net tsum_260 (joined + (portRef S0 (instanceRef GEN_130_ADD)) + (portRef D (instanceRef FF_260)) + )) + (net result_i_260 (joined + (portRef Q (instanceRef FF_260)) + (portRef (member result_i 43)) + )) + (net tsum_259 (joined + (portRef S1 (instanceRef GEN_129_ADD)) + (portRef D (instanceRef FF_259)) + )) + (net result_i_259 (joined + (portRef Q (instanceRef FF_259)) + (portRef (member result_i 44)) + )) + (net tsum_258 (joined + (portRef S0 (instanceRef GEN_129_ADD)) + (portRef D (instanceRef FF_258)) + )) + (net result_i_258 (joined + (portRef Q (instanceRef FF_258)) + (portRef (member result_i 45)) + )) + (net tsum_257 (joined + (portRef S1 (instanceRef GEN_128_ADD)) + (portRef D (instanceRef FF_257)) + )) + (net result_i_257 (joined + (portRef Q (instanceRef FF_257)) + (portRef (member result_i 46)) + )) + (net tsum_256 (joined + (portRef S0 (instanceRef GEN_128_ADD)) + (portRef D (instanceRef FF_256)) + )) + (net result_i_256 (joined + (portRef Q (instanceRef FF_256)) + (portRef (member result_i 47)) + )) + (net tsum_255 (joined + (portRef S1 (instanceRef GEN_127_ADD)) + (portRef D (instanceRef FF_255)) + )) + (net result_i_255 (joined + (portRef Q (instanceRef FF_255)) + (portRef (member result_i 48)) + )) + (net tsum_254 (joined + (portRef S0 (instanceRef GEN_127_ADD)) + (portRef D (instanceRef FF_254)) + )) + (net result_i_254 (joined + (portRef Q (instanceRef FF_254)) + (portRef (member result_i 49)) + )) + (net tsum_253 (joined + (portRef S1 (instanceRef GEN_126_ADD)) + (portRef D (instanceRef FF_253)) + )) + (net result_i_253 (joined + (portRef Q (instanceRef FF_253)) + (portRef (member result_i 50)) + )) + (net tsum_252 (joined + (portRef S0 (instanceRef GEN_126_ADD)) + (portRef D (instanceRef FF_252)) + )) + (net result_i_252 (joined + (portRef Q (instanceRef FF_252)) + (portRef (member result_i 51)) + )) + (net tsum_251 (joined + (portRef S1 (instanceRef GEN_125_ADD)) + (portRef D (instanceRef FF_251)) + )) + (net result_i_251 (joined + (portRef Q (instanceRef FF_251)) + (portRef (member result_i 52)) + )) + (net tsum_250 (joined + (portRef S0 (instanceRef GEN_125_ADD)) + (portRef D (instanceRef FF_250)) + )) + (net result_i_250 (joined + (portRef Q (instanceRef FF_250)) + (portRef (member result_i 53)) + )) + (net tsum_249 (joined + (portRef S1 (instanceRef GEN_124_ADD)) + (portRef D (instanceRef FF_249)) + )) + (net result_i_249 (joined + (portRef Q (instanceRef FF_249)) + (portRef (member result_i 54)) + )) + (net tsum_248 (joined + (portRef S0 (instanceRef GEN_124_ADD)) + (portRef D (instanceRef FF_248)) + )) + (net result_i_248 (joined + (portRef Q (instanceRef FF_248)) + (portRef (member result_i 55)) + )) + (net tsum_247 (joined + (portRef S1 (instanceRef GEN_123_ADD)) + (portRef D (instanceRef FF_247)) + )) + (net result_i_247 (joined + (portRef Q (instanceRef FF_247)) + (portRef (member result_i 56)) + )) + (net tsum_246 (joined + (portRef S0 (instanceRef GEN_123_ADD)) + (portRef D (instanceRef FF_246)) + )) + (net reset_tdc_77 (joined + (portRef reset_tdc_77) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) (portRef CD (instanceRef FF_165)) (portRef CD (instanceRef FF_166)) (portRef CD (instanceRef FF_167)) @@ -421649,150 +421793,6 @@ (portRef CD (instanceRef FF_245)) (portRef CD (instanceRef FF_246)) (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - )) - (net result_i_262 (joined - (portRef Q (instanceRef FF_262)) - (portRef (member result_i 41)) - )) - (net tsum_261 (joined - (portRef S1 (instanceRef GEN_130_ADD)) - (portRef D (instanceRef FF_261)) - )) - (net result_i_261 (joined - (portRef Q (instanceRef FF_261)) - (portRef (member result_i 42)) - )) - (net tsum_260 (joined - (portRef S0 (instanceRef GEN_130_ADD)) - (portRef D (instanceRef FF_260)) - )) - (net result_i_260 (joined - (portRef Q (instanceRef FF_260)) - (portRef (member result_i 43)) - )) - (net tsum_259 (joined - (portRef S1 (instanceRef GEN_129_ADD)) - (portRef D (instanceRef FF_259)) - )) - (net result_i_259 (joined - (portRef Q (instanceRef FF_259)) - (portRef (member result_i 44)) - )) - (net tsum_258 (joined - (portRef S0 (instanceRef GEN_129_ADD)) - (portRef D (instanceRef FF_258)) - )) - (net result_i_258 (joined - (portRef Q (instanceRef FF_258)) - (portRef (member result_i 45)) - )) - (net tsum_257 (joined - (portRef S1 (instanceRef GEN_128_ADD)) - (portRef D (instanceRef FF_257)) - )) - (net result_i_257 (joined - (portRef Q (instanceRef FF_257)) - (portRef (member result_i 46)) - )) - (net tsum_256 (joined - (portRef S0 (instanceRef GEN_128_ADD)) - (portRef D (instanceRef FF_256)) - )) - (net result_i_256 (joined - (portRef Q (instanceRef FF_256)) - (portRef (member result_i 47)) - )) - (net tsum_255 (joined - (portRef S1 (instanceRef GEN_127_ADD)) - (portRef D (instanceRef FF_255)) - )) - (net result_i_255 (joined - (portRef Q (instanceRef FF_255)) - (portRef (member result_i 48)) - )) - (net tsum_254 (joined - (portRef S0 (instanceRef GEN_127_ADD)) - (portRef D (instanceRef FF_254)) - )) - (net result_i_254 (joined - (portRef Q (instanceRef FF_254)) - (portRef (member result_i 49)) - )) - (net tsum_253 (joined - (portRef S1 (instanceRef GEN_126_ADD)) - (portRef D (instanceRef FF_253)) - )) - (net result_i_253 (joined - (portRef Q (instanceRef FF_253)) - (portRef (member result_i 50)) - )) - (net tsum_252 (joined - (portRef S0 (instanceRef GEN_126_ADD)) - (portRef D (instanceRef FF_252)) - )) - (net result_i_252 (joined - (portRef Q (instanceRef FF_252)) - (portRef (member result_i 51)) - )) - (net tsum_251 (joined - (portRef S1 (instanceRef GEN_125_ADD)) - (portRef D (instanceRef FF_251)) - )) - (net result_i_251 (joined - (portRef Q (instanceRef FF_251)) - (portRef (member result_i 52)) - )) - (net tsum_250 (joined - (portRef S0 (instanceRef GEN_125_ADD)) - (portRef D (instanceRef FF_250)) - )) - (net result_i_250 (joined - (portRef Q (instanceRef FF_250)) - (portRef (member result_i 53)) - )) - (net tsum_249 (joined - (portRef S1 (instanceRef GEN_124_ADD)) - (portRef D (instanceRef FF_249)) - )) - (net result_i_249 (joined - (portRef Q (instanceRef FF_249)) - (portRef (member result_i 54)) - )) - (net tsum_248 (joined - (portRef S0 (instanceRef GEN_124_ADD)) - (portRef D (instanceRef FF_248)) - )) - (net result_i_248 (joined - (portRef Q (instanceRef FF_248)) - (portRef (member result_i 55)) - )) - (net tsum_247 (joined - (portRef S1 (instanceRef GEN_123_ADD)) - (portRef D (instanceRef FF_247)) - )) - (net result_i_247 (joined - (portRef Q (instanceRef FF_247)) - (portRef (member result_i 56)) - )) - (net tsum_246 (joined - (portRef S0 (instanceRef GEN_123_ADD)) - (portRef D (instanceRef FF_246)) )) (net result_i_246 (joined (portRef Q (instanceRef FF_246)) @@ -422458,8 +422458,152 @@ (portRef S1 (instanceRef GEN_81_ADD)) (portRef D (instanceRef FF_163)) )) - (net reset_tdc_84 (joined - (portRef reset_tdc_84) + (net result_i_163 (joined + (portRef Q (instanceRef FF_163)) + (portRef (member result_i 140)) + )) + (net tsum_162 (joined + (portRef S0 (instanceRef GEN_81_ADD)) + (portRef D (instanceRef FF_162)) + )) + (net result_i_162 (joined + (portRef Q (instanceRef FF_162)) + (portRef (member result_i 141)) + )) + (net tsum_161 (joined + (portRef S1 (instanceRef GEN_80_ADD)) + (portRef D (instanceRef FF_161)) + )) + (net result_i_161 (joined + (portRef Q (instanceRef FF_161)) + (portRef (member result_i 142)) + )) + (net tsum_160 (joined + (portRef S0 (instanceRef GEN_80_ADD)) + (portRef D (instanceRef FF_160)) + )) + (net result_i_160 (joined + (portRef Q (instanceRef FF_160)) + (portRef (member result_i 143)) + )) + (net tsum_159 (joined + (portRef S1 (instanceRef GEN_79_ADD)) + (portRef D (instanceRef FF_159)) + )) + (net result_i_159 (joined + (portRef Q (instanceRef FF_159)) + (portRef (member result_i 144)) + )) + (net tsum_158 (joined + (portRef S0 (instanceRef GEN_79_ADD)) + (portRef D (instanceRef FF_158)) + )) + (net result_i_158 (joined + (portRef Q (instanceRef FF_158)) + (portRef (member result_i 145)) + )) + (net tsum_157 (joined + (portRef S1 (instanceRef GEN_78_ADD)) + (portRef D (instanceRef FF_157)) + )) + (net result_i_157 (joined + (portRef Q (instanceRef FF_157)) + (portRef (member result_i 146)) + )) + (net tsum_156 (joined + (portRef S0 (instanceRef GEN_78_ADD)) + (portRef D (instanceRef FF_156)) + )) + (net result_i_156 (joined + (portRef Q (instanceRef FF_156)) + (portRef (member result_i 147)) + )) + (net tsum_155 (joined + (portRef S1 (instanceRef GEN_77_ADD)) + (portRef D (instanceRef FF_155)) + )) + (net result_i_155 (joined + (portRef Q (instanceRef FF_155)) + (portRef (member result_i 148)) + )) + (net tsum_154 (joined + (portRef S0 (instanceRef GEN_77_ADD)) + (portRef D (instanceRef FF_154)) + )) + (net result_i_154 (joined + (portRef Q (instanceRef FF_154)) + (portRef (member result_i 149)) + )) + (net tsum_153 (joined + (portRef S1 (instanceRef GEN_76_ADD)) + (portRef D (instanceRef FF_153)) + )) + (net result_i_153 (joined + (portRef Q (instanceRef FF_153)) + (portRef (member result_i 150)) + )) + (net tsum_152 (joined + (portRef S0 (instanceRef GEN_76_ADD)) + (portRef D (instanceRef FF_152)) + )) + (net result_i_152 (joined + (portRef Q (instanceRef FF_152)) + (portRef (member result_i 151)) + )) + (net tsum_151 (joined + (portRef S1 (instanceRef GEN_75_ADD)) + (portRef D (instanceRef FF_151)) + )) + (net result_i_151 (joined + (portRef Q (instanceRef FF_151)) + (portRef (member result_i 152)) + )) + (net tsum_150 (joined + (portRef S0 (instanceRef GEN_75_ADD)) + (portRef D (instanceRef FF_150)) + )) + (net result_i_150 (joined + (portRef Q (instanceRef FF_150)) + (portRef (member result_i 153)) + )) + (net tsum_149 (joined + (portRef S1 (instanceRef GEN_74_ADD)) + (portRef D (instanceRef FF_149)) + )) + (net result_i_149 (joined + (portRef Q (instanceRef FF_149)) + (portRef (member result_i 154)) + )) + (net tsum_148 (joined + (portRef S0 (instanceRef GEN_74_ADD)) + (portRef D (instanceRef FF_148)) + )) + (net result_i_148 (joined + (portRef Q (instanceRef FF_148)) + (portRef (member result_i 155)) + )) + (net tsum_147 (joined + (portRef S1 (instanceRef GEN_73_ADD)) + (portRef D (instanceRef FF_147)) + )) + (net reset_tdc_78 (joined + (portRef reset_tdc_78) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) (portRef CD (instanceRef FF_66)) (portRef CD (instanceRef FF_67)) (portRef CD (instanceRef FF_68)) @@ -422543,150 +422687,6 @@ (portRef CD (instanceRef FF_146)) (portRef CD (instanceRef FF_147)) (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - )) - (net result_i_163 (joined - (portRef Q (instanceRef FF_163)) - (portRef (member result_i 140)) - )) - (net tsum_162 (joined - (portRef S0 (instanceRef GEN_81_ADD)) - (portRef D (instanceRef FF_162)) - )) - (net result_i_162 (joined - (portRef Q (instanceRef FF_162)) - (portRef (member result_i 141)) - )) - (net tsum_161 (joined - (portRef S1 (instanceRef GEN_80_ADD)) - (portRef D (instanceRef FF_161)) - )) - (net result_i_161 (joined - (portRef Q (instanceRef FF_161)) - (portRef (member result_i 142)) - )) - (net tsum_160 (joined - (portRef S0 (instanceRef GEN_80_ADD)) - (portRef D (instanceRef FF_160)) - )) - (net result_i_160 (joined - (portRef Q (instanceRef FF_160)) - (portRef (member result_i 143)) - )) - (net tsum_159 (joined - (portRef S1 (instanceRef GEN_79_ADD)) - (portRef D (instanceRef FF_159)) - )) - (net result_i_159 (joined - (portRef Q (instanceRef FF_159)) - (portRef (member result_i 144)) - )) - (net tsum_158 (joined - (portRef S0 (instanceRef GEN_79_ADD)) - (portRef D (instanceRef FF_158)) - )) - (net result_i_158 (joined - (portRef Q (instanceRef FF_158)) - (portRef (member result_i 145)) - )) - (net tsum_157 (joined - (portRef S1 (instanceRef GEN_78_ADD)) - (portRef D (instanceRef FF_157)) - )) - (net result_i_157 (joined - (portRef Q (instanceRef FF_157)) - (portRef (member result_i 146)) - )) - (net tsum_156 (joined - (portRef S0 (instanceRef GEN_78_ADD)) - (portRef D (instanceRef FF_156)) - )) - (net result_i_156 (joined - (portRef Q (instanceRef FF_156)) - (portRef (member result_i 147)) - )) - (net tsum_155 (joined - (portRef S1 (instanceRef GEN_77_ADD)) - (portRef D (instanceRef FF_155)) - )) - (net result_i_155 (joined - (portRef Q (instanceRef FF_155)) - (portRef (member result_i 148)) - )) - (net tsum_154 (joined - (portRef S0 (instanceRef GEN_77_ADD)) - (portRef D (instanceRef FF_154)) - )) - (net result_i_154 (joined - (portRef Q (instanceRef FF_154)) - (portRef (member result_i 149)) - )) - (net tsum_153 (joined - (portRef S1 (instanceRef GEN_76_ADD)) - (portRef D (instanceRef FF_153)) - )) - (net result_i_153 (joined - (portRef Q (instanceRef FF_153)) - (portRef (member result_i 150)) - )) - (net tsum_152 (joined - (portRef S0 (instanceRef GEN_76_ADD)) - (portRef D (instanceRef FF_152)) - )) - (net result_i_152 (joined - (portRef Q (instanceRef FF_152)) - (portRef (member result_i 151)) - )) - (net tsum_151 (joined - (portRef S1 (instanceRef GEN_75_ADD)) - (portRef D (instanceRef FF_151)) - )) - (net result_i_151 (joined - (portRef Q (instanceRef FF_151)) - (portRef (member result_i 152)) - )) - (net tsum_150 (joined - (portRef S0 (instanceRef GEN_75_ADD)) - (portRef D (instanceRef FF_150)) - )) - (net result_i_150 (joined - (portRef Q (instanceRef FF_150)) - (portRef (member result_i 153)) - )) - (net tsum_149 (joined - (portRef S1 (instanceRef GEN_74_ADD)) - (portRef D (instanceRef FF_149)) - )) - (net result_i_149 (joined - (portRef Q (instanceRef FF_149)) - (portRef (member result_i 154)) - )) - (net tsum_148 (joined - (portRef S0 (instanceRef GEN_74_ADD)) - (portRef D (instanceRef FF_148)) - )) - (net result_i_148 (joined - (portRef Q (instanceRef FF_148)) - (portRef (member result_i 155)) - )) - (net tsum_147 (joined - (portRef S1 (instanceRef GEN_73_ADD)) - (portRef D (instanceRef FF_147)) )) (net result_i_147 (joined (portRef Q (instanceRef FF_147)) @@ -423352,75 +423352,6 @@ (portRef S0 (instanceRef GEN_32_ADD)) (portRef D (instanceRef FF_64)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - )) (net result_i_64 (joined (portRef Q (instanceRef FF_64)) (portRef (member result_i 239)) @@ -423549,6 +423480,59 @@ (portRef S0 (instanceRef GEN_24_ADD)) (portRef D (instanceRef FF_48)) )) + (net reset_tdc_79 (joined + (portRef reset_tdc_79) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + )) (net result_i_48 (joined (portRef Q (instanceRef FF_48)) (portRef (member result_i 255)) @@ -424862,7 +424846,7 @@ (cell edge_to_pulse_0_9 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -424934,11 +424918,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_20_0 (joined + (net channel_debug_01_i_5_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_20 0)) + (portRef (member channel_debug_01_i_5 0)) )) (net VCC (joined (portRef VCC) @@ -424952,16 +424936,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(20:20)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(2:2)") 1) (direction INPUT)) - (port reset_i_8 (direction INPUT)) - (port reset_i_7 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(5:5)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(2:2)") 1) (direction INPUT)) + (port reset_i_17 (direction INPUT)) + (port reset_i_16 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -425441,8 +425426,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_20_2 (joined - (portRef (member channel_debug_01_i_20 0)) + (net channel_debug_01_i_5_2 (joined + (portRef (member channel_debug_01_i_5 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -425485,11 +425470,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_20 (joined + (net rd_en_i_5 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -425925,9 +425906,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -426216,8 +426197,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -426491,20 +426472,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_7 (joined - (portRef reset_i_7) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) + (net reset_i_16 (joined + (portRef reset_i_16) (portRef CD (instanceRef FF_79)) (portRef CD (instanceRef FF_80)) (portRef CD (instanceRef FF_81)) @@ -426606,6 +426575,37 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) + (net reset_i_17 (joined + (portRef reset_i_17) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -426765,25 +426765,6 @@ (portRef Q (instanceRef FF_36)) (portRef D (instanceRef FF_16)) )) - (net reset_i_8 (joined - (portRef reset_i_8) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - )) (net w_gcount_r6 (joined (portRef Q (instanceRef FF_35)) (portRef D (instanceRef FF_15)) @@ -426853,6 +426834,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -427293,11 +427279,13 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(2:1)") 2) (direction INOUT)) - (port reset_tdc_39 (direction INPUT)) - (port reset_tdc_fast_36_r29 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(2:1)") 2) (direction INOUT)) + (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_fast_36_r8 (direction INPUT)) + (port reset_tdc_fast_37_r6 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_36_r10 (direction INPUT)) + (port reset_tdc_fast_36_r11 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -427666,7 +427654,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI2ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNICV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -427711,10 +427699,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -427748,27 +427736,27 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -428000,13 +427988,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -428220,10 +428205,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -428284,7 +428269,10 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -428452,10 +428440,10 @@ (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -428678,7 +428666,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI2ARF)) + (portRef A (instanceRef start_reg_RNICV5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -428890,8 +428878,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_4_2_iv_2)) + (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -428919,8 +428907,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -428943,8 +428931,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -429072,8 +429060,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef B (instanceRef proc_cnt_4_2_iv_2)) + (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -429105,8 +429093,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -429171,8 +429159,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -429986,8 +429974,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI2ARF (joined - (portRef Z (instanceRef start_reg_RNI2ARF)) + (net start_reg_RNICV5Q (joined + (portRef Z (instanceRef start_reg_RNICV5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -430032,8 +430020,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_20_1 (joined - (portRef (member channel_debug_01_i_20 1)) + (net channel_debug_01_i_5_1 (joined + (portRef (member channel_debug_01_i_5 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -430076,7 +430064,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI2ARF)) + (portRef B (instanceRef start_reg_RNICV5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -430097,16 +430085,16 @@ (portRef C (instanceRef BINARY_CODE_OUTd_8)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_20_2 (joined + (net channel_debug_01_i_5_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_20 0)) + (portRef (member channel_debug_01_i_5 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -430262,8 +430250,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -430517,8 +430505,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -430669,7 +430657,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI2ARF)) + (portRef C (instanceRef start_reg_RNICV5Q)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -430696,8 +430684,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -430768,14 +430756,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_20_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -430798,19 +430786,17 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (net reset_tdc_fast_36_r11 (joined + (portRef reset_tdc_fast_36_r11) + (portRef D (instanceRef proc_finished_4c)) + )) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) - )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -430820,22 +430806,26 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net interval_reg_41_14_am_20_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -432262,25 +432252,29 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (net reset_tdc_fast_37_r6 (joined + (portRef reset_tdc_fast_37_r6) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -432719,15 +432713,15 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r29 (joined - (portRef reset_tdc_fast_36_r29) + (net reset_tdc_fast_36_r8 (joined + (portRef reset_tdc_fast_36_r8) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) - (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) + (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef C (instanceRef BINARY_CODE_OUTd_0)) @@ -432736,14 +432730,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_3 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_3)) - )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_4)) )) + (net un3_binary_code_out_3 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_3)) + )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) @@ -433291,9 +433285,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) - (portRef reset_tdc_39 (instanceRef ROM_Encoder_1)) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) + (portRef reset_tdc_85 (instanceRef ROM_Encoder_1)) )) ) ) @@ -433303,10 +433297,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_39 (direction INPUT)) - (port reset_tdc_38 (direction INPUT)) - (port reset_tdc_37 (direction INPUT)) - (port reset_tdc_36 (direction INPUT)) + (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_84 (direction INPUT)) + (port reset_tdc_83 (direction INPUT)) + (port reset_tdc_82 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -435462,8 +435456,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) + (net reset_tdc_82 (joined + (portRef reset_tdc_82) (portRef CD (instanceRef FF_264)) (portRef CD (instanceRef FF_265)) (portRef CD (instanceRef FF_266)) @@ -435825,9 +435819,8 @@ (portRef S0 (instanceRef GEN_131_ADD)) (portRef D (instanceRef FF_262)) )) - (net reset_tdc_37 (joined - (portRef reset_tdc_37) - (portRef CD (instanceRef FF_164)) + (net reset_tdc_83 (joined + (portRef reset_tdc_83) (portRef CD (instanceRef FF_165)) (portRef CD (instanceRef FF_166)) (portRef CD (instanceRef FF_167)) @@ -436720,18 +436713,8 @@ (portRef S1 (instanceRef GEN_81_ADD)) (portRef D (instanceRef FF_163)) )) - (net result_i_163 (joined - (portRef Q (instanceRef FF_163)) - (portRef (member result_i 140)) - )) - (net tsum_162 (joined - (portRef S0 (instanceRef GEN_81_ADD)) - (portRef D (instanceRef FF_162)) - )) - (net reset_tdc_38 (joined - (portRef reset_tdc_38) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) + (net reset_tdc_84 (joined + (portRef reset_tdc_84) (portRef CD (instanceRef FF_66)) (portRef CD (instanceRef FF_67)) (portRef CD (instanceRef FF_68)) @@ -436830,6 +436813,15 @@ (portRef CD (instanceRef FF_161)) (portRef CD (instanceRef FF_162)) (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + )) + (net result_i_163 (joined + (portRef Q (instanceRef FF_163)) + (portRef (member result_i 140)) + )) + (net tsum_162 (joined + (portRef S0 (instanceRef GEN_81_ADD)) + (portRef D (instanceRef FF_162)) )) (net result_i_162 (joined (portRef Q (instanceRef FF_162)) @@ -437615,24 +437607,8 @@ (portRef S0 (instanceRef GEN_32_ADD)) (portRef D (instanceRef FF_64)) )) - (net result_i_64 (joined - (portRef Q (instanceRef FF_64)) - (portRef (member result_i 239)) - )) - (net tsum_63 (joined - (portRef S1 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_63)) - )) - (net result_i_63 (joined - (portRef Q (instanceRef FF_63)) - (portRef (member result_i 240)) - )) - (net tsum_62 (joined - (portRef S0 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_62)) - )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -437697,6 +437673,24 @@ (portRef CD (instanceRef FF_61)) (portRef CD (instanceRef FF_62)) (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + )) + (net result_i_64 (joined + (portRef Q (instanceRef FF_64)) + (portRef (member result_i 239)) + )) + (net tsum_63 (joined + (portRef S1 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_63)) + )) + (net result_i_63 (joined + (portRef Q (instanceRef FF_63)) + (portRef (member result_i 240)) + )) + (net tsum_62 (joined + (portRef S0 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_62)) )) (net result_i_62 (joined (portRef Q (instanceRef FF_62)) @@ -439123,7 +439117,7 @@ (cell edge_to_pulse_0_8 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -439195,11 +439189,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_8_0 (joined + (net channel_debug_01_i_4_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_8 0)) + (portRef (member channel_debug_01_i_4 0)) )) (net VCC (joined (portRef VCC) @@ -439213,15 +439207,16 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(8:8)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(2:2)") 1) (direction INPUT)) - (port reset_i_14 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(4:4)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(2:2)") 1) (direction INPUT)) + (port reset_i_17 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -439701,8 +439696,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_8_2 (joined - (portRef (member channel_debug_01_i_8 0)) + (net channel_debug_01_i_4_2 (joined + (portRef (member channel_debug_01_i_4 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -439745,11 +439740,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_8 (joined + (net rd_en_i_4 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -440186,7 +440177,7 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) @@ -440477,7 +440468,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -440751,8 +440742,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_14 (joined - (portRef reset_i_14) + (net reset_i_17 (joined + (portRef reset_i_17) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -441110,6 +441101,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -441550,13 +441546,13 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(2:1)") 2) (direction INOUT)) - (port reset_tdc_76 (direction INPUT)) - (port reset_tdc_fast_36_r14 (direction INPUT)) - (port reset_tdc_fast_37_r9 (direction INPUT)) - (port reset_tdc_fast_37_r8 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_36_r16 (direction INPUT)) + (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(2:1)") 2) (direction INOUT)) + (port reset_tdc_88 (direction INPUT)) + (port reset_tdc_fast_36_r6 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_36_r10 (direction INPUT)) + (port reset_tdc_fast_36_r7 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -441925,7 +441921,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIIV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIAV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -442016,16 +442012,16 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -442262,7 +442258,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -442543,7 +442539,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -442711,10 +442707,10 @@ (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -442937,7 +442933,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIIV5Q)) + (portRef A (instanceRef start_reg_RNIAV5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -443178,8 +443174,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -443190,8 +443186,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -443364,8 +443360,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -443397,8 +443393,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_RNO_0)) + (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -444245,8 +444241,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIIV5Q (joined - (portRef Z (instanceRef start_reg_RNIIV5Q)) + (net start_reg_RNIAV5Q (joined + (portRef Z (instanceRef start_reg_RNIAV5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -444291,8 +444287,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_8_1 (joined - (portRef (member channel_debug_01_i_8 1)) + (net channel_debug_01_i_4_1 (joined + (portRef (member channel_debug_01_i_4 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -444335,7 +444331,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIIV5Q)) + (portRef B (instanceRef start_reg_RNIAV5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -444356,16 +444352,16 @@ (portRef C (instanceRef BINARY_CODE_OUTd_8)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_8_2 (joined + (net channel_debug_01_i_4_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_8 0)) + (portRef (member channel_debug_01_i_4 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -444928,7 +444924,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIIV5Q)) + (portRef C (instanceRef start_reg_RNIAV5Q)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -445057,18 +445053,18 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r16 (joined - (portRef reset_tdc_fast_36_r16) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) + (portRef D (instanceRef proc_finished_3c)) + )) + (net reset_tdc_fast_36_r7 (joined + (portRef reset_tdc_fast_36_r7) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) - (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) - )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_4c)) )) (net mux_control_6_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) @@ -445082,14 +445078,6 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) - )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) @@ -445098,6 +445086,14 @@ (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) + )) (net interval_reg_41_14_am_21_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -446532,20 +446528,20 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r8 (joined - (portRef reset_tdc_fast_37_r8) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) - (net reset_tdc_fast_37_r9 (joined - (portRef reset_tdc_fast_37_r9) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -446984,15 +446980,15 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r14 (joined - (portRef reset_tdc_fast_36_r14) + (net reset_tdc_fast_36_r6 (joined + (portRef reset_tdc_fast_36_r6) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) - (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) + (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef C (instanceRef BINARY_CODE_OUTd_0)) @@ -447001,14 +446997,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_4 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_4)) - )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) + (net un3_binary_code_out_4 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_4)) + )) (net un3_binary_code_out_6 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) @@ -447556,9 +447552,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) - (portRef reset_tdc_76 (instanceRef ROM_Encoder_1)) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) + (portRef reset_tdc_88 (instanceRef ROM_Encoder_1)) )) ) ) @@ -447568,10 +447564,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_76 (direction INPUT)) - (port reset_tdc_75 (direction INPUT)) - (port reset_tdc_74 (direction INPUT)) - (port reset_tdc_73 (direction INPUT)) + (port reset_tdc_88 (direction INPUT)) + (port reset_tdc_87 (direction INPUT)) + (port reset_tdc_86 (direction INPUT)) + (port reset_tdc_85 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -449727,40 +449723,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) (portRef CD (instanceRef FF_272)) (portRef CD (instanceRef FF_273)) (portRef CD (instanceRef FF_274)) @@ -450050,6 +450014,108 @@ (portRef S0 (instanceRef GEN_135_ADD)) (portRef D (instanceRef FF_270)) )) + (net reset_tdc_86 (joined + (portRef reset_tdc_86) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + )) (net result_i_270 (joined (portRef Q (instanceRef FF_270)) (portRef (member result_i 33)) @@ -450306,108 +450372,6 @@ (portRef S0 (instanceRef GEN_119_ADD)) (portRef D (instanceRef FF_238)) )) - (net reset_tdc_74 (joined - (portRef reset_tdc_74) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - )) (net result_i_238 (joined (portRef Q (instanceRef FF_238)) (portRef (member result_i 65)) @@ -450944,6 +450908,108 @@ (portRef S1 (instanceRef GEN_85_ADD)) (portRef D (instanceRef FF_171)) )) + (net reset_tdc_87 (joined + (portRef reset_tdc_87) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + )) (net result_i_171 (joined (portRef Q (instanceRef FF_171)) (portRef (member result_i 132)) @@ -451200,108 +451266,6 @@ (portRef S1 (instanceRef GEN_69_ADD)) (portRef D (instanceRef FF_139)) )) - (net reset_tdc_75 (joined - (portRef reset_tdc_75) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - )) (net result_i_139 (joined (portRef Q (instanceRef FF_139)) (portRef (member result_i 164)) @@ -451838,6 +451802,83 @@ (portRef S0 (instanceRef GEN_36_ADD)) (portRef D (instanceRef FF_72)) )) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + )) (net result_i_72 (joined (portRef Q (instanceRef FF_72)) (portRef (member result_i 231)) @@ -452094,51 +452135,6 @@ (portRef S0 (instanceRef GEN_20_ADD)) (portRef D (instanceRef FF_40)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - )) (net result_i_40 (joined (portRef Q (instanceRef FF_40)) (portRef (member result_i 263)) @@ -453025,2672 +453021,362 @@ )) (net co_74 (joined (portRef COUT (instanceRef GEN_74_ADD)) - (portRef CI (instanceRef GEN_75_ADD)) - )) - (net co_44 (joined - (portRef COUT (instanceRef GEN_44_ADD)) - (portRef CI (instanceRef GEN_45_ADD)) - )) - (net co_14 (joined - (portRef COUT (instanceRef GEN_14_ADD)) - (portRef CI (instanceRef GEN_15_ADD)) - )) - (net co_105 (joined - (portRef COUT (instanceRef GEN_105_ADD)) - (portRef CI (instanceRef GEN_106_ADD)) - )) - (net co_75 (joined - (portRef COUT (instanceRef GEN_75_ADD)) - (portRef CI (instanceRef GEN_76_ADD)) - )) - (net co_45 (joined - (portRef COUT (instanceRef GEN_45_ADD)) - (portRef CI (instanceRef GEN_46_ADD)) - )) - (net co_15 (joined - (portRef COUT (instanceRef GEN_15_ADD)) - (portRef CI (instanceRef GEN_16_ADD)) - )) - (net co_106 (joined - (portRef COUT (instanceRef GEN_106_ADD)) - (portRef CI (instanceRef GEN_107_ADD)) - )) - (net co_76 (joined - (portRef COUT (instanceRef GEN_76_ADD)) - (portRef CI (instanceRef GEN_77_ADD)) - )) - (net co_46 (joined - (portRef COUT (instanceRef GEN_46_ADD)) - (portRef CI (instanceRef GEN_47_ADD)) - )) - (net co_16 (joined - (portRef COUT (instanceRef GEN_16_ADD)) - (portRef CI (instanceRef GEN_17_ADD)) - )) - (net co_107 (joined - (portRef COUT (instanceRef GEN_107_ADD)) - (portRef CI (instanceRef GEN_108_ADD)) - )) - (net co_77 (joined - (portRef COUT (instanceRef GEN_77_ADD)) - (portRef CI (instanceRef GEN_78_ADD)) - )) - (net co_47 (joined - (portRef COUT (instanceRef GEN_47_ADD)) - (portRef CI (instanceRef GEN_48_ADD)) - )) - (net co_17 (joined - (portRef COUT (instanceRef GEN_17_ADD)) - (portRef CI (instanceRef GEN_18_ADD)) - )) - (net co_108 (joined - (portRef COUT (instanceRef GEN_108_ADD)) - (portRef CI (instanceRef GEN_109_ADD)) - )) - (net co_78 (joined - (portRef COUT (instanceRef GEN_78_ADD)) - (portRef CI (instanceRef GEN_79_ADD)) - )) - (net co_48 (joined - (portRef COUT (instanceRef GEN_48_ADD)) - (portRef CI (instanceRef GEN_49_ADD)) - )) - (net co_18 (joined - (portRef COUT (instanceRef GEN_18_ADD)) - (portRef CI (instanceRef GEN_19_ADD)) - )) - (net co_109 (joined - (portRef COUT (instanceRef GEN_109_ADD)) - (portRef CI (instanceRef GEN_110_ADD)) - )) - (net co_49 (joined - (portRef COUT (instanceRef GEN_49_ADD)) - (portRef CI (instanceRef GEN_50_ADD)) - )) - (net co_19 (joined - (portRef COUT (instanceRef GEN_19_ADD)) - (portRef CI (instanceRef GEN_20_ADD)) - )) - (net co_110 (joined - (portRef COUT (instanceRef GEN_110_ADD)) - (portRef CI (instanceRef GEN_111_ADD)) - )) - (net co_79 (joined - (portRef COUT (instanceRef GEN_79_ADD)) - (portRef CI (instanceRef GEN_80_ADD)) - )) - (net co_80 (joined - (portRef COUT (instanceRef GEN_80_ADD)) - (portRef CI (instanceRef GEN_81_ADD)) - )) - (net co_20 (joined - (portRef COUT (instanceRef GEN_20_ADD)) - (portRef CI (instanceRef GEN_21_ADD)) - )) - (net co_111 (joined - (portRef COUT (instanceRef GEN_111_ADD)) - (portRef CI (instanceRef GEN_112_ADD)) - )) - (net co_81 (joined - (portRef COUT (instanceRef GEN_81_ADD)) - (portRef CI (instanceRef GEN_82_ADD)) - )) - (net co_21 (joined - (portRef COUT (instanceRef GEN_21_ADD)) - (portRef CI (instanceRef GEN_22_ADD)) - )) - (net co_112 (joined - (portRef COUT (instanceRef GEN_112_ADD)) - (portRef CI (instanceRef GEN_113_ADD)) - )) - (net co_82 (joined - (portRef COUT (instanceRef GEN_82_ADD)) - (portRef CI (instanceRef GEN_83_ADD)) - )) - (net co_52 (joined - (portRef COUT (instanceRef GEN_52_ADD)) - (portRef CI (instanceRef GEN_53_ADD)) - )) - (net co_22 (joined - (portRef COUT (instanceRef GEN_22_ADD)) - (portRef CI (instanceRef GEN_23_ADD)) - )) - (net co_113 (joined - (portRef COUT (instanceRef GEN_113_ADD)) - (portRef CI (instanceRef GEN_114_ADD)) - )) - (net co_83 (joined - (portRef COUT (instanceRef GEN_83_ADD)) - (portRef CI (instanceRef GEN_84_ADD)) - )) - (net co_53 (joined - (portRef COUT (instanceRef GEN_53_ADD)) - (portRef CI (instanceRef GEN_54_ADD)) - )) - (net co_114 (joined - (portRef COUT (instanceRef GEN_114_ADD)) - (portRef CI (instanceRef GEN_115_ADD)) - )) - (net co_84 (joined - (portRef COUT (instanceRef GEN_84_ADD)) - (portRef CI (instanceRef GEN_85_ADD)) - )) - (net co_54 (joined - (portRef COUT (instanceRef GEN_54_ADD)) - (portRef CI (instanceRef GEN_55_ADD)) - )) - (net co_115 (joined - (portRef COUT (instanceRef GEN_115_ADD)) - (portRef CI (instanceRef GEN_116_ADD)) - )) - (net co_85 (joined - (portRef COUT (instanceRef GEN_85_ADD)) - (portRef CI (instanceRef GEN_86_ADD)) - )) - (net co_55 (joined - (portRef COUT (instanceRef GEN_55_ADD)) - (portRef CI (instanceRef GEN_56_ADD)) - )) - (net co_25 (joined - (portRef COUT (instanceRef GEN_25_ADD)) - (portRef CI (instanceRef GEN_26_ADD)) - )) - (net co_116 (joined - (portRef COUT (instanceRef GEN_116_ADD)) - (portRef CI (instanceRef GEN_117_ADD)) - )) - (net co_86 (joined - (portRef COUT (instanceRef GEN_86_ADD)) - (portRef CI (instanceRef GEN_87_ADD)) - )) - (net co_56 (joined - (portRef COUT (instanceRef GEN_56_ADD)) - (portRef CI (instanceRef GEN_57_ADD)) - )) - (net co_26 (joined - (portRef COUT (instanceRef GEN_26_ADD)) - (portRef CI (instanceRef GEN_27_ADD)) - )) - (net co_87 (joined - (portRef COUT (instanceRef GEN_87_ADD)) - (portRef CI (instanceRef GEN_88_ADD)) - )) - (net co_57 (joined - (portRef COUT (instanceRef GEN_57_ADD)) - (portRef CI (instanceRef GEN_58_ADD)) - )) - (net co_27 (joined - (portRef COUT (instanceRef GEN_27_ADD)) - (portRef CI (instanceRef GEN_28_ADD)) - )) - (net co_58 (joined - (portRef COUT (instanceRef GEN_58_ADD)) - (portRef CI (instanceRef GEN_59_ADD)) - )) - (net co_28 (joined - (portRef COUT (instanceRef GEN_28_ADD)) - (portRef CI (instanceRef GEN_29_ADD)) - )) - (net co_1 (joined - (portRef COUT (instanceRef GEN_1_ADD)) - (portRef CI (instanceRef GEN_2_ADD)) - )) - (net co_59 (joined - (portRef COUT (instanceRef GEN_59_ADD)) - (portRef CI (instanceRef GEN_60_ADD)) - )) - (net co_29 (joined - (portRef COUT (instanceRef GEN_29_ADD)) - (portRef CI (instanceRef GEN_30_ADD)) - )) - (net co_120 (joined - (portRef COUT (instanceRef GEN_120_ADD)) - (portRef CI (instanceRef GEN_121_ADD)) - )) - (net co_90 (joined - (portRef COUT (instanceRef GEN_90_ADD)) - (portRef CI (instanceRef GEN_91_ADD)) - )) - (net co_60 (joined - (portRef COUT (instanceRef GEN_60_ADD)) - (portRef CI (instanceRef GEN_61_ADD)) - )) - (net co_30 (joined - (portRef COUT (instanceRef GEN_30_ADD)) - (portRef CI (instanceRef GEN_31_ADD)) - )) - (net co_121 (joined - (portRef COUT (instanceRef GEN_121_ADD)) - (portRef CI (instanceRef GEN_122_ADD)) - )) - (net co_134 (joined - (portRef COUT (instanceRef GEN_134_ADD)) - (portRef CI (instanceRef GEN_135_ADD)) - )) - (net co_135 (joined - (portRef COUT (instanceRef GEN_135_ADD)) - (portRef CI (instanceRef GEN_136_ADD)) - )) - (net co_131 (joined - (portRef COUT (instanceRef GEN_131_ADD)) - (portRef CI (instanceRef GEN_132_ADD)) - )) - (net co_132 (joined - (portRef COUT (instanceRef GEN_132_ADD)) - (portRef CI (instanceRef GEN_133_ADD)) - )) - (net co_128 (joined - (portRef COUT (instanceRef GEN_128_ADD)) - (portRef CI (instanceRef GEN_129_ADD)) - )) - (net co_129 (joined - (portRef COUT (instanceRef GEN_129_ADD)) - (portRef CI (instanceRef GEN_130_ADD)) - )) - (net co_125 (joined - (portRef COUT (instanceRef GEN_125_ADD)) - (portRef CI (instanceRef GEN_126_ADD)) - )) - (net co_126 (joined - (portRef COUT (instanceRef GEN_126_ADD)) - (portRef CI (instanceRef GEN_127_ADD)) - )) - (net co_122 (joined - (portRef COUT (instanceRef GEN_122_ADD)) - (portRef CI (instanceRef GEN_123_ADD)) - )) - (net co_133 (joined - (portRef COUT (instanceRef GEN_133_ADD)) - (portRef CI (instanceRef GEN_134_ADD)) - )) - (net co_130 (joined - (portRef COUT (instanceRef GEN_130_ADD)) - (portRef CI (instanceRef GEN_131_ADD)) - )) - (net co_127 (joined - (portRef COUT (instanceRef GEN_127_ADD)) - (portRef CI (instanceRef GEN_128_ADD)) - )) - (net co_123 (joined - (portRef COUT (instanceRef GEN_123_ADD)) - (portRef CI (instanceRef GEN_124_ADD)) - )) - (net co_124 (joined - (portRef COUT (instanceRef GEN_124_ADD)) - (portRef CI (instanceRef GEN_125_ADD)) - )) - (net co_147 (joined - (portRef COUT (instanceRef GEN_147_ADD)) - (portRef CI (instanceRef GEN_148_ADD)) - )) - (net co_148 (joined - (portRef COUT (instanceRef GEN_148_ADD)) - (portRef CI (instanceRef GEN_149_ADD)) - )) - (net co_144 (joined - (portRef COUT (instanceRef GEN_144_ADD)) - (portRef CI (instanceRef GEN_145_ADD)) - )) - (net co_145 (joined - (portRef COUT (instanceRef GEN_145_ADD)) - (portRef CI (instanceRef GEN_146_ADD)) - )) - (net co_141 (joined - (portRef COUT (instanceRef GEN_141_ADD)) - (portRef CI (instanceRef GEN_142_ADD)) - )) - (net co_142 (joined - (portRef COUT (instanceRef GEN_142_ADD)) - (portRef CI (instanceRef GEN_143_ADD)) - )) - (net co_138 (joined - (portRef COUT (instanceRef GEN_138_ADD)) - (portRef CI (instanceRef GEN_139_ADD)) - )) - (net co_139 (joined - (portRef COUT (instanceRef GEN_139_ADD)) - (portRef CI (instanceRef GEN_140_ADD)) - )) - (net co_146 (joined - (portRef COUT (instanceRef GEN_146_ADD)) - (portRef CI (instanceRef GEN_147_ADD)) - )) - (net co_143 (joined - (portRef COUT (instanceRef GEN_143_ADD)) - (portRef CI (instanceRef GEN_144_ADD)) - )) - (net co_140 (joined - (portRef COUT (instanceRef GEN_140_ADD)) - (portRef CI (instanceRef GEN_141_ADD)) - )) - (net co_136 (joined - (portRef COUT (instanceRef GEN_136_ADD)) - (portRef CI (instanceRef GEN_137_ADD)) - )) - (net co_137 (joined - (portRef COUT (instanceRef GEN_137_ADD)) - (portRef CI (instanceRef GEN_138_ADD)) - )) - (net co_149 (joined - (portRef COUT (instanceRef GEN_149_ADD)) - (portRef CI (instanceRef GEN_150_ADD)) - )) - (net co_150 (joined - (portRef COUT (instanceRef GEN_150_ADD)) - (portRef CI (instanceRef GEN_151_ADD)) - )) - (net co_151 (joined - (portRef COUT (instanceRef GEN_151_ADD)) - )) - ) - ) - ) - (cell signal_sync_24_3_23 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename measurement_cntr "measurement_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(535:512)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - ) - (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member measurement_cntr 23)) - ) - ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member measurement_cntr 22)) - ) - ) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) - )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) - )) - (net VCC (joined - (portRef VCC) - )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member measurement_cntr 21)) - ) - ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member measurement_cntr 20)) - ) - ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member measurement_cntr 19)) - ) - ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member measurement_cntr 18)) - ) - ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member measurement_cntr 17)) - ) - ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member measurement_cntr 16)) - ) - ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member measurement_cntr 15)) - ) - ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member measurement_cntr 14)) - ) - ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member measurement_cntr 13)) - ) - ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member measurement_cntr 12)) - ) - ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member measurement_cntr 11)) - ) - ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member measurement_cntr 10)) - ) - ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member measurement_cntr 9)) - ) - ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member measurement_cntr 8)) - ) - ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member measurement_cntr 7)) - ) - ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member measurement_cntr 6)) - ) - ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member measurement_cntr 5)) - ) - ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member measurement_cntr 4)) - ) - ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member measurement_cntr 3)) - ) - ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member measurement_cntr 2)) - ) - ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member measurement_cntr 1)) - ) - ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member measurement_cntr 0)) - ) - ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) - ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) - ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) - ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) - ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) - ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) - ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) - ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) - ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) - ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) - ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) - ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) - ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) - ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) - ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) - ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) - ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) - ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) - ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) - ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) - ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) - ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) - ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) - ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) - ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) - ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) - ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) - ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) - ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) - ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) - ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) - ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) - ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) - ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) - ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) - ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) - ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) - ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) - ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) - ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) - ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) - ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) - ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) - ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) - ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) - ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) - ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) - ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) - ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CI (instanceRef GEN_75_ADD)) )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (net co_44 (joined + (portRef COUT (instanceRef GEN_44_ADD)) + (portRef CI (instanceRef GEN_45_ADD)) )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (net co_14 (joined + (portRef COUT (instanceRef GEN_14_ADD)) + (portRef CI (instanceRef GEN_15_ADD)) )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (net co_105 (joined + (portRef COUT (instanceRef GEN_105_ADD)) + (portRef CI (instanceRef GEN_106_ADD)) )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (net co_75 (joined + (portRef COUT (instanceRef GEN_75_ADD)) + (portRef CI (instanceRef GEN_76_ADD)) )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (net co_45 (joined + (portRef COUT (instanceRef GEN_45_ADD)) + (portRef CI (instanceRef GEN_46_ADD)) )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (net co_15 (joined + (portRef COUT (instanceRef GEN_15_ADD)) + (portRef CI (instanceRef GEN_16_ADD)) )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (net co_106 (joined + (portRef COUT (instanceRef GEN_106_ADD)) + (portRef CI (instanceRef GEN_107_ADD)) )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (net co_76 (joined + (portRef COUT (instanceRef GEN_76_ADD)) + (portRef CI (instanceRef GEN_77_ADD)) )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (net co_46 (joined + (portRef COUT (instanceRef GEN_46_ADD)) + (portRef CI (instanceRef GEN_47_ADD)) )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (net co_16 (joined + (portRef COUT (instanceRef GEN_16_ADD)) + (portRef CI (instanceRef GEN_17_ADD)) )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (net co_107 (joined + (portRef COUT (instanceRef GEN_107_ADD)) + (portRef CI (instanceRef GEN_108_ADD)) )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (net co_77 (joined + (portRef COUT (instanceRef GEN_77_ADD)) + (portRef CI (instanceRef GEN_78_ADD)) )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (net co_47 (joined + (portRef COUT (instanceRef GEN_47_ADD)) + (portRef CI (instanceRef GEN_48_ADD)) )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (net co_17 (joined + (portRef COUT (instanceRef GEN_17_ADD)) + (portRef CI (instanceRef GEN_18_ADD)) )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (net co_108 (joined + (portRef COUT (instanceRef GEN_108_ADD)) + (portRef CI (instanceRef GEN_109_ADD)) )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (net co_78 (joined + (portRef COUT (instanceRef GEN_78_ADD)) + (portRef CI (instanceRef GEN_79_ADD)) )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (net co_48 (joined + (portRef COUT (instanceRef GEN_48_ADD)) + (portRef CI (instanceRef GEN_49_ADD)) )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (net co_18 (joined + (portRef COUT (instanceRef GEN_18_ADD)) + (portRef CI (instanceRef GEN_19_ADD)) )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (net co_109 (joined + (portRef COUT (instanceRef GEN_109_ADD)) + (portRef CI (instanceRef GEN_110_ADD)) )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (net co_49 (joined + (portRef COUT (instanceRef GEN_49_ADD)) + (portRef CI (instanceRef GEN_50_ADD)) )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (net co_19 (joined + (portRef COUT (instanceRef GEN_19_ADD)) + (portRef CI (instanceRef GEN_20_ADD)) )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (net co_110 (joined + (portRef COUT (instanceRef GEN_110_ADD)) + (portRef CI (instanceRef GEN_111_ADD)) )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (net co_79 (joined + (portRef COUT (instanceRef GEN_79_ADD)) + (portRef CI (instanceRef GEN_80_ADD)) )) - ) - ) - ) - (cell signal_sync_24_3_22 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename encoder_start_cntr "encoder_start_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(567:544)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - ) - (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member encoder_start_cntr 23)) - ) - ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member encoder_start_cntr 22)) - ) - ) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) + (net co_80 (joined + (portRef COUT (instanceRef GEN_80_ADD)) + (portRef CI (instanceRef GEN_81_ADD)) )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) + (net co_20 (joined + (portRef COUT (instanceRef GEN_20_ADD)) + (portRef CI (instanceRef GEN_21_ADD)) )) - (net VCC (joined - (portRef VCC) + (net co_111 (joined + (portRef COUT (instanceRef GEN_111_ADD)) + (portRef CI (instanceRef GEN_112_ADD)) )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member encoder_start_cntr 21)) - ) - ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member encoder_start_cntr 20)) - ) - ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member encoder_start_cntr 19)) - ) - ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member encoder_start_cntr 18)) - ) - ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member encoder_start_cntr 17)) - ) - ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member encoder_start_cntr 16)) - ) - ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member encoder_start_cntr 15)) - ) - ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member encoder_start_cntr 14)) - ) - ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member encoder_start_cntr 13)) - ) - ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member encoder_start_cntr 12)) - ) - ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member encoder_start_cntr 11)) - ) - ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member encoder_start_cntr 10)) - ) - ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member encoder_start_cntr 9)) - ) - ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member encoder_start_cntr 8)) - ) - ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member encoder_start_cntr 7)) - ) - ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member encoder_start_cntr 6)) - ) - ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member encoder_start_cntr 5)) - ) - ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member encoder_start_cntr 4)) - ) - ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member encoder_start_cntr 3)) - ) - ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member encoder_start_cntr 2)) - ) - ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member encoder_start_cntr 1)) - ) - ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member encoder_start_cntr 0)) - ) - ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) - ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) - ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (net co_81 (joined + (portRef COUT (instanceRef GEN_81_ADD)) + (portRef CI (instanceRef GEN_82_ADD)) )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) - ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) - ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) - ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) - ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) - ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) - ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) - ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) - ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) - ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) - ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) - ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) - ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) - ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) - ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) - ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) - ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) - ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) - ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) - ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) - ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) - ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) - ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) - ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) - ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) - ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) - ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) - ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) - ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) - ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) - ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) - ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) - ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) - ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) - ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) - ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) - ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) - ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) - ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) - ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) - ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) - ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) - ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) - ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) - ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) - ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) - ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (net co_21 (joined + (portRef COUT (instanceRef GEN_21_ADD)) + (portRef CI (instanceRef GEN_22_ADD)) )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (net co_112 (joined + (portRef COUT (instanceRef GEN_112_ADD)) + (portRef CI (instanceRef GEN_113_ADD)) )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (net co_82 (joined + (portRef COUT (instanceRef GEN_82_ADD)) + (portRef CI (instanceRef GEN_83_ADD)) )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (net co_52 (joined + (portRef COUT (instanceRef GEN_52_ADD)) + (portRef CI (instanceRef GEN_53_ADD)) )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (net co_22 (joined + (portRef COUT (instanceRef GEN_22_ADD)) + (portRef CI (instanceRef GEN_23_ADD)) )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (net co_113 (joined + (portRef COUT (instanceRef GEN_113_ADD)) + (portRef CI (instanceRef GEN_114_ADD)) )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (net co_83 (joined + (portRef COUT (instanceRef GEN_83_ADD)) + (portRef CI (instanceRef GEN_84_ADD)) )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (net co_53 (joined + (portRef COUT (instanceRef GEN_53_ADD)) + (portRef CI (instanceRef GEN_54_ADD)) )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (net co_114 (joined + (portRef COUT (instanceRef GEN_114_ADD)) + (portRef CI (instanceRef GEN_115_ADD)) )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (net co_84 (joined + (portRef COUT (instanceRef GEN_84_ADD)) + (portRef CI (instanceRef GEN_85_ADD)) )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (net co_54 (joined + (portRef COUT (instanceRef GEN_54_ADD)) + (portRef CI (instanceRef GEN_55_ADD)) )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (net co_115 (joined + (portRef COUT (instanceRef GEN_115_ADD)) + (portRef CI (instanceRef GEN_116_ADD)) )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (net co_85 (joined + (portRef COUT (instanceRef GEN_85_ADD)) + (portRef CI (instanceRef GEN_86_ADD)) )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (net co_55 (joined + (portRef COUT (instanceRef GEN_55_ADD)) + (portRef CI (instanceRef GEN_56_ADD)) )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (net co_25 (joined + (portRef COUT (instanceRef GEN_25_ADD)) + (portRef CI (instanceRef GEN_26_ADD)) )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (net co_116 (joined + (portRef COUT (instanceRef GEN_116_ADD)) + (portRef CI (instanceRef GEN_117_ADD)) )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (net co_86 (joined + (portRef COUT (instanceRef GEN_86_ADD)) + (portRef CI (instanceRef GEN_87_ADD)) )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (net co_56 (joined + (portRef COUT (instanceRef GEN_56_ADD)) + (portRef CI (instanceRef GEN_57_ADD)) )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (net co_26 (joined + (portRef COUT (instanceRef GEN_26_ADD)) + (portRef CI (instanceRef GEN_27_ADD)) )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (net co_87 (joined + (portRef COUT (instanceRef GEN_87_ADD)) + (portRef CI (instanceRef GEN_88_ADD)) )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (net co_57 (joined + (portRef COUT (instanceRef GEN_57_ADD)) + (portRef CI (instanceRef GEN_58_ADD)) )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (net co_27 (joined + (portRef COUT (instanceRef GEN_27_ADD)) + (portRef CI (instanceRef GEN_28_ADD)) )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (net co_58 (joined + (portRef COUT (instanceRef GEN_58_ADD)) + (portRef CI (instanceRef GEN_59_ADD)) )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (net co_28 (joined + (portRef COUT (instanceRef GEN_28_ADD)) + (portRef CI (instanceRef GEN_29_ADD)) )) - ) - ) - ) - (cell signal_sync_24_3_21 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename lost_hit_cntr "lost_hit_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(503:480)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - ) - (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member lost_hit_cntr 23)) - ) - ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member lost_hit_cntr 22)) - ) - ) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) + (net co_1 (joined + (portRef COUT (instanceRef GEN_1_ADD)) + (portRef CI (instanceRef GEN_2_ADD)) )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) + (net co_59 (joined + (portRef COUT (instanceRef GEN_59_ADD)) + (portRef CI (instanceRef GEN_60_ADD)) )) - (net VCC (joined - (portRef VCC) + (net co_29 (joined + (portRef COUT (instanceRef GEN_29_ADD)) + (portRef CI (instanceRef GEN_30_ADD)) )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member lost_hit_cntr 21)) - ) - ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member lost_hit_cntr 20)) - ) - ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member lost_hit_cntr 19)) - ) - ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member lost_hit_cntr 18)) - ) - ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member lost_hit_cntr 17)) - ) - ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member lost_hit_cntr 16)) - ) - ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member lost_hit_cntr 15)) - ) - ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member lost_hit_cntr 14)) - ) - ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member lost_hit_cntr 13)) - ) - ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member lost_hit_cntr 12)) - ) - ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member lost_hit_cntr 11)) - ) - ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member lost_hit_cntr 10)) - ) - ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member lost_hit_cntr 9)) - ) - ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member lost_hit_cntr 8)) - ) - ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member lost_hit_cntr 7)) - ) - ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member lost_hit_cntr 6)) - ) - ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member lost_hit_cntr 5)) - ) - ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member lost_hit_cntr 4)) - ) - ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member lost_hit_cntr 3)) - ) - ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member lost_hit_cntr 2)) - ) - ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member lost_hit_cntr 1)) - ) - ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member lost_hit_cntr 0)) - ) - ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) - ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) - ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (net co_120 (joined + (portRef COUT (instanceRef GEN_120_ADD)) + (portRef CI (instanceRef GEN_121_ADD)) )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) - ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) - ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) - ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) - ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) - ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) - ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) - ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) - ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) - ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) - ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) - ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) - ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) - ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) - ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) - ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) - ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) - ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) - ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) - ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) - ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) - ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) - ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) - ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) - ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) - ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) - ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) - ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) - ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) - ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) - ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) - ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) - ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) - ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) - ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) - ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) - ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) - ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) - ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) - ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) - ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) - ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) - ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) - ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) - ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) - ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) - ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (net co_90 (joined + (portRef COUT (instanceRef GEN_90_ADD)) + (portRef CI (instanceRef GEN_91_ADD)) )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (net co_60 (joined + (portRef COUT (instanceRef GEN_60_ADD)) + (portRef CI (instanceRef GEN_61_ADD)) )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (net co_30 (joined + (portRef COUT (instanceRef GEN_30_ADD)) + (portRef CI (instanceRef GEN_31_ADD)) )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (net co_121 (joined + (portRef COUT (instanceRef GEN_121_ADD)) + (portRef CI (instanceRef GEN_122_ADD)) )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (net co_134 (joined + (portRef COUT (instanceRef GEN_134_ADD)) + (portRef CI (instanceRef GEN_135_ADD)) )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (net co_135 (joined + (portRef COUT (instanceRef GEN_135_ADD)) + (portRef CI (instanceRef GEN_136_ADD)) )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (net co_131 (joined + (portRef COUT (instanceRef GEN_131_ADD)) + (portRef CI (instanceRef GEN_132_ADD)) )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (net co_132 (joined + (portRef COUT (instanceRef GEN_132_ADD)) + (portRef CI (instanceRef GEN_133_ADD)) )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (net co_128 (joined + (portRef COUT (instanceRef GEN_128_ADD)) + (portRef CI (instanceRef GEN_129_ADD)) )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (net co_129 (joined + (portRef COUT (instanceRef GEN_129_ADD)) + (portRef CI (instanceRef GEN_130_ADD)) )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (net co_125 (joined + (portRef COUT (instanceRef GEN_125_ADD)) + (portRef CI (instanceRef GEN_126_ADD)) )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (net co_126 (joined + (portRef COUT (instanceRef GEN_126_ADD)) + (portRef CI (instanceRef GEN_127_ADD)) )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (net co_122 (joined + (portRef COUT (instanceRef GEN_122_ADD)) + (portRef CI (instanceRef GEN_123_ADD)) )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (net co_133 (joined + (portRef COUT (instanceRef GEN_133_ADD)) + (portRef CI (instanceRef GEN_134_ADD)) )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (net co_130 (joined + (portRef COUT (instanceRef GEN_130_ADD)) + (portRef CI (instanceRef GEN_131_ADD)) )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (net co_127 (joined + (portRef COUT (instanceRef GEN_127_ADD)) + (portRef CI (instanceRef GEN_128_ADD)) )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (net co_123 (joined + (portRef COUT (instanceRef GEN_123_ADD)) + (portRef CI (instanceRef GEN_124_ADD)) )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (net co_124 (joined + (portRef COUT (instanceRef GEN_124_ADD)) + (portRef CI (instanceRef GEN_125_ADD)) )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (net co_147 (joined + (portRef COUT (instanceRef GEN_147_ADD)) + (portRef CI (instanceRef GEN_148_ADD)) )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (net co_148 (joined + (portRef COUT (instanceRef GEN_148_ADD)) + (portRef CI (instanceRef GEN_149_ADD)) )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (net co_144 (joined + (portRef COUT (instanceRef GEN_144_ADD)) + (portRef CI (instanceRef GEN_145_ADD)) )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (net co_145 (joined + (portRef COUT (instanceRef GEN_145_ADD)) + (portRef CI (instanceRef GEN_146_ADD)) )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (net co_141 (joined + (portRef COUT (instanceRef GEN_141_ADD)) + (portRef CI (instanceRef GEN_142_ADD)) )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (net co_142 (joined + (portRef COUT (instanceRef GEN_142_ADD)) + (portRef CI (instanceRef GEN_143_ADD)) + )) + (net co_138 (joined + (portRef COUT (instanceRef GEN_138_ADD)) + (portRef CI (instanceRef GEN_139_ADD)) + )) + (net co_139 (joined + (portRef COUT (instanceRef GEN_139_ADD)) + (portRef CI (instanceRef GEN_140_ADD)) + )) + (net co_146 (joined + (portRef COUT (instanceRef GEN_146_ADD)) + (portRef CI (instanceRef GEN_147_ADD)) + )) + (net co_143 (joined + (portRef COUT (instanceRef GEN_143_ADD)) + (portRef CI (instanceRef GEN_144_ADD)) + )) + (net co_140 (joined + (portRef COUT (instanceRef GEN_140_ADD)) + (portRef CI (instanceRef GEN_141_ADD)) + )) + (net co_136 (joined + (portRef COUT (instanceRef GEN_136_ADD)) + (portRef CI (instanceRef GEN_137_ADD)) + )) + (net co_137 (joined + (portRef COUT (instanceRef GEN_137_ADD)) + (portRef CI (instanceRef GEN_138_ADD)) + )) + (net co_149 (joined + (portRef COUT (instanceRef GEN_149_ADD)) + (portRef CI (instanceRef GEN_150_ADD)) + )) + (net co_150 (joined + (portRef COUT (instanceRef GEN_150_ADD)) + (portRef CI (instanceRef GEN_151_ADD)) + )) + (net co_151 (joined + (portRef COUT (instanceRef GEN_151_ADD)) )) ) ) @@ -455698,7 +453384,7 @@ (cell edge_to_pulse_0_7 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -455770,11 +453456,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_3_0 (joined + (net channel_debug_01_i_9_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_3 0)) + (portRef (member channel_debug_01_i_9 0)) )) (net VCC (joined (portRef VCC) @@ -455788,10 +453474,10 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(3:3)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(2:2)") 1) (direction INPUT)) - (port reset_i_17 (direction INPUT)) - (port reset_i_16 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(9:9)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(2:2)") 1) (direction INPUT)) + (port reset_i_15 (direction INPUT)) + (port reset_i_14 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -456278,8 +453964,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_3_2 (joined - (portRef (member channel_debug_01_i_3 0)) + (net channel_debug_01_i_9_2 (joined + (portRef (member channel_debug_01_i_9 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -456322,7 +454008,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net rd_en_i_3 (joined + (net rd_en_i_9 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -456759,8 +454445,8 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -457049,7 +454735,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -457324,8 +455010,32 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_16 (joined - (portRef reset_i_16) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) (portRef CD (instanceRef FF_87)) (portRef CD (instanceRef FF_88)) (portRef CD (instanceRef FF_89)) @@ -457403,45 +455113,6 @@ (portRef Q (instanceRef FF_86)) (portRef D (instanceRef FF_36)) )) - (net reset_i_17 (joined - (portRef reset_i_17) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - )) (net w_gcount_6 (joined (portRef Q (instanceRef FF_85)) (portRef D (instanceRef FF_35)) @@ -457673,6 +455344,21 @@ (portRef Q (instanceRef FF_22)) (portRef D (instanceRef FF_2)) )) + (net reset_i_15 (joined + (portRef reset_i_15) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + )) (net empty_d (joined (portRef S0 (instanceRef a0)) (portRef D (instanceRef FF_1)) @@ -458131,12 +455817,13 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(2:1)") 2) (direction INOUT)) - (port reset_tdc_91 (direction INPUT)) - (port reset_tdc_fast_36_r4 (direction INPUT)) - (port reset_tdc_fast_37_r3 (direction INPUT)) - (port reset_tdc_fast_36_r5 (direction INPUT)) - (port reset_tdc_fast_36_r7 (direction INPUT)) + (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(2:1)") 2) (direction INOUT)) + (port reset_tdc_73 (direction INPUT)) + (port reset_tdc_fast_36_r16 (direction INPUT)) + (port reset_tdc_fast_37_r10 (direction INPUT)) + (port reset_tdc_fast_37_r9 (direction INPUT)) + (port reset_tdc_fast_36_r18 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -458505,7 +456192,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI8V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIKV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -458587,17 +456274,14 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) @@ -458605,6 +456289,9 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) @@ -458848,9 +456535,6 @@ (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -459126,6 +456810,9 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -459291,10 +456978,10 @@ (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -459517,7 +457204,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI8V5Q)) + (portRef A (instanceRef start_reg_RNIKV5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -459729,8 +457416,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_4_2_iv_2)) + (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -459911,8 +457598,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef B (instanceRef proc_cnt_4_2_iv_2)) + (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -460825,8 +458512,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI8V5Q (joined - (portRef Z (instanceRef start_reg_RNI8V5Q)) + (net start_reg_RNIKV5Q (joined + (portRef Z (instanceRef start_reg_RNIKV5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -460871,8 +458558,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_3_1 (joined - (portRef (member channel_debug_01_i_3 1)) + (net channel_debug_01_i_9_1 (joined + (portRef (member channel_debug_01_i_9 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -460915,7 +458602,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI8V5Q)) + (portRef B (instanceRef start_reg_RNIKV5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -460936,16 +458623,16 @@ (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_3_2 (joined + (net channel_debug_01_i_9_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_3 0)) + (portRef (member channel_debug_01_i_9 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -461508,7 +459195,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI8V5Q)) + (portRef C (instanceRef start_reg_RNIKV5Q)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -461637,18 +459324,18 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r7 (joined - (portRef reset_tdc_fast_36_r7) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) + (portRef D (instanceRef proc_finished_4c)) + )) + (net reset_tdc_fast_36_r18 (joined + (portRef reset_tdc_fast_36_r18) (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) - )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -461658,9 +459345,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) @@ -461670,6 +459357,10 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) @@ -462405,11 +460096,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r5 (joined - (portRef reset_tdc_fast_36_r5) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_finished_1c)) - )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -463113,9 +460799,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r3 (joined - (portRef reset_tdc_fast_37_r3) - (portRef D (instanceRef proc_cnt_4_RNO_0)) + (net reset_tdc_fast_37_r9 (joined + (portRef reset_tdc_fast_37_r9) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) @@ -463124,6 +460810,10 @@ (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) + (net reset_tdc_fast_37_r10 (joined + (portRef reset_tdc_fast_37_r10) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -463561,15 +461251,15 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r4 (joined - (portRef reset_tdc_fast_36_r4) + (net reset_tdc_fast_36_r16 (joined + (portRef reset_tdc_fast_36_r16) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) - (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) + (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef C (instanceRef BINARY_CODE_OUTd_0)) @@ -463578,14 +461268,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net un3_binary_code_out_4 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_4)) - )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) + (net un3_binary_code_out_4 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_4)) + )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) @@ -464133,9 +461823,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) - (portRef reset_tdc_91 (instanceRef ROM_Encoder_1)) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) + (portRef reset_tdc_73 (instanceRef ROM_Encoder_1)) )) ) ) @@ -464145,10 +461835,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_91 (direction INPUT)) - (port reset_tdc_90 (direction INPUT)) - (port reset_tdc_89 (direction INPUT)) - (port reset_tdc_88 (direction INPUT)) + (port reset_tdc_73 (direction INPUT)) + (port reset_tdc_72 (direction INPUT)) + (port reset_tdc_71 (direction INPUT)) + (port reset_tdc_70 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -466304,8 +463994,56 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) (portRef CD (instanceRef FF_280)) (portRef CD (instanceRef FF_281)) (portRef CD (instanceRef FF_282)) @@ -466523,108 +464261,6 @@ (portRef S0 (instanceRef GEN_139_ADD)) (portRef D (instanceRef FF_278)) )) - (net reset_tdc_89 (joined - (portRef reset_tdc_89) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - )) (net result_i_278 (joined (portRef Q (instanceRef FF_278)) (portRef (member result_i 25)) @@ -467009,6 +464645,108 @@ (portRef S0 (instanceRef GEN_115_ADD)) (portRef D (instanceRef FF_230)) )) + (net reset_tdc_71 (joined + (portRef reset_tdc_71) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + )) (net result_i_230 (joined (portRef Q (instanceRef FF_230)) (portRef (member result_i 73)) @@ -467417,108 +465155,6 @@ (portRef S1 (instanceRef GEN_89_ADD)) (portRef D (instanceRef FF_179)) )) - (net reset_tdc_90 (joined - (portRef reset_tdc_90) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - )) (net result_i_179 (joined (portRef Q (instanceRef FF_179)) (portRef (member result_i 124)) @@ -467903,6 +465539,108 @@ (portRef S1 (instanceRef GEN_65_ADD)) (portRef D (instanceRef FF_131)) )) + (net reset_tdc_72 (joined + (portRef reset_tdc_72) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + )) (net result_i_131 (joined (portRef Q (instanceRef FF_131)) (portRef (member result_i 172)) @@ -468311,91 +466049,6 @@ (portRef S0 (instanceRef GEN_40_ADD)) (portRef D (instanceRef FF_80)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - )) (net result_i_80 (joined (portRef Q (instanceRef FF_80)) (portRef (member result_i 223)) @@ -468780,6 +466433,43 @@ (portRef S0 (instanceRef GEN_16_ADD)) (portRef D (instanceRef FF_32)) )) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + )) (net result_i_32 (joined (portRef Q (instanceRef FF_32)) (portRef (member result_i 271)) @@ -469965,7 +467655,7 @@ (cell edge_to_pulse_0_6 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -470037,11 +467727,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_18_0 (joined + (net channel_debug_01_i_1_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_18 0)) + (portRef (member channel_debug_01_i_1 0)) )) (net VCC (joined (portRef VCC) @@ -470055,16 +467745,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(18:18)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(2:2)") 1) (direction INPUT)) - (port reset_i_9 (direction INPUT)) - (port reset_i_8 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(1:1)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(2:2)") 1) (direction INPUT)) + (port reset_i_19 (direction INPUT)) + (port reset_i_18 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -470544,8 +468235,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_18_2 (joined - (portRef (member channel_debug_01_i_18 0)) + (net channel_debug_01_i_1_2 (joined + (portRef (member channel_debug_01_i_1 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -470588,11 +468279,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_18 (joined + (net rd_en_i_1 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -471028,9 +468715,10 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -471319,8 +469007,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -471594,28 +469281,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_8 (joined - (portRef reset_i_8) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) + (net reset_i_18 (joined + (portRef reset_i_18) (portRef CD (instanceRef FF_95)) (portRef CD (instanceRef FF_96)) (portRef CD (instanceRef FF_97)) @@ -471657,6 +469324,53 @@ (portRef NC0 (instanceRef w_gctr_4)) (portRef D (instanceRef FF_93)) )) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + )) (net iwcount_9 (joined (portRef NC1 (instanceRef w_gctr_4)) (portRef D (instanceRef FF_92)) @@ -471701,33 +469415,6 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) - (net reset_i_9 (joined - (portRef reset_i_9) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -471956,6 +469643,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -472396,11 +470088,14 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(2:1)") 2) (direction INOUT)) - (port reset_tdc_45 (direction INPUT)) - (port reset_tdc_fast_36_r27 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(2:1)") 2) (direction INOUT)) + (port reset_tdc_97 (direction INPUT)) + (port reset_tdc_fast_36_r0 (direction INPUT)) + (port reset_tdc_fast_37_r2 (direction INPUT)) + (port reset_tdc_fast_37_r1 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_36_r1 (direction INPUT)) + (port reset_tdc_fast_36_r3 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -472769,7 +470464,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI0RVJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI4V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -472814,10 +470509,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -472839,30 +470534,27 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) - ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) @@ -472872,6 +470564,9 @@ (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -473106,7 +470801,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -473323,10 +471018,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -473387,7 +471082,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -473561,10 +471256,10 @@ (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -473781,7 +471476,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI0RVJ)) + (portRef A (instanceRef start_reg_RNI4V5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -474022,8 +471717,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -474034,8 +471729,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -474208,8 +471903,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -474241,8 +471936,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -475089,8 +472784,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI0RVJ (joined - (portRef Z (instanceRef start_reg_RNI0RVJ)) + (net start_reg_RNI4V5Q (joined + (portRef Z (instanceRef start_reg_RNI4V5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -475135,8 +472830,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_18_1 (joined - (portRef (member channel_debug_01_i_18 1)) + (net channel_debug_01_i_1_1 (joined + (portRef (member channel_debug_01_i_1 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -475179,7 +472874,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI0RVJ)) + (portRef B (instanceRef start_reg_RNI4V5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -475198,8 +472893,8 @@ (portRef C (instanceRef BINARY_CODE_OUTd_9)) (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) @@ -475207,9 +472902,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_18_2 (joined + (net channel_debug_01_i_1_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_18 0)) + (portRef (member channel_debug_01_i_1 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -475365,8 +473060,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -475620,8 +473315,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -475770,10 +473465,6 @@ (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_1_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI0RVJ)) - )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_0)) @@ -475799,8 +473490,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -475871,14 +473562,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_23_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -475901,15 +473592,16 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) + (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) - (portRef D (instanceRef proc_finished_1c)) + (portRef C (instanceRef start_reg_RNI4V5Q)) + )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -475919,14 +473611,6 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) @@ -475939,6 +473623,10 @@ (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net interval_reg_41_14_am_23_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -476670,6 +474358,16 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net reset_tdc_fast_36_r1 (joined + (portRef reset_tdc_fast_36_r1) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) + )) + (net reset_tdc_fast_36_r3 (joined + (portRef reset_tdc_fast_36_r3) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_finished_4c)) + )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -477365,26 +475063,32 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) - )) (net mux_control_6_5_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) + (net mux_control_6_5_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) + )) + (net reset_tdc_fast_37_r1 (joined + (portRef reset_tdc_fast_37_r1) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) + )) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) + (net reset_tdc_fast_37_r2 (joined + (portRef reset_tdc_fast_37_r2) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -477822,13 +475526,13 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r27 (joined - (portRef reset_tdc_fast_36_r27) + (net reset_tdc_fast_36_r0 (joined + (portRef reset_tdc_fast_36_r0) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) - (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) + (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) @@ -477847,14 +475551,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_4)) )) - (net un3_binary_code_out_6 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_6)) - )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) + (net un3_binary_code_out_6 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_6)) + )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) @@ -478394,9 +476098,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) - (portRef reset_tdc_45 (instanceRef ROM_Encoder_1)) + (net reset_tdc_97 (joined + (portRef reset_tdc_97) + (portRef reset_tdc_97 (instanceRef ROM_Encoder_1)) )) ) ) @@ -478406,10 +476110,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_45 (direction INPUT)) - (port reset_tdc_44 (direction INPUT)) - (port reset_tdc_43 (direction INPUT)) - (port reset_tdc_42 (direction INPUT)) + (port reset_tdc_97 (direction INPUT)) + (port reset_tdc_96 (direction INPUT)) + (port reset_tdc_95 (direction INPUT)) + (port reset_tdc_94 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -480565,8 +478269,160 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) + (portRef CD (instanceRef FF_296)) + (portRef CD (instanceRef FF_297)) + (portRef CD (instanceRef FF_298)) + (portRef CD (instanceRef FF_299)) + (portRef CD (instanceRef FF_300)) + (portRef CD (instanceRef FF_301)) + (portRef CD (instanceRef FF_302)) + (portRef CD (instanceRef FF_303)) + )) + (net result_i_302 (joined + (portRef Q (instanceRef FF_302)) + (portRef (member result_i 1)) + )) + (net tsum_301 (joined + (portRef S1 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_301)) + )) + (net result_i_301 (joined + (portRef Q (instanceRef FF_301)) + (portRef (member result_i 2)) + )) + (net tsum_300 (joined + (portRef S0 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_300)) + )) + (net result_i_300 (joined + (portRef Q (instanceRef FF_300)) + (portRef (member result_i 3)) + )) + (net tsum_299 (joined + (portRef S1 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_299)) + )) + (net result_i_299 (joined + (portRef Q (instanceRef FF_299)) + (portRef (member result_i 4)) + )) + (net tsum_298 (joined + (portRef S0 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_298)) + )) + (net result_i_298 (joined + (portRef Q (instanceRef FF_298)) + (portRef (member result_i 5)) + )) + (net tsum_297 (joined + (portRef S1 (instanceRef GEN_148_ADD)) + (portRef D (instanceRef FF_297)) + )) + (net result_i_297 (joined + (portRef Q (instanceRef FF_297)) + (portRef (member result_i 6)) + )) + (net tsum_296 (joined + (portRef S0 (instanceRef GEN_148_ADD)) + (portRef D (instanceRef FF_296)) + )) + (net result_i_296 (joined + (portRef Q (instanceRef FF_296)) + (portRef (member result_i 7)) + )) + (net tsum_295 (joined + (portRef S1 (instanceRef GEN_147_ADD)) + (portRef D (instanceRef FF_295)) + )) + (net result_i_295 (joined + (portRef Q (instanceRef FF_295)) + (portRef (member result_i 8)) + )) + (net tsum_294 (joined + (portRef S0 (instanceRef GEN_147_ADD)) + (portRef D (instanceRef FF_294)) + )) + (net reset_tdc_95 (joined + (portRef reset_tdc_95) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) (portRef CD (instanceRef FF_274)) (portRef CD (instanceRef FF_275)) (portRef CD (instanceRef FF_276)) @@ -480589,78 +478445,6 @@ (portRef CD (instanceRef FF_293)) (portRef CD (instanceRef FF_294)) (portRef CD (instanceRef FF_295)) - (portRef CD (instanceRef FF_296)) - (portRef CD (instanceRef FF_297)) - (portRef CD (instanceRef FF_298)) - (portRef CD (instanceRef FF_299)) - (portRef CD (instanceRef FF_300)) - (portRef CD (instanceRef FF_301)) - (portRef CD (instanceRef FF_302)) - (portRef CD (instanceRef FF_303)) - )) - (net result_i_302 (joined - (portRef Q (instanceRef FF_302)) - (portRef (member result_i 1)) - )) - (net tsum_301 (joined - (portRef S1 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_301)) - )) - (net result_i_301 (joined - (portRef Q (instanceRef FF_301)) - (portRef (member result_i 2)) - )) - (net tsum_300 (joined - (portRef S0 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_300)) - )) - (net result_i_300 (joined - (portRef Q (instanceRef FF_300)) - (portRef (member result_i 3)) - )) - (net tsum_299 (joined - (portRef S1 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_299)) - )) - (net result_i_299 (joined - (portRef Q (instanceRef FF_299)) - (portRef (member result_i 4)) - )) - (net tsum_298 (joined - (portRef S0 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_298)) - )) - (net result_i_298 (joined - (portRef Q (instanceRef FF_298)) - (portRef (member result_i 5)) - )) - (net tsum_297 (joined - (portRef S1 (instanceRef GEN_148_ADD)) - (portRef D (instanceRef FF_297)) - )) - (net result_i_297 (joined - (portRef Q (instanceRef FF_297)) - (portRef (member result_i 6)) - )) - (net tsum_296 (joined - (portRef S0 (instanceRef GEN_148_ADD)) - (portRef D (instanceRef FF_296)) - )) - (net result_i_296 (joined - (portRef Q (instanceRef FF_296)) - (portRef (member result_i 7)) - )) - (net tsum_295 (joined - (portRef S1 (instanceRef GEN_147_ADD)) - (portRef D (instanceRef FF_295)) - )) - (net result_i_295 (joined - (portRef Q (instanceRef FF_295)) - (portRef (member result_i 8)) - )) - (net tsum_294 (joined - (portRef S0 (instanceRef GEN_147_ADD)) - (portRef D (instanceRef FF_294)) )) (net result_i_294 (joined (portRef Q (instanceRef FF_294)) @@ -480838,109 +478622,6 @@ (portRef S0 (instanceRef GEN_136_ADD)) (portRef D (instanceRef FF_272)) )) - (net reset_tdc_43 (joined - (portRef reset_tdc_43) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - )) (net result_i_272 (joined (portRef Q (instanceRef FF_272)) (portRef (member result_i 31)) @@ -481557,6 +479238,108 @@ (portRef S1 (instanceRef GEN_97_ADD)) (portRef D (instanceRef FF_195)) )) + (net reset_tdc_96 (joined + (portRef reset_tdc_96) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + )) (net result_i_195 (joined (portRef Q (instanceRef FF_195)) (portRef (member result_i 108)) @@ -481741,109 +479524,6 @@ (portRef S0 (instanceRef GEN_86_ADD)) (portRef D (instanceRef FF_172)) )) - (net reset_tdc_44 (joined - (portRef reset_tdc_44) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - )) (net result_i_172 (joined (portRef Q (instanceRef FF_172)) (portRef (member result_i 131)) @@ -482452,6 +480132,107 @@ (portRef S0 (instanceRef GEN_48_ADD)) (portRef D (instanceRef FF_96)) )) + (net reset_tdc_97 (joined + (portRef reset_tdc_97) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + )) (net result_i_96 (joined (portRef Q (instanceRef FF_96)) (portRef (member result_i 207)) @@ -482644,83 +480425,6 @@ (portRef S0 (instanceRef GEN_36_ADD)) (portRef D (instanceRef FF_72)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - )) (net result_i_72 (joined (portRef Q (instanceRef FF_72)) (portRef (member result_i 231)) @@ -484318,7 +482022,7 @@ (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) (port (array (rename rd_en_i "rd_en_i(6:6)") 1) (direction INPUT)) (port (array (rename channel_debug_01_i_6 "channel_debug_01_i_6(2:2)") 1) (direction INPUT)) - (port reset_i_15 (direction INPUT)) + (port reset_i_16 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -485851,8 +483555,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_15 (joined - (portRef reset_i_15) + (net reset_i_16 (joined + (portRef reset_i_16) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -486657,11 +484361,13 @@ (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) (port (array (rename channel_debug_01_i_6 "channel_debug_01_i_6(2:1)") 2) (direction INOUT)) (port reset_tdc_82 (direction INPUT)) - (port reset_tdc_fast_36_r10 (direction INPUT)) - (port reset_tdc_fast_37_r7 (direction INPUT)) + (port reset_tdc_fast_36_r9 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) (port reset_tdc_fast_37_r6 (direction INPUT)) + (port reset_tdc_fast_37_r7 (direction INPUT)) + (port reset_tdc_fast_36_r10 (direction INPUT)) (port reset_tdc_fast_36_r11 (direction INPUT)) - (port reset_tdc_fast_36_r13 (direction INPUT)) + (port reset_tdc_fast_36_r15 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -487112,14 +484818,17 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) @@ -487130,9 +484839,6 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) - ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -490031,10 +487737,6 @@ (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_1_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIEV5Q)) - )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_0)) @@ -490162,18 +487864,28 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r13 (joined - (portRef reset_tdc_fast_36_r13) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_finished_2c)) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) (portRef D (instanceRef proc_finished_4c)) + (portRef C (instanceRef start_reg_RNIEV5Q)) + )) + (net reset_tdc_fast_36_r15 (joined + (portRef reset_tdc_fast_36_r15) + (portRef D (instanceRef proc_finished_3c)) )) (net reset_tdc_fast_36_r11 (joined (portRef reset_tdc_fast_36_r11) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) - (portRef D (instanceRef proc_finished_3c)) + (portRef D (instanceRef proc_finished_2c)) + )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -490183,9 +487895,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) @@ -490199,10 +487911,6 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net interval_reg_41_14_am_24_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -491637,20 +489345,19 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r6 (joined - (portRef reset_tdc_fast_37_r6) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) )) (net reset_tdc_fast_37_r7 (joined (portRef reset_tdc_fast_37_r7) - (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + )) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef D (instanceRef proc_cnt_4_RNO_0)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -491764,6 +489471,11 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_61_7)) (portRef D1 (instanceRef Interval_Selection_interval_reg_41_62_7)) )) + (net reset_tdc_fast_37_r6 (joined + (portRef reset_tdc_fast_37_r6) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + )) (net mux_control_6_0_10_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -492089,8 +489801,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r10 (joined - (portRef reset_tdc_fast_36_r10) + (net reset_tdc_fast_36_r9 (joined + (portRef reset_tdc_fast_36_r9) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -498493,7 +496205,7 @@ (cell edge_to_pulse_0_4 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -498565,11 +496277,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_1_0 (joined + (net channel_debug_01_i_18_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_1 0)) + (portRef (member channel_debug_01_i_18 0)) )) (net VCC (joined (portRef VCC) @@ -498583,17 +496295,16 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(1:1)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(2:2)") 1) (direction INPUT)) - (port reset_i_18 (direction INPUT)) - (port reset_i_17 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(18:18)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(2:2)") 1) (direction INPUT)) + (port reset_i_10 (direction INPUT)) + (port reset_i_9 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) - (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -499073,8 +496784,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_1_2 (joined - (portRef (member channel_debug_01_i_1 0)) + (net channel_debug_01_i_18_2 (joined + (portRef (member channel_debug_01_i_18 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -499117,7 +496828,11 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net rd_en_i_1 (joined + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + )) + (net rd_en_i_18 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -499553,10 +497268,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -499845,7 +497559,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -500119,8 +497834,28 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_17 (joined - (portRef reset_i_17) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) (portRef CD (instanceRef FF_95)) (portRef CD (instanceRef FF_96)) (portRef CD (instanceRef FF_97)) @@ -500162,53 +497897,6 @@ (portRef NC0 (instanceRef w_gctr_4)) (portRef D (instanceRef FF_93)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - )) (net iwcount_9 (joined (portRef NC1 (instanceRef w_gctr_4)) (portRef D (instanceRef FF_92)) @@ -500253,6 +497941,33 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) + (net reset_i_10 (joined + (portRef reset_i_10) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -500481,11 +498196,6 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - (portRef fifo_full_i) - )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -500926,13 +498636,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(2:1)") 2) (direction INOUT)) - (port reset_tdc_97 (direction INPUT)) - (port reset_tdc_fast_36_r0 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_37_r1 (direction INPUT)) - (port reset_tdc_fast_36_r2 (direction INPUT)) - (port reset_tdc_fast_36_r1 (direction INPUT)) + (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(2:1)") 2) (direction INOUT)) + (port reset_tdc_45 (direction INPUT)) + (port reset_tdc_fast_36_r27 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -501301,7 +499009,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI4V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI0RVJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -501392,16 +499100,16 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -501635,10 +499343,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -501919,7 +499627,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -502313,7 +500021,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI4V5Q)) + (portRef A (instanceRef start_reg_RNI0RVJ)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -502554,8 +500262,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -502578,8 +500286,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -502740,8 +500448,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -502806,8 +500514,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -503621,8 +501329,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI4V5Q (joined - (portRef Z (instanceRef start_reg_RNI4V5Q)) + (net start_reg_RNI0RVJ (joined + (portRef Z (instanceRef start_reg_RNI0RVJ)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -503667,8 +501375,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_1_1 (joined - (portRef (member channel_debug_01_i_1 1)) + (net channel_debug_01_i_18_1 (joined + (portRef (member channel_debug_01_i_18 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -503711,7 +501419,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI4V5Q)) + (portRef B (instanceRef start_reg_RNI0RVJ)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -503739,9 +501447,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_1_2 (joined + (net channel_debug_01_i_18_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_1 0)) + (portRef (member channel_debug_01_i_18 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -504302,6 +502010,10 @@ (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_1_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) + (portRef C (instanceRef start_reg_RNI0RVJ)) + )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_0)) @@ -504429,13 +502141,15 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) - (portRef C (instanceRef start_reg_RNI4V5Q)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -504449,14 +502163,6 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) @@ -504465,6 +502171,14 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) (net interval_reg_41_14_am_25_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -505196,13 +502910,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r2 (joined - (portRef reset_tdc_fast_36_r2) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -505906,22 +503613,17 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_fast_36_r1 (joined - (portRef reset_tdc_fast_36_r1) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) (portRef D (instanceRef proc_cnt_1_4_iv_2)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - )) - (net reset_tdc_fast_37_r1 (joined - (portRef reset_tdc_fast_37_r1) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -506360,8 +504062,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r0 (joined - (portRef reset_tdc_fast_36_r0) + (net reset_tdc_fast_36_r27 (joined + (portRef reset_tdc_fast_36_r27) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -506932,9 +504634,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_97 (joined - (portRef reset_tdc_97) - (portRef reset_tdc_97 (instanceRef ROM_Encoder_1)) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) + (portRef reset_tdc_45 (instanceRef ROM_Encoder_1)) )) ) ) @@ -506944,10 +504646,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_97 (direction INPUT)) - (port reset_tdc_96 (direction INPUT)) - (port reset_tdc_95 (direction INPUT)) - (port reset_tdc_94 (direction INPUT)) + (port reset_tdc_45 (direction INPUT)) + (port reset_tdc_44 (direction INPUT)) + (port reset_tdc_43 (direction INPUT)) + (port reset_tdc_42 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -509103,8 +506805,30 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + (portRef CD (instanceRef FF_288)) + (portRef CD (instanceRef FF_289)) + (portRef CD (instanceRef FF_290)) + (portRef CD (instanceRef FF_291)) + (portRef CD (instanceRef FF_292)) + (portRef CD (instanceRef FF_293)) + (portRef CD (instanceRef FF_294)) + (portRef CD (instanceRef FF_295)) (portRef CD (instanceRef FF_296)) (portRef CD (instanceRef FF_297)) (portRef CD (instanceRef FF_298)) @@ -509178,108 +506902,6 @@ (portRef S0 (instanceRef GEN_147_ADD)) (portRef D (instanceRef FF_294)) )) - (net reset_tdc_95 (joined - (portRef reset_tdc_95) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - (portRef CD (instanceRef FF_288)) - (portRef CD (instanceRef FF_289)) - (portRef CD (instanceRef FF_290)) - (portRef CD (instanceRef FF_291)) - (portRef CD (instanceRef FF_292)) - (portRef CD (instanceRef FF_293)) - (portRef CD (instanceRef FF_294)) - (portRef CD (instanceRef FF_295)) - )) (net result_i_294 (joined (portRef Q (instanceRef FF_294)) (portRef (member result_i 9)) @@ -509456,6 +507078,109 @@ (portRef S0 (instanceRef GEN_136_ADD)) (portRef D (instanceRef FF_272)) )) + (net reset_tdc_43 (joined + (portRef reset_tdc_43) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + )) (net result_i_272 (joined (portRef Q (instanceRef FF_272)) (portRef (member result_i 31)) @@ -510072,108 +507797,6 @@ (portRef S1 (instanceRef GEN_97_ADD)) (portRef D (instanceRef FF_195)) )) - (net reset_tdc_96 (joined - (portRef reset_tdc_96) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - )) (net result_i_195 (joined (portRef Q (instanceRef FF_195)) (portRef (member result_i 108)) @@ -510358,6 +507981,109 @@ (portRef S0 (instanceRef GEN_86_ADD)) (portRef D (instanceRef FF_172)) )) + (net reset_tdc_44 (joined + (portRef reset_tdc_44) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + )) (net result_i_172 (joined (portRef Q (instanceRef FF_172)) (portRef (member result_i 131)) @@ -510966,107 +508692,6 @@ (portRef S0 (instanceRef GEN_48_ADD)) (portRef D (instanceRef FF_96)) )) - (net reset_tdc_97 (joined - (portRef reset_tdc_97) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - )) (net result_i_96 (joined (portRef Q (instanceRef FF_96)) (portRef (member result_i 207)) @@ -511259,6 +508884,83 @@ (portRef S0 (instanceRef GEN_36_ADD)) (portRef D (instanceRef FF_72)) )) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + )) (net result_i_72 (joined (portRef Q (instanceRef FF_72)) (portRef (member result_i 231)) @@ -512443,320 +510145,2630 @@ (portRef COUT (instanceRef GEN_16_ADD)) (portRef CI (instanceRef GEN_17_ADD)) )) - (net co_107 (joined - (portRef COUT (instanceRef GEN_107_ADD)) - (portRef CI (instanceRef GEN_108_ADD)) + (net co_107 (joined + (portRef COUT (instanceRef GEN_107_ADD)) + (portRef CI (instanceRef GEN_108_ADD)) + )) + (net co_77 (joined + (portRef COUT (instanceRef GEN_77_ADD)) + (portRef CI (instanceRef GEN_78_ADD)) + )) + (net co_47 (joined + (portRef COUT (instanceRef GEN_47_ADD)) + (portRef CI (instanceRef GEN_48_ADD)) + )) + (net co_17 (joined + (portRef COUT (instanceRef GEN_17_ADD)) + (portRef CI (instanceRef GEN_18_ADD)) + )) + (net co_108 (joined + (portRef COUT (instanceRef GEN_108_ADD)) + (portRef CI (instanceRef GEN_109_ADD)) + )) + (net co_78 (joined + (portRef COUT (instanceRef GEN_78_ADD)) + (portRef CI (instanceRef GEN_79_ADD)) + )) + (net co_48 (joined + (portRef COUT (instanceRef GEN_48_ADD)) + (portRef CI (instanceRef GEN_49_ADD)) + )) + (net co_18 (joined + (portRef COUT (instanceRef GEN_18_ADD)) + (portRef CI (instanceRef GEN_19_ADD)) + )) + (net co_109 (joined + (portRef COUT (instanceRef GEN_109_ADD)) + (portRef CI (instanceRef GEN_110_ADD)) + )) + (net co_49 (joined + (portRef COUT (instanceRef GEN_49_ADD)) + (portRef CI (instanceRef GEN_50_ADD)) + )) + (net co_19 (joined + (portRef COUT (instanceRef GEN_19_ADD)) + (portRef CI (instanceRef GEN_20_ADD)) + )) + (net co_110 (joined + (portRef COUT (instanceRef GEN_110_ADD)) + (portRef CI (instanceRef GEN_111_ADD)) + )) + (net co_79 (joined + (portRef COUT (instanceRef GEN_79_ADD)) + (portRef CI (instanceRef GEN_80_ADD)) + )) + (net co_80 (joined + (portRef COUT (instanceRef GEN_80_ADD)) + (portRef CI (instanceRef GEN_81_ADD)) + )) + (net co_20 (joined + (portRef COUT (instanceRef GEN_20_ADD)) + (portRef CI (instanceRef GEN_21_ADD)) + )) + (net co_111 (joined + (portRef COUT (instanceRef GEN_111_ADD)) + (portRef CI (instanceRef GEN_112_ADD)) + )) + (net co_81 (joined + (portRef COUT (instanceRef GEN_81_ADD)) + (portRef CI (instanceRef GEN_82_ADD)) + )) + (net co_21 (joined + (portRef COUT (instanceRef GEN_21_ADD)) + (portRef CI (instanceRef GEN_22_ADD)) + )) + (net co_112 (joined + (portRef COUT (instanceRef GEN_112_ADD)) + (portRef CI (instanceRef GEN_113_ADD)) + )) + (net co_82 (joined + (portRef COUT (instanceRef GEN_82_ADD)) + (portRef CI (instanceRef GEN_83_ADD)) + )) + (net co_52 (joined + (portRef COUT (instanceRef GEN_52_ADD)) + (portRef CI (instanceRef GEN_53_ADD)) + )) + (net co_22 (joined + (portRef COUT (instanceRef GEN_22_ADD)) + (portRef CI (instanceRef GEN_23_ADD)) + )) + (net co_113 (joined + (portRef COUT (instanceRef GEN_113_ADD)) + (portRef CI (instanceRef GEN_114_ADD)) + )) + (net co_83 (joined + (portRef COUT (instanceRef GEN_83_ADD)) + (portRef CI (instanceRef GEN_84_ADD)) + )) + (net co_53 (joined + (portRef COUT (instanceRef GEN_53_ADD)) + (portRef CI (instanceRef GEN_54_ADD)) + )) + (net co_114 (joined + (portRef COUT (instanceRef GEN_114_ADD)) + (portRef CI (instanceRef GEN_115_ADD)) + )) + (net co_84 (joined + (portRef COUT (instanceRef GEN_84_ADD)) + (portRef CI (instanceRef GEN_85_ADD)) + )) + (net co_54 (joined + (portRef COUT (instanceRef GEN_54_ADD)) + (portRef CI (instanceRef GEN_55_ADD)) + )) + (net co_115 (joined + (portRef COUT (instanceRef GEN_115_ADD)) + (portRef CI (instanceRef GEN_116_ADD)) + )) + (net co_85 (joined + (portRef COUT (instanceRef GEN_85_ADD)) + (portRef CI (instanceRef GEN_86_ADD)) + )) + (net co_55 (joined + (portRef COUT (instanceRef GEN_55_ADD)) + (portRef CI (instanceRef GEN_56_ADD)) + )) + (net co_25 (joined + (portRef COUT (instanceRef GEN_25_ADD)) + (portRef CI (instanceRef GEN_26_ADD)) + )) + (net co_116 (joined + (portRef COUT (instanceRef GEN_116_ADD)) + (portRef CI (instanceRef GEN_117_ADD)) + )) + (net co_86 (joined + (portRef COUT (instanceRef GEN_86_ADD)) + (portRef CI (instanceRef GEN_87_ADD)) + )) + (net co_56 (joined + (portRef COUT (instanceRef GEN_56_ADD)) + (portRef CI (instanceRef GEN_57_ADD)) + )) + (net co_26 (joined + (portRef COUT (instanceRef GEN_26_ADD)) + (portRef CI (instanceRef GEN_27_ADD)) + )) + (net co_87 (joined + (portRef COUT (instanceRef GEN_87_ADD)) + (portRef CI (instanceRef GEN_88_ADD)) + )) + (net co_57 (joined + (portRef COUT (instanceRef GEN_57_ADD)) + (portRef CI (instanceRef GEN_58_ADD)) + )) + (net co_27 (joined + (portRef COUT (instanceRef GEN_27_ADD)) + (portRef CI (instanceRef GEN_28_ADD)) + )) + (net co_58 (joined + (portRef COUT (instanceRef GEN_58_ADD)) + (portRef CI (instanceRef GEN_59_ADD)) + )) + (net co_28 (joined + (portRef COUT (instanceRef GEN_28_ADD)) + (portRef CI (instanceRef GEN_29_ADD)) + )) + (net co_1 (joined + (portRef COUT (instanceRef GEN_1_ADD)) + (portRef CI (instanceRef GEN_2_ADD)) + )) + (net co_59 (joined + (portRef COUT (instanceRef GEN_59_ADD)) + (portRef CI (instanceRef GEN_60_ADD)) + )) + (net co_29 (joined + (portRef COUT (instanceRef GEN_29_ADD)) + (portRef CI (instanceRef GEN_30_ADD)) + )) + (net co_120 (joined + (portRef COUT (instanceRef GEN_120_ADD)) + (portRef CI (instanceRef GEN_121_ADD)) + )) + (net co_90 (joined + (portRef COUT (instanceRef GEN_90_ADD)) + (portRef CI (instanceRef GEN_91_ADD)) + )) + (net co_60 (joined + (portRef COUT (instanceRef GEN_60_ADD)) + (portRef CI (instanceRef GEN_61_ADD)) + )) + (net co_30 (joined + (portRef COUT (instanceRef GEN_30_ADD)) + (portRef CI (instanceRef GEN_31_ADD)) + )) + (net co_121 (joined + (portRef COUT (instanceRef GEN_121_ADD)) + (portRef CI (instanceRef GEN_122_ADD)) + )) + (net co_134 (joined + (portRef COUT (instanceRef GEN_134_ADD)) + (portRef CI (instanceRef GEN_135_ADD)) + )) + (net co_135 (joined + (portRef COUT (instanceRef GEN_135_ADD)) + (portRef CI (instanceRef GEN_136_ADD)) + )) + (net co_131 (joined + (portRef COUT (instanceRef GEN_131_ADD)) + (portRef CI (instanceRef GEN_132_ADD)) + )) + (net co_132 (joined + (portRef COUT (instanceRef GEN_132_ADD)) + (portRef CI (instanceRef GEN_133_ADD)) + )) + (net co_128 (joined + (portRef COUT (instanceRef GEN_128_ADD)) + (portRef CI (instanceRef GEN_129_ADD)) + )) + (net co_129 (joined + (portRef COUT (instanceRef GEN_129_ADD)) + (portRef CI (instanceRef GEN_130_ADD)) + )) + (net co_125 (joined + (portRef COUT (instanceRef GEN_125_ADD)) + (portRef CI (instanceRef GEN_126_ADD)) + )) + (net co_126 (joined + (portRef COUT (instanceRef GEN_126_ADD)) + (portRef CI (instanceRef GEN_127_ADD)) + )) + (net co_122 (joined + (portRef COUT (instanceRef GEN_122_ADD)) + (portRef CI (instanceRef GEN_123_ADD)) + )) + (net co_133 (joined + (portRef COUT (instanceRef GEN_133_ADD)) + (portRef CI (instanceRef GEN_134_ADD)) + )) + (net co_130 (joined + (portRef COUT (instanceRef GEN_130_ADD)) + (portRef CI (instanceRef GEN_131_ADD)) + )) + (net co_127 (joined + (portRef COUT (instanceRef GEN_127_ADD)) + (portRef CI (instanceRef GEN_128_ADD)) + )) + (net co_123 (joined + (portRef COUT (instanceRef GEN_123_ADD)) + (portRef CI (instanceRef GEN_124_ADD)) + )) + (net co_124 (joined + (portRef COUT (instanceRef GEN_124_ADD)) + (portRef CI (instanceRef GEN_125_ADD)) + )) + (net co_147 (joined + (portRef COUT (instanceRef GEN_147_ADD)) + (portRef CI (instanceRef GEN_148_ADD)) + )) + (net co_148 (joined + (portRef COUT (instanceRef GEN_148_ADD)) + (portRef CI (instanceRef GEN_149_ADD)) + )) + (net co_144 (joined + (portRef COUT (instanceRef GEN_144_ADD)) + (portRef CI (instanceRef GEN_145_ADD)) + )) + (net co_145 (joined + (portRef COUT (instanceRef GEN_145_ADD)) + (portRef CI (instanceRef GEN_146_ADD)) + )) + (net co_141 (joined + (portRef COUT (instanceRef GEN_141_ADD)) + (portRef CI (instanceRef GEN_142_ADD)) + )) + (net co_142 (joined + (portRef COUT (instanceRef GEN_142_ADD)) + (portRef CI (instanceRef GEN_143_ADD)) + )) + (net co_138 (joined + (portRef COUT (instanceRef GEN_138_ADD)) + (portRef CI (instanceRef GEN_139_ADD)) + )) + (net co_139 (joined + (portRef COUT (instanceRef GEN_139_ADD)) + (portRef CI (instanceRef GEN_140_ADD)) + )) + (net co_146 (joined + (portRef COUT (instanceRef GEN_146_ADD)) + (portRef CI (instanceRef GEN_147_ADD)) + )) + (net co_143 (joined + (portRef COUT (instanceRef GEN_143_ADD)) + (portRef CI (instanceRef GEN_144_ADD)) + )) + (net co_140 (joined + (portRef COUT (instanceRef GEN_140_ADD)) + (portRef CI (instanceRef GEN_141_ADD)) + )) + (net co_136 (joined + (portRef COUT (instanceRef GEN_136_ADD)) + (portRef CI (instanceRef GEN_137_ADD)) + )) + (net co_137 (joined + (portRef COUT (instanceRef GEN_137_ADD)) + (portRef CI (instanceRef GEN_138_ADD)) + )) + (net co_149 (joined + (portRef COUT (instanceRef GEN_149_ADD)) + (portRef CI (instanceRef GEN_150_ADD)) + )) + (net co_150 (joined + (portRef COUT (instanceRef GEN_150_ADD)) + (portRef CI (instanceRef GEN_151_ADD)) + )) + (net co_151 (joined + (portRef COUT (instanceRef GEN_151_ADD)) + )) + ) + ) + ) + (cell signal_sync_24_3_11 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename measurement_cntr "measurement_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(535:512)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member measurement_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member measurement_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) + )) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member measurement_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member measurement_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member measurement_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member measurement_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member measurement_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member measurement_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member measurement_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member measurement_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member measurement_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member measurement_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member measurement_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member measurement_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member measurement_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member measurement_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member measurement_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member measurement_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member measurement_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member measurement_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member measurement_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member measurement_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member measurement_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member measurement_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + )) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) )) - (net co_77 (joined - (portRef COUT (instanceRef GEN_77_ADD)) - (portRef CI (instanceRef GEN_78_ADD)) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) )) - (net co_47 (joined - (portRef COUT (instanceRef GEN_47_ADD)) - (portRef CI (instanceRef GEN_48_ADD)) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) )) - (net co_17 (joined - (portRef COUT (instanceRef GEN_17_ADD)) - (portRef CI (instanceRef GEN_18_ADD)) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) )) - (net co_108 (joined - (portRef COUT (instanceRef GEN_108_ADD)) - (portRef CI (instanceRef GEN_109_ADD)) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) )) - (net co_78 (joined - (portRef COUT (instanceRef GEN_78_ADD)) - (portRef CI (instanceRef GEN_79_ADD)) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) )) - (net co_48 (joined - (portRef COUT (instanceRef GEN_48_ADD)) - (portRef CI (instanceRef GEN_49_ADD)) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) )) - (net co_18 (joined - (portRef COUT (instanceRef GEN_18_ADD)) - (portRef CI (instanceRef GEN_19_ADD)) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) )) - (net co_109 (joined - (portRef COUT (instanceRef GEN_109_ADD)) - (portRef CI (instanceRef GEN_110_ADD)) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) )) - (net co_49 (joined - (portRef COUT (instanceRef GEN_49_ADD)) - (portRef CI (instanceRef GEN_50_ADD)) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) )) - (net co_19 (joined - (portRef COUT (instanceRef GEN_19_ADD)) - (portRef CI (instanceRef GEN_20_ADD)) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) )) - (net co_110 (joined - (portRef COUT (instanceRef GEN_110_ADD)) - (portRef CI (instanceRef GEN_111_ADD)) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) )) - (net co_79 (joined - (portRef COUT (instanceRef GEN_79_ADD)) - (portRef CI (instanceRef GEN_80_ADD)) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) )) - (net co_80 (joined - (portRef COUT (instanceRef GEN_80_ADD)) - (portRef CI (instanceRef GEN_81_ADD)) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) )) - (net co_20 (joined - (portRef COUT (instanceRef GEN_20_ADD)) - (portRef CI (instanceRef GEN_21_ADD)) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) )) - (net co_111 (joined - (portRef COUT (instanceRef GEN_111_ADD)) - (portRef CI (instanceRef GEN_112_ADD)) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) )) - (net co_81 (joined - (portRef COUT (instanceRef GEN_81_ADD)) - (portRef CI (instanceRef GEN_82_ADD)) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) )) - (net co_21 (joined - (portRef COUT (instanceRef GEN_21_ADD)) - (portRef CI (instanceRef GEN_22_ADD)) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) )) - (net co_112 (joined - (portRef COUT (instanceRef GEN_112_ADD)) - (portRef CI (instanceRef GEN_113_ADD)) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) )) - (net co_82 (joined - (portRef COUT (instanceRef GEN_82_ADD)) - (portRef CI (instanceRef GEN_83_ADD)) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) )) - (net co_52 (joined - (portRef COUT (instanceRef GEN_52_ADD)) - (portRef CI (instanceRef GEN_53_ADD)) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) )) - (net co_22 (joined - (portRef COUT (instanceRef GEN_22_ADD)) - (portRef CI (instanceRef GEN_23_ADD)) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) )) - (net co_113 (joined - (portRef COUT (instanceRef GEN_113_ADD)) - (portRef CI (instanceRef GEN_114_ADD)) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) )) - (net co_83 (joined - (portRef COUT (instanceRef GEN_83_ADD)) - (portRef CI (instanceRef GEN_84_ADD)) + ) + ) + ) + (cell signal_sync_24_3_10 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename encoder_start_cntr "encoder_start_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(567:544)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member encoder_start_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member encoder_start_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) )) - (net co_53 (joined - (portRef COUT (instanceRef GEN_53_ADD)) - (portRef CI (instanceRef GEN_54_ADD)) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) )) - (net co_114 (joined - (portRef COUT (instanceRef GEN_114_ADD)) - (portRef CI (instanceRef GEN_115_ADD)) + (net VCC (joined + (portRef VCC) )) - (net co_84 (joined - (portRef COUT (instanceRef GEN_84_ADD)) - (portRef CI (instanceRef GEN_85_ADD)) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member encoder_start_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member encoder_start_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member encoder_start_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member encoder_start_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member encoder_start_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member encoder_start_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member encoder_start_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member encoder_start_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member encoder_start_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member encoder_start_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member encoder_start_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member encoder_start_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member encoder_start_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member encoder_start_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member encoder_start_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member encoder_start_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member encoder_start_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member encoder_start_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member encoder_start_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member encoder_start_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member encoder_start_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member encoder_start_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) )) - (net co_54 (joined - (portRef COUT (instanceRef GEN_54_ADD)) - (portRef CI (instanceRef GEN_55_ADD)) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) )) - (net co_115 (joined - (portRef COUT (instanceRef GEN_115_ADD)) - (portRef CI (instanceRef GEN_116_ADD)) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) )) - (net co_85 (joined - (portRef COUT (instanceRef GEN_85_ADD)) - (portRef CI (instanceRef GEN_86_ADD)) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) )) - (net co_55 (joined - (portRef COUT (instanceRef GEN_55_ADD)) - (portRef CI (instanceRef GEN_56_ADD)) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) )) - (net co_25 (joined - (portRef COUT (instanceRef GEN_25_ADD)) - (portRef CI (instanceRef GEN_26_ADD)) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) )) - (net co_116 (joined - (portRef COUT (instanceRef GEN_116_ADD)) - (portRef CI (instanceRef GEN_117_ADD)) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) )) - (net co_86 (joined - (portRef COUT (instanceRef GEN_86_ADD)) - (portRef CI (instanceRef GEN_87_ADD)) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) )) - (net co_56 (joined - (portRef COUT (instanceRef GEN_56_ADD)) - (portRef CI (instanceRef GEN_57_ADD)) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) )) - (net co_26 (joined - (portRef COUT (instanceRef GEN_26_ADD)) - (portRef CI (instanceRef GEN_27_ADD)) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) )) - (net co_87 (joined - (portRef COUT (instanceRef GEN_87_ADD)) - (portRef CI (instanceRef GEN_88_ADD)) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) )) - (net co_57 (joined - (portRef COUT (instanceRef GEN_57_ADD)) - (portRef CI (instanceRef GEN_58_ADD)) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) )) - (net co_27 (joined - (portRef COUT (instanceRef GEN_27_ADD)) - (portRef CI (instanceRef GEN_28_ADD)) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) )) - (net co_58 (joined - (portRef COUT (instanceRef GEN_58_ADD)) - (portRef CI (instanceRef GEN_59_ADD)) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) )) - (net co_28 (joined - (portRef COUT (instanceRef GEN_28_ADD)) - (portRef CI (instanceRef GEN_29_ADD)) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) )) - (net co_1 (joined - (portRef COUT (instanceRef GEN_1_ADD)) - (portRef CI (instanceRef GEN_2_ADD)) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) )) - (net co_59 (joined - (portRef COUT (instanceRef GEN_59_ADD)) - (portRef CI (instanceRef GEN_60_ADD)) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) )) - (net co_29 (joined - (portRef COUT (instanceRef GEN_29_ADD)) - (portRef CI (instanceRef GEN_30_ADD)) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) )) - (net co_120 (joined - (portRef COUT (instanceRef GEN_120_ADD)) - (portRef CI (instanceRef GEN_121_ADD)) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) )) - (net co_90 (joined - (portRef COUT (instanceRef GEN_90_ADD)) - (portRef CI (instanceRef GEN_91_ADD)) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) )) - (net co_60 (joined - (portRef COUT (instanceRef GEN_60_ADD)) - (portRef CI (instanceRef GEN_61_ADD)) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) )) - (net co_30 (joined - (portRef COUT (instanceRef GEN_30_ADD)) - (portRef CI (instanceRef GEN_31_ADD)) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) )) - (net co_121 (joined - (portRef COUT (instanceRef GEN_121_ADD)) - (portRef CI (instanceRef GEN_122_ADD)) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) )) - (net co_134 (joined - (portRef COUT (instanceRef GEN_134_ADD)) - (portRef CI (instanceRef GEN_135_ADD)) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) )) - (net co_135 (joined - (portRef COUT (instanceRef GEN_135_ADD)) - (portRef CI (instanceRef GEN_136_ADD)) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) )) - (net co_131 (joined - (portRef COUT (instanceRef GEN_131_ADD)) - (portRef CI (instanceRef GEN_132_ADD)) + ) + ) + ) + (cell signal_sync_24_3_9 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename lost_hit_cntr "lost_hit_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(503:480)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member lost_hit_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member lost_hit_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) )) - (net co_132 (joined - (portRef COUT (instanceRef GEN_132_ADD)) - (portRef CI (instanceRef GEN_133_ADD)) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) )) - (net co_128 (joined - (portRef COUT (instanceRef GEN_128_ADD)) - (portRef CI (instanceRef GEN_129_ADD)) + (net VCC (joined + (portRef VCC) )) - (net co_129 (joined - (portRef COUT (instanceRef GEN_129_ADD)) - (portRef CI (instanceRef GEN_130_ADD)) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member lost_hit_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member lost_hit_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member lost_hit_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member lost_hit_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member lost_hit_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member lost_hit_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member lost_hit_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member lost_hit_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member lost_hit_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member lost_hit_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member lost_hit_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member lost_hit_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member lost_hit_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member lost_hit_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member lost_hit_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member lost_hit_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member lost_hit_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member lost_hit_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member lost_hit_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member lost_hit_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member lost_hit_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member lost_hit_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) )) - (net co_125 (joined - (portRef COUT (instanceRef GEN_125_ADD)) - (portRef CI (instanceRef GEN_126_ADD)) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) )) - (net co_126 (joined - (portRef COUT (instanceRef GEN_126_ADD)) - (portRef CI (instanceRef GEN_127_ADD)) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) )) - (net co_122 (joined - (portRef COUT (instanceRef GEN_122_ADD)) - (portRef CI (instanceRef GEN_123_ADD)) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) )) - (net co_133 (joined - (portRef COUT (instanceRef GEN_133_ADD)) - (portRef CI (instanceRef GEN_134_ADD)) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) )) - (net co_130 (joined - (portRef COUT (instanceRef GEN_130_ADD)) - (portRef CI (instanceRef GEN_131_ADD)) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) )) - (net co_127 (joined - (portRef COUT (instanceRef GEN_127_ADD)) - (portRef CI (instanceRef GEN_128_ADD)) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) )) - (net co_123 (joined - (portRef COUT (instanceRef GEN_123_ADD)) - (portRef CI (instanceRef GEN_124_ADD)) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) )) - (net co_124 (joined - (portRef COUT (instanceRef GEN_124_ADD)) - (portRef CI (instanceRef GEN_125_ADD)) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) )) - (net co_147 (joined - (portRef COUT (instanceRef GEN_147_ADD)) - (portRef CI (instanceRef GEN_148_ADD)) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) )) - (net co_148 (joined - (portRef COUT (instanceRef GEN_148_ADD)) - (portRef CI (instanceRef GEN_149_ADD)) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) )) - (net co_144 (joined - (portRef COUT (instanceRef GEN_144_ADD)) - (portRef CI (instanceRef GEN_145_ADD)) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) )) - (net co_145 (joined - (portRef COUT (instanceRef GEN_145_ADD)) - (portRef CI (instanceRef GEN_146_ADD)) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) )) - (net co_141 (joined - (portRef COUT (instanceRef GEN_141_ADD)) - (portRef CI (instanceRef GEN_142_ADD)) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) )) - (net co_142 (joined - (portRef COUT (instanceRef GEN_142_ADD)) - (portRef CI (instanceRef GEN_143_ADD)) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) )) - (net co_138 (joined - (portRef COUT (instanceRef GEN_138_ADD)) - (portRef CI (instanceRef GEN_139_ADD)) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) )) - (net co_139 (joined - (portRef COUT (instanceRef GEN_139_ADD)) - (portRef CI (instanceRef GEN_140_ADD)) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) )) - (net co_146 (joined - (portRef COUT (instanceRef GEN_146_ADD)) - (portRef CI (instanceRef GEN_147_ADD)) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) )) - (net co_143 (joined - (portRef COUT (instanceRef GEN_143_ADD)) - (portRef CI (instanceRef GEN_144_ADD)) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) )) - (net co_140 (joined - (portRef COUT (instanceRef GEN_140_ADD)) - (portRef CI (instanceRef GEN_141_ADD)) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) )) - (net co_136 (joined - (portRef COUT (instanceRef GEN_136_ADD)) - (portRef CI (instanceRef GEN_137_ADD)) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) )) - (net co_137 (joined - (portRef COUT (instanceRef GEN_137_ADD)) - (portRef CI (instanceRef GEN_138_ADD)) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) )) - (net co_149 (joined - (portRef COUT (instanceRef GEN_149_ADD)) - (portRef CI (instanceRef GEN_150_ADD)) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) )) - (net co_150 (joined - (portRef COUT (instanceRef GEN_150_ADD)) - (portRef CI (instanceRef GEN_151_ADD)) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) )) - (net co_151 (joined - (portRef COUT (instanceRef GEN_151_ADD)) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) )) ) ) @@ -512764,7 +512776,7 @@ (cell edge_to_pulse_0_3 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -512836,11 +512848,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_21_0 (joined + (net channel_debug_01_i_3_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_21 0)) + (portRef (member channel_debug_01_i_3 0)) )) (net VCC (joined (portRef VCC) @@ -512854,15 +512866,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(21:21)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(2:2)") 1) (direction INPUT)) - (port reset_i_7 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(3:3)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(2:2)") 1) (direction INPUT)) + (port reset_i_18 (direction INPUT)) + (port reset_i_17 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -513342,8 +513356,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_21_2 (joined - (portRef (member channel_debug_01_i_21 0)) + (net channel_debug_01_i_3_2 (joined + (portRef (member channel_debug_01_i_3 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -513386,11 +513400,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_21 (joined + (net rd_en_i_3 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -513826,8 +513836,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -514116,8 +514127,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -514392,44 +514402,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_7 (joined - (portRef reset_i_7) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) + (net reset_i_17 (joined + (portRef reset_i_17) (portRef CD (instanceRef FF_87)) (portRef CD (instanceRef FF_88)) (portRef CD (instanceRef FF_89)) @@ -514507,6 +514481,45 @@ (portRef Q (instanceRef FF_86)) (portRef D (instanceRef FF_36)) )) + (net reset_i_18 (joined + (portRef reset_i_18) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + )) (net w_gcount_6 (joined (portRef Q (instanceRef FF_85)) (portRef D (instanceRef FF_35)) @@ -514751,6 +514764,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -515191,11 +515209,14 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(2:1)") 2) (direction INOUT)) - (port reset_tdc_36 (direction INPUT)) - (port reset_tdc_fast_36_r30 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(2:1)") 2) (direction INOUT)) + (port reset_tdc_91 (direction INPUT)) + (port reset_tdc_fast_36_r4 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_37_r3 (direction INPUT)) + (port reset_tdc_fast_36_r7 (direction INPUT)) + (port reset_tdc_fast_36_r5 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -515564,7 +515585,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI6SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI8V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -515646,25 +515667,25 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -515898,9 +515919,6 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -516182,6 +516200,9 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -516576,7 +516597,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI6SB81)) + (portRef A (instanceRef start_reg_RNI8V5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -516841,8 +516862,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -517069,8 +517090,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -517884,8 +517905,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI6SB81 (joined - (portRef Z (instanceRef start_reg_RNI6SB81)) + (net start_reg_RNI8V5Q (joined + (portRef Z (instanceRef start_reg_RNI8V5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -517930,8 +517951,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_21_1 (joined - (portRef (member channel_debug_01_i_21 1)) + (net channel_debug_01_i_3_1 (joined + (portRef (member channel_debug_01_i_3 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -517974,7 +517995,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI6SB81)) + (portRef B (instanceRef start_reg_RNI8V5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -518002,9 +518023,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_21_2 (joined + (net channel_debug_01_i_3_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_21 0)) + (portRef (member channel_debug_01_i_3 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -518565,10 +518586,6 @@ (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_1_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI6SB81)) - )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_0)) @@ -518696,15 +518713,17 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) + (portRef C (instanceRef start_reg_RNI8V5Q)) + )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -518714,25 +518733,21 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net interval_reg_41_14_am_26_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -520168,17 +520183,32 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (net reset_tdc_fast_36_r5 (joined + (portRef reset_tdc_fast_36_r5) (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + )) + (net reset_tdc_fast_36_r7 (joined + (portRef reset_tdc_fast_36_r7) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + )) + (net reset_tdc_fast_37_r3 (joined + (portRef reset_tdc_fast_37_r3) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + )) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + )) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) + (portRef D (instanceRef proc_cnt_4_RNO_0)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -520617,8 +520647,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r30 (joined - (portRef reset_tdc_fast_36_r30) + (net reset_tdc_fast_36_r4 (joined + (portRef reset_tdc_fast_36_r4) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -521189,9 +521219,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) - (portRef reset_tdc_36 (instanceRef ROM_Encoder_1)) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) + (portRef reset_tdc_91 (instanceRef ROM_Encoder_1)) )) ) ) @@ -521201,10 +521231,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_36 (direction INPUT)) - (port reset_tdc_35 (direction INPUT)) - (port reset_tdc_34 (direction INPUT)) - (port reset_tdc_33 (direction INPUT)) + (port reset_tdc_91 (direction INPUT)) + (port reset_tdc_90 (direction INPUT)) + (port reset_tdc_89 (direction INPUT)) + (port reset_tdc_88 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -523360,29 +523390,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_33 (joined - (portRef reset_tdc_33) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) (portRef CD (instanceRef FF_280)) (portRef CD (instanceRef FF_281)) (portRef CD (instanceRef FF_282)) @@ -523600,198 +523609,8 @@ (portRef S0 (instanceRef GEN_139_ADD)) (portRef D (instanceRef FF_278)) )) - (net result_i_278 (joined - (portRef Q (instanceRef FF_278)) - (portRef (member result_i 25)) - )) - (net tsum_277 (joined - (portRef S1 (instanceRef GEN_138_ADD)) - (portRef D (instanceRef FF_277)) - )) - (net result_i_277 (joined - (portRef Q (instanceRef FF_277)) - (portRef (member result_i 26)) - )) - (net tsum_276 (joined - (portRef S0 (instanceRef GEN_138_ADD)) - (portRef D (instanceRef FF_276)) - )) - (net result_i_276 (joined - (portRef Q (instanceRef FF_276)) - (portRef (member result_i 27)) - )) - (net tsum_275 (joined - (portRef S1 (instanceRef GEN_137_ADD)) - (portRef D (instanceRef FF_275)) - )) - (net result_i_275 (joined - (portRef Q (instanceRef FF_275)) - (portRef (member result_i 28)) - )) - (net tsum_274 (joined - (portRef S0 (instanceRef GEN_137_ADD)) - (portRef D (instanceRef FF_274)) - )) - (net result_i_274 (joined - (portRef Q (instanceRef FF_274)) - (portRef (member result_i 29)) - )) - (net tsum_273 (joined - (portRef S1 (instanceRef GEN_136_ADD)) - (portRef D (instanceRef FF_273)) - )) - (net result_i_273 (joined - (portRef Q (instanceRef FF_273)) - (portRef (member result_i 30)) - )) - (net tsum_272 (joined - (portRef S0 (instanceRef GEN_136_ADD)) - (portRef D (instanceRef FF_272)) - )) - (net result_i_272 (joined - (portRef Q (instanceRef FF_272)) - (portRef (member result_i 31)) - )) - (net tsum_271 (joined - (portRef S1 (instanceRef GEN_135_ADD)) - (portRef D (instanceRef FF_271)) - )) - (net result_i_271 (joined - (portRef Q (instanceRef FF_271)) - (portRef (member result_i 32)) - )) - (net tsum_270 (joined - (portRef S0 (instanceRef GEN_135_ADD)) - (portRef D (instanceRef FF_270)) - )) - (net result_i_270 (joined - (portRef Q (instanceRef FF_270)) - (portRef (member result_i 33)) - )) - (net tsum_269 (joined - (portRef S1 (instanceRef GEN_134_ADD)) - (portRef D (instanceRef FF_269)) - )) - (net result_i_269 (joined - (portRef Q (instanceRef FF_269)) - (portRef (member result_i 34)) - )) - (net tsum_268 (joined - (portRef S0 (instanceRef GEN_134_ADD)) - (portRef D (instanceRef FF_268)) - )) - (net result_i_268 (joined - (portRef Q (instanceRef FF_268)) - (portRef (member result_i 35)) - )) - (net tsum_267 (joined - (portRef S1 (instanceRef GEN_133_ADD)) - (portRef D (instanceRef FF_267)) - )) - (net result_i_267 (joined - (portRef Q (instanceRef FF_267)) - (portRef (member result_i 36)) - )) - (net tsum_266 (joined - (portRef S0 (instanceRef GEN_133_ADD)) - (portRef D (instanceRef FF_266)) - )) - (net result_i_266 (joined - (portRef Q (instanceRef FF_266)) - (portRef (member result_i 37)) - )) - (net tsum_265 (joined - (portRef S1 (instanceRef GEN_132_ADD)) - (portRef D (instanceRef FF_265)) - )) - (net result_i_265 (joined - (portRef Q (instanceRef FF_265)) - (portRef (member result_i 38)) - )) - (net tsum_264 (joined - (portRef S0 (instanceRef GEN_132_ADD)) - (portRef D (instanceRef FF_264)) - )) - (net result_i_264 (joined - (portRef Q (instanceRef FF_264)) - (portRef (member result_i 39)) - )) - (net tsum_263 (joined - (portRef S1 (instanceRef GEN_131_ADD)) - (portRef D (instanceRef FF_263)) - )) - (net result_i_263 (joined - (portRef Q (instanceRef FF_263)) - (portRef (member result_i 40)) - )) - (net tsum_262 (joined - (portRef S0 (instanceRef GEN_131_ADD)) - (portRef D (instanceRef FF_262)) - )) - (net result_i_262 (joined - (portRef Q (instanceRef FF_262)) - (portRef (member result_i 41)) - )) - (net tsum_261 (joined - (portRef S1 (instanceRef GEN_130_ADD)) - (portRef D (instanceRef FF_261)) - )) - (net result_i_261 (joined - (portRef Q (instanceRef FF_261)) - (portRef (member result_i 42)) - )) - (net tsum_260 (joined - (portRef S0 (instanceRef GEN_130_ADD)) - (portRef D (instanceRef FF_260)) - )) - (net result_i_260 (joined - (portRef Q (instanceRef FF_260)) - (portRef (member result_i 43)) - )) - (net tsum_259 (joined - (portRef S1 (instanceRef GEN_129_ADD)) - (portRef D (instanceRef FF_259)) - )) - (net result_i_259 (joined - (portRef Q (instanceRef FF_259)) - (portRef (member result_i 44)) - )) - (net tsum_258 (joined - (portRef S0 (instanceRef GEN_129_ADD)) - (portRef D (instanceRef FF_258)) - )) - (net result_i_258 (joined - (portRef Q (instanceRef FF_258)) - (portRef (member result_i 45)) - )) - (net tsum_257 (joined - (portRef S1 (instanceRef GEN_128_ADD)) - (portRef D (instanceRef FF_257)) - )) - (net reset_tdc_34 (joined - (portRef reset_tdc_34) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) + (net reset_tdc_89 (joined + (portRef reset_tdc_89) (portRef CD (instanceRef FF_181)) (portRef CD (instanceRef FF_182)) (portRef CD (instanceRef FF_183)) @@ -523870,6 +523689,195 @@ (portRef CD (instanceRef FF_256)) (portRef CD (instanceRef FF_257)) (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + )) + (net result_i_278 (joined + (portRef Q (instanceRef FF_278)) + (portRef (member result_i 25)) + )) + (net tsum_277 (joined + (portRef S1 (instanceRef GEN_138_ADD)) + (portRef D (instanceRef FF_277)) + )) + (net result_i_277 (joined + (portRef Q (instanceRef FF_277)) + (portRef (member result_i 26)) + )) + (net tsum_276 (joined + (portRef S0 (instanceRef GEN_138_ADD)) + (portRef D (instanceRef FF_276)) + )) + (net result_i_276 (joined + (portRef Q (instanceRef FF_276)) + (portRef (member result_i 27)) + )) + (net tsum_275 (joined + (portRef S1 (instanceRef GEN_137_ADD)) + (portRef D (instanceRef FF_275)) + )) + (net result_i_275 (joined + (portRef Q (instanceRef FF_275)) + (portRef (member result_i 28)) + )) + (net tsum_274 (joined + (portRef S0 (instanceRef GEN_137_ADD)) + (portRef D (instanceRef FF_274)) + )) + (net result_i_274 (joined + (portRef Q (instanceRef FF_274)) + (portRef (member result_i 29)) + )) + (net tsum_273 (joined + (portRef S1 (instanceRef GEN_136_ADD)) + (portRef D (instanceRef FF_273)) + )) + (net result_i_273 (joined + (portRef Q (instanceRef FF_273)) + (portRef (member result_i 30)) + )) + (net tsum_272 (joined + (portRef S0 (instanceRef GEN_136_ADD)) + (portRef D (instanceRef FF_272)) + )) + (net result_i_272 (joined + (portRef Q (instanceRef FF_272)) + (portRef (member result_i 31)) + )) + (net tsum_271 (joined + (portRef S1 (instanceRef GEN_135_ADD)) + (portRef D (instanceRef FF_271)) + )) + (net result_i_271 (joined + (portRef Q (instanceRef FF_271)) + (portRef (member result_i 32)) + )) + (net tsum_270 (joined + (portRef S0 (instanceRef GEN_135_ADD)) + (portRef D (instanceRef FF_270)) + )) + (net result_i_270 (joined + (portRef Q (instanceRef FF_270)) + (portRef (member result_i 33)) + )) + (net tsum_269 (joined + (portRef S1 (instanceRef GEN_134_ADD)) + (portRef D (instanceRef FF_269)) + )) + (net result_i_269 (joined + (portRef Q (instanceRef FF_269)) + (portRef (member result_i 34)) + )) + (net tsum_268 (joined + (portRef S0 (instanceRef GEN_134_ADD)) + (portRef D (instanceRef FF_268)) + )) + (net result_i_268 (joined + (portRef Q (instanceRef FF_268)) + (portRef (member result_i 35)) + )) + (net tsum_267 (joined + (portRef S1 (instanceRef GEN_133_ADD)) + (portRef D (instanceRef FF_267)) + )) + (net result_i_267 (joined + (portRef Q (instanceRef FF_267)) + (portRef (member result_i 36)) + )) + (net tsum_266 (joined + (portRef S0 (instanceRef GEN_133_ADD)) + (portRef D (instanceRef FF_266)) + )) + (net result_i_266 (joined + (portRef Q (instanceRef FF_266)) + (portRef (member result_i 37)) + )) + (net tsum_265 (joined + (portRef S1 (instanceRef GEN_132_ADD)) + (portRef D (instanceRef FF_265)) + )) + (net result_i_265 (joined + (portRef Q (instanceRef FF_265)) + (portRef (member result_i 38)) + )) + (net tsum_264 (joined + (portRef S0 (instanceRef GEN_132_ADD)) + (portRef D (instanceRef FF_264)) + )) + (net result_i_264 (joined + (portRef Q (instanceRef FF_264)) + (portRef (member result_i 39)) + )) + (net tsum_263 (joined + (portRef S1 (instanceRef GEN_131_ADD)) + (portRef D (instanceRef FF_263)) + )) + (net result_i_263 (joined + (portRef Q (instanceRef FF_263)) + (portRef (member result_i 40)) + )) + (net tsum_262 (joined + (portRef S0 (instanceRef GEN_131_ADD)) + (portRef D (instanceRef FF_262)) + )) + (net result_i_262 (joined + (portRef Q (instanceRef FF_262)) + (portRef (member result_i 41)) + )) + (net tsum_261 (joined + (portRef S1 (instanceRef GEN_130_ADD)) + (portRef D (instanceRef FF_261)) + )) + (net result_i_261 (joined + (portRef Q (instanceRef FF_261)) + (portRef (member result_i 42)) + )) + (net tsum_260 (joined + (portRef S0 (instanceRef GEN_130_ADD)) + (portRef D (instanceRef FF_260)) + )) + (net result_i_260 (joined + (portRef Q (instanceRef FF_260)) + (portRef (member result_i 43)) + )) + (net tsum_259 (joined + (portRef S1 (instanceRef GEN_129_ADD)) + (portRef D (instanceRef FF_259)) + )) + (net result_i_259 (joined + (portRef Q (instanceRef FF_259)) + (portRef (member result_i 44)) + )) + (net tsum_258 (joined + (portRef S0 (instanceRef GEN_129_ADD)) + (portRef D (instanceRef FF_258)) + )) + (net result_i_258 (joined + (portRef Q (instanceRef FF_258)) + (portRef (member result_i 45)) + )) + (net tsum_257 (joined + (portRef S1 (instanceRef GEN_128_ADD)) + (portRef D (instanceRef FF_257)) )) (net result_i_257 (joined (portRef Q (instanceRef FF_257)) @@ -524495,6 +524503,108 @@ (portRef S1 (instanceRef GEN_89_ADD)) (portRef D (instanceRef FF_179)) )) + (net reset_tdc_90 (joined + (portRef reset_tdc_90) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + )) (net result_i_179 (joined (portRef Q (instanceRef FF_179)) (portRef (member result_i 124)) @@ -524671,109 +524781,6 @@ (portRef S1 (instanceRef GEN_78_ADD)) (portRef D (instanceRef FF_157)) )) - (net reset_tdc_35 (joined - (portRef reset_tdc_35) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - )) (net result_i_157 (joined (portRef Q (instanceRef FF_157)) (portRef (member result_i 146)) @@ -525390,192 +525397,8 @@ (portRef S0 (instanceRef GEN_40_ADD)) (portRef D (instanceRef FF_80)) )) - (net result_i_80 (joined - (portRef Q (instanceRef FF_80)) - (portRef (member result_i 223)) - )) - (net tsum_79 (joined - (portRef S1 (instanceRef GEN_39_ADD)) - (portRef D (instanceRef FF_79)) - )) - (net result_i_79 (joined - (portRef Q (instanceRef FF_79)) - (portRef (member result_i 224)) - )) - (net tsum_78 (joined - (portRef S0 (instanceRef GEN_39_ADD)) - (portRef D (instanceRef FF_78)) - )) - (net result_i_78 (joined - (portRef Q (instanceRef FF_78)) - (portRef (member result_i 225)) - )) - (net tsum_77 (joined - (portRef S1 (instanceRef GEN_38_ADD)) - (portRef D (instanceRef FF_77)) - )) - (net result_i_77 (joined - (portRef Q (instanceRef FF_77)) - (portRef (member result_i 226)) - )) - (net tsum_76 (joined - (portRef S0 (instanceRef GEN_38_ADD)) - (portRef D (instanceRef FF_76)) - )) - (net result_i_76 (joined - (portRef Q (instanceRef FF_76)) - (portRef (member result_i 227)) - )) - (net tsum_75 (joined - (portRef S1 (instanceRef GEN_37_ADD)) - (portRef D (instanceRef FF_75)) - )) - (net result_i_75 (joined - (portRef Q (instanceRef FF_75)) - (portRef (member result_i 228)) - )) - (net tsum_74 (joined - (portRef S0 (instanceRef GEN_37_ADD)) - (portRef D (instanceRef FF_74)) - )) - (net result_i_74 (joined - (portRef Q (instanceRef FF_74)) - (portRef (member result_i 229)) - )) - (net tsum_73 (joined - (portRef S1 (instanceRef GEN_36_ADD)) - (portRef D (instanceRef FF_73)) - )) - (net result_i_73 (joined - (portRef Q (instanceRef FF_73)) - (portRef (member result_i 230)) - )) - (net tsum_72 (joined - (portRef S0 (instanceRef GEN_36_ADD)) - (portRef D (instanceRef FF_72)) - )) - (net result_i_72 (joined - (portRef Q (instanceRef FF_72)) - (portRef (member result_i 231)) - )) - (net tsum_71 (joined - (portRef S1 (instanceRef GEN_35_ADD)) - (portRef D (instanceRef FF_71)) - )) - (net result_i_71 (joined - (portRef Q (instanceRef FF_71)) - (portRef (member result_i 232)) - )) - (net tsum_70 (joined - (portRef S0 (instanceRef GEN_35_ADD)) - (portRef D (instanceRef FF_70)) - )) - (net result_i_70 (joined - (portRef Q (instanceRef FF_70)) - (portRef (member result_i 233)) - )) - (net tsum_69 (joined - (portRef S1 (instanceRef GEN_34_ADD)) - (portRef D (instanceRef FF_69)) - )) - (net result_i_69 (joined - (portRef Q (instanceRef FF_69)) - (portRef (member result_i 234)) - )) - (net tsum_68 (joined - (portRef S0 (instanceRef GEN_34_ADD)) - (portRef D (instanceRef FF_68)) - )) - (net result_i_68 (joined - (portRef Q (instanceRef FF_68)) - (portRef (member result_i 235)) - )) - (net tsum_67 (joined - (portRef S1 (instanceRef GEN_33_ADD)) - (portRef D (instanceRef FF_67)) - )) - (net result_i_67 (joined - (portRef Q (instanceRef FF_67)) - (portRef (member result_i 236)) - )) - (net tsum_66 (joined - (portRef S0 (instanceRef GEN_33_ADD)) - (portRef D (instanceRef FF_66)) - )) - (net result_i_66 (joined - (portRef Q (instanceRef FF_66)) - (portRef (member result_i 237)) - )) - (net tsum_65 (joined - (portRef S1 (instanceRef GEN_32_ADD)) - (portRef D (instanceRef FF_65)) - )) - (net result_i_65 (joined - (portRef Q (instanceRef FF_65)) - (portRef (member result_i 238)) - )) - (net tsum_64 (joined - (portRef S0 (instanceRef GEN_32_ADD)) - (portRef D (instanceRef FF_64)) - )) - (net result_i_64 (joined - (portRef Q (instanceRef FF_64)) - (portRef (member result_i 239)) - )) - (net tsum_63 (joined - (portRef S1 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_63)) - )) - (net result_i_63 (joined - (portRef Q (instanceRef FF_63)) - (portRef (member result_i 240)) - )) - (net tsum_62 (joined - (portRef S0 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_62)) - )) - (net result_i_62 (joined - (portRef Q (instanceRef FF_62)) - (portRef (member result_i 241)) - )) - (net tsum_61 (joined - (portRef S1 (instanceRef GEN_30_ADD)) - (portRef D (instanceRef FF_61)) - )) - (net result_i_61 (joined - (portRef Q (instanceRef FF_61)) - (portRef (member result_i 242)) - )) - (net tsum_60 (joined - (portRef S0 (instanceRef GEN_30_ADD)) - (portRef D (instanceRef FF_60)) - )) - (net result_i_60 (joined - (portRef Q (instanceRef FF_60)) - (portRef (member result_i 243)) - )) - (net tsum_59 (joined - (portRef S1 (instanceRef GEN_29_ADD)) - (portRef D (instanceRef FF_59)) - )) - (net result_i_59 (joined - (portRef Q (instanceRef FF_59)) - (portRef (member result_i 244)) - )) - (net tsum_58 (joined - (portRef S0 (instanceRef GEN_29_ADD)) - (portRef D (instanceRef FF_58)) - )) - (net result_i_58 (joined - (portRef Q (instanceRef FF_58)) - (portRef (member result_i 245)) - )) - (net tsum_57 (joined - (portRef S1 (instanceRef GEN_28_ADD)) - (portRef D (instanceRef FF_57)) - )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -525635,6 +525458,213 @@ (portRef CD (instanceRef FF_56)) (portRef CD (instanceRef FF_57)) (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + )) + (net result_i_80 (joined + (portRef Q (instanceRef FF_80)) + (portRef (member result_i 223)) + )) + (net tsum_79 (joined + (portRef S1 (instanceRef GEN_39_ADD)) + (portRef D (instanceRef FF_79)) + )) + (net result_i_79 (joined + (portRef Q (instanceRef FF_79)) + (portRef (member result_i 224)) + )) + (net tsum_78 (joined + (portRef S0 (instanceRef GEN_39_ADD)) + (portRef D (instanceRef FF_78)) + )) + (net result_i_78 (joined + (portRef Q (instanceRef FF_78)) + (portRef (member result_i 225)) + )) + (net tsum_77 (joined + (portRef S1 (instanceRef GEN_38_ADD)) + (portRef D (instanceRef FF_77)) + )) + (net result_i_77 (joined + (portRef Q (instanceRef FF_77)) + (portRef (member result_i 226)) + )) + (net tsum_76 (joined + (portRef S0 (instanceRef GEN_38_ADD)) + (portRef D (instanceRef FF_76)) + )) + (net result_i_76 (joined + (portRef Q (instanceRef FF_76)) + (portRef (member result_i 227)) + )) + (net tsum_75 (joined + (portRef S1 (instanceRef GEN_37_ADD)) + (portRef D (instanceRef FF_75)) + )) + (net result_i_75 (joined + (portRef Q (instanceRef FF_75)) + (portRef (member result_i 228)) + )) + (net tsum_74 (joined + (portRef S0 (instanceRef GEN_37_ADD)) + (portRef D (instanceRef FF_74)) + )) + (net result_i_74 (joined + (portRef Q (instanceRef FF_74)) + (portRef (member result_i 229)) + )) + (net tsum_73 (joined + (portRef S1 (instanceRef GEN_36_ADD)) + (portRef D (instanceRef FF_73)) + )) + (net result_i_73 (joined + (portRef Q (instanceRef FF_73)) + (portRef (member result_i 230)) + )) + (net tsum_72 (joined + (portRef S0 (instanceRef GEN_36_ADD)) + (portRef D (instanceRef FF_72)) + )) + (net result_i_72 (joined + (portRef Q (instanceRef FF_72)) + (portRef (member result_i 231)) + )) + (net tsum_71 (joined + (portRef S1 (instanceRef GEN_35_ADD)) + (portRef D (instanceRef FF_71)) + )) + (net result_i_71 (joined + (portRef Q (instanceRef FF_71)) + (portRef (member result_i 232)) + )) + (net tsum_70 (joined + (portRef S0 (instanceRef GEN_35_ADD)) + (portRef D (instanceRef FF_70)) + )) + (net result_i_70 (joined + (portRef Q (instanceRef FF_70)) + (portRef (member result_i 233)) + )) + (net tsum_69 (joined + (portRef S1 (instanceRef GEN_34_ADD)) + (portRef D (instanceRef FF_69)) + )) + (net result_i_69 (joined + (portRef Q (instanceRef FF_69)) + (portRef (member result_i 234)) + )) + (net tsum_68 (joined + (portRef S0 (instanceRef GEN_34_ADD)) + (portRef D (instanceRef FF_68)) + )) + (net result_i_68 (joined + (portRef Q (instanceRef FF_68)) + (portRef (member result_i 235)) + )) + (net tsum_67 (joined + (portRef S1 (instanceRef GEN_33_ADD)) + (portRef D (instanceRef FF_67)) + )) + (net result_i_67 (joined + (portRef Q (instanceRef FF_67)) + (portRef (member result_i 236)) + )) + (net tsum_66 (joined + (portRef S0 (instanceRef GEN_33_ADD)) + (portRef D (instanceRef FF_66)) + )) + (net result_i_66 (joined + (portRef Q (instanceRef FF_66)) + (portRef (member result_i 237)) + )) + (net tsum_65 (joined + (portRef S1 (instanceRef GEN_32_ADD)) + (portRef D (instanceRef FF_65)) + )) + (net result_i_65 (joined + (portRef Q (instanceRef FF_65)) + (portRef (member result_i 238)) + )) + (net tsum_64 (joined + (portRef S0 (instanceRef GEN_32_ADD)) + (portRef D (instanceRef FF_64)) + )) + (net result_i_64 (joined + (portRef Q (instanceRef FF_64)) + (portRef (member result_i 239)) + )) + (net tsum_63 (joined + (portRef S1 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_63)) + )) + (net result_i_63 (joined + (portRef Q (instanceRef FF_63)) + (portRef (member result_i 240)) + )) + (net tsum_62 (joined + (portRef S0 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_62)) + )) + (net result_i_62 (joined + (portRef Q (instanceRef FF_62)) + (portRef (member result_i 241)) + )) + (net tsum_61 (joined + (portRef S1 (instanceRef GEN_30_ADD)) + (portRef D (instanceRef FF_61)) + )) + (net result_i_61 (joined + (portRef Q (instanceRef FF_61)) + (portRef (member result_i 242)) + )) + (net tsum_60 (joined + (portRef S0 (instanceRef GEN_30_ADD)) + (portRef D (instanceRef FF_60)) + )) + (net result_i_60 (joined + (portRef Q (instanceRef FF_60)) + (portRef (member result_i 243)) + )) + (net tsum_59 (joined + (portRef S1 (instanceRef GEN_29_ADD)) + (portRef D (instanceRef FF_59)) + )) + (net result_i_59 (joined + (portRef Q (instanceRef FF_59)) + (portRef (member result_i 244)) + )) + (net tsum_58 (joined + (portRef S0 (instanceRef GEN_29_ADD)) + (portRef D (instanceRef FF_58)) + )) + (net result_i_58 (joined + (portRef Q (instanceRef FF_58)) + (portRef (member result_i 245)) + )) + (net tsum_57 (joined + (portRef S1 (instanceRef GEN_28_ADD)) + (portRef D (instanceRef FF_57)) )) (net result_i_57 (joined (portRef Q (instanceRef FF_57)) @@ -527021,7 +527051,7 @@ (cell edge_to_pulse_0_2 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -527093,11 +527123,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_4_0 (joined + (net channel_debug_01_i_8_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_4 0)) + (portRef (member channel_debug_01_i_8 0)) )) (net VCC (joined (portRef VCC) @@ -527111,9 +527141,9 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(4:4)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(2:2)") 1) (direction INPUT)) - (port reset_i_16 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(8:8)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(2:2)") 1) (direction INPUT)) + (port reset_i_15 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -527600,8 +527630,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_4_2 (joined - (portRef (member channel_debug_01_i_4 0)) + (net channel_debug_01_i_8_2 (joined + (portRef (member channel_debug_01_i_8 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -527644,7 +527674,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net rd_en_i_4 (joined + (net rd_en_i_8 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -528081,7 +528111,7 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) @@ -528372,7 +528402,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -528646,8 +528676,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_16 (joined - (portRef reset_i_16) + (net reset_i_15 (joined + (portRef reset_i_15) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -529450,12 +529480,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(2:1)") 2) (direction INOUT)) - (port reset_tdc_88 (direction INPUT)) - (port reset_tdc_fast_36_r6 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_36_r7 (direction INPUT)) + (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(2:1)") 2) (direction INOUT)) + (port reset_tdc_76 (direction INPUT)) + (port reset_tdc_fast_36_r14 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) + (port reset_tdc_fast_36_r15 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -529824,7 +529853,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIAV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIIV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -529915,13 +529944,13 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -530161,10 +530190,10 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -530442,7 +530471,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -530836,7 +530865,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIAV5Q)) + (portRef A (instanceRef start_reg_RNIIV5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -531048,8 +531077,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -531089,8 +531118,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -531230,8 +531259,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_RNO_0)) + (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -531296,8 +531325,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -532144,8 +532173,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIAV5Q (joined - (portRef Z (instanceRef start_reg_RNIAV5Q)) + (net start_reg_RNIIV5Q (joined + (portRef Z (instanceRef start_reg_RNIIV5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -532190,8 +532219,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_4_1 (joined - (portRef (member channel_debug_01_i_4 1)) + (net channel_debug_01_i_8_1 (joined + (portRef (member channel_debug_01_i_8 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -532234,7 +532263,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIAV5Q)) + (portRef B (instanceRef start_reg_RNIIV5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -532262,9 +532291,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_4_2 (joined + (net channel_debug_01_i_8_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_4 0)) + (portRef (member channel_debug_01_i_8 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -532958,7 +532987,7 @@ (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) - (portRef C (instanceRef start_reg_RNIAV5Q)) + (portRef C (instanceRef start_reg_RNIIV5Q)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -532972,17 +533001,17 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_256_i_m_1 (joined + (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) @@ -533719,13 +533748,15 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) + (net reset_tdc_fast_36_r15 (joined + (portRef reset_tdc_fast_36_r15) (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) @@ -534430,14 +534461,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_fast_36_r7 (joined - (portRef reset_tdc_fast_36_r7) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -534880,8 +534906,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r6 (joined - (portRef reset_tdc_fast_36_r6) + (net reset_tdc_fast_36_r14 (joined + (portRef reset_tdc_fast_36_r14) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -535452,9 +535478,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) - (portRef reset_tdc_88 (instanceRef ROM_Encoder_1)) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) + (portRef reset_tdc_76 (instanceRef ROM_Encoder_1)) )) ) ) @@ -535464,10 +535490,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_88 (direction INPUT)) - (port reset_tdc_87 (direction INPUT)) - (port reset_tdc_86 (direction INPUT)) - (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_76 (direction INPUT)) + (port reset_tdc_75 (direction INPUT)) + (port reset_tdc_74 (direction INPUT)) + (port reset_tdc_73 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -537623,8 +537649,40 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) (portRef CD (instanceRef FF_272)) (portRef CD (instanceRef FF_273)) (portRef CD (instanceRef FF_274)) @@ -537914,108 +537972,6 @@ (portRef S0 (instanceRef GEN_135_ADD)) (portRef D (instanceRef FF_270)) )) - (net reset_tdc_86 (joined - (portRef reset_tdc_86) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - )) (net result_i_270 (joined (portRef Q (instanceRef FF_270)) (portRef (member result_i 33)) @@ -538272,6 +538228,108 @@ (portRef S0 (instanceRef GEN_119_ADD)) (portRef D (instanceRef FF_238)) )) + (net reset_tdc_74 (joined + (portRef reset_tdc_74) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + )) (net result_i_238 (joined (portRef Q (instanceRef FF_238)) (portRef (member result_i 65)) @@ -538808,108 +538866,6 @@ (portRef S1 (instanceRef GEN_85_ADD)) (portRef D (instanceRef FF_171)) )) - (net reset_tdc_87 (joined - (portRef reset_tdc_87) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - )) (net result_i_171 (joined (portRef Q (instanceRef FF_171)) (portRef (member result_i 132)) @@ -539166,6 +539122,108 @@ (portRef S1 (instanceRef GEN_69_ADD)) (portRef D (instanceRef FF_139)) )) + (net reset_tdc_75 (joined + (portRef reset_tdc_75) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + )) (net result_i_139 (joined (portRef Q (instanceRef FF_139)) (portRef (member result_i 164)) @@ -539702,83 +539760,6 @@ (portRef S0 (instanceRef GEN_36_ADD)) (portRef D (instanceRef FF_72)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - )) (net result_i_72 (joined (portRef Q (instanceRef FF_72)) (portRef (member result_i 231)) @@ -540035,6 +540016,51 @@ (portRef S0 (instanceRef GEN_20_ADD)) (portRef D (instanceRef FF_40)) )) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + )) (net result_i_40 (joined (portRef Q (instanceRef FF_40)) (portRef (member result_i 263)) @@ -541284,7 +541310,7 @@ (cell edge_to_pulse_0_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -541356,11 +541382,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_19_0 (joined + (net channel_debug_01_i_20_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_19 0)) + (portRef (member channel_debug_01_i_20 0)) )) (net VCC (joined (portRef VCC) @@ -541374,8 +541400,9 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(19:19)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(2:2)") 1) (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(20:20)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(2:2)") 1) (direction INPUT)) + (port reset_i_9 (direction INPUT)) (port reset_i_8 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) @@ -541862,8 +541889,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_19_2 (joined - (portRef (member channel_debug_01_i_19 0)) + (net channel_debug_01_i_20_2 (joined + (portRef (member channel_debug_01_i_20 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -541910,7 +541937,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_19 (joined + (net rd_en_i_20 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -542347,7 +542374,8 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -542637,8 +542665,7 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -542914,22 +542941,6 @@ )) (net reset_i_8 (joined (portRef reset_i_8) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) (portRef CD (instanceRef FF_37)) (portRef CD (instanceRef FF_38)) (portRef CD (instanceRef FF_39)) @@ -543202,6 +543213,25 @@ (portRef Q (instanceRef FF_36)) (portRef D (instanceRef FF_16)) )) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + )) (net w_gcount_r6 (joined (portRef Q (instanceRef FF_35)) (portRef D (instanceRef FF_15)) @@ -543711,9 +543741,9 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(2:1)") 2) (direction INOUT)) - (port reset_tdc_42 (direction INPUT)) - (port reset_tdc_fast_36_r28 (direction INPUT)) + (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(2:1)") 2) (direction INOUT)) + (port reset_tdc_39 (direction INPUT)) + (port reset_tdc_fast_36_r29 (direction INPUT)) (port reset_tdc_rep1_36 (direction INPUT)) (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) @@ -544084,7 +544114,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI4DGC (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI2ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -544129,10 +544159,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -544154,29 +544184,26 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) @@ -544184,6 +544211,9 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) @@ -544421,7 +544451,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -544638,10 +544668,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -544702,7 +544732,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -544882,10 +544912,10 @@ (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -545096,7 +545126,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI4DGC)) + (portRef A (instanceRef start_reg_RNI2ARF)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -545337,8 +545367,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -545349,8 +545379,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -545523,8 +545553,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -545556,8 +545586,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_RNO_0)) + (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -546404,8 +546434,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI4DGC (joined - (portRef Z (instanceRef start_reg_RNI4DGC)) + (net start_reg_RNI2ARF (joined + (portRef Z (instanceRef start_reg_RNI2ARF)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -546450,8 +546480,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_19_1 (joined - (portRef (member channel_debug_01_i_19 1)) + (net channel_debug_01_i_20_1 (joined + (portRef (member channel_debug_01_i_20 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -546494,7 +546524,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI4DGC)) + (portRef B (instanceRef start_reg_RNI2ARF)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -546511,8 +546541,8 @@ (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) @@ -546522,9 +546552,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_19_2 (joined + (net channel_debug_01_i_20_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_19 0)) + (portRef (member channel_debug_01_i_20 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -546680,8 +546710,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -546935,8 +546965,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -547087,7 +547117,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI4DGC)) + (portRef C (instanceRef start_reg_RNI2ARF)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -547114,8 +547144,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -547186,14 +547216,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_28_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -547219,16 +547249,12 @@ (net reset_tdc_rep1_35 (joined (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) - )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_3c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -547238,9 +547264,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) @@ -547250,6 +547276,10 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) @@ -548680,17 +548710,17 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net reset_tdc_rep1_36 (joined (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -549137,11 +549167,11 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r28 (joined - (portRef reset_tdc_fast_36_r28) + (net reset_tdc_fast_36_r29 (joined + (portRef reset_tdc_fast_36_r29) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) + (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) @@ -549170,14 +549200,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) )) - (net un3_binary_code_out_8 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_8)) - )) (net un3_binary_code_out_7 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_7)) )) + (net un3_binary_code_out_8 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_8)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -549709,9 +549739,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) - (portRef reset_tdc_42 (instanceRef ROM_Encoder_1)) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) + (portRef reset_tdc_39 (instanceRef ROM_Encoder_1)) )) ) ) @@ -549721,10 +549751,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_42 (direction INPUT)) - (port reset_tdc_41 (direction INPUT)) - (port reset_tdc_40 (direction INPUT)) (port reset_tdc_39 (direction INPUT)) + (port reset_tdc_38 (direction INPUT)) + (port reset_tdc_37 (direction INPUT)) + (port reset_tdc_36 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -551880,8 +551910,13 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) (portRef CD (instanceRef FF_269)) (portRef CD (instanceRef FF_270)) (portRef CD (instanceRef FF_271)) @@ -552198,8 +552233,53 @@ (portRef S1 (instanceRef GEN_133_ADD)) (portRef D (instanceRef FF_267)) )) - (net reset_tdc_40 (joined - (portRef reset_tdc_40) + (net result_i_267 (joined + (portRef Q (instanceRef FF_267)) + (portRef (member result_i 36)) + )) + (net tsum_266 (joined + (portRef S0 (instanceRef GEN_133_ADD)) + (portRef D (instanceRef FF_266)) + )) + (net result_i_266 (joined + (portRef Q (instanceRef FF_266)) + (portRef (member result_i 37)) + )) + (net tsum_265 (joined + (portRef S1 (instanceRef GEN_132_ADD)) + (portRef D (instanceRef FF_265)) + )) + (net result_i_265 (joined + (portRef Q (instanceRef FF_265)) + (portRef (member result_i 38)) + )) + (net tsum_264 (joined + (portRef S0 (instanceRef GEN_132_ADD)) + (portRef D (instanceRef FF_264)) + )) + (net result_i_264 (joined + (portRef Q (instanceRef FF_264)) + (portRef (member result_i 39)) + )) + (net tsum_263 (joined + (portRef S1 (instanceRef GEN_131_ADD)) + (portRef D (instanceRef FF_263)) + )) + (net result_i_263 (joined + (portRef Q (instanceRef FF_263)) + (portRef (member result_i 40)) + )) + (net tsum_262 (joined + (portRef S0 (instanceRef GEN_131_ADD)) + (portRef D (instanceRef FF_262)) + )) + (net reset_tdc_37 (joined + (portRef reset_tdc_37) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) (portRef CD (instanceRef FF_169)) (portRef CD (instanceRef FF_170)) (portRef CD (instanceRef FF_171)) @@ -552295,51 +552375,6 @@ (portRef CD (instanceRef FF_261)) (portRef CD (instanceRef FF_262)) (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - )) - (net result_i_267 (joined - (portRef Q (instanceRef FF_267)) - (portRef (member result_i 36)) - )) - (net tsum_266 (joined - (portRef S0 (instanceRef GEN_133_ADD)) - (portRef D (instanceRef FF_266)) - )) - (net result_i_266 (joined - (portRef Q (instanceRef FF_266)) - (portRef (member result_i 37)) - )) - (net tsum_265 (joined - (portRef S1 (instanceRef GEN_132_ADD)) - (portRef D (instanceRef FF_265)) - )) - (net result_i_265 (joined - (portRef Q (instanceRef FF_265)) - (portRef (member result_i 38)) - )) - (net tsum_264 (joined - (portRef S0 (instanceRef GEN_132_ADD)) - (portRef D (instanceRef FF_264)) - )) - (net result_i_264 (joined - (portRef Q (instanceRef FF_264)) - (portRef (member result_i 39)) - )) - (net tsum_263 (joined - (portRef S1 (instanceRef GEN_131_ADD)) - (portRef D (instanceRef FF_263)) - )) - (net result_i_263 (joined - (portRef Q (instanceRef FF_263)) - (portRef (member result_i 40)) - )) - (net tsum_262 (joined - (portRef S0 (instanceRef GEN_131_ADD)) - (portRef D (instanceRef FF_262)) )) (net result_i_262 (joined (portRef Q (instanceRef FF_262)) @@ -553101,8 +553136,53 @@ (portRef S1 (instanceRef GEN_83_ADD)) (portRef D (instanceRef FF_167)) )) - (net reset_tdc_41 (joined - (portRef reset_tdc_41) + (net result_i_167 (joined + (portRef Q (instanceRef FF_167)) + (portRef (member result_i 136)) + )) + (net tsum_166 (joined + (portRef S0 (instanceRef GEN_83_ADD)) + (portRef D (instanceRef FF_166)) + )) + (net result_i_166 (joined + (portRef Q (instanceRef FF_166)) + (portRef (member result_i 137)) + )) + (net tsum_165 (joined + (portRef S1 (instanceRef GEN_82_ADD)) + (portRef D (instanceRef FF_165)) + )) + (net result_i_165 (joined + (portRef Q (instanceRef FF_165)) + (portRef (member result_i 138)) + )) + (net tsum_164 (joined + (portRef S0 (instanceRef GEN_82_ADD)) + (portRef D (instanceRef FF_164)) + )) + (net result_i_164 (joined + (portRef Q (instanceRef FF_164)) + (portRef (member result_i 139)) + )) + (net tsum_163 (joined + (portRef S1 (instanceRef GEN_81_ADD)) + (portRef D (instanceRef FF_163)) + )) + (net result_i_163 (joined + (portRef Q (instanceRef FF_163)) + (portRef (member result_i 140)) + )) + (net tsum_162 (joined + (portRef S0 (instanceRef GEN_81_ADD)) + (portRef D (instanceRef FF_162)) + )) + (net reset_tdc_38 (joined + (portRef reset_tdc_38) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) (portRef CD (instanceRef FF_69)) (portRef CD (instanceRef FF_70)) (portRef CD (instanceRef FF_71)) @@ -553198,51 +553278,6 @@ (portRef CD (instanceRef FF_161)) (portRef CD (instanceRef FF_162)) (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - )) - (net result_i_167 (joined - (portRef Q (instanceRef FF_167)) - (portRef (member result_i 136)) - )) - (net tsum_166 (joined - (portRef S0 (instanceRef GEN_83_ADD)) - (portRef D (instanceRef FF_166)) - )) - (net result_i_166 (joined - (portRef Q (instanceRef FF_166)) - (portRef (member result_i 137)) - )) - (net tsum_165 (joined - (portRef S1 (instanceRef GEN_82_ADD)) - (portRef D (instanceRef FF_165)) - )) - (net result_i_165 (joined - (portRef Q (instanceRef FF_165)) - (portRef (member result_i 138)) - )) - (net tsum_164 (joined - (portRef S0 (instanceRef GEN_82_ADD)) - (portRef D (instanceRef FF_164)) - )) - (net result_i_164 (joined - (portRef Q (instanceRef FF_164)) - (portRef (member result_i 139)) - )) - (net tsum_163 (joined - (portRef S1 (instanceRef GEN_81_ADD)) - (portRef D (instanceRef FF_163)) - )) - (net result_i_163 (joined - (portRef Q (instanceRef FF_163)) - (portRef (member result_i 140)) - )) - (net tsum_162 (joined - (portRef S0 (instanceRef GEN_81_ADD)) - (portRef D (instanceRef FF_162)) )) (net result_i_162 (joined (portRef Q (instanceRef FF_162)) @@ -554004,8 +554039,48 @@ (portRef S1 (instanceRef GEN_33_ADD)) (portRef D (instanceRef FF_67)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) + (net result_i_67 (joined + (portRef Q (instanceRef FF_67)) + (portRef (member result_i 236)) + )) + (net tsum_66 (joined + (portRef S0 (instanceRef GEN_33_ADD)) + (portRef D (instanceRef FF_66)) + )) + (net result_i_66 (joined + (portRef Q (instanceRef FF_66)) + (portRef (member result_i 237)) + )) + (net tsum_65 (joined + (portRef S1 (instanceRef GEN_32_ADD)) + (portRef D (instanceRef FF_65)) + )) + (net result_i_65 (joined + (portRef Q (instanceRef FF_65)) + (portRef (member result_i 238)) + )) + (net tsum_64 (joined + (portRef S0 (instanceRef GEN_32_ADD)) + (portRef D (instanceRef FF_64)) + )) + (net result_i_64 (joined + (portRef Q (instanceRef FF_64)) + (portRef (member result_i 239)) + )) + (net tsum_63 (joined + (portRef S1 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_63)) + )) + (net result_i_63 (joined + (portRef Q (instanceRef FF_63)) + (portRef (member result_i 240)) + )) + (net tsum_62 (joined + (portRef S0 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_62)) + )) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -554070,51 +554145,6 @@ (portRef CD (instanceRef FF_61)) (portRef CD (instanceRef FF_62)) (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - )) - (net result_i_67 (joined - (portRef Q (instanceRef FF_67)) - (portRef (member result_i 236)) - )) - (net tsum_66 (joined - (portRef S0 (instanceRef GEN_33_ADD)) - (portRef D (instanceRef FF_66)) - )) - (net result_i_66 (joined - (portRef Q (instanceRef FF_66)) - (portRef (member result_i 237)) - )) - (net tsum_65 (joined - (portRef S1 (instanceRef GEN_32_ADD)) - (portRef D (instanceRef FF_65)) - )) - (net result_i_65 (joined - (portRef Q (instanceRef FF_65)) - (portRef (member result_i 238)) - )) - (net tsum_64 (joined - (portRef S0 (instanceRef GEN_32_ADD)) - (portRef D (instanceRef FF_64)) - )) - (net result_i_64 (joined - (portRef Q (instanceRef FF_64)) - (portRef (member result_i 239)) - )) - (net tsum_63 (joined - (portRef S1 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_63)) - )) - (net result_i_63 (joined - (portRef Q (instanceRef FF_63)) - (portRef (member result_i 240)) - )) - (net tsum_62 (joined - (portRef S0 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_62)) )) (net result_i_62 (joined (portRef Q (instanceRef FF_62)) @@ -555541,7 +555571,7 @@ (cell edge_to_pulse_0 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -555613,11 +555643,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_9_0 (joined + (net channel_debug_01_i_19_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_9 0)) + (portRef (member channel_debug_01_i_19 0)) )) (net VCC (joined (portRef VCC) @@ -555631,10 +555661,9 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(9:9)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(2:2)") 1) (direction INPUT)) - (port reset_i_14 (direction INPUT)) - (port reset_i_13 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(19:19)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(2:2)") 1) (direction INPUT)) + (port reset_i_9 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -556120,8 +556149,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_9_2 (joined - (portRef (member channel_debug_01_i_9 0)) + (net channel_debug_01_i_19_2 (joined + (portRef (member channel_debug_01_i_19 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -556168,7 +556197,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_9 (joined + (net rd_en_i_19 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -556604,9 +556633,8 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -556895,7 +556923,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -557170,8 +557199,20 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_13 (joined - (portRef reset_i_13) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) (portRef CD (instanceRef FF_33)) (portRef CD (instanceRef FF_34)) (portRef CD (instanceRef FF_35)) @@ -557504,21 +557545,6 @@ (portRef Q (instanceRef FF_22)) (portRef D (instanceRef FF_2)) )) - (net reset_i_14 (joined - (portRef reset_i_14) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - )) (net empty_d (joined (portRef S0 (instanceRef a0)) (portRef D (instanceRef FF_1)) @@ -557972,13 +557998,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(2:1)") 2) (direction INOUT)) - (port reset_tdc_73 (direction INPUT)) - (port reset_tdc_fast_36_r15 (direction INPUT)) - (port reset_tdc_fast_37_r10 (direction INPUT)) - (port reset_tdc_fast_37_r9 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_36_r16 (direction INPUT)) + (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(2:1)") 2) (direction INOUT)) + (port reset_tdc_42 (direction INPUT)) + (port reset_tdc_fast_36_r28 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -558347,7 +558371,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIKV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI4DGC (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -558438,18 +558462,18 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) - ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -559359,7 +559383,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIKV5Q)) + (portRef A (instanceRef start_reg_RNI4DGC)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -560667,8 +560691,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIKV5Q (joined - (portRef Z (instanceRef start_reg_RNIKV5Q)) + (net start_reg_RNI4DGC (joined + (portRef Z (instanceRef start_reg_RNI4DGC)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -560713,8 +560737,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_9_1 (joined - (portRef (member channel_debug_01_i_9 1)) + (net channel_debug_01_i_19_1 (joined + (portRef (member channel_debug_01_i_19 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -560757,7 +560781,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIKV5Q)) + (portRef B (instanceRef start_reg_RNI4DGC)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -560785,9 +560809,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_9_2 (joined + (net channel_debug_01_i_19_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_9 0)) + (portRef (member channel_debug_01_i_19 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -561348,6 +561372,10 @@ (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_1_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) + (portRef C (instanceRef start_reg_RNI4DGC)) + )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_0)) @@ -561475,13 +561503,16 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) - (portRef C (instanceRef start_reg_RNIKV5Q)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -561495,22 +561526,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) (net interval_reg_41_14_am_29_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -562242,14 +562273,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -562953,16 +562976,16 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_fast_36_r16 (joined - (portRef reset_tdc_fast_36_r16) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - )) - (net reset_tdc_fast_37_r9 (joined - (portRef reset_tdc_fast_37_r9) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -563076,11 +563099,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_61_7)) (portRef D1 (instanceRef Interval_Selection_interval_reg_41_62_7)) )) - (net reset_tdc_fast_37_r10 (joined - (portRef reset_tdc_fast_37_r10) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - )) (net mux_control_6_0_10_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -563406,8 +563424,8 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r15 (joined - (portRef reset_tdc_fast_36_r15) + (net reset_tdc_fast_36_r28 (joined + (portRef reset_tdc_fast_36_r28) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -563978,9 +563996,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) - (portRef reset_tdc_73 (instanceRef ROM_Encoder_1)) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) + (portRef reset_tdc_42 (instanceRef ROM_Encoder_1)) )) ) ) @@ -563990,10 +564008,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_73 (direction INPUT)) - (port reset_tdc_72 (direction INPUT)) - (port reset_tdc_71 (direction INPUT)) - (port reset_tdc_70 (direction INPUT)) + (port reset_tdc_42 (direction INPUT)) + (port reset_tdc_41 (direction INPUT)) + (port reset_tdc_40 (direction INPUT)) + (port reset_tdc_39 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -566149,45 +566167,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) (portRef CD (instanceRef FF_269)) (portRef CD (instanceRef FF_270)) (portRef CD (instanceRef FF_271)) @@ -566504,6 +566485,109 @@ (portRef S1 (instanceRef GEN_133_ADD)) (portRef D (instanceRef FF_267)) )) + (net reset_tdc_40 (joined + (portRef reset_tdc_40) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + )) (net result_i_267 (joined (portRef Q (instanceRef FF_267)) (portRef (member result_i 36)) @@ -566800,108 +566884,6 @@ (portRef S0 (instanceRef GEN_115_ADD)) (portRef D (instanceRef FF_230)) )) - (net reset_tdc_71 (joined - (portRef reset_tdc_71) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - )) (net result_i_230 (joined (portRef Q (instanceRef FF_230)) (portRef (member result_i 73)) @@ -567406,6 +567388,109 @@ (portRef S1 (instanceRef GEN_83_ADD)) (portRef D (instanceRef FF_167)) )) + (net reset_tdc_41 (joined + (portRef reset_tdc_41) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + )) (net result_i_167 (joined (portRef Q (instanceRef FF_167)) (portRef (member result_i 136)) @@ -567694,108 +567779,6 @@ (portRef S1 (instanceRef GEN_65_ADD)) (portRef D (instanceRef FF_131)) )) - (net reset_tdc_72 (joined - (portRef reset_tdc_72) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - )) (net result_i_131 (joined (portRef Q (instanceRef FF_131)) (portRef (member result_i 172)) @@ -568308,6 +568291,78 @@ (portRef S1 (instanceRef GEN_33_ADD)) (portRef D (instanceRef FF_67)) )) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + )) (net result_i_67 (joined (portRef Q (instanceRef FF_67)) (portRef (member result_i 236)) @@ -568588,43 +568643,6 @@ (portRef S0 (instanceRef GEN_16_ADD)) (portRef D (instanceRef FF_32)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - )) (net result_i_32 (joined (portRef Q (instanceRef FF_32)) (portRef (member result_i 271)) @@ -569986,7 +570004,7 @@ (port (array (rename trigger_time_i "trigger_time_i(10:0)") 11) (direction INPUT)) (port (array (rename rd_en_i "rd_en_i(0:0)") 1) (direction INPUT)) (port (array (rename ref_debug_i "ref_debug_i(15:8)") 8) (direction INPUT)) - (port reset_i_1_1 (direction INPUT)) + (port reset_i_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -571519,8 +571537,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) + (net reset_i_2 (joined + (portRef reset_i_2) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -572742,10 +572760,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -572767,6 +572785,9 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) + ) (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) @@ -572776,9 +572797,6 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) @@ -572788,6 +572806,9 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) @@ -572797,9 +572818,6 @@ (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) - ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -573040,9 +573058,6 @@ (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -573254,10 +573269,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_4_2_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -573318,6 +573333,9 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -573501,10 +573519,10 @@ (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -573980,8 +573998,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -574212,8 +574230,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -574296,8 +574314,8 @@ (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) (portRef C (instanceRef BINARY_CODE_OUTd_3)) @@ -575303,8 +575321,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_2)) @@ -575558,8 +575576,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -575737,8 +575755,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -575809,14 +575827,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_30_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -575841,14 +575859,13 @@ )) (net reset_tdc_rep2_35 (joined (portRef reset_tdc_rep2_35) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) + (portRef D (instanceRef proc_finished_4c)) )) (net mux_control_6_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) @@ -575862,6 +575879,10 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) + (net un8_proc_cnt_1_i_m_1_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) + )) (net un5_proc_cnt_4_i_m_1_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) @@ -575874,10 +575895,6 @@ (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) )) - (net un8_proc_cnt_1_i_m_1_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) - )) (net interval_reg_41_14_am_30_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -577304,16 +577321,17 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -577755,8 +577773,8 @@ (net g1 (joined (portRef Z (instanceRef proc_finished_1_RNII1OI1)) (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) (portRef D (instanceRef BINARY_CODE_OUTd_6)) (portRef D (instanceRef BINARY_CODE_OUTd_5)) (portRef D (instanceRef BINARY_CODE_OUTd_3)) @@ -577788,8 +577806,8 @@ (net reset_tdc_fast_37_r19 (joined (portRef reset_tdc_fast_37_r19) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) + (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) @@ -577813,14 +577831,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) )) - (net un3_binary_code_out_8 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_8)) - )) (net un3_binary_code_out_7 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_7)) )) + (net un3_binary_code_out_8 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_8)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -584209,7 +584227,7 @@ (port fifo_tx_reset_i (direction INPUT)) (port fifo_tx_empty (direction OUTPUT)) (port tx_allow_qtx (direction INPUT)) - (port N_538_i (direction OUTPUT)) + (port N_465_i (direction OUTPUT)) (port GND (direction INPUT)) (port med_dataready_out (direction INPUT)) (port tx_allow_q (direction INPUT)) @@ -584654,7 +584672,7 @@ (portRef AD0 (instanceRef LUT4_14)) (portRef B (instanceRef OR2_t10)) )) - (net N_539_i (joined + (net N_466_i (joined (portRef Z (instanceRef AND2_t12_RNO)) (portRef A (instanceRef AND2_t12)) )) @@ -584667,9 +584685,9 @@ (portRef B (instanceRef FF_0_RNIIRVN)) (portRef A (instanceRef AND2_t12_RNO)) )) - (net N_538_i (joined + (net N_465_i (joined (portRef Z (instanceRef FF_0_RNIIRVN)) - (portRef N_538_i) + (portRef N_465_i) )) (net invout_1 (joined (portRef Z (instanceRef INV_1)) @@ -588213,7 +588231,7 @@ (portRef A (instanceRef rx_serdes_rst_ch_c_RNO)) (portRef B (instanceRef cs_srsts_0_i_3)) )) - (net N_483_i (joined + (net N_410_i (joined (portRef Z (instanceRef cs_RNO_4)) (portRef D (instanceRef cs_4)) )) @@ -588404,7 +588422,7 @@ (portRef S1 (instanceRef counter2_cry_0_17)) (portRef D (instanceRef counter2_18)) )) - (net N_489_i (joined + (net N_416_i (joined (portRef Z (instanceRef counter1_RNO_0)) (portRef D (instanceRef counter1_0)) )) @@ -588413,7 +588431,7 @@ (portRef A (instanceRef counter1_RNO_1)) (portRef A (instanceRef counter1_RNO_0)) )) - (net N_490_i (joined + (net N_417_i (joined (portRef Z (instanceRef counter1_RNO_1)) (portRef D (instanceRef counter1_1)) )) @@ -588423,7 +588441,7 @@ (portRef B (instanceRef counter1_RNO_0)) (portRef A (instanceRef TIMER1_RNO)) )) - (net N_488_i (joined + (net N_415_i (joined (portRef Z (instanceRef TIMER2_RNO)) (portRef D (instanceRef TIMER2)) )) @@ -588432,7 +588450,7 @@ (portRef B (instanceRef cs_srsts_0_i_1)) (portRef A (instanceRef cs_srsts_0_i_a2_0_0_0)) )) - (net N_482_i (joined + (net N_409_i (joined (portRef Z (instanceRef TIMER1_RNO)) (portRef D (instanceRef TIMER1)) )) @@ -589078,36 +589096,19 @@ (cell handler_trigger_and_data (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename buf_apl_dataready_in "buf_APL_DATAREADY_IN(1:1)") 1) (direction INPUT)) + (port (array (rename dat_fifo_finished "dat_fifo_finished(0:0)") 1) (direction OUTPUT)) (port (array (rename ipu_data_i "ipu_data_i(31:0)") 32) (direction OUTPUT)) (port (array (rename ipu_error_pattern_i "ipu_error_pattern_i(27:27)") 1) (direction OUTPUT)) + (port (array (rename dat_fifo_select "dat_fifo_select(0:0)") 1) (direction OUTPUT)) (port (array (rename ipu_length_i "ipu_length_i(15:0)") 16) (direction OUTPUT)) - (port (array (rename dat_data_read_out_0 "DAT_DATA_READ_OUT_0(0:0)") 1) (direction OUTPUT)) (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) - (port (array (rename dat_data_read_out_0_rnipo254 "DAT_DATA_READ_OUT_0_RNIPO254(0:0)") 1) (direction OUTPUT)) (port (array (rename trg_int_number_i "trg_int_number_i(15:0)") 16) (direction INPUT)) (port (array (rename fee_data_i "fee_data_i(31:0)") 32) (direction INPUT)) (port (array (rename trg_code_i "trg_code_i(7:0)") 8) (direction INPUT)) (port (array (rename trg_type_i "trg_type_i(3:0)") 4) (direction INPUT)) (port (array (rename trg_information_i "trg_information_i(0:0)") 1) (direction INPUT)) + (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(46:46)") 1) (direction INPUT)) (port (array (rename common_ctrl_reg "common_ctrl_reg(2:2)") 1) (direction INPUT)) - (port stat_header_buffer_level_0 (direction OUTPUT)) - (port stat_header_buffer_level_1 (direction OUTPUT)) - (port stat_header_buffer_level_2 (direction OUTPUT)) - (port stat_header_buffer_level_3 (direction OUTPUT)) - (port stat_header_buffer_level_4 (direction OUTPUT)) - (port stat_header_buffer_level_5 (direction OUTPUT)) - (port stat_header_buffer_level_6 (direction OUTPUT)) - (port stat_header_buffer_level_7 (direction OUTPUT)) - (port stat_header_buffer_level_8 (direction OUTPUT)) - (port stat_header_buffer_level_9 (direction OUTPUT)) - (port stat_header_buffer_level_16 (direction OUTPUT)) - (port stat_header_buffer_level_18 (direction OUTPUT)) - (port stat_header_buffer_level_19 (direction OUTPUT)) - (port stat_header_buffer_level_22 (direction OUTPUT)) - (port stat_header_buffer_level_21 (direction OUTPUT)) - (port stat_header_buffer_level_20 (direction OUTPUT)) - (port stat_header_buffer_level_17 (direction OUTPUT)) (port stat_data_buffer_level_0 (direction OUTPUT)) (port stat_data_buffer_level_1 (direction OUTPUT)) (port stat_data_buffer_level_2 (direction OUTPUT)) @@ -589133,34 +589134,45 @@ (port stat_data_buffer_level_26 (direction OUTPUT)) (port stat_data_buffer_level_27 (direction OUTPUT)) (port stat_data_buffer_level_17 (direction OUTPUT)) + (port stat_header_buffer_level_0 (direction OUTPUT)) + (port stat_header_buffer_level_1 (direction OUTPUT)) + (port stat_header_buffer_level_2 (direction OUTPUT)) + (port stat_header_buffer_level_3 (direction OUTPUT)) + (port stat_header_buffer_level_4 (direction OUTPUT)) + (port stat_header_buffer_level_5 (direction OUTPUT)) + (port stat_header_buffer_level_6 (direction OUTPUT)) + (port stat_header_buffer_level_7 (direction OUTPUT)) + (port stat_header_buffer_level_8 (direction OUTPUT)) + (port stat_header_buffer_level_9 (direction OUTPUT)) + (port stat_header_buffer_level_16 (direction OUTPUT)) + (port stat_header_buffer_level_18 (direction OUTPUT)) + (port stat_header_buffer_level_19 (direction OUTPUT)) + (port stat_header_buffer_level_22 (direction OUTPUT)) + (port stat_header_buffer_level_21 (direction OUTPUT)) + (port stat_header_buffer_level_20 (direction OUTPUT)) + (port stat_header_buffer_level_17 (direction OUTPUT)) (port (array (rename stat_buffer_i "stat_buffer_i(23:0)") 24) (direction OUTPUT)) (port (array (rename stat_handler_i "stat_handler_i(1:0)") 2) (direction OUTPUT)) - (port (array (rename lvl1_error_pattern_i "lvl1_error_pattern_i(21:20)") 2) (direction OUTPUT)) - (port (array (rename timer_ticks "timer_ticks(0:0)") 1) (direction INPUT)) (port (array (rename regio_addr_out "regio_addr_out(4:0)") 5) (direction INPUT)) (port current_state_4 (direction OUTPUT)) - (port current_state_0 (direction OUTPUT)) (port current_state_1 (direction OUTPUT)) - (port reset_i_rep1_1 (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (direction INPUT)) - (port last_second_word_waiting (direction INPUT)) - (port m174_2_03_3_i_4 (direction OUTPUT)) + (port (array (rename timer_ticks "timer_ticks(0:0)") 1) (direction INPUT)) + (port (array (rename lvl1_error_pattern_i "lvl1_error_pattern_i(21:20)") 2) (direction OUTPUT)) (port m165_2_03_4_i_4 (direction OUTPUT)) - (port suppress_output_fast (direction OUTPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port m174_2_03_3_i_4 (direction OUTPUT)) + (port suppress_output (direction OUTPUT)) (port ipu_readout_finished_i (direction OUTPUT)) - (port first_fifo_read (direction OUTPUT)) (port m173_2_03_3_i_4 (direction OUTPUT)) + (port DAT_DATA_READ_OUT_0_m3_0_a2_0 (direction OUTPUT)) (port ipu_start_readout_i (direction INPUT)) - (port waiting_word (direction INPUT)) + (port first_fifo_read_RNIS8RB (direction OUTPUT)) (port ipu_dataready_i (direction OUTPUT)) - (port N_4877 (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) - (port reset_i_fast_r8 (direction INPUT)) - (port m1_6_03 (direction INPUT)) - (port m1_6_03_1_0_0 (direction INPUT)) (port m6_6_03_1_1 (direction INPUT)) - (port fee_data_finished_i (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) + (port d_N_6 (direction OUTPUT)) + (port trg_timeout_detected_i (direction INPUT)) + (port trg_spurious_trg_i (direction INPUT)) + (port trg_multiple_trg_i (direction INPUT)) (port m136_2_03_2_i_4 (direction OUTPUT)) (port m137_2_03_2_i_4 (direction OUTPUT)) (port m138_2_03_2_i_4 (direction OUTPUT)) @@ -589168,15 +589180,13 @@ (port m141_2_03 (direction OUTPUT)) (port m142_4_03_1_i_4 (direction OUTPUT)) (port fee_data_write_i (direction INPUT)) - (port m128_0_03_1_1 (direction OUTPUT)) + (port N_277 (direction OUTPUT)) + (port fee_data_finished_i (direction INPUT)) (port trg_data_valid_i (direction INPUT)) - (port trg_missing_tmg_trg_i (direction INPUT)) - (port trg_multiple_trg_i (direction INPUT)) - (port trg_spike_detected_i (direction INPUT)) - (port trg_timeout_detected_i (direction INPUT)) (port reset_i_rep2 (direction INPUT)) (port int_lvl1_long_trg (direction INPUT)) - (port trg_spurious_trg_i (direction INPUT)) + (port trg_missing_tmg_trg_i (direction INPUT)) + (port trg_spike_detected_i (direction INPUT)) (port m176_2_03_2_i_4 (direction OUTPUT)) (port m177_2_03_2_i_4 (direction OUTPUT)) (port m178_2_03_2_i_4 (direction OUTPUT)) @@ -589189,30 +589199,33 @@ (port m169_2_03_4_i_4 (direction OUTPUT)) (port m170_2_03_4_i_4 (direction OUTPUT)) (port m171_2_03_4_i_4 (direction OUTPUT)) - (port lvl1_valid_i_0 (direction INPUT)) - (port reset_i_1 (direction INPUT)) + (port trg_invalid_i (direction INPUT)) + (port trg_timing_valid_i (direction INPUT)) + (port trg_notiming_valid_i (direction INPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port fee_trg_release_i (direction INPUT)) - (port reset_i_fast_1 (direction INPUT)) - (port waiting_word_RNIIPH92 (direction INPUT)) - (port un16_dbuf_data_in_0_i (direction OUTPUT)) - (port reset_i_1_1 (direction INPUT)) (port m166_2_03_4_i_4 (direction OUTPUT)) - (port reset_i_fast_r7 (direction INPUT)) - (port LVL1_TRG_RELEASE_OUT (direction OUTPUT)) + (port last_second_word_waiting_RNIKQVT1 (direction INPUT)) + (port N_132 (direction OUTPUT)) + (port un16_dbuf_data_in_0_i (direction OUTPUT)) + (port m161_2_03 (direction OUTPUT)) + (port reset_i_fast_r10 (direction INPUT)) + (port LVL1_TRG_RELEASE_OUT_0_a2 (direction OUTPUT)) (port stat_buffer_ready (direction OUTPUT)) (port stat_buffer_unknown (direction OUTPUT)) (port stat_buffer_read (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port GND (direction INPUT)) - (port m161_2_03 (direction OUTPUT)) ) (contents - (instance the_ipu_stat_proc_un27_status_ipu_handler_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B+A))+D (!C (!B !A+B A)+C A))")) + (instance fee_trg_statusbits_RNO_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C (!B A)+C !B))")) + ) + (instance fee_trg_statusbits_RNO_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C (!B A)+C !B))")) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance timer_ipu_idle_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance STATISTICS_READY_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -589614,13 +589627,10 @@ ) (instance STATISTICS_DATA_OUT_1_23 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+!A)")) ) - (instance LVL1_TRG_RELEASE_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance the_stat_proc_gen_buffer_stat_0_un3_buf_stat_data_buffer_level (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance LVL1_TRG_RELEASE_OUT_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance the_stat_proc_un2_buf_stat_header_buffer_level (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -589629,34 +589639,34 @@ (instance the_stat_proc_un8_buf_stat_header_buffer_level (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance reset_ipu_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance the_ipu_stat_proc_un35_status_ipu_handler_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance the_stat_proc_gen_buffer_stat_0_un3_buf_stat_data_buffer_level (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance reset_ipu_i_0_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B+A))")) ) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) + ) (instance timer_lvl1_working_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) (instance the_ipu_stat_proc_un4_status_ipu_handler_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A))")) ) - (instance reset_ipu_i_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance reset_ipu_i_0_i_1_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance the_ipu_stat_proc_un4_status_ipu_handler_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_a3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A))")) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) (instance un1_statistics_data_out59_i_0_0_a8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -589665,76 +589675,76 @@ (instance STATISTICS_READY_OUTc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C !B+C (!B+!A)))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) (instance STATISTICS_DATA_OUT_1_RNO_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) (instance proc_buf_status_un16_dbuf_data_in_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -589743,222 +589753,228 @@ (instance un1_statistics_data_out59_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) - (instance STATISTICS_DATA_OUT_1_RNO_3_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) (instance STATISTICS_DATA_OUT_1_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) (instance STATISTICS_DATA_OUT_1_RNO_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance the_ipu_stat_proc_un27_status_ipu_handler_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)+C (B+A)))")) + ) + (instance STATISTICS_DATA_OUT_1_RNO_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) (instance STATISTICS_DATA_OUT_1_RNO_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) + (instance STATISTICS_DATA_OUT_1_RNO_1_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) + ) (instance STATISTICS_DATA_OUT_1_RNO_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) + (instance the_ipu_stat_proc_un35_status_ipu_handler_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B+A)))")) + ) (instance STATISTICS_DATA_OUT_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) @@ -590031,32 +590047,26 @@ (instance STATISTICS_DATA_OUT_1_RNO_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) - (instance the_ipu_stat_proc_un27_status_ipu_handler_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !B+C (!B A)))")) - ) - (instance reset_ipu_i_0_i_iso (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance the_ipu_stat_proc_un27_status_ipu_handler_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C (B !A)))")) ) (instance fee_trg_released_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C !B)")) + (property lut_function (string "(!C (B !A)+C !A)")) ) (instance fee_trg_released_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C !A)")) ) - (instance reset_ipu_i_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reset_ipu_i_0_i_0_o3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance reset_ipu_i_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reset_ipu_i_0_i_0_o3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance fee_trg_release_RNIIKG41_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D (C+(!B+A)))")) - ) - (instance fee_trg_statusbitsd_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C (!B A)+C !B))")) + (instance proc_trg_release_un4_reset_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance fee_trg_statusbitsd_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C (!B A)+C !B))")) + (instance proc_trg_release_un4_reset_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) ) (instance un1_statistics_data_out59_i_0_0_a8_RNILVQB (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) @@ -590611,74 +590621,44 @@ ) (instance THE_IPU_HANDLER (viewRef netlist (cellRef handler_ipu)) ) - (net un14_status_ipu_handler_i_0 (joined - (portRef Z (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_RNO)) - (portRef B (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i)) + (net un4_reset_i (joined + (portRef Z (instanceRef proc_trg_release_un4_reset_i)) + (portRef A (instanceRef fee_trg_released_0)) + (portRef A (instanceRef fee_trg_released_1)) + (portRef B (instanceRef fee_trg_statusbits_RNO_20)) + (portRef B (instanceRef fee_trg_statusbits_RNO_21)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) + (net dat_lvl1_statusbits_21 (joined + (portRef (member dat_lvl1_statusbits 0) (instanceRef THE_DATA_HANDLER)) + (portRef C (instanceRef fee_trg_statusbits_RNO_21)) )) - (net regio_addr_out_2 (joined - (portRef (member regio_addr_out 2)) - (portRef C (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) - (portRef C (instanceRef STATISTICS_READY_OUTc)) - (portRef C (instanceRef un1_statistics_data_out59_i_0_0_a8)) - (portRef C (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_0)) - (portRef B (instanceRef STATISTICS_READY_OUT_RNO)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2)) + (net dat_lvl1_release (joined + (portRef dat_lvl1_release (instanceRef THE_DATA_HANDLER)) + (portRef B (instanceRef fee_trg_released_1)) + (portRef D (instanceRef fee_trg_statusbits_RNO_20)) + (portRef D (instanceRef fee_trg_statusbits_RNO_21)) )) - (net regio_addr_out_1 (joined - (portRef (member regio_addr_out 3)) - (portRef B (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) - (portRef B (instanceRef un1_statistics_data_out59_i_0_0_a8)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_0)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_o3)) - (portRef C (instanceRef STATISTICS_READY_OUT_RNO)) - (portRef C (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2)) + (net fee_trg_statusbitsd_0_21 (joined + (portRef Z (instanceRef fee_trg_statusbits_RNO_21)) + (portRef D (instanceRef fee_trg_statusbits_21)) )) - (net regio_addr_out_0 (joined - (portRef (member regio_addr_out 4)) - (portRef A (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) - (portRef A (instanceRef un1_statistics_data_out59_i_0_0_a8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_0)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_o3)) - (portRef D (instanceRef STATISTICS_READY_OUT_RNO)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2)) + (net dat_lvl1_statusbits_20 (joined + (portRef (member dat_lvl1_statusbits 1) (instanceRef THE_DATA_HANDLER)) + (portRef C (instanceRef fee_trg_statusbits_RNO_20)) + )) + (net fee_trg_statusbitsd_0_20 (joined + (portRef Z (instanceRef fee_trg_statusbits_RNO_20)) + (portRef D (instanceRef fee_trg_statusbits_20)) )) - (net N_6121_i (joined + (net current_state_0 (joined + (portRef current_state_0 (instanceRef THE_IPU_HANDLER)) + (portRef C (instanceRef timer_ipu_idle_cry_0_RNO_0)) + )) + (net timer_ipu_idle (joined + (portRef Z (instanceRef timer_ipu_idle_cry_0_RNO_0)) + (portRef B0 (instanceRef timer_ipu_idle_cry_0_0)) + )) + (net N_6155_i (joined (portRef Z (instanceRef STATISTICS_READY_OUT_RNO)) (portRef D (instanceRef STATISTICS_READY_OUT)) )) @@ -590686,23 +590666,13 @@ (portRef S1 (instanceRef timer_lvl1_working_cry_0_0)) (portRef D (instanceRef timer_lvl1_working_0)) )) - (net timer_lvl1_working_0 (joined - (portRef Q (instanceRef timer_lvl1_working_0)) - (portRef A1 (instanceRef timer_lvl1_working_cry_0_0)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) - )) - (net timer_lvl1_working_s_1 (joined - (portRef S0 (instanceRef timer_lvl1_working_cry_0_1)) - (portRef D (instanceRef timer_lvl1_working_1)) - )) (net timer_ticks_0 (joined (portRef (member timer_ticks 0)) - (portRef D (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i)) - (portRef C (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_0)) - (portRef B (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i_0)) + (portRef D (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i)) + (portRef D (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_0)) + (portRef B (instanceRef the_stat_proc_gen_buffer_stat_0_un3_buf_stat_data_buffer_level)) (portRef B (instanceRef the_stat_proc_un8_buf_stat_header_buffer_level)) (portRef B (instanceRef the_stat_proc_un2_buf_stat_header_buffer_level)) - (portRef B (instanceRef the_stat_proc_gen_buffer_stat_0_un3_buf_stat_data_buffer_level)) (portRef SP (instanceRef timer_lvl1_working_23)) (portRef SP (instanceRef timer_lvl1_working_22)) (portRef SP (instanceRef timer_lvl1_working_21)) @@ -590727,6 +590697,16 @@ (portRef SP (instanceRef timer_lvl1_working_2)) (portRef SP (instanceRef timer_lvl1_working_1)) (portRef SP (instanceRef timer_lvl1_working_0)) + (portRef A (instanceRef timer_ipu_idle_cry_0_RNO_0)) + )) + (net timer_lvl1_working_0 (joined + (portRef Q (instanceRef timer_lvl1_working_0)) + (portRef A1 (instanceRef timer_lvl1_working_cry_0_0)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) + )) + (net timer_lvl1_working_s_1 (joined + (portRef S0 (instanceRef timer_lvl1_working_cry_0_1)) + (portRef D (instanceRef timer_lvl1_working_1)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -590934,7 +590914,7 @@ (net timer_lvl1_working_1 (joined (portRef Q (instanceRef timer_lvl1_working_1)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) )) (net VCC (joined (portRef VCC) @@ -591045,6 +591025,7 @@ (portRef D0 (instanceRef timer_ipu_waiting_cry_0_1)) (portRef D1 (instanceRef timer_ipu_waiting_cry_0_0)) (portRef D0 (instanceRef timer_ipu_waiting_cry_0_0)) + (portRef B0 (instanceRef timer_ipu_waiting_cry_0_0)) (portRef D1 (instanceRef timer_lvl1_idle_s_0_23)) (portRef D0 (instanceRef timer_lvl1_idle_s_0_23)) (portRef D1 (instanceRef timer_lvl1_idle_cry_0_21)) @@ -591134,7 +591115,7 @@ (net timer_lvl1_working_2 (joined (portRef Q (instanceRef timer_lvl1_working_2)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) )) (net timer_lvl1_working_s_3 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_3)) @@ -591143,7 +591124,7 @@ (net timer_lvl1_working_3 (joined (portRef Q (instanceRef timer_lvl1_working_3)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) )) (net timer_lvl1_working_s_4 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_3)) @@ -591152,7 +591133,7 @@ (net timer_lvl1_working_4 (joined (portRef Q (instanceRef timer_lvl1_working_4)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) )) (net timer_lvl1_working_s_5 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_5)) @@ -591161,7 +591142,7 @@ (net timer_lvl1_working_5 (joined (portRef Q (instanceRef timer_lvl1_working_5)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) )) (net timer_lvl1_working_s_6 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_5)) @@ -591170,7 +591151,7 @@ (net timer_lvl1_working_6 (joined (portRef Q (instanceRef timer_lvl1_working_6)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) )) (net timer_lvl1_working_s_7 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_7)) @@ -591179,7 +591160,7 @@ (net timer_lvl1_working_7 (joined (portRef Q (instanceRef timer_lvl1_working_7)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) )) (net timer_lvl1_working_s_8 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_7)) @@ -591188,7 +591169,7 @@ (net timer_lvl1_working_8 (joined (portRef Q (instanceRef timer_lvl1_working_8)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) )) (net timer_lvl1_working_s_9 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_9)) @@ -591197,7 +591178,7 @@ (net timer_lvl1_working_9 (joined (portRef Q (instanceRef timer_lvl1_working_9)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) )) (net timer_lvl1_working_s_10 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_9)) @@ -591206,7 +591187,7 @@ (net timer_lvl1_working_10 (joined (portRef Q (instanceRef timer_lvl1_working_10)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) )) (net timer_lvl1_working_s_11 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_11)) @@ -591215,7 +591196,7 @@ (net timer_lvl1_working_11 (joined (portRef Q (instanceRef timer_lvl1_working_11)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) )) (net timer_lvl1_working_s_12 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_11)) @@ -591224,7 +591205,7 @@ (net timer_lvl1_working_12 (joined (portRef Q (instanceRef timer_lvl1_working_12)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) )) (net timer_lvl1_working_s_13 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_13)) @@ -591233,7 +591214,7 @@ (net timer_lvl1_working_13 (joined (portRef Q (instanceRef timer_lvl1_working_13)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) )) (net timer_lvl1_working_s_14 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_13)) @@ -591242,7 +591223,7 @@ (net timer_lvl1_working_14 (joined (portRef Q (instanceRef timer_lvl1_working_14)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) )) (net timer_lvl1_working_s_15 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_15)) @@ -591251,7 +591232,7 @@ (net timer_lvl1_working_15 (joined (portRef Q (instanceRef timer_lvl1_working_15)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) )) (net timer_lvl1_working_s_16 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_15)) @@ -591260,7 +591241,7 @@ (net timer_lvl1_working_16 (joined (portRef Q (instanceRef timer_lvl1_working_16)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) )) (net timer_lvl1_working_s_17 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_17)) @@ -591269,7 +591250,7 @@ (net timer_lvl1_working_17 (joined (portRef Q (instanceRef timer_lvl1_working_17)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) )) (net timer_lvl1_working_s_18 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_17)) @@ -591278,7 +591259,7 @@ (net timer_lvl1_working_18 (joined (portRef Q (instanceRef timer_lvl1_working_18)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) )) (net timer_lvl1_working_s_19 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_19)) @@ -591287,7 +591268,7 @@ (net timer_lvl1_working_19 (joined (portRef Q (instanceRef timer_lvl1_working_19)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) )) (net timer_lvl1_working_s_20 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_19)) @@ -591296,7 +591277,7 @@ (net timer_lvl1_working_20 (joined (portRef Q (instanceRef timer_lvl1_working_20)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) )) (net timer_lvl1_working_s_21 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_21)) @@ -591305,7 +591286,7 @@ (net timer_lvl1_working_21 (joined (portRef Q (instanceRef timer_lvl1_working_21)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) )) (net timer_lvl1_working_s_22 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_21)) @@ -591314,7 +591295,7 @@ (net timer_lvl1_working_22 (joined (portRef Q (instanceRef timer_lvl1_working_22)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) )) (net timer_lvl1_working_s_23 (joined (portRef S0 (instanceRef timer_lvl1_working_s_0_23)) @@ -591323,7 +591304,7 @@ (net timer_lvl1_working_23 (joined (portRef Q (instanceRef timer_lvl1_working_23)) (portRef A0 (instanceRef timer_lvl1_working_s_0_23)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) )) (net timer_lvl1_idle_s_0 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_0)) @@ -591359,7 +591340,7 @@ (net timer_lvl1_idle_0 (joined (portRef Q (instanceRef timer_lvl1_idle_0)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_0)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) )) (net timer_lvl1_idle_s_1 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_1)) @@ -591368,7 +591349,7 @@ (net timer_lvl1_idle_1 (joined (portRef Q (instanceRef timer_lvl1_idle_1)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_1)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) )) (net timer_lvl1_idle_s_2 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_1)) @@ -591377,7 +591358,7 @@ (net timer_lvl1_idle_2 (joined (portRef Q (instanceRef timer_lvl1_idle_2)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_1)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) )) (net timer_lvl1_idle_s_3 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_3)) @@ -591386,7 +591367,7 @@ (net timer_lvl1_idle_3 (joined (portRef Q (instanceRef timer_lvl1_idle_3)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_3)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) )) (net timer_lvl1_idle_s_4 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_3)) @@ -591395,7 +591376,7 @@ (net timer_lvl1_idle_4 (joined (portRef Q (instanceRef timer_lvl1_idle_4)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_3)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) )) (net timer_lvl1_idle_s_5 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_5)) @@ -591404,7 +591385,7 @@ (net timer_lvl1_idle_5 (joined (portRef Q (instanceRef timer_lvl1_idle_5)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_5)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) )) (net timer_lvl1_idle_s_6 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_5)) @@ -591413,7 +591394,7 @@ (net timer_lvl1_idle_6 (joined (portRef Q (instanceRef timer_lvl1_idle_6)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_5)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) )) (net timer_lvl1_idle_s_7 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_7)) @@ -591422,7 +591403,7 @@ (net timer_lvl1_idle_7 (joined (portRef Q (instanceRef timer_lvl1_idle_7)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_7)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) )) (net timer_lvl1_idle_s_8 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_7)) @@ -591431,7 +591412,7 @@ (net timer_lvl1_idle_8 (joined (portRef Q (instanceRef timer_lvl1_idle_8)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_7)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) )) (net timer_lvl1_idle_s_9 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_9)) @@ -591440,7 +591421,7 @@ (net timer_lvl1_idle_9 (joined (portRef Q (instanceRef timer_lvl1_idle_9)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_9)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) )) (net timer_lvl1_idle_s_10 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_9)) @@ -591449,7 +591430,7 @@ (net timer_lvl1_idle_10 (joined (portRef Q (instanceRef timer_lvl1_idle_10)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_9)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) )) (net timer_lvl1_idle_s_11 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_11)) @@ -591458,7 +591439,7 @@ (net timer_lvl1_idle_11 (joined (portRef Q (instanceRef timer_lvl1_idle_11)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_11)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) )) (net timer_lvl1_idle_s_12 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_11)) @@ -591467,7 +591448,7 @@ (net timer_lvl1_idle_12 (joined (portRef Q (instanceRef timer_lvl1_idle_12)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_11)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) )) (net timer_lvl1_idle_s_13 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_13)) @@ -591476,7 +591457,7 @@ (net timer_lvl1_idle_13 (joined (portRef Q (instanceRef timer_lvl1_idle_13)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_13)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) )) (net timer_lvl1_idle_s_14 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_13)) @@ -591485,7 +591466,7 @@ (net timer_lvl1_idle_14 (joined (portRef Q (instanceRef timer_lvl1_idle_14)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_13)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) )) (net timer_lvl1_idle_s_15 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_15)) @@ -591494,7 +591475,7 @@ (net timer_lvl1_idle_15 (joined (portRef Q (instanceRef timer_lvl1_idle_15)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_15)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) )) (net timer_lvl1_idle_s_16 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_15)) @@ -591503,7 +591484,7 @@ (net timer_lvl1_idle_16 (joined (portRef Q (instanceRef timer_lvl1_idle_16)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_15)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) )) (net timer_lvl1_idle_s_17 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_17)) @@ -591512,7 +591493,7 @@ (net timer_lvl1_idle_17 (joined (portRef Q (instanceRef timer_lvl1_idle_17)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_17)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) )) (net timer_lvl1_idle_s_18 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_17)) @@ -591521,7 +591502,7 @@ (net timer_lvl1_idle_18 (joined (portRef Q (instanceRef timer_lvl1_idle_18)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_17)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) )) (net timer_lvl1_idle_s_19 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_19)) @@ -591530,7 +591511,7 @@ (net timer_lvl1_idle_19 (joined (portRef Q (instanceRef timer_lvl1_idle_19)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_19)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) )) (net timer_lvl1_idle_s_20 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_19)) @@ -591539,7 +591520,7 @@ (net timer_lvl1_idle_20 (joined (portRef Q (instanceRef timer_lvl1_idle_20)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_19)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) )) (net timer_lvl1_idle_s_21 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_21)) @@ -591548,7 +591529,7 @@ (net timer_lvl1_idle_21 (joined (portRef Q (instanceRef timer_lvl1_idle_21)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_21)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) )) (net timer_lvl1_idle_s_22 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_21)) @@ -591557,7 +591538,7 @@ (net timer_lvl1_idle_22 (joined (portRef Q (instanceRef timer_lvl1_idle_22)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_21)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) )) (net timer_lvl1_idle_s_23 (joined (portRef S0 (instanceRef timer_lvl1_idle_s_0_23)) @@ -591566,7 +591547,7 @@ (net timer_lvl1_idle_23 (joined (portRef Q (instanceRef timer_lvl1_idle_23)) (portRef A0 (instanceRef timer_lvl1_idle_s_0_23)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) )) (net timer_lvl1_almost_full_s_0 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_0)) @@ -591602,7 +591583,7 @@ (net timer_lvl1_almost_full_0 (joined (portRef Q (instanceRef timer_lvl1_almost_full_0)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_0)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) )) (net timer_lvl1_almost_full_s_1 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_1)) @@ -591611,7 +591592,7 @@ (net timer_lvl1_almost_full_1 (joined (portRef Q (instanceRef timer_lvl1_almost_full_1)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) )) (net timer_lvl1_almost_full_s_2 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_1)) @@ -591620,7 +591601,7 @@ (net timer_lvl1_almost_full_2 (joined (portRef Q (instanceRef timer_lvl1_almost_full_2)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) )) (net timer_lvl1_almost_full_s_3 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_3)) @@ -591629,7 +591610,7 @@ (net timer_lvl1_almost_full_3 (joined (portRef Q (instanceRef timer_lvl1_almost_full_3)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) )) (net timer_lvl1_almost_full_s_4 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_3)) @@ -591638,7 +591619,7 @@ (net timer_lvl1_almost_full_4 (joined (portRef Q (instanceRef timer_lvl1_almost_full_4)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) )) (net timer_lvl1_almost_full_s_5 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_5)) @@ -591647,7 +591628,7 @@ (net timer_lvl1_almost_full_5 (joined (portRef Q (instanceRef timer_lvl1_almost_full_5)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) )) (net timer_lvl1_almost_full_s_6 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_5)) @@ -591656,7 +591637,7 @@ (net timer_lvl1_almost_full_6 (joined (portRef Q (instanceRef timer_lvl1_almost_full_6)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) )) (net timer_lvl1_almost_full_s_7 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_7)) @@ -591665,7 +591646,7 @@ (net timer_lvl1_almost_full_7 (joined (portRef Q (instanceRef timer_lvl1_almost_full_7)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) )) (net timer_lvl1_almost_full_s_8 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_7)) @@ -591674,7 +591655,7 @@ (net timer_lvl1_almost_full_8 (joined (portRef Q (instanceRef timer_lvl1_almost_full_8)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) )) (net timer_lvl1_almost_full_s_9 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_9)) @@ -591683,7 +591664,7 @@ (net timer_lvl1_almost_full_9 (joined (portRef Q (instanceRef timer_lvl1_almost_full_9)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) )) (net timer_lvl1_almost_full_s_10 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_9)) @@ -591692,7 +591673,7 @@ (net timer_lvl1_almost_full_10 (joined (portRef Q (instanceRef timer_lvl1_almost_full_10)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) )) (net timer_lvl1_almost_full_s_11 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_11)) @@ -591701,7 +591682,7 @@ (net timer_lvl1_almost_full_11 (joined (portRef Q (instanceRef timer_lvl1_almost_full_11)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) )) (net timer_lvl1_almost_full_s_12 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_11)) @@ -591710,7 +591691,7 @@ (net timer_lvl1_almost_full_12 (joined (portRef Q (instanceRef timer_lvl1_almost_full_12)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) )) (net timer_lvl1_almost_full_s_13 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_13)) @@ -591719,7 +591700,7 @@ (net timer_lvl1_almost_full_13 (joined (portRef Q (instanceRef timer_lvl1_almost_full_13)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) )) (net timer_lvl1_almost_full_s_14 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_13)) @@ -591728,7 +591709,7 @@ (net timer_lvl1_almost_full_14 (joined (portRef Q (instanceRef timer_lvl1_almost_full_14)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) )) (net timer_lvl1_almost_full_s_15 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_15)) @@ -591737,7 +591718,7 @@ (net timer_lvl1_almost_full_15 (joined (portRef Q (instanceRef timer_lvl1_almost_full_15)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) )) (net timer_lvl1_almost_full_s_16 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_15)) @@ -591746,7 +591727,7 @@ (net timer_lvl1_almost_full_16 (joined (portRef Q (instanceRef timer_lvl1_almost_full_16)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) )) (net timer_lvl1_almost_full_s_17 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_17)) @@ -591755,7 +591736,7 @@ (net timer_lvl1_almost_full_17 (joined (portRef Q (instanceRef timer_lvl1_almost_full_17)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) )) (net timer_lvl1_almost_full_s_18 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_17)) @@ -591764,7 +591745,7 @@ (net timer_lvl1_almost_full_18 (joined (portRef Q (instanceRef timer_lvl1_almost_full_18)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) )) (net timer_lvl1_almost_full_s_19 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_19)) @@ -591773,7 +591754,7 @@ (net timer_lvl1_almost_full_19 (joined (portRef Q (instanceRef timer_lvl1_almost_full_19)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) )) (net timer_lvl1_almost_full_s_20 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_19)) @@ -591782,7 +591763,7 @@ (net timer_lvl1_almost_full_20 (joined (portRef Q (instanceRef timer_lvl1_almost_full_20)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) )) (net timer_lvl1_almost_full_s_21 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_21)) @@ -591791,7 +591772,7 @@ (net timer_lvl1_almost_full_21 (joined (portRef Q (instanceRef timer_lvl1_almost_full_21)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) )) (net timer_lvl1_almost_full_s_22 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_21)) @@ -591800,7 +591781,7 @@ (net timer_lvl1_almost_full_22 (joined (portRef Q (instanceRef timer_lvl1_almost_full_22)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) )) (net timer_lvl1_almost_full_s_23 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_s_0_23)) @@ -591809,14 +591790,14 @@ (net timer_lvl1_almost_full_23 (joined (portRef Q (instanceRef timer_lvl1_almost_full_23)) (portRef A0 (instanceRef timer_lvl1_almost_full_s_0_23)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) )) (net timer_ipu_working_s_0 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_0)) (portRef D (instanceRef timer_ipu_working_0)) )) (net un27_status_ipu_handler_i (joined - (portRef Z (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i)) + (portRef Z (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_1)) (portRef SP (instanceRef timer_ipu_working_23)) (portRef SP (instanceRef timer_ipu_working_22)) (portRef SP (instanceRef timer_ipu_working_21)) @@ -591845,7 +591826,7 @@ (net timer_ipu_working_0 (joined (portRef Q (instanceRef timer_ipu_working_0)) (portRef A1 (instanceRef timer_ipu_working_cry_0_0)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) )) (net timer_ipu_working_s_1 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_1)) @@ -591854,7 +591835,7 @@ (net timer_ipu_working_1 (joined (portRef Q (instanceRef timer_ipu_working_1)) (portRef A0 (instanceRef timer_ipu_working_cry_0_1)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) )) (net timer_ipu_working_s_2 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_1)) @@ -591863,7 +591844,7 @@ (net timer_ipu_working_2 (joined (portRef Q (instanceRef timer_ipu_working_2)) (portRef A1 (instanceRef timer_ipu_working_cry_0_1)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) )) (net timer_ipu_working_s_3 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_3)) @@ -591872,7 +591853,7 @@ (net timer_ipu_working_3 (joined (portRef Q (instanceRef timer_ipu_working_3)) (portRef A0 (instanceRef timer_ipu_working_cry_0_3)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) )) (net timer_ipu_working_s_4 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_3)) @@ -591881,7 +591862,7 @@ (net timer_ipu_working_4 (joined (portRef Q (instanceRef timer_ipu_working_4)) (portRef A1 (instanceRef timer_ipu_working_cry_0_3)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) )) (net timer_ipu_working_s_5 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_5)) @@ -591890,7 +591871,7 @@ (net timer_ipu_working_5 (joined (portRef Q (instanceRef timer_ipu_working_5)) (portRef A0 (instanceRef timer_ipu_working_cry_0_5)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) )) (net timer_ipu_working_s_6 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_5)) @@ -591899,7 +591880,7 @@ (net timer_ipu_working_6 (joined (portRef Q (instanceRef timer_ipu_working_6)) (portRef A1 (instanceRef timer_ipu_working_cry_0_5)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) )) (net timer_ipu_working_s_7 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_7)) @@ -591908,7 +591889,7 @@ (net timer_ipu_working_7 (joined (portRef Q (instanceRef timer_ipu_working_7)) (portRef A0 (instanceRef timer_ipu_working_cry_0_7)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) )) (net timer_ipu_working_s_8 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_7)) @@ -591917,7 +591898,7 @@ (net timer_ipu_working_8 (joined (portRef Q (instanceRef timer_ipu_working_8)) (portRef A1 (instanceRef timer_ipu_working_cry_0_7)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) )) (net timer_ipu_working_s_9 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_9)) @@ -591926,7 +591907,7 @@ (net timer_ipu_working_9 (joined (portRef Q (instanceRef timer_ipu_working_9)) (portRef A0 (instanceRef timer_ipu_working_cry_0_9)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) )) (net timer_ipu_working_s_10 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_9)) @@ -591935,7 +591916,7 @@ (net timer_ipu_working_10 (joined (portRef Q (instanceRef timer_ipu_working_10)) (portRef A1 (instanceRef timer_ipu_working_cry_0_9)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) )) (net timer_ipu_working_s_11 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_11)) @@ -591944,7 +591925,7 @@ (net timer_ipu_working_11 (joined (portRef Q (instanceRef timer_ipu_working_11)) (portRef A0 (instanceRef timer_ipu_working_cry_0_11)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) )) (net timer_ipu_working_s_12 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_11)) @@ -591953,7 +591934,7 @@ (net timer_ipu_working_12 (joined (portRef Q (instanceRef timer_ipu_working_12)) (portRef A1 (instanceRef timer_ipu_working_cry_0_11)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) )) (net timer_ipu_working_s_13 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_13)) @@ -591962,7 +591943,7 @@ (net timer_ipu_working_13 (joined (portRef Q (instanceRef timer_ipu_working_13)) (portRef A0 (instanceRef timer_ipu_working_cry_0_13)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) )) (net timer_ipu_working_s_14 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_13)) @@ -591971,7 +591952,7 @@ (net timer_ipu_working_14 (joined (portRef Q (instanceRef timer_ipu_working_14)) (portRef A1 (instanceRef timer_ipu_working_cry_0_13)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) )) (net timer_ipu_working_s_15 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_15)) @@ -591980,7 +591961,7 @@ (net timer_ipu_working_15 (joined (portRef Q (instanceRef timer_ipu_working_15)) (portRef A0 (instanceRef timer_ipu_working_cry_0_15)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) )) (net timer_ipu_working_s_16 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_15)) @@ -591989,7 +591970,7 @@ (net timer_ipu_working_16 (joined (portRef Q (instanceRef timer_ipu_working_16)) (portRef A1 (instanceRef timer_ipu_working_cry_0_15)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) )) (net timer_ipu_working_s_17 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_17)) @@ -591998,7 +591979,7 @@ (net timer_ipu_working_17 (joined (portRef Q (instanceRef timer_ipu_working_17)) (portRef A0 (instanceRef timer_ipu_working_cry_0_17)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) )) (net timer_ipu_working_s_18 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_17)) @@ -592007,7 +591988,7 @@ (net timer_ipu_working_18 (joined (portRef Q (instanceRef timer_ipu_working_18)) (portRef A1 (instanceRef timer_ipu_working_cry_0_17)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) )) (net timer_ipu_working_s_19 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_19)) @@ -592016,7 +591997,7 @@ (net timer_ipu_working_19 (joined (portRef Q (instanceRef timer_ipu_working_19)) (portRef A0 (instanceRef timer_ipu_working_cry_0_19)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) )) (net timer_ipu_working_s_20 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_19)) @@ -592025,7 +592006,7 @@ (net timer_ipu_working_20 (joined (portRef Q (instanceRef timer_ipu_working_20)) (portRef A1 (instanceRef timer_ipu_working_cry_0_19)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) )) (net timer_ipu_working_s_21 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_21)) @@ -592034,7 +592015,7 @@ (net timer_ipu_working_21 (joined (portRef Q (instanceRef timer_ipu_working_21)) (portRef A0 (instanceRef timer_ipu_working_cry_0_21)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) )) (net timer_ipu_working_s_22 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_21)) @@ -592043,7 +592024,7 @@ (net timer_ipu_working_22 (joined (portRef Q (instanceRef timer_ipu_working_22)) (portRef A1 (instanceRef timer_ipu_working_cry_0_21)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) )) (net timer_ipu_working_s_23 (joined (portRef S0 (instanceRef timer_ipu_working_s_0_23)) @@ -592052,23 +592033,14 @@ (net timer_ipu_working_23 (joined (portRef Q (instanceRef timer_ipu_working_23)) (portRef A0 (instanceRef timer_ipu_working_s_0_23)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) )) (net timer_ipu_waiting_s_0 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_0)) (portRef D (instanceRef timer_ipu_waiting_0)) )) - (net timer_ipu_waiting_0 (joined - (portRef Q (instanceRef timer_ipu_waiting_0)) - (portRef A1 (instanceRef timer_ipu_waiting_cry_0_0)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) - )) - (net timer_ipu_waiting_s_1 (joined - (portRef S0 (instanceRef timer_ipu_waiting_cry_0_1)) - (portRef D (instanceRef timer_ipu_waiting_1)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i) + (net un35_status_ipu_handler_i (joined + (portRef Z (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i)) (portRef SP (instanceRef timer_ipu_waiting_23)) (portRef SP (instanceRef timer_ipu_waiting_22)) (portRef SP (instanceRef timer_ipu_waiting_21)) @@ -592094,10 +592066,19 @@ (portRef SP (instanceRef timer_ipu_waiting_1)) (portRef SP (instanceRef timer_ipu_waiting_0)) )) + (net timer_ipu_waiting_0 (joined + (portRef Q (instanceRef timer_ipu_waiting_0)) + (portRef A1 (instanceRef timer_ipu_waiting_cry_0_0)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) + )) + (net timer_ipu_waiting_s_1 (joined + (portRef S0 (instanceRef timer_ipu_waiting_cry_0_1)) + (portRef D (instanceRef timer_ipu_waiting_1)) + )) (net timer_ipu_waiting_1 (joined (portRef Q (instanceRef timer_ipu_waiting_1)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) )) (net timer_ipu_waiting_s_2 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_1)) @@ -592106,7 +592087,7 @@ (net timer_ipu_waiting_2 (joined (portRef Q (instanceRef timer_ipu_waiting_2)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) )) (net timer_ipu_waiting_s_3 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_3)) @@ -592115,7 +592096,7 @@ (net timer_ipu_waiting_3 (joined (portRef Q (instanceRef timer_ipu_waiting_3)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) )) (net timer_ipu_waiting_s_4 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_3)) @@ -592124,7 +592105,7 @@ (net timer_ipu_waiting_4 (joined (portRef Q (instanceRef timer_ipu_waiting_4)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) )) (net timer_ipu_waiting_s_5 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_5)) @@ -592133,7 +592114,7 @@ (net timer_ipu_waiting_5 (joined (portRef Q (instanceRef timer_ipu_waiting_5)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) )) (net timer_ipu_waiting_s_6 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_5)) @@ -592142,7 +592123,7 @@ (net timer_ipu_waiting_6 (joined (portRef Q (instanceRef timer_ipu_waiting_6)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) )) (net timer_ipu_waiting_s_7 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_7)) @@ -592151,7 +592132,7 @@ (net timer_ipu_waiting_7 (joined (portRef Q (instanceRef timer_ipu_waiting_7)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) )) (net timer_ipu_waiting_s_8 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_7)) @@ -592160,7 +592141,7 @@ (net timer_ipu_waiting_8 (joined (portRef Q (instanceRef timer_ipu_waiting_8)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) )) (net timer_ipu_waiting_s_9 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_9)) @@ -592169,7 +592150,7 @@ (net timer_ipu_waiting_9 (joined (portRef Q (instanceRef timer_ipu_waiting_9)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) )) (net timer_ipu_waiting_s_10 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_9)) @@ -592178,7 +592159,7 @@ (net timer_ipu_waiting_10 (joined (portRef Q (instanceRef timer_ipu_waiting_10)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) )) (net timer_ipu_waiting_s_11 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_11)) @@ -592187,7 +592168,7 @@ (net timer_ipu_waiting_11 (joined (portRef Q (instanceRef timer_ipu_waiting_11)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) )) (net timer_ipu_waiting_s_12 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_11)) @@ -592196,7 +592177,7 @@ (net timer_ipu_waiting_12 (joined (portRef Q (instanceRef timer_ipu_waiting_12)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) )) (net timer_ipu_waiting_s_13 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_13)) @@ -592205,7 +592186,7 @@ (net timer_ipu_waiting_13 (joined (portRef Q (instanceRef timer_ipu_waiting_13)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) )) (net timer_ipu_waiting_s_14 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_13)) @@ -592214,7 +592195,7 @@ (net timer_ipu_waiting_14 (joined (portRef Q (instanceRef timer_ipu_waiting_14)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) )) (net timer_ipu_waiting_s_15 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_15)) @@ -592223,7 +592204,7 @@ (net timer_ipu_waiting_15 (joined (portRef Q (instanceRef timer_ipu_waiting_15)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) )) (net timer_ipu_waiting_s_16 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_15)) @@ -592232,7 +592213,7 @@ (net timer_ipu_waiting_16 (joined (portRef Q (instanceRef timer_ipu_waiting_16)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) )) (net timer_ipu_waiting_s_17 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_17)) @@ -592241,7 +592222,7 @@ (net timer_ipu_waiting_17 (joined (portRef Q (instanceRef timer_ipu_waiting_17)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) )) (net timer_ipu_waiting_s_18 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_17)) @@ -592250,7 +592231,7 @@ (net timer_ipu_waiting_18 (joined (portRef Q (instanceRef timer_ipu_waiting_18)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) )) (net timer_ipu_waiting_s_19 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_19)) @@ -592259,7 +592240,7 @@ (net timer_ipu_waiting_19 (joined (portRef Q (instanceRef timer_ipu_waiting_19)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) )) (net timer_ipu_waiting_s_20 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_19)) @@ -592268,7 +592249,7 @@ (net timer_ipu_waiting_20 (joined (portRef Q (instanceRef timer_ipu_waiting_20)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) )) (net timer_ipu_waiting_s_21 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_21)) @@ -592277,7 +592258,7 @@ (net timer_ipu_waiting_21 (joined (portRef Q (instanceRef timer_ipu_waiting_21)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) )) (net timer_ipu_waiting_s_22 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_21)) @@ -592286,7 +592267,7 @@ (net timer_ipu_waiting_22 (joined (portRef Q (instanceRef timer_ipu_waiting_22)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) )) (net timer_ipu_waiting_s_23 (joined (portRef S0 (instanceRef timer_ipu_waiting_s_0_23)) @@ -592295,7 +592276,7 @@ (net timer_ipu_waiting_23 (joined (portRef Q (instanceRef timer_ipu_waiting_23)) (portRef A0 (instanceRef timer_ipu_waiting_s_0_23)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) )) (net timer_ipu_idle_s_0 (joined (portRef S1 (instanceRef timer_ipu_idle_cry_0_0)) @@ -592303,6 +592284,7 @@ )) (net un4_status_ipu_handler_i_1 (joined (portRef Z (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) + (portRef B (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_0)) (portRef SP (instanceRef timer_ipu_idle_23)) (portRef SP (instanceRef timer_ipu_idle_22)) (portRef SP (instanceRef timer_ipu_idle_21)) @@ -592783,13 +592765,15 @@ (portRef A0 (instanceRef timer_fifo_almost_full_0_s_0_23)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) )) - (net fee_trg_statusbitsd_0_20 (joined - (portRef Z (instanceRef fee_trg_statusbitsd_20)) - (portRef D (instanceRef fee_trg_statusbits_20)) + (net lvl1_error_pattern_i_20 (joined + (portRef Q (instanceRef fee_trg_statusbits_20)) + (portRef A (instanceRef fee_trg_statusbits_RNO_20)) + (portRef (member lvl1_error_pattern_i 1)) )) - (net fee_trg_statusbitsd_0_21 (joined - (portRef Z (instanceRef fee_trg_statusbitsd_21)) - (portRef D (instanceRef fee_trg_statusbits_21)) + (net lvl1_error_pattern_i_21 (joined + (portRef Q (instanceRef fee_trg_statusbits_21)) + (portRef A (instanceRef fee_trg_statusbits_RNO_21)) + (portRef (member lvl1_error_pattern_i 0)) )) (net fee_trg_released_0_0 (joined (portRef Z (instanceRef fee_trg_released_0)) @@ -592817,7 +592801,7 @@ (portRef Q (instanceRef STATISTICS_READY_OUT)) (portRef stat_buffer_ready) )) - (net N_30_i_0 (joined + (net N_40_i_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_0)) )) @@ -592852,7 +592836,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_0)) (portRef (member stat_buffer_i 23)) )) - (net N_5522_i (joined + (net N_31_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_1)) )) @@ -592860,7 +592844,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_1)) (portRef (member stat_buffer_i 22)) )) - (net N_5521_i (joined + (net N_20_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_2)) )) @@ -592868,7 +592852,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_2)) (portRef (member stat_buffer_i 21)) )) - (net N_4567_i (joined + (net N_4504_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_3)) )) @@ -592876,7 +592860,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_3)) (portRef (member stat_buffer_i 20)) )) - (net N_4629_i (joined + (net N_27_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_4)) )) @@ -592884,7 +592868,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_4)) (portRef (member stat_buffer_i 19)) )) - (net N_4566_i (joined + (net N_18_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_5)) )) @@ -592892,7 +592876,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_5)) (portRef (member stat_buffer_i 18)) )) - (net N_28_i (joined + (net N_25_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_6)) )) @@ -592900,7 +592884,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_6)) (portRef (member stat_buffer_i 17)) )) - (net N_4565_i (joined + (net N_4613_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_7)) )) @@ -592908,7 +592892,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_7)) (portRef (member stat_buffer_i 16)) )) - (net N_4564_i (joined + (net N_4644_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_8)) )) @@ -592916,7 +592900,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_8)) (portRef (member stat_buffer_i 15)) )) - (net N_4628_i (joined + (net N_4643_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_9)) )) @@ -592924,7 +592908,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_9)) (portRef (member stat_buffer_i 14)) )) - (net N_4563_i (joined + (net N_4642_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_10)) )) @@ -592932,7 +592916,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_10)) (portRef (member stat_buffer_i 13)) )) - (net N_17_i (joined + (net N_4641_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_11)) )) @@ -592940,7 +592924,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_11)) (portRef (member stat_buffer_i 12)) )) - (net N_4627_i (joined + (net N_4640_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_12)) )) @@ -592948,7 +592932,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_12)) (portRef (member stat_buffer_i 11)) )) - (net N_4626_i (joined + (net N_4639_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_13)) )) @@ -592956,7 +592940,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_13)) (portRef (member stat_buffer_i 10)) )) - (net N_26_i (joined + (net N_36_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_14)) )) @@ -592964,7 +592948,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_14)) (portRef (member stat_buffer_i 9)) )) - (net N_4625_i (joined + (net N_32_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_15)) )) @@ -592972,7 +592956,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_15)) (portRef (member stat_buffer_i 8)) )) - (net N_24_i (joined + (net N_34_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_16)) )) @@ -592980,7 +592964,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_16)) (portRef (member stat_buffer_i 7)) )) - (net N_4624_i (joined + (net N_30_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_17)) )) @@ -592988,7 +592972,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_17)) (portRef (member stat_buffer_i 6)) )) - (net N_4562_i (joined + (net N_28_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_18)) )) @@ -592996,7 +592980,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_18)) (portRef (member stat_buffer_i 5)) )) - (net N_22_i (joined + (net N_4564_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_19)) )) @@ -593004,7 +592988,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_19)) (portRef (member stat_buffer_i 4)) )) - (net N_20_i (joined + (net N_26_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_20)) )) @@ -593012,7 +592996,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_20)) (portRef (member stat_buffer_i 3)) )) - (net N_4516_i (joined + (net N_9_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_21)) )) @@ -593020,7 +593004,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_21)) (portRef (member stat_buffer_i 2)) )) - (net N_7_i (joined + (net N_24_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_22)) )) @@ -593028,7 +593012,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_22)) (portRef (member stat_buffer_i 1)) )) - (net N_4515_i (joined + (net N_19_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_23)) )) @@ -593036,6 +593020,30 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_23)) (portRef (member stat_buffer_i 0)) )) + (net regio_addr_out_0 (joined + (portRef (member regio_addr_out 4)) + (portRef A (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) + (portRef A (instanceRef un1_statistics_data_out59_i_0_0_a8)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_0)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_o3)) + (portRef D (instanceRef STATISTICS_READY_OUT_RNO)) + )) + (net regio_addr_out_1 (joined + (portRef (member regio_addr_out 3)) + (portRef B (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) + (portRef B (instanceRef un1_statistics_data_out59_i_0_0_a8)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_2)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_0)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_1)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_o3)) + (portRef C (instanceRef STATISTICS_READY_OUT_RNO)) + )) (net GND (joined (portRef GND) (portRef GND (instanceRef THE_IPU_HANDLER)) @@ -593419,114 +593427,122 @@ (portRef C0 (instanceRef timer_fifo_almost_full_0_cry_0_0)) (portRef A0 (instanceRef timer_fifo_almost_full_0_cry_0_0)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_o3 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_o3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) + (net STATISTICS_DATA_OUT_10_1610_i_i_0_0_o3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_o3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_18)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_4)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_17)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_21)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_17)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_9)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_20)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_13)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_20)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_16)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_11)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) (portRef A (instanceRef STATISTICS_READY_OUTc)) )) (net stat_handler_i_0 (joined (portRef Q (instanceRef fee_trg_release_0)) - (portRef D (instanceRef fee_trg_release_RNIIKG41_0)) + (portRef D (instanceRef proc_trg_release_un4_reset_i)) (portRef B (instanceRef fee_trg_released_0)) - (portRef A (instanceRef LVL1_TRG_RELEASE_OUT)) + (portRef A (instanceRef LVL1_TRG_RELEASE_OUT_0_a2)) (portRef (member stat_handler_i 1)) )) (net stat_handler_i_1 (joined (portRef Q (instanceRef fee_trg_release_1)) - (portRef C (instanceRef fee_trg_release_RNIIKG41_0)) + (portRef C (instanceRef proc_trg_release_un4_reset_i)) (portRef C (instanceRef fee_trg_released_1)) - (portRef B (instanceRef LVL1_TRG_RELEASE_OUT)) + (portRef B (instanceRef LVL1_TRG_RELEASE_OUT_0_a2)) (portRef (member stat_handler_i 0)) )) - (net LVL1_TRG_RELEASE_OUT (joined - (portRef Z (instanceRef LVL1_TRG_RELEASE_OUT)) - (portRef LVL1_TRG_RELEASE_OUT) + (net LVL1_TRG_RELEASE_OUT_0_a2 (joined + (portRef Z (instanceRef LVL1_TRG_RELEASE_OUT_0_a2)) + (portRef LVL1_TRG_RELEASE_OUT_0_a2) )) - (net reset_ipu_i_0 (joined - (portRef Z (instanceRef reset_ipu_i)) - (portRef reset_ipu_i_0 (instanceRef THE_IPU_HANDLER)) - (portRef reset_ipu_i_0 (instanceRef THE_DATA_HANDLER)) + (net reset_ipu_i_0_i_1 (joined + (portRef Z (instanceRef reset_ipu_i_0_i_0_o3)) + (portRef reset_ipu_i_0_i_1 (instanceRef THE_IPU_HANDLER)) + (portRef reset_ipu_i_0_i_1 (instanceRef THE_DATA_HANDLER)) )) - (net timer_ipu_waiting (joined - (portRef Z (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i_0)) - (portRef B0 (instanceRef timer_ipu_waiting_cry_0_0)) + (net regio_addr_out_2 (joined + (portRef (member regio_addr_out 2)) + (portRef C (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) + (portRef C (instanceRef STATISTICS_READY_OUTc)) + (portRef C (instanceRef un1_statistics_data_out59_i_0_0_a8)) + (portRef C (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_2)) + (portRef C (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_0)) + (portRef C (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_1)) + (portRef B (instanceRef STATISTICS_READY_OUT_RNO)) )) - (net N_254 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_0)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (net N_350 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (net N_347 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_0)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) )) (net timer_lvl1_working (joined (portRef Z (instanceRef timer_lvl1_working_cry_0_RNO_0)) @@ -593534,136 +593550,145 @@ )) (net current_state_1 (joined (portRef current_state_1 (instanceRef THE_IPU_HANDLER)) - (portRef B (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_0)) (portRef A (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) - (portRef B (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_RNO)) + (portRef B (instanceRef timer_ipu_idle_cry_0_RNO_0)) (portRef current_state_1) )) - (net current_state_4 (joined - (portRef current_state_4 (instanceRef THE_IPU_HANDLER)) - (portRef B (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) - (portRef D (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_RNO)) - (portRef current_state_4) - )) - (net m161_2_03 (joined - (portRef m161_2_03 (instanceRef THE_IPU_HANDLER)) - (portRef C (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) - (portRef A (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_RNO)) - (portRef m161_2_03) - )) (net common_ctrl_reg_2 (joined (portRef (member common_ctrl_reg 0)) (portRef (member common_ctrl_reg 0) (instanceRef THE_IPU_HANDLER)) (portRef (member common_ctrl_reg 0) (instanceRef THE_DATA_HANDLER)) - (portRef A (instanceRef reset_ipu_i_0_i_2)) - (portRef A (instanceRef reset_ipu_i_0_i_1)) - (portRef A (instanceRef reset_ipu_i_0_i_iso)) - (portRef A (instanceRef reset_ipu_i_0_i)) - (portRef A (instanceRef reset_ipu_i)) - )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) - (portRef B (instanceRef reset_ipu_i_0_i)) - )) - (net reset_ipu_i_0_i (joined - (portRef Z (instanceRef reset_ipu_i_0_i)) - (portRef reset_ipu_i_0_i (instanceRef THE_DATA_HANDLER)) + (portRef A (instanceRef reset_ipu_i_0_i_0_o3_2)) + (portRef A (instanceRef reset_ipu_i_0_i_0_o3_1)) + (portRef A (instanceRef reset_ipu_i_0_i_1_i)) + (portRef A (instanceRef reset_ipu_i_0_i_0_o3)) )) - (net current_state_0 (joined - (portRef current_state_0 (instanceRef THE_IPU_HANDLER)) - (portRef A (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_0)) - (portRef C (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_RNO)) - (portRef current_state_0) + (net reset_i_fast_r10 (joined + (portRef reset_i_fast_r10) + (portRef reset_i_fast_r10 (instanceRef THE_IPU_HANDLER)) + (portRef reset_i_fast_r10 (instanceRef THE_DATA_HANDLER)) + (portRef B (instanceRef reset_ipu_i_0_i_0_o3_2)) + (portRef B (instanceRef reset_ipu_i_0_i_0_o3_1)) + (portRef B (instanceRef reset_ipu_i_0_i_1_i)) + (portRef B (instanceRef reset_ipu_i_0_i_0_o3)) + )) + (net reset_ipu_i_0_i_1_i (joined + (portRef Z (instanceRef reset_ipu_i_0_i_1_i)) + (portRef reset_ipu_i_0_i_1_i (instanceRef THE_IPU_HANDLER)) + (portRef reset_ipu_i_0_i_1_i (instanceRef THE_DATA_HANDLER)) )) - (net timer_ipu_idle (joined - (portRef Z (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_0)) - (portRef B0 (instanceRef timer_ipu_idle_cry_0_0)) + (net N_352 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) + (net N_351 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) )) (net regio_addr_out_4 (joined (portRef (member regio_addr_out 0)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_1)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_18)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_4)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_17)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_21)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_17)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_9)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_20)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_10)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_13)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_20)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_16)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_10)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_11)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) (portRef D (instanceRef un1_statistics_data_out59_i_0_0_a8)) - (portRef D (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3)) + (portRef D (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3)) (portRef A (instanceRef STATISTICS_READY_OUT_RNO)) )) - (net N_253 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) + (net N_346 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) )) - (net N_6121 (joined + (net N_6155 (joined (portRef Z (instanceRef un1_statistics_data_out59_i_0_0_a8)) (portRef B (instanceRef un1_statistics_data_out59_i_0_0_a8_RNILVQB)) (portRef A (instanceRef un1_statistics_data_out59_i_0_0)) @@ -593673,101 +593698,101 @@ (portRef B (instanceRef STATISTICS_READY_OUTc)) (portRef D (instanceRef STATISTICS_UNKNOWN_OUT)) )) - (net STATISTICS_DATA_OUT_10_11_1152_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_10_1192_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) + )) + (net STATISTICS_DATA_OUT_10_11_1154_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_11)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) )) - (net STATISTICS_DATA_OUT_10_6_1342_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_16)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) + (net STATISTICS_DATA_OUT_10_8_1268_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) )) - (net STATISTICS_DATA_OUT_10_9_1228_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_13)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) + (net STATISTICS_DATA_OUT_10_19_850_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) )) - (net STATISTICS_DATA_OUT_10_12_1114_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_7_1306_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) + )) + (net STATISTICS_DATA_OUT_10_12_1116_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_10)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) )) - (net STATISTICS_DATA_OUT_10_2_1494_i_i_0_0 (joined + (net STATISTICS_DATA_OUT_10_17_926_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) + )) + (net STATISTICS_DATA_OUT_10_6_1344_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_16)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) + )) + (net STATISTICS_DATA_OUT_10_22_736_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) + )) + (net STATISTICS_DATA_OUT_10_2_1496_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_20)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) )) - (net STATISTICS_DATA_OUT_10_13_1076_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_9_1230_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_13)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) + )) + (net STATISTICS_DATA_OUT_10_14_1040_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) + )) + (net STATISTICS_DATA_OUT_10_13_1078_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_9)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) )) - (net STATISTICS_DATA_OUT_10_16_962_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) + (net STATISTICS_DATA_OUT_10_20_812_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) )) - (net STATISTICS_DATA_OUT_10_1608_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) + (net STATISTICS_DATA_OUT_10_0_1572_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) )) - (net STATISTICS_DATA_OUT_10_1_1532_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_21)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) + (net STATISTICS_DATA_OUT_10_15_1002_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) + )) + (net STATISTICS_DATA_OUT_10_3_1458_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) )) - (net STATISTICS_DATA_OUT_10_5_1380_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_5_1382_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_17)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) + (net STATISTICS_DATA_OUT_10_1_1534_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_21)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) )) - (net STATISTICS_DATA_OUT_10_18_886_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_18_888_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_4)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) )) - (net STATISTICS_DATA_OUT_10_17_924_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) - )) - (net STATISTICS_DATA_OUT_10_4_1418_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_4_1420_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_18)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) )) - (net STATISTICS_DATA_OUT_10_20_810_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) - )) - (net STATISTICS_DATA_OUT_10_15_1000_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) - )) - (net STATISTICS_DATA_OUT_10_0_1570_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) - )) - (net STATISTICS_DATA_OUT_10_8_1266_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) - )) - (net STATISTICS_DATA_OUT_10_19_848_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) - )) - (net STATISTICS_DATA_OUT_10_10_1190_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) + (net STATISTICS_DATA_OUT_10_16_964_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) )) - (net STATISTICS_DATA_OUT_10_21_772_i_i_0_0 (joined + (net STATISTICS_DATA_OUT_10_21_774_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_1)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) )) - (net STATISTICS_DATA_OUT_10_22_734_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) - )) - (net STATISTICS_DATA_OUT_10_14_1038_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) - )) - (net STATISTICS_DATA_OUT_10_7_1304_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) + (net STATISTICS_DATA_OUT_10_1610_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) )) (net regio_addr_out_3 (joined (portRef (member regio_addr_out 1)) @@ -593778,362 +593803,338 @@ (portRef Z (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) (portRef un16_dbuf_data_in_0_i) )) - (net STATISTICS_DATA_OUT_10_11_1152_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) + (net STATISTICS_DATA_OUT_10_10_1192_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) )) - (net STATISTICS_DATA_OUT_10_11_1152_i_i_0_0_2 (joined + (net STATISTICS_DATA_OUT_10_10_1192_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) + )) + (net STATISTICS_DATA_OUT_10_11_1154_i_i_0_0_1 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) )) - (net STATISTICS_DATA_OUT_10_6_1342_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) - )) - (net STATISTICS_DATA_OUT_10_6_1342_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) - )) - (net STATISTICS_DATA_OUT_10_9_1228_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) + (net STATISTICS_DATA_OUT_10_11_1154_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) )) - (net STATISTICS_DATA_OUT_10_9_1228_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) + (net STATISTICS_DATA_OUT_10_8_1268_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) )) - (net STATISTICS_DATA_OUT_10_12_1114_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) + (net STATISTICS_DATA_OUT_10_8_1268_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) )) - (net STATISTICS_DATA_OUT_10_12_1114_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) + (net STATISTICS_DATA_OUT_10_19_850_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) )) - (net STATISTICS_DATA_OUT_10_2_1494_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) + (net STATISTICS_DATA_OUT_10_19_850_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) )) - (net STATISTICS_DATA_OUT_10_2_1494_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) + (net STATISTICS_DATA_OUT_10_7_1306_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) )) - (net STATISTICS_DATA_OUT_10_13_1076_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) + (net STATISTICS_DATA_OUT_10_7_1306_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) )) - (net STATISTICS_DATA_OUT_10_13_1076_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) + (net STATISTICS_DATA_OUT_10_12_1116_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) )) - (net STATISTICS_DATA_OUT_10_16_962_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) + (net STATISTICS_DATA_OUT_10_12_1116_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) )) - (net STATISTICS_DATA_OUT_10_16_962_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) + (net STATISTICS_DATA_OUT_10_17_926_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) )) - (net STATISTICS_DATA_OUT_10_1608_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) + (net STATISTICS_DATA_OUT_10_17_926_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) )) - (net STATISTICS_DATA_OUT_10_1608_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) + (net STATISTICS_DATA_OUT_10_6_1344_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) )) - (net STATISTICS_DATA_OUT_10_1_1532_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) + (net STATISTICS_DATA_OUT_10_6_1344_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) )) - (net STATISTICS_DATA_OUT_10_1_1532_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) + (net STATISTICS_DATA_OUT_10_22_736_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) )) - (net STATISTICS_DATA_OUT_10_5_1380_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) + (net STATISTICS_DATA_OUT_10_22_736_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) )) - (net STATISTICS_DATA_OUT_10_5_1380_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) + (net STATISTICS_DATA_OUT_10_2_1496_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) + (net STATISTICS_DATA_OUT_10_2_1496_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) + (net STATISTICS_DATA_OUT_10_9_1230_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) )) - (net STATISTICS_DATA_OUT_10_18_886_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) + (net STATISTICS_DATA_OUT_10_9_1230_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) )) - (net STATISTICS_DATA_OUT_10_18_886_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) + (net STATISTICS_DATA_OUT_10_14_1040_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) )) - (net STATISTICS_DATA_OUT_10_17_924_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) + (net STATISTICS_DATA_OUT_10_14_1040_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) )) - (net STATISTICS_DATA_OUT_10_17_924_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) + (net STATISTICS_DATA_OUT_10_13_1078_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) )) - (net STATISTICS_DATA_OUT_10_4_1418_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) + (net STATISTICS_DATA_OUT_10_13_1078_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) )) - (net STATISTICS_DATA_OUT_10_4_1418_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) + (net STATISTICS_DATA_OUT_10_20_812_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) )) - (net STATISTICS_DATA_OUT_10_20_810_i_i_0_1 (joined + (net STATISTICS_DATA_OUT_10_20_812_i_i_0_0_2 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) )) - (net STATISTICS_DATA_OUT_10_20_810_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) + (net STATISTICS_DATA_OUT_10_0_1572_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) )) - (net STATISTICS_DATA_OUT_10_15_1000_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) + (net STATISTICS_DATA_OUT_10_0_1572_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) )) - (net STATISTICS_DATA_OUT_10_15_1000_i_i_0_0_2 (joined + (net STATISTICS_DATA_OUT_10_15_1002_i_i_0_0_1 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) )) - (net STATISTICS_DATA_OUT_10_0_1570_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) + (net STATISTICS_DATA_OUT_10_15_1002_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) )) - (net STATISTICS_DATA_OUT_10_0_1570_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) + (net STATISTICS_DATA_OUT_10_3_1458_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) )) - (net STATISTICS_DATA_OUT_10_8_1266_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) + (net STATISTICS_DATA_OUT_10_3_1458_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) )) - (net STATISTICS_DATA_OUT_10_8_1266_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) + (net STATISTICS_DATA_OUT_10_5_1382_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) )) - (net STATISTICS_DATA_OUT_10_19_848_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) + (net STATISTICS_DATA_OUT_10_5_1382_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) )) - (net STATISTICS_DATA_OUT_10_19_848_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) + (net STATISTICS_DATA_OUT_10_1_1534_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) )) - (net STATISTICS_DATA_OUT_10_10_1190_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) + (net STATISTICS_DATA_OUT_10_1_1534_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) )) - (net STATISTICS_DATA_OUT_10_10_1190_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) + (net STATISTICS_DATA_OUT_10_18_888_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) )) - (net STATISTICS_DATA_OUT_10_21_772_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) + (net STATISTICS_DATA_OUT_10_18_888_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) + )) + (net STATISTICS_DATA_OUT_10_4_1420_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) )) - (net STATISTICS_DATA_OUT_10_21_772_i_i_0_2 (joined + (net STATISTICS_DATA_OUT_10_4_1420_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) + )) + (net STATISTICS_DATA_OUT_10_16_964_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) + )) + (net STATISTICS_DATA_OUT_10_16_964_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) + )) + (net STATISTICS_DATA_OUT_10_21_774_i_i_0_0_1 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) )) - (net STATISTICS_DATA_OUT_10_22_734_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) + (net STATISTICS_DATA_OUT_10_21_774_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) )) - (net STATISTICS_DATA_OUT_10_22_734_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) + (net STATISTICS_DATA_OUT_10_1610_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) )) - (net STATISTICS_DATA_OUT_10_14_1038_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) + (net STATISTICS_DATA_OUT_10_1610_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) )) - (net STATISTICS_DATA_OUT_10_14_1038_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) + (net m161_2_03 (joined + (portRef m161_2_03 (instanceRef THE_IPU_HANDLER)) + (portRef C (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_0)) + (portRef C (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) + (portRef m161_2_03) )) - (net STATISTICS_DATA_OUT_10_7_1304_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) + (net un27_status_ipu_handler_i_0 (joined + (portRef Z (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_0)) + (portRef C (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_1)) )) - (net STATISTICS_DATA_OUT_10_7_1304_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) + (net STATISTICS_DATA_OUT_10_10_1192_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) )) - (net STATISTICS_DATA_OUT_10_11_1152_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_11_1154_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) )) - (net STATISTICS_DATA_OUT_10_6_1342_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) + (net STATISTICS_DATA_OUT_10_8_1268_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) )) - (net STATISTICS_DATA_OUT_10_9_1228_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) + (net STATISTICS_DATA_OUT_10_19_850_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) )) - (net STATISTICS_DATA_OUT_10_12_1114_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_7_1306_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) + )) + (net STATISTICS_DATA_OUT_10_12_1116_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) )) - (net STATISTICS_DATA_OUT_10_2_1494_i_i_0_4 (joined + (net STATISTICS_DATA_OUT_10_17_926_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) + )) + (net STATISTICS_DATA_OUT_10_6_1344_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) + )) + (net STATISTICS_DATA_OUT_10_22_736_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) + )) + (net STATISTICS_DATA_OUT_10_2_1496_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) )) - (net STATISTICS_DATA_OUT_10_13_1076_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_9_1230_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) + )) + (net STATISTICS_DATA_OUT_10_14_1040_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) + )) + (net STATISTICS_DATA_OUT_10_13_1078_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) )) - (net STATISTICS_DATA_OUT_10_16_962_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) + (net STATISTICS_DATA_OUT_10_20_812_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) )) - (net STATISTICS_DATA_OUT_10_1608_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) + (net STATISTICS_DATA_OUT_10_0_1572_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) )) - (net STATISTICS_DATA_OUT_10_1_1532_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) + (net STATISTICS_DATA_OUT_10_15_1002_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) )) - (net STATISTICS_DATA_OUT_10_5_1380_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_3_1458_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) + )) + (net STATISTICS_DATA_OUT_10_5_1382_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) + (net STATISTICS_DATA_OUT_10_1_1534_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) )) - (net STATISTICS_DATA_OUT_10_18_886_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_18_888_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) )) - (net STATISTICS_DATA_OUT_10_17_924_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) - )) - (net STATISTICS_DATA_OUT_10_4_1418_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_4_1420_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) )) - (net STATISTICS_DATA_OUT_10_20_810_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) - )) - (net STATISTICS_DATA_OUT_10_15_1000_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) - )) - (net STATISTICS_DATA_OUT_10_0_1570_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) - )) - (net STATISTICS_DATA_OUT_10_8_1266_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) - )) - (net STATISTICS_DATA_OUT_10_19_848_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) - )) - (net STATISTICS_DATA_OUT_10_10_1190_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) + (net STATISTICS_DATA_OUT_10_16_964_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) )) - (net STATISTICS_DATA_OUT_10_21_772_i_i_0_4 (joined + (net STATISTICS_DATA_OUT_10_21_774_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) )) - (net STATISTICS_DATA_OUT_10_22_734_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) + (net STATISTICS_DATA_OUT_10_1610_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) )) - (net STATISTICS_DATA_OUT_10_14_1038_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) + (net buf_api_stat_fifo_to_int_46 (joined + (portRef (member buf_api_stat_fifo_to_int 0)) + (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef THE_IPU_HANDLER)) + (portRef A (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_1)) + (portRef A (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i)) )) - (net STATISTICS_DATA_OUT_10_7_1304_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef last_second_word_waiting_RNIKQVT1) + (portRef last_second_word_waiting_RNIKQVT1 (instanceRef THE_IPU_HANDLER)) + (portRef B (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_1)) + (portRef B (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i)) )) (net m166_2_03_4_i_4 (joined (portRef m166_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef C (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i)) - (portRef A (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i_0)) + (portRef D (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_1)) + (portRef C (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i)) (portRef m166_2_03_4_i_4) )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef B (instanceRef reset_ipu_i_0_i_iso)) - )) - (net reset_ipu_i_0_i_iso (joined - (portRef Z (instanceRef reset_ipu_i_0_i_iso)) - (portRef reset_ipu_i_0_i_iso (instanceRef THE_IPU_HANDLER)) - (portRef reset_ipu_i_0_i_iso (instanceRef THE_DATA_HANDLER)) - )) - (net dat_lvl1_release (joined - (portRef dat_lvl1_release (instanceRef THE_DATA_HANDLER)) - (portRef C (instanceRef fee_trg_statusbitsd_20)) - (portRef C (instanceRef fee_trg_statusbitsd_21)) - (portRef A (instanceRef fee_trg_released_1)) - )) - (net un4_reset_0_i (joined - (portRef Z (instanceRef fee_trg_release_RNIIKG41_0)) - (portRef B (instanceRef fee_trg_statusbitsd_20)) - (portRef B (instanceRef fee_trg_statusbitsd_21)) - (portRef A (instanceRef fee_trg_released_0)) - (portRef B (instanceRef fee_trg_released_1)) - )) (net fee_trg_release_i (joined (portRef fee_trg_release_i) (portRef C (instanceRef fee_trg_released_0)) )) - (net reset_ipu_i_0_i_1 (joined - (portRef Z (instanceRef reset_ipu_i_0_i_1)) - (portRef reset_ipu_i_0_i_1 (instanceRef THE_DATA_HANDLER)) - )) - (net reset_i_1 (joined - (portRef reset_i_1) - (portRef B (instanceRef reset_ipu_i_0_i_2)) - (portRef B (instanceRef reset_ipu_i_0_i_1)) - )) - (net reset_ipu_i_0_i_2 (joined - (portRef Z (instanceRef reset_ipu_i_0_i_2)) - (portRef reset_ipu_i_0_i_2 (instanceRef THE_DATA_HANDLER)) - )) - (net reset_i_fast_r7 (joined - (portRef reset_i_fast_r7) - (portRef reset_i_fast_r7 (instanceRef THE_DATA_HANDLER)) - (portRef A (instanceRef fee_trg_release_RNIIKG41_0)) - (portRef B (instanceRef reset_ipu_i)) - )) - (net lvl1_error_pattern_i_21 (joined - (portRef Q (instanceRef fee_trg_statusbits_21)) - (portRef A (instanceRef fee_trg_statusbitsd_21)) - (portRef (member lvl1_error_pattern_i 0)) + (net reset_ipu_i_0_i_1_1 (joined + (portRef Z (instanceRef reset_ipu_i_0_i_0_o3_1)) + (portRef reset_ipu_i_0_i_1_1 (instanceRef THE_DATA_HANDLER)) )) - (net dat_lvl1_statusbits_21 (joined - (portRef (member dat_lvl1_statusbits 0) (instanceRef THE_DATA_HANDLER)) - (portRef D (instanceRef fee_trg_statusbitsd_21)) + (net reset_ipu_i_0_i_1_2 (joined + (portRef Z (instanceRef reset_ipu_i_0_i_0_o3_2)) + (portRef reset_ipu_i_0_i_1_2 (instanceRef THE_DATA_HANDLER)) )) - (net lvl1_error_pattern_i_20 (joined - (portRef Q (instanceRef fee_trg_statusbits_20)) - (portRef A (instanceRef fee_trg_statusbitsd_20)) - (portRef (member lvl1_error_pattern_i 1)) - )) - (net dat_lvl1_statusbits_20 (joined - (portRef (member dat_lvl1_statusbits 1) (instanceRef THE_DATA_HANDLER)) - (portRef D (instanceRef fee_trg_statusbitsd_20)) + (net N_6492 (joined + (portRef Z (instanceRef proc_trg_release_un4_reset_i_o3)) + (portRef N_6492 (instanceRef THE_DATA_HANDLER)) + (portRef B (instanceRef proc_trg_release_un4_reset_i)) )) (net timer_fifo_almost_full_0_cry_0 (joined (portRef COUT (instanceRef timer_fifo_almost_full_0_cry_0_0)) @@ -595247,42 +595248,29 @@ (portRef m129_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m129_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) )) - (net trg_spurious_trg_i (joined - (portRef trg_spurious_trg_i) - (portRef trg_spurious_trg_i (instanceRef THE_DATA_HANDLER)) - )) - (net int_lvl1_long_trg (joined - (portRef int_lvl1_long_trg) - (portRef int_lvl1_long_trg (instanceRef THE_DATA_HANDLER)) - )) - (net lvl1_valid_i_0 (joined - (portRef lvl1_valid_i_0) - (portRef lvl1_valid_i_0 (instanceRef THE_DATA_HANDLER)) - (portRef B (instanceRef fee_trg_release_RNIIKG41_0)) - )) - (net trg_timeout_detected_i (joined - (portRef trg_timeout_detected_i) - (portRef trg_timeout_detected_i (instanceRef THE_DATA_HANDLER)) - )) (net trg_spike_detected_i (joined (portRef trg_spike_detected_i) (portRef trg_spike_detected_i (instanceRef THE_DATA_HANDLER)) )) - (net trg_multiple_trg_i (joined - (portRef trg_multiple_trg_i) - (portRef trg_multiple_trg_i (instanceRef THE_DATA_HANDLER)) - )) (net trg_missing_tmg_trg_i (joined (portRef trg_missing_tmg_trg_i) (portRef trg_missing_tmg_trg_i (instanceRef THE_DATA_HANDLER)) )) + (net int_lvl1_long_trg (joined + (portRef int_lvl1_long_trg) + (portRef int_lvl1_long_trg (instanceRef THE_DATA_HANDLER)) + )) (net trg_data_valid_i (joined (portRef trg_data_valid_i) (portRef trg_data_valid_i (instanceRef THE_DATA_HANDLER)) )) - (net m128_0_03_1_1 (joined - (portRef m128_0_03_1_1 (instanceRef THE_DATA_HANDLER)) - (portRef m128_0_03_1_1) + (net fee_data_finished_i (joined + (portRef fee_data_finished_i) + (portRef fee_data_finished_i (instanceRef THE_DATA_HANDLER)) + )) + (net N_277 (joined + (portRef N_277 (instanceRef THE_DATA_HANDLER)) + (portRef N_277) )) (net fee_data_write_i (joined (portRef fee_data_write_i) @@ -595316,22 +595304,42 @@ (portRef m136_2_03_2_i_4 (instanceRef THE_DATA_HANDLER)) (portRef m136_2_03_2_i_4) )) - (net fee_data_finished_i (joined - (portRef fee_data_finished_i) - (portRef fee_data_finished_i (instanceRef THE_DATA_HANDLER)) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef reset_i_fast_r7 (instanceRef THE_DATA_HANDLER)) + (portRef A (instanceRef proc_trg_release_un4_reset_i)) + )) + (net trg_multiple_trg_i (joined + (portRef trg_multiple_trg_i) + (portRef trg_multiple_trg_i (instanceRef THE_DATA_HANDLER)) + )) + (net trg_spurious_trg_i (joined + (portRef trg_spurious_trg_i) + (portRef trg_spurious_trg_i (instanceRef THE_DATA_HANDLER)) + )) + (net trg_timeout_detected_i (joined + (portRef trg_timeout_detected_i) + (portRef trg_timeout_detected_i (instanceRef THE_DATA_HANDLER)) )) - (net DAT_DATA_READ_OUT_0_RNIPO254_0 (joined - (portRef (member dat_data_read_out_0_rnipo254 0) (instanceRef THE_IPU_HANDLER)) - (portRef (member dat_data_read_out_0_rnipo254 0)) + (net trg_notiming_valid_i (joined + (portRef trg_notiming_valid_i) + (portRef trg_notiming_valid_i (instanceRef THE_DATA_HANDLER)) + (portRef A (instanceRef proc_trg_release_un4_reset_i_o3)) + )) + (net trg_timing_valid_i (joined + (portRef trg_timing_valid_i) + (portRef trg_timing_valid_i (instanceRef THE_DATA_HANDLER)) + (portRef B (instanceRef proc_trg_release_un4_reset_i_o3)) + )) + (net trg_invalid_i (joined + (portRef trg_invalid_i) + (portRef trg_invalid_i (instanceRef THE_DATA_HANDLER)) + (portRef C (instanceRef proc_trg_release_un4_reset_i_o3)) )) (net port_select_int_0 (joined (portRef (member port_select_int 0)) (portRef (member port_select_int 0) (instanceRef THE_IPU_HANDLER)) )) - (net DAT_DATA_READ_OUT_0_0 (joined - (portRef (member dat_data_read_out_0 0) (instanceRef THE_IPU_HANDLER)) - (portRef (member dat_data_read_out_0 0)) - )) (net ipu_length_i_0 (joined (portRef (member ipu_length_i 15) (instanceRef THE_IPU_HANDLER)) (portRef (member ipu_length_i 15)) @@ -595396,6 +595404,10 @@ (portRef (member ipu_length_i 0) (instanceRef THE_IPU_HANDLER)) (portRef (member ipu_length_i 0)) )) + (net dat_fifo_select_0 (joined + (portRef (member dat_fifo_select 0) (instanceRef THE_IPU_HANDLER)) + (portRef (member dat_fifo_select 0)) + )) (net ipu_error_pattern_i_27 (joined (portRef (member ipu_error_pattern_i 0) (instanceRef THE_IPU_HANDLER)) (portRef (member ipu_error_pattern_i 0)) @@ -595528,58 +595540,32 @@ (portRef (member ipu_data_i 0) (instanceRef THE_IPU_HANDLER)) (portRef (member ipu_data_i 0)) )) - (net buf_APL_DATAREADY_IN_1 (joined - (portRef (member buf_apl_dataready_in 0)) - (portRef (member buf_apl_dataready_in 0) (instanceRef THE_IPU_HANDLER)) - )) - (net waiting_word_RNIIPH92 (joined - (portRef waiting_word_RNIIPH92) - (portRef waiting_word_RNIIPH92 (instanceRef THE_IPU_HANDLER)) - (portRef A (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i)) - )) - (net m6_6_03_1_1 (joined - (portRef m6_6_03_1_1) - (portRef m6_6_03_1_1 (instanceRef THE_IPU_HANDLER)) - )) - (net m1_6_03_1_0_0 (joined - (portRef m1_6_03_1_0_0) - (portRef m1_6_03_1_0_0 (instanceRef THE_IPU_HANDLER)) - )) - (net m1_6_03 (joined - (portRef m1_6_03) - (portRef m1_6_03 (instanceRef THE_IPU_HANDLER)) - )) - (net reset_i_fast_r8 (joined - (portRef reset_i_fast_r8) - (portRef reset_i_fast_r8 (instanceRef THE_IPU_HANDLER)) - )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef reset_i_rep1 (instanceRef THE_IPU_HANDLER)) + (net dat_fifo_finished_0 (joined + (portRef (member dat_fifo_finished 0) (instanceRef THE_IPU_HANDLER)) + (portRef (member dat_fifo_finished 0)) )) - (net N_4877 (joined - (portRef N_4877) - (portRef N_4877 (instanceRef THE_IPU_HANDLER)) + (net current_state_4 (joined + (portRef current_state_4 (instanceRef THE_IPU_HANDLER)) + (portRef B (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) + (portRef current_state_4) )) (net reset_i_rep2 (joined (portRef reset_i_rep2) (portRef reset_i_rep2 (instanceRef THE_IPU_HANDLER)) (portRef reset_i_rep2 (instanceRef THE_DATA_HANDLER)) )) + (net d_N_6 (joined + (portRef d_N_6 (instanceRef THE_IPU_HANDLER)) + (portRef d_N_6) + )) + (net m6_6_03_1_1 (joined + (portRef m6_6_03_1_1) + (portRef m6_6_03_1_1 (instanceRef THE_IPU_HANDLER)) + )) (net ipu_dataready_i (joined (portRef ipu_dataready_i (instanceRef THE_IPU_HANDLER)) (portRef ipu_dataready_i) )) - (net m169_2_03_4_i_4 (joined - (portRef m169_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) - (portRef m169_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m169_2_03_4_i_4) - )) - (net m168_2_03_4_i_4 (joined - (portRef m168_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) - (portRef m168_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m168_2_03_4_i_4) - )) (net m171_2_03_4_i_4 (joined (portRef m171_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) (portRef m171_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) @@ -595590,19 +595576,24 @@ (portRef m170_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m170_2_03_4_i_4) )) - (net waiting_word (joined - (portRef waiting_word) - (portRef waiting_word (instanceRef THE_IPU_HANDLER)) + (net m169_2_03_4_i_4 (joined + (portRef m169_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) + (portRef m169_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m169_2_03_4_i_4) + )) + (net m168_2_03_4_i_4 (joined + (portRef m168_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) + (portRef m168_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m168_2_03_4_i_4) + )) + (net first_fifo_read_RNIS8RB (joined + (portRef first_fifo_read_RNIS8RB (instanceRef THE_IPU_HANDLER)) + (portRef first_fifo_read_RNIS8RB) )) (net ipu_start_readout_i (joined (portRef ipu_start_readout_i) (portRef ipu_start_readout_i (instanceRef THE_IPU_HANDLER)) )) - (net m177_2_03_2_i_4 (joined - (portRef m177_2_03_2_i_4 (instanceRef THE_DATA_HANDLER)) - (portRef m177_2_03_2_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m177_2_03_2_i_4) - )) (net m178_2_03_2_i_4 (joined (portRef m178_2_03_2_i_4 (instanceRef THE_DATA_HANDLER)) (portRef m178_2_03_2_i_4 (instanceRef THE_IPU_HANDLER)) @@ -595618,66 +595609,63 @@ (portRef m180_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m180_2_03_1_i_4) )) - (net m182_2_03_1_i_4 (joined - (portRef m182_2_03_1_i_4 (instanceRef THE_DATA_HANDLER)) - (portRef m182_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m182_2_03_1_i_4) + (net m181_2_03_1_i_4 (joined + (portRef m181_2_03_1_i_4 (instanceRef THE_DATA_HANDLER)) + (portRef m181_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m181_2_03_1_i_4) )) (net m183_2_03_1_i_4 (joined (portRef m183_2_03_1_i_4 (instanceRef THE_DATA_HANDLER)) (portRef m183_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m183_2_03_1_i_4) )) - (net m181_2_03_1_i_4 (joined - (portRef m181_2_03_1_i_4 (instanceRef THE_DATA_HANDLER)) - (portRef m181_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m181_2_03_1_i_4) + (net m182_2_03_1_i_4 (joined + (portRef m182_2_03_1_i_4 (instanceRef THE_DATA_HANDLER)) + (portRef m182_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m182_2_03_1_i_4) + )) + (net m177_2_03_2_i_4 (joined + (portRef m177_2_03_2_i_4 (instanceRef THE_DATA_HANDLER)) + (portRef m177_2_03_2_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m177_2_03_2_i_4) )) (net m176_2_03_2_i_4 (joined (portRef m176_2_03_2_i_4 (instanceRef THE_DATA_HANDLER)) (portRef m176_2_03_2_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m176_2_03_2_i_4) )) + (net DAT_DATA_READ_OUT_0_m3_0_a2_0 (joined + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0 (instanceRef THE_IPU_HANDLER)) + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0) + )) + (net N_132 (joined + (portRef N_132 (instanceRef THE_IPU_HANDLER)) + (portRef A (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_0)) + (portRef N_132) + )) (net m173_2_03_3_i_4 (joined (portRef m173_2_03_3_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m173_2_03_3_i_4) )) - (net first_fifo_read (joined - (portRef first_fifo_read (instanceRef THE_IPU_HANDLER)) - (portRef first_fifo_read) - )) (net ipu_readout_finished_i (joined (portRef ipu_readout_finished_i (instanceRef THE_IPU_HANDLER)) (portRef ipu_readout_finished_i) )) - (net suppress_output_fast (joined - (portRef suppress_output_fast (instanceRef THE_IPU_HANDLER)) - (portRef suppress_output_fast) - )) - (net m165_2_03_4_i_4 (joined - (portRef m165_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m165_2_03_4_i_4) + (net suppress_output (joined + (portRef suppress_output (instanceRef THE_IPU_HANDLER)) + (portRef suppress_output) )) (net m174_2_03_3_i_4 (joined (portRef m174_2_03_3_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m174_2_03_3_i_4) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef reset_i_fast_r5 (instanceRef THE_IPU_HANDLER)) - (portRef reset_i_fast_r5 (instanceRef THE_DATA_HANDLER)) - )) - (net last_second_word_waiting (joined - (portRef last_second_word_waiting) - (portRef last_second_word_waiting (instanceRef THE_IPU_HANDLER)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (instanceRef THE_IPU_HANDLER)) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef reset_i_fast_r4 (instanceRef THE_IPU_HANDLER)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef reset_i_rep1_1 (instanceRef THE_IPU_HANDLER)) + (net m165_2_03_4_i_4 (joined + (portRef m165_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m165_2_03_4_i_4) )) ) ) @@ -595685,128 +595673,114 @@ (cell trb_net16_regio_bus_handlerZ0 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename dat_data_read_out_0_rnipo254 "DAT_DATA_READ_OUT_0_RNIPO254(0:0)") 1) (direction INPUT)) + (port (array (rename reg_enable_pattern "reg_enable_pattern(1:1)") 1) (direction INPUT)) + (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(46:46)") 1) (direction INPUT)) + (port (array (rename regio_addr_i_3 "regio_addr_i_3(0:0)") 1) (direction INPUT)) + (port current_state_3 (direction INPUT)) (port current_state_0 (direction INPUT)) - (port current_state_4 (direction INPUT)) - (port current_state_1 (direction INPUT)) (port (array (rename last_write_enable "last_write_enable(5:2)") 4) (direction INPUT)) - (port (array (rename trg_int_number_i "trg_int_number_i(2:0)") 3) (direction INPUT)) + (port stat_header_buffer_level_7 (direction INPUT)) + (port stat_header_buffer_level_4 (direction INPUT)) + (port stat_header_buffer_level_3 (direction INPUT)) + (port stat_header_buffer_level_2 (direction INPUT)) + (port stat_header_buffer_level_8 (direction INPUT)) + (port stat_header_buffer_level_9 (direction INPUT)) + (port stat_header_buffer_level_21 (direction INPUT)) + (port stat_header_buffer_level_16 (direction INPUT)) + (port stat_header_buffer_level_20 (direction INPUT)) + (port stat_header_buffer_level_18 (direction INPUT)) + (port stat_header_buffer_level_17 (direction INPUT)) + (port stat_header_buffer_level_22 (direction INPUT)) + (port stat_header_buffer_level_19 (direction INPUT)) + (port stat_header_buffer_level_5 (direction INPUT)) + (port stat_header_buffer_level_6 (direction INPUT)) + (port stat_header_buffer_level_0 (direction INPUT)) + (port stat_header_buffer_level_1 (direction INPUT)) (port (array (rename stat_handler_i "stat_handler_i(1:0)") 2) (direction INPUT)) - (port dbuf_data_in_4 (direction INPUT)) - (port dbuf_data_in_22 (direction INPUT)) - (port dbuf_data_in_21 (direction INPUT)) - (port dbuf_data_in_18 (direction INPUT)) - (port dbuf_data_in_20 (direction INPUT)) - (port dbuf_data_in_19 (direction INPUT)) - (port dbuf_data_in_24 (direction INPUT)) + (port (array (rename trg_int_number_i "trg_int_number_i(3:1)") 3) (direction INPUT)) + (port dbuf_data_in_26 (direction INPUT)) + (port dbuf_data_in_13 (direction INPUT)) (port dbuf_data_in_0 (direction INPUT)) - (port dbuf_data_in_17 (direction INPUT)) - (port dbuf_data_in_27 (direction INPUT)) - (port dbuf_data_in_3 (direction INPUT)) - (port dbuf_data_in_11 (direction INPUT)) + (port dbuf_data_in_24 (direction INPUT)) + (port dbuf_data_in_19 (direction INPUT)) (port dbuf_data_in_25 (direction INPUT)) + (port dbuf_data_in_22 (direction INPUT)) + (port dbuf_data_in_20 (direction INPUT)) + (port dbuf_data_in_21 (direction INPUT)) (port dbuf_data_in_12 (direction INPUT)) + (port dbuf_data_in_17 (direction INPUT)) + (port dbuf_data_in_4 (direction INPUT)) (port dbuf_data_in_7 (direction INPUT)) - (port dbuf_data_in_1 (direction INPUT)) + (port dbuf_data_in_27 (direction INPUT)) (port dbuf_data_in_10 (direction INPUT)) (port dbuf_data_in_2 (direction INPUT)) - (port dbuf_data_in_26 (direction INPUT)) + (port dbuf_data_in_1 (direction INPUT)) + (port dbuf_data_in_3 (direction INPUT)) + (port dbuf_data_in_5 (direction INPUT)) (port dbuf_data_in_16 (direction INPUT)) - (port dbuf_data_in_13 (direction INPUT)) + (port dbuf_data_in_18 (direction INPUT)) + (port dbuf_data_in_11 (direction INPUT)) + (port dbuf_data_in_9 (direction INPUT)) (port dbuf_data_in_8 (direction INPUT)) (port dbuf_data_in_6 (direction INPUT)) - (port dbuf_data_in_5 (direction INPUT)) - (port dbuf_data_in_9 (direction INPUT)) (port (array (rename last_read_enable "last_read_enable(5:3)") 3) (direction INPUT)) (port (array (rename regio_data_in_i "regio_data_in_i(31:0)") 32) (direction OUTPUT)) - (port regio_addr_i_0 (direction INPUT)) - (port regio_addr_i_15 (direction INPUT)) - (port regio_addr_i_14 (direction INPUT)) - (port regio_addr_i_13 (direction INPUT)) - (port regio_addr_i_12 (direction INPUT)) - (port regio_addr_i_11 (direction INPUT)) - (port regio_addr_i_10 (direction INPUT)) - (port regio_addr_i_9 (direction INPUT)) - (port regio_addr_i_8 (direction INPUT)) - (port regio_addr_i_7 (direction INPUT)) - (port regio_addr_i_6 (direction INPUT)) - (port regio_addr_i_5 (direction INPUT)) - (port regio_addr_i_4 (direction INPUT)) - (port regio_addr_i_3 (direction INPUT)) - (port regio_addr_i_2_d0 (direction INPUT)) - (port (array (rename regio_addr_i_2 "regio_addr_i_2(1:1)") 1) (direction INPUT)) + (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:1)") 1) (direction INPUT)) (port (array (rename regio_addr_out "regio_addr_out(15:0)") 16) (direction OUTPUT)) - (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:0)") 2) (direction INPUT)) + (port (array (rename regio_addr_i_5 "regio_addr_i_5(0:0)") 1) (direction INPUT)) (port (array (rename regio_data_out "regio_data_out(31:0)") 32) (direction OUTPUT)) (port (array (rename regio_data_out_i "regio_data_out_i(31:0)") 32) (direction INPUT)) (port (array (rename buf_bus_read_out_q "buf_BUS_READ_OUT_Q(5:3)") 3) (direction OUTPUT)) (port (array (rename buf_bus_write_out_q "buf_BUS_WRITE_OUT_Q(5:2)") 4) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port stat_header_buffer_level_7 (direction INPUT)) - (port stat_header_buffer_level_3 (direction INPUT)) - (port stat_header_buffer_level_4 (direction INPUT)) - (port stat_header_buffer_level_9 (direction INPUT)) - (port stat_header_buffer_level_8 (direction INPUT)) - (port stat_header_buffer_level_20 (direction INPUT)) - (port stat_header_buffer_level_21 (direction INPUT)) - (port stat_header_buffer_level_17 (direction INPUT)) - (port stat_header_buffer_level_19 (direction INPUT)) - (port stat_header_buffer_level_22 (direction INPUT)) - (port stat_header_buffer_level_18 (direction INPUT)) - (port stat_header_buffer_level_16 (direction INPUT)) - (port stat_header_buffer_level_5 (direction INPUT)) - (port stat_header_buffer_level_6 (direction INPUT)) - (port stat_header_buffer_level_0 (direction INPUT)) - (port stat_header_buffer_level_1 (direction INPUT)) - (port stat_header_buffer_level_2 (direction INPUT)) + (port (array (rename dat_fifo_finished "dat_fifo_finished(0:0)") 1) (direction INPUT)) + (port (array (rename dat_fifo_select "dat_fifo_select(0:0)") 1) (direction INPUT)) (port (array (rename regio_data_in "regio_data_in(31:0)") 32) (direction INPUT)) (port port_select_int_0 (direction OUTPUT)) (port (array (rename stat_buffer_i "stat_buffer_i(23:0)") 24) (direction INPUT)) - (port (array (rename dat_data_read_out_0 "DAT_DATA_READ_OUT_0(0:0)") 1) (direction INPUT)) - (port regio_addr_i_0_rep1_1 (direction INPUT)) + (port (array (rename regio_addr_i "regio_addr_i(15:2)") 14) (direction INPUT)) (port m140_2_03 (direction INPUT)) (port m183_2_03_1_i_4 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) - (port d_m5_0_0 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) + (port reset_i_fast_1 (direction INPUT)) + (port d_N_6 (direction INPUT)) + (port d_N_6_0 (direction INPUT)) + (port last_second_word_waiting_RNIKQVT1 (direction INPUT)) (port m171_2_03_4_i_4 (direction INPUT)) - (port m177_2_03_2_i_4 (direction INPUT)) - (port m176_2_03_2_i_4 (direction INPUT)) (port m182_2_03_1_i_4 (direction INPUT)) (port m181_2_03_1_i_4 (direction INPUT)) (port m180_2_03_1_i_4 (direction INPUT)) (port m179_2_03_2_i_4 (direction INPUT)) (port m178_2_03_2_i_4 (direction INPUT)) - (port N_180 (direction INPUT)) - (port N_2135_2 (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (direction INPUT)) - (port N_4877 (direction INPUT)) - (port m1_6_03_1_0_0 (direction OUTPUT)) - (port un12_dat_addr_in_2 (direction INPUT)) + (port m177_2_03_2_i_4 (direction INPUT)) + (port m176_2_03_2_i_4 (direction INPUT)) + (port N_5861 (direction INPUT)) + (port N_5867 (direction INPUT)) + (port N_228_1 (direction INPUT)) + (port N_132 (direction INPUT)) (port dbuf_unknown_addr (direction INPUT)) - (port m128_0_03_1_1 (direction INPUT)) + (port DAT_DATA_READ_OUT_0_m3_0_a2_0 (direction INPUT)) + (port N_277 (direction INPUT)) (port tbuf_dataready (direction INPUT)) (port stat_buffer_ready (direction INPUT)) (port stat_buffer_unknown (direction INPUT)) (port regio_unknown_addr_in (direction INPUT)) - (port m173_2_03_3_i_4 (direction INPUT)) - (port m141_2_03 (direction INPUT)) + (port m169_2_03_4_i_4 (direction INPUT)) + (port m137_2_03_2_i_4 (direction INPUT)) (port m168_2_03_4_i_4 (direction INPUT)) (port m136_2_03_2_i_4 (direction INPUT)) - (port m165_2_03_4_i_4 (direction INPUT)) + (port m166_2_03_4_i_4 (direction INPUT)) (port m174_2_03_3_i_4 (direction INPUT)) (port m142_4_03_1_i_4 (direction INPUT)) - (port m1_6_03 (direction OUTPUT)) - (port m169_2_03_4_i_4 (direction INPUT)) - (port m137_2_03_2_i_4 (direction INPUT)) - (port m166_2_03_4_i_4 (direction INPUT)) - (port first_fifo_read (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) (port m170_2_03_4_i_4 (direction INPUT)) (port m138_2_03_2_i_4 (direction INPUT)) + (port reset_i_fast_r8 (direction INPUT)) + (port m165_2_03_4_i_4 (direction INPUT)) + (port m173_2_03_3_i_4 (direction INPUT)) + (port m141_2_03 (direction INPUT)) (port dbuf_dataready (direction INPUT)) - (port waiting_word (direction INPUT)) (port m6_6_03_1_1 (direction OUTPUT)) + (port un14_dat_addr_in_4 (direction OUTPUT)) + (port un16_dat_addr_in_4 (direction OUTPUT)) (port regio_dataready_i (direction OUTPUT)) (port regio_nomoredata_i (direction OUTPUT)) (port regio_unknown_addr_i (direction OUTPUT)) @@ -595820,51 +595794,53 @@ (port regio_no_more_data_in (direction INPUT)) (port GND (direction INPUT)) (port regio_write_ack_in (direction INPUT)) - (port regio_write_enable_i (direction INPUT)) - (port un20_dat_addr_in_1 (direction INPUT)) (port regio_read_enable_i (direction INPUT)) + (port regio_write_enable_i (direction INPUT)) (port dbuf_read_enable_i (direction OUTPUT)) (port dbuf_read_enable (direction OUTPUT)) ) (contents (instance buf_BUS_READ_OUT_RNIBOQD_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance buf_BUS_READ_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance buf_BUS_WRITE_OUT_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) - (instance buf_BUS_WRITE_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_BUS_READ_OUT_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance proc_port_select_gen_port_select_6_un23_dat_addr_in_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m13_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_port_select_gen_port_select_1_un12_dat_addr_in_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m22_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m20_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m19_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m22_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m20_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m31_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m17_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m30_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m13_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m19_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m8_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m15_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m30_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m17_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m6_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m28_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -595873,32 +595849,26 @@ (instance proc_reg_output_signals_un45_dat_data_out_m29_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m11_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m8_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m15_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m6_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m9_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m5_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m11_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m9_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m31_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) (instance DAT_WRITE_ACK_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) @@ -595909,11 +595879,8 @@ (instance proc_reg_output_signals_un45_dat_data_out_m11_6_03_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B+A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A)))")) ) (instance port_select_int_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) @@ -596117,9 +596084,12 @@ ) (instance DAT_DATAREADY_OUT (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance proc_port_select_gen_port_select_1_un12_dat_addr_in_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_port_select_gen_port_select_3_un16_dat_addr_in_4_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) + (instance proc_port_select_gen_port_select_2_un14_dat_addr_in_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance proc_port_select_gen_port_select_1_un12_dat_addr_in_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) @@ -596138,35 +596108,35 @@ (instance proc_reg_output_signals_un45_dat_data_out_m0_6_03_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) (instance DAT_WRITE_ACK_OUTc_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) + (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance proc_reg_output_signals_DAT_DATAREADY_OUT_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m9_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m6_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (!B A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m138_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (!B !A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m5_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m8_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (!B A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m6_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m9_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (!B A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m8_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m141_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m133_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (!B A))")) ) (instance buf_BUS_ADDR_OUT_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m13_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A)+C (!B A))")) + (instance proc_reg_output_signals_un45_dat_data_out_m138_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance proc_port_select_gen_port_select_6_un23_dat_addr_in_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) @@ -596174,34 +596144,25 @@ (instance proc_port_select_gen_port_select_1_un12_dat_addr_in_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance proc_port_select_gen_port_select_2_un14_dat_addr_in_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) - ) - (instance proc_port_select_gen_port_select_4_un18_dat_addr_in_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) (instance buf_BUS_ADDR_OUT_1_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m11_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m26_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m9_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C (B A)+C B))")) + (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m14_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (C (B+A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m5_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m3_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -596210,60 +596171,42 @@ (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (B !A)+C B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m14_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (C (B+A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m5_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (B !A)+C B))")) + (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m27_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m6_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (B !A)+C B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m7_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) (instance proc_reg_output_signals_un45_dat_data_out_m8_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A))+D (!C (B A)+C B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m13_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C (B A)+C B))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m12_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m25_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m11_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m7_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m3_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + (instance proc_reg_output_signals_un45_dat_data_out_m9_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C (B A)+C B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m27_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m17_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m0_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m24_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m12_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m19_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m20_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) (instance proc_reg_output_signals_un45_dat_data_out_m22_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) @@ -596274,6 +596217,12 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance proc_reg_output_signals_un45_dat_data_out_m25_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m19_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + ) (instance proc_reg_output_signals_DAT_DATAREADY_OUT_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B A)+D (!C (B+A)+C (!B A)))")) ) @@ -596285,23 +596234,29 @@ ) (instance proc_reg_output_signals_DAT_DATAREADY_OUT_4_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance proc_reg_output_signals_un45_dat_data_out_m128_4_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A))+D (!C+(B+A)))")) + (property lut_function (string "(!D (!C+(B+A))+D (C (B+A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m24_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m0_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (B !A)+C B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m0_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A))+D (C (!B+!A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m13_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B+A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m26_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_port_select_gen_port_select_3_un16_dat_addr_in_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) + (instance proc_port_select_gen_port_select_2_un14_dat_addr_in_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance proc_reg_output_signals_un45_dat_data_out_m6_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) @@ -596311,35 +596266,41 @@ (instance proc_port_select_gen_port_select_6_un23_dat_addr_in_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) + (instance proc_port_select_gen_port_select_2_un14_dat_addr_in_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) + (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) + (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) + (instance proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) + ) (instance proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C (!B !A))+D (!C !B))")) + (instance proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) (instance proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) @@ -596352,53 +596313,47 @@ (property lut_function (string "(!D C+D (C+(B !A)))")) ) (instance proc_port_select_gen_port_select_1_un12_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + (property lut_function (string "(C (B A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !C+D (!C (!B+A)))")) ) (instance proc_reg_output_signals_DAT_DATAREADY_OUT_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance proc_rw_signals_buf_BUS_READ_OUT_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (property lut_function (string "(C (B A))")) ) - (instance proc_rw_signals_buf_BUS_READ_OUT_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_port_select_int_5_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A))")) ) - (instance proc_rw_signals_port_select_int_3_0_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance proc_port_select_gen_port_select_3_un16_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance next_port_select_int_5_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A)))")) + (instance proc_port_select_gen_port_select_2_un14_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) (instance proc_port_select_gen_port_select_5_un20_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) - ) - (instance proc_port_select_gen_port_select_4_un18_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance proc_port_select_gen_port_select_3_un16_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_port_select_gen_port_select_4_un18_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance proc_port_select_gen_port_select_2_un14_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B !A)+D (!C (!B !A)))")) ) (instance proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_reg_output_signals_un45_dat_data_out_OUT16_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (C+(!B+A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_OUT17_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (C+(!B+A)))")) + ) (instance proc_reg_output_signals_un45_dat_data_out_OUT18_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D (!C+(B+A)))")) + (property lut_function (string "(!D (!B+A)+D (C+(!B+A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_OUT19_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+B)+D (!C+(B+A)))")) @@ -596413,7 +596368,7 @@ (property lut_function (string "(!D (!C+B)+D (!C+(B+A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_OUT5_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C+(!B A)))")) + (property lut_function (string "(!D (C+(!B+A))+D (!B+A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_OUT6_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C+(!B A)))")) @@ -596424,14 +596379,8 @@ (instance proc_reg_output_signals_un45_dat_data_out_OUT9_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C+(!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_OUT16_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D (C+(!B+A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_OUT17_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D (!C+(B+A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_OUT2_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance proc_rw_signals_buf_BUS_WRITE_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance proc_rw_signals_buf_BUS_WRITE_OUT_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) @@ -596442,6 +596391,9 @@ (instance proc_rw_signals_buf_BUS_WRITE_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance proc_rw_signals_buf_BUS_READ_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance proc_rw_signals_buf_BUS_READ_OUT_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) @@ -596451,8 +596403,11 @@ (instance proc_rw_signals_buf_BUS_READ_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance proc_rw_signals_buf_BUS_READ_OUT_4_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) (instance DAT_DATA_OUT_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B A)))")) + (property lut_function (string "(!D (C+(B+A))+D (C+B))")) ) (instance DAT_DATA_OUT_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) @@ -596460,23 +596415,17 @@ (instance DAT_DATA_OUT_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A))+D (C+B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_OUT4_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B A))+D (!C+B))")) - ) (instance proc_reg_output_signals_un45_dat_data_out_OUT0_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (!B+A))")) ) (instance next_port_select_int_5_sqmuxa_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_OUT7_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (!B+!A))+D (C+(B+!A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_OUT4_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+A))+D (!C+(!B+A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_OUT1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (C+B))")) + (property lut_function (string "(!D (!C !B+C (!B+A))+D (!C+(!B+A)))")) ) (instance proc_rw_signals_port_select_int_3_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (!B A)))")) @@ -596484,14 +596433,20 @@ (instance proc_rw_signals_port_select_int_3_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (!B !A)))")) ) - (instance proc_rw_signals_port_select_int_3_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance proc_rw_signals_buf_BUS_READ_OUT_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance proc_rw_signals_buf_BUS_WRITE_OUT_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+!A))+D (!C !B+C (B !A)))")) ) - (instance proc_rw_signals_buf_BUS_READ_OUT_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_OUT7_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C !B+C (B A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C (B !A)+C (!B !A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_OUT2_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (!B+!A))+D (C+(!B+A)))")) ) (instance DAT_DATA_OUT_RNO_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!B)+D (!C !A+C (!B !A)))")) @@ -596517,6 +596472,12 @@ (instance proc_reg_output_signals_un45_dat_data_out_OUT3_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B+!A)))")) ) + (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B !A))+D (!C !B))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B A)))")) + ) (instance proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) @@ -596526,58 +596487,105 @@ (instance port_select_inte_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance port_select_inte_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) (instance port_select_inte_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_port_select_gen_port_select_2_un14_dat_addr_in_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance port_select_inte_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance proc_port_select_gen_port_select_1_un12_dat_addr_in_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) + ) + (instance proc_rw_signals_port_select_int_3_0_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) + ) + (instance proc_rw_signals_port_select_int_3_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D A)")) + ) (net dbuf_read_enable_i (joined (portRef Z (instanceRef buf_BUS_READ_OUT_RNIBOQD_1)) (portRef dbuf_read_enable_i) )) - (net un20_dat_addr_in_14 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) - (portRef C (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) - (portRef C (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) - (portRef C (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) - (portRef B (instanceRef buf_BUS_WRITE_OUT_RNO_5)) - (portRef B (instanceRef buf_BUS_READ_OUT_RNO_5)) + (net next_port_select_int_5_sqmuxa_1_2 (joined + (portRef Z (instanceRef next_port_select_int_5_sqmuxa_1_2)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) + (portRef B (instanceRef buf_BUS_WRITE_OUT_RNO_7)) )) - (net un20_dat_addr_in_9 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) - (portRef D (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) - (portRef B (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) - (portRef B (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) - (portRef C (instanceRef buf_BUS_WRITE_OUT_RNO_5)) - (portRef C (instanceRef buf_BUS_READ_OUT_RNO_5)) + (net un16_dat_addr_in (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) + (portRef C (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_RNO_7)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_3)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_3)) + (portRef C (instanceRef buf_BUS_WRITE_OUT_RNO_7)) )) - (net buf_BUS_READ_OUT_4_5 (joined - (portRef Z (instanceRef buf_BUS_READ_OUT_RNO_5)) - (portRef D (instanceRef buf_BUS_READ_OUT_5)) + (net un14_dat_addr_in (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) + (portRef D (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) + (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_i_0)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_RNO_7)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_2)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_2)) + (portRef D (instanceRef buf_BUS_WRITE_OUT_RNO_7)) )) - (net un20_dat_addr_in_1 (joined - (portRef un20_dat_addr_in_1) - (portRef A (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) - (portRef D (instanceRef buf_BUS_WRITE_OUT_RNO_5)) - (portRef D (instanceRef buf_BUS_READ_OUT_RNO_5)) + (net buf_BUS_WRITE_OUT_4_7 (joined + (portRef Z (instanceRef buf_BUS_WRITE_OUT_RNO_7)) + (portRef D (instanceRef buf_BUS_WRITE_OUT_7)) )) - (net buf_BUS_WRITE_OUT_4_5 (joined - (portRef Z (instanceRef buf_BUS_WRITE_OUT_RNO_5)) - (portRef D (instanceRef buf_BUS_WRITE_OUT_5)) + (net un12_dat_addr_in_9 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) + (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) + (portRef C (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) + (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) + (portRef B (instanceRef buf_BUS_READ_OUT_RNO_1)) + )) + (net un12_dat_addr_in_8 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) + (portRef C (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) + (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) + (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) + (portRef C (instanceRef buf_BUS_READ_OUT_RNO_1)) + )) + (net un12_dat_addr_in_0 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_0)) + (portRef D (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) + (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) + (portRef D (instanceRef buf_BUS_READ_OUT_RNO_1)) + )) + (net buf_BUS_READ_OUT_4_1 (joined + (portRef Z (instanceRef buf_BUS_READ_OUT_RNO_1)) + (portRef D (instanceRef buf_BUS_READ_OUT_1)) + )) + (net un23_dat_addr_in_2 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_2)) + (portRef A (instanceRef next_port_select_int_5_sqmuxa_1_0)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) )) - (net m1_6_03_m7_0_a2_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3)) + (net regio_addr_i_6 (joined + (portRef (member regio_addr_i 9)) + (portRef D (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) + (portRef C (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_6)) + (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_0)) + (portRef A (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_2)) + )) + (net regio_addr_iZ0Z_5 (joined + (portRef (member regio_addr_i 10)) + (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_5)) + (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_0)) + (portRef B (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_2)) + )) + (net stat_buffer_i_10 (joined + (portRef (member stat_buffer_i 13)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) )) (net port_select_int_2 (joined (portRef Q (instanceRef port_select_int_2)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) (portRef B (instanceRef port_select_inte_2)) (portRef C (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6_bm)) (portRef A (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6_am)) @@ -596591,36 +596599,33 @@ (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_2_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_3_1)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_2_0)) (portRef B (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) (portRef B (instanceRef DAT_WRITE_ACK_OUT_RNO)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m29_6_03_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m28_6_03_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m30_6_03_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) )) (net port_select_int_1 (joined (portRef Q (instanceRef port_select_int_1)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) (portRef B (instanceRef port_select_inte_1)) (portRef C0 (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6)) (portRef C0 (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_3)) @@ -596630,145 +596635,148 @@ (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_2_1)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1_1)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_3_1)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_2_0)) (portRef C (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) (portRef C (instanceRef DAT_WRITE_ACK_OUT_RNO)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_3)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m29_6_03_0)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m28_6_03_0)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m30_6_03_0)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_3)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3)) - )) - (net m1_6_03_m7_0_a2_3 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2)) - )) - (net stat_buffer_i_13 (joined - (portRef (member stat_buffer_i 10)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) )) - (net m13_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) - (portRef D (instanceRef DAT_DATA_OUT_RNO_13)) + (net m10_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_10)) )) - (net stat_buffer_i_22 (joined - (portRef (member stat_buffer_i 1)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) + (net stat_buffer_i_20 (joined + (portRef (member stat_buffer_i 3)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) )) (net port_select_int_0 (joined (portRef Q (instanceRef port_select_int_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) (portRef B (instanceRef port_select_inte_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i_1)) (portRef B (instanceRef DAT_DATA_OUT_RNO_14)) (portRef B (instanceRef DAT_DATA_OUT_RNO_12)) (portRef B (instanceRef DAT_DATA_OUT_RNO_23)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1)) (portRef SD (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_7)) (portRef C (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_7)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0)) (portRef A (instanceRef DAT_DATA_OUT_RNO_15)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_2)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_2)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_2)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_1)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_4_03)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_4_03)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_4_03)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_4_03)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m133_4_03)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m141_4_03)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_4_03)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_4_03)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_3_0)) (portRef CD (instanceRef DAT_NO_MORE_DATA_OUT)) (portRef CD (instanceRef DAT_WRITE_ACK_OUT)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_2_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m29_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m28_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m30_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) (portRef port_select_int_0) )) + (net m20_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + )) + (net stat_buffer_i_19 (joined + (portRef (member stat_buffer_i 4)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + )) + (net m19_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) + )) + (net stat_buffer_i_22 (joined + (portRef (member stat_buffer_i 1)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) + )) (net m22_6_03_2 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) @@ -596781,29 +596789,29 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) )) - (net stat_buffer_i_18 (joined - (portRef (member stat_buffer_i 5)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (net stat_buffer_i_17 (joined + (portRef (member stat_buffer_i 6)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) )) - (net m18_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) + (net m17_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) )) - (net stat_buffer_i_20 (joined - (portRef (member stat_buffer_i 3)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) + (net stat_buffer_i_13 (joined + (portRef (member stat_buffer_i 10)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) )) - (net m20_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + (net m13_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_13)) )) - (net regio_data_in_31 (joined - (portRef (member regio_data_in 0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + (net stat_buffer_i_8 (joined + (portRef (member stat_buffer_i 15)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) )) - (net m31_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) - (portRef D (instanceRef DAT_DATA_OUT_31)) + (net m8_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) )) (net regio_data_in_30 (joined (portRef (member regio_data_in 1)) @@ -596813,29 +596821,13 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m30_6_03_0)) (portRef D (instanceRef DAT_DATA_OUT_30)) )) - (net stat_buffer_i_19 (joined - (portRef (member stat_buffer_i 4)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) - )) - (net m19_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) - )) - (net regio_data_in_15 (joined - (portRef (member regio_data_in 16)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) - )) - (net m15_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_15)) - )) - (net stat_buffer_i_17 (joined - (portRef (member stat_buffer_i 6)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) + (net stat_buffer_i_6 (joined + (portRef (member stat_buffer_i 17)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) )) - (net m17_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) + (net m6_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_0_0)) )) (net regio_data_in_28 (joined (portRef (member regio_data_in 3)) @@ -596853,29 +596845,21 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m29_6_03_0)) (portRef D (instanceRef DAT_DATA_OUT_29)) )) - (net stat_buffer_i_11 (joined - (portRef (member stat_buffer_i 12)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) - )) - (net m11_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_11)) - )) - (net stat_buffer_i_8 (joined - (portRef (member stat_buffer_i 15)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) + (net regio_data_in_15 (joined + (portRef (member regio_data_in 16)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) )) - (net m8_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) + (net m15_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_15)) )) - (net stat_buffer_i_6 (joined - (portRef (member stat_buffer_i 17)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) + (net stat_buffer_i_9 (joined + (portRef (member stat_buffer_i 14)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) )) - (net m6_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_0_0)) + (net m9_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) )) (net stat_buffer_i_5 (joined (portRef (member stat_buffer_i 18)) @@ -596885,38 +596869,6 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0_0)) )) - (net stat_buffer_i_4 (joined - (portRef (member stat_buffer_i 19)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_3)) - )) - (net m4_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_0)) - )) - (net stat_buffer_i_10 (joined - (portRef (member stat_buffer_i 13)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) - )) - (net m10_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_10)) - )) - (net stat_buffer_i_2 (joined - (portRef (member stat_buffer_i 21)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_3)) - )) - (net m2_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) - )) - (net stat_buffer_i_9 (joined - (portRef (member stat_buffer_i 14)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) - )) - (net m9_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) - )) (net stat_buffer_i_16 (joined (portRef (member stat_buffer_i 7)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_3)) @@ -596925,6 +596877,30 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) )) + (net stat_buffer_i_11 (joined + (portRef (member stat_buffer_i 12)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) + )) + (net m11_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_11)) + )) + (net stat_buffer_i_18 (joined + (portRef (member stat_buffer_i 5)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + )) + (net m18_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) + )) + (net regio_data_in_31 (joined + (portRef (member regio_data_in 0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + )) + (net m31_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + (portRef D (instanceRef DAT_DATA_OUT_31)) + )) (net DAT_WRITE_ACK_OUTc (joined (portRef Z (instanceRef DAT_WRITE_ACK_OUT_RNO)) (portRef D (instanceRef DAT_WRITE_ACK_OUT)) @@ -596940,43 +596916,30 @@ (portRef Z (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) (portRef D (instanceRef DAT_NO_MORE_DATA_OUT)) )) - (net m16_6_03_2_0 (joined + (net m18_6_03_2_0 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_2_0)) (portRef C (instanceRef DAT_DATA_OUT_RNO_23)) (portRef C (instanceRef DAT_DATA_OUT_RNO_11)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT22_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT21_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT20_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT19_0_i)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) )) - (net m12_6_03_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) - (portRef PD (instanceRef DAT_DATA_OUT_31)) - (portRef PD (instanceRef DAT_DATA_OUT_30)) - (portRef PD (instanceRef DAT_DATA_OUT_29)) - (portRef PD (instanceRef DAT_DATA_OUT_28)) - (portRef PD (instanceRef DAT_DATA_OUT_27)) - (portRef PD (instanceRef DAT_DATA_OUT_26)) - (portRef PD (instanceRef DAT_DATA_OUT_25)) - (portRef PD (instanceRef DAT_DATA_OUT_24)) - (portRef PD (instanceRef DAT_DATA_OUT_23)) - (portRef PD (instanceRef DAT_DATA_OUT_15)) - (portRef PD (instanceRef DAT_DATA_OUT_14)) - (portRef PD (instanceRef DAT_DATA_OUT_13)) - (portRef PD (instanceRef DAT_DATA_OUT_12)) - (portRef PD (instanceRef DAT_DATA_OUT_11)) - (portRef PD (instanceRef DAT_DATA_OUT_10)) + (net dat_fifo_select_0 (joined + (portRef (member dat_fifo_select 0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3)) )) - (net stat_header_buffer_level_2 (joined - (portRef stat_header_buffer_level_2) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1)) + (net dat_fifo_finished_0 (joined + (portRef (member dat_fifo_finished 0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3)) )) - (net m2_6_03_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) + (net m4_6_03_m6_0_a2_3 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0)) )) (net port_select_inte_0_0 (joined (portRef Z (instanceRef port_select_inte_0)) @@ -597146,14 +597109,14 @@ (portRef Q (instanceRef buf_BUS_WRITE_OUT_4)) (portRef (member buf_bus_write_out_q 1)) )) + (net buf_BUS_WRITE_OUT_4_5 (joined + (portRef Z (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_5)) + (portRef D (instanceRef buf_BUS_WRITE_OUT_5)) + )) (net buf_BUS_WRITE_OUT_Q_5 (joined (portRef Q (instanceRef buf_BUS_WRITE_OUT_5)) (portRef (member buf_bus_write_out_q 0)) )) - (net buf_BUS_WRITE_OUT_4_7 (joined - (portRef Z (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_7)) - (portRef D (instanceRef buf_BUS_WRITE_OUT_7)) - )) (net buf_BUS_WRITE_OUT_7 (joined (portRef Q (instanceRef buf_BUS_WRITE_OUT_7)) (portRef B (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6_bm)) @@ -597166,10 +597129,6 @@ (portRef Q (instanceRef buf_BUS_READ_OUT_0)) (portRef regio_read_enable_out) )) - (net buf_BUS_READ_OUT_4_1 (joined - (portRef Z (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_1)) - (portRef D (instanceRef buf_BUS_READ_OUT_1)) - )) (net dbuf_read_enable (joined (portRef Q (instanceRef buf_BUS_READ_OUT_1)) (portRef A (instanceRef buf_BUS_READ_OUT_RNIBOQD_1)) @@ -597199,6 +597158,10 @@ (portRef Q (instanceRef buf_BUS_READ_OUT_4)) (portRef (member buf_bus_read_out_q 1)) )) + (net buf_BUS_READ_OUT_4_5 (joined + (portRef Z (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_5)) + (portRef D (instanceRef buf_BUS_READ_OUT_5)) + )) (net buf_BUS_READ_OUT_Q_5 (joined (portRef Q (instanceRef buf_BUS_READ_OUT_5)) (portRef (member buf_bus_read_out_q 0)) @@ -597526,8 +597489,8 @@ (portRef Q (instanceRef buf_BUS_DATA_OUT_31)) (portRef (member regio_data_out 0)) )) - (net regio_addr_i_1_0 (joined - (portRef (member regio_addr_i_1 1)) + (net regio_addr_i_5_0 (joined + (portRef (member regio_addr_i_5 0)) (portRef D (instanceRef buf_BUS_ADDR_OUT_0)) )) (net regio_addr_out_0 (joined @@ -597538,6 +597501,10 @@ (portRef Q (instanceRef buf_BUS_ADDR_OUT_1)) (portRef (member regio_addr_out 14)) )) + (net regio_addr_i_2 (joined + (portRef (member regio_addr_i 13)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_2)) + )) (net regio_addr_out_2 (joined (portRef Q (instanceRef buf_BUS_ADDR_OUT_2)) (portRef (member regio_addr_out 13)) @@ -597546,6 +597513,13 @@ (portRef Q (instanceRef buf_BUS_ADDR_OUT_3)) (portRef (member regio_addr_out 12)) )) + (net regio_addr_i_4 (joined + (portRef (member regio_addr_i 11)) + (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_4)) + (portRef B (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_4_0_a2)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_4)) + (portRef D (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_0)) + )) (net regio_addr_out_4 (joined (portRef Q (instanceRef buf_BUS_ADDR_OUT_4)) (portRef (member regio_addr_out 11)) @@ -597558,6 +597532,13 @@ (portRef Q (instanceRef buf_BUS_ADDR_OUT_6)) (portRef (member regio_addr_out 9)) )) + (net regio_addr_i_7 (joined + (portRef (member regio_addr_i 8)) + (portRef B (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_3)) + (portRef D (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_7)) + (portRef C (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_0)) + )) (net regio_addr_out_7 (joined (portRef Q (instanceRef buf_BUS_ADDR_OUT_7)) (portRef (member regio_addr_out 8)) @@ -597570,10 +597551,24 @@ (portRef Q (instanceRef buf_BUS_ADDR_OUT_9)) (portRef (member regio_addr_out 6)) )) + (net regio_addr_i_10 (joined + (portRef (member regio_addr_i 5)) + (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) + (portRef D (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_10)) + (portRef D (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_2)) + )) (net regio_addr_out_10 (joined (portRef Q (instanceRef buf_BUS_ADDR_OUT_10)) (portRef (member regio_addr_out 5)) )) + (net regio_addr_i_11 (joined + (portRef (member regio_addr_i 4)) + (portRef D (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) + (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_6)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_11)) + (portRef C (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_2)) + )) (net regio_addr_out_11 (joined (portRef Q (instanceRef buf_BUS_ADDR_OUT_11)) (portRef (member regio_addr_out 4)) @@ -597690,15 +597685,33 @@ (portRef Q (instanceRef DAT_DATA_OUT_9)) (portRef (member regio_data_in_i 22)) )) - (net DAT_DATA_OUTs_0_i (joined + (net DAT_DATA_OUTs_1_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_10)) (portRef D (instanceRef DAT_DATA_OUT_10)) )) + (net m12_6_03_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) + (portRef PD (instanceRef DAT_DATA_OUT_31)) + (portRef PD (instanceRef DAT_DATA_OUT_30)) + (portRef PD (instanceRef DAT_DATA_OUT_29)) + (portRef PD (instanceRef DAT_DATA_OUT_28)) + (portRef PD (instanceRef DAT_DATA_OUT_27)) + (portRef PD (instanceRef DAT_DATA_OUT_26)) + (portRef PD (instanceRef DAT_DATA_OUT_25)) + (portRef PD (instanceRef DAT_DATA_OUT_24)) + (portRef PD (instanceRef DAT_DATA_OUT_23)) + (portRef PD (instanceRef DAT_DATA_OUT_15)) + (portRef PD (instanceRef DAT_DATA_OUT_14)) + (portRef PD (instanceRef DAT_DATA_OUT_13)) + (portRef PD (instanceRef DAT_DATA_OUT_12)) + (portRef PD (instanceRef DAT_DATA_OUT_11)) + (portRef PD (instanceRef DAT_DATA_OUT_10)) + )) (net regio_data_in_i_10 (joined (portRef Q (instanceRef DAT_DATA_OUT_10)) (portRef (member regio_data_in_i 21)) )) - (net DAT_DATA_OUTs_1_i (joined + (net DAT_DATA_OUTs_2_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_11)) (portRef D (instanceRef DAT_DATA_OUT_11)) )) @@ -597706,7 +597719,7 @@ (portRef Q (instanceRef DAT_DATA_OUT_11)) (portRef (member regio_data_in_i 20)) )) - (net DAT_DATA_OUTs_2_i (joined + (net DAT_DATA_OUTs_3_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_12)) (portRef D (instanceRef DAT_DATA_OUT_12)) )) @@ -597714,7 +597727,7 @@ (portRef Q (instanceRef DAT_DATA_OUT_12)) (portRef (member regio_data_in_i 19)) )) - (net DAT_DATA_OUTs_3_i (joined + (net DAT_DATA_OUTs_4_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_13)) (portRef D (instanceRef DAT_DATA_OUT_13)) )) @@ -597722,7 +597735,7 @@ (portRef Q (instanceRef DAT_DATA_OUT_13)) (portRef (member regio_data_in_i 18)) )) - (net DAT_DATA_OUTs_4_i (joined + (net DAT_DATA_OUTs_5_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_14)) (portRef D (instanceRef DAT_DATA_OUT_14)) )) @@ -597730,7 +597743,7 @@ (portRef Q (instanceRef DAT_DATA_OUT_14)) (portRef (member regio_data_in_i 17)) )) - (net DAT_DATA_OUTs_5_i (joined + (net DAT_DATA_OUTs_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_15)) (portRef D (instanceRef DAT_DATA_OUT_15)) )) @@ -597794,7 +597807,7 @@ (portRef Q (instanceRef DAT_DATA_OUT_22)) (portRef (member regio_data_in_i 9)) )) - (net DAT_DATA_OUTs_i (joined + (net DAT_DATA_OUTs_0_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_23)) (portRef D (instanceRef DAT_DATA_OUT_23)) )) @@ -597858,36 +597871,17 @@ (portRef Q (instanceRef DAT_DATAREADY_OUT)) (portRef regio_dataready_i) )) - (net regio_addr_i_5 (joined - (portRef regio_addr_i_5) - (portRef D (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) - (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_5)) - )) - (net regio_addr_i_6 (joined - (portRef regio_addr_i_6) - (portRef C (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) - (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_6)) - )) - (net un23_dat_addr_in_1 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_1)) - (portRef D (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) - (portRef B (instanceRef next_port_select_int_5_sqmuxa_1_0)) - (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) - (portRef D (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) - )) - (net regio_addr_i_11 (joined - (portRef regio_addr_i_11) - (portRef D (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) - (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_6)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_11)) + (net regio_addr_iZ0Z_3 (joined + (portRef (member regio_addr_i 12)) + (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_4)) + (portRef A (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_4_0_a2)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_3)) )) (net regio_addr_i_15 (joined - (portRef regio_addr_i_15) + (portRef (member regio_addr_i 0)) + (portRef A (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) (portRef C (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) - (portRef D (instanceRef next_port_select_int_5_sqmuxa_1_0)) - (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) + (portRef C (instanceRef next_port_select_int_5_sqmuxa_1_0)) (portRef D (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_3)) (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0)) (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_0)) @@ -597900,91 +597894,99 @@ )) (net regio_write_enable_i (joined (portRef regio_write_enable_i) - (portRef D (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_7)) (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_2)) (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_3)) (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_4)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_5)) (portRef B (instanceRef buf_BUS_ADDR_OUT_1_sqmuxa_i)) (portRef B (instanceRef buf_BUS_ADDR_OUT_0_sqmuxa)) (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_0)) - (portRef A (instanceRef buf_BUS_WRITE_OUT_RNO_5)) + (portRef A (instanceRef buf_BUS_WRITE_OUT_RNO_7)) )) (net regio_read_enable_i (joined (portRef regio_read_enable_i) - (portRef D (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) + (portRef C (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_2)) (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_3)) (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_4)) - (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_1)) - (portRef D (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_5)) + (portRef C (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) (portRef A (instanceRef buf_BUS_ADDR_OUT_1_sqmuxa_i)) (portRef A (instanceRef buf_BUS_ADDR_OUT_0_sqmuxa)) (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0)) - (portRef A (instanceRef buf_BUS_READ_OUT_RNO_5)) + (portRef A (instanceRef buf_BUS_READ_OUT_RNO_1)) )) (net m5_6_03_2_1 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_3_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i)) (portRef B (instanceRef DAT_DATA_OUT_RNO_0_14)) (portRef A (instanceRef DAT_DATA_OUT_RNO_0_12)) (portRef B (instanceRef DAT_DATA_OUT_RNO_0_23)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0)) (portRef B (instanceRef DAT_DATA_OUT_RNO_15)) )) (net m6_6_03_0_1 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i_1)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1)) )) - (net d_m5_0_a4_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_0)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2)) - )) (net DAT_WRITE_ACK_OUTc_1 (joined (portRef Z (instanceRef DAT_WRITE_ACK_OUTc_1)) (portRef A (instanceRef DAT_DATA_OUT_RNO_0_14)) (portRef A (instanceRef DAT_DATA_OUT_RNO_0_23)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_13)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT8_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT6_0_i)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + )) + (net stat_buffer_i_1 (joined + (portRef (member stat_buffer_i 22)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_3_0)) + )) + (net m1_6_03_3_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_3_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0)) )) (net dbuf_dataready (joined (portRef dbuf_dataready) @@ -597994,46 +597996,10 @@ (portRef (member last_read_enable 0)) (portRef C (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_4)) )) - (net N_4420 (joined + (net N_4428 (joined (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_4)) (portRef A (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_6)) )) - (net dbuf_data_in_9 (joined - (portRef dbuf_data_in_9) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) - )) - (net regio_data_in_9 (joined - (portRef (member regio_data_in 22)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) - )) - (net m9_4_03_4 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) - )) - (net m138_2_03_2_i_4 (joined - (portRef m138_2_03_2_i_4) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) - )) - (net m170_2_03_4_i_4 (joined - (portRef m170_2_03_4_i_4) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) - )) - (net m138_4_03_4 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) - (portRef D (instanceRef DAT_DATA_OUT_RNO_10)) - )) - (net dbuf_data_in_5 (joined - (portRef dbuf_data_in_5) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_4_03)) - )) - (net regio_data_in_5 (joined - (portRef (member regio_data_in 26)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_4_03)) - )) - (net m5_4_03_4 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_4_03)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) - )) (net dbuf_data_in_6 (joined (portRef dbuf_data_in_6) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_4_03)) @@ -598058,38 +598024,74 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_4_03)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT8_0_i)) )) - (net dbuf_data_in_13 (joined - (portRef dbuf_data_in_13) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_4_03)) + (net dbuf_data_in_9 (joined + (portRef dbuf_data_in_9) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) )) - (net regio_data_in_13 (joined - (portRef (member regio_data_in 18)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_4_03)) + (net regio_data_in_9 (joined + (portRef (member regio_data_in 22)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) )) - (net m13_4_03_4 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_4_03)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_13)) + (net m9_4_03_4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) + )) + (net m141_2_03 (joined + (portRef m141_2_03) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m141_4_03)) + )) + (net m173_2_03_3_i_4 (joined + (portRef m173_2_03_3_i_4) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m141_4_03)) + )) + (net m141_4_03_4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m141_4_03)) + (portRef D (instanceRef DAT_DATA_OUT_RNO_13)) + )) + (net m165_2_03_4_i_4 (joined + (portRef m165_2_03_4_i_4) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m133_4_03)) + )) + (net trg_int_number_i_1 (joined + (portRef (member trg_int_number_i 2)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m133_4_03)) + )) + (net m133_4_03_4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m133_4_03)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) + )) + (net m138_2_03_2_i_4 (joined + (portRef m138_2_03_2_i_4) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) + )) + (net m170_2_03_4_i_4 (joined + (portRef m170_2_03_4_i_4) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) + )) + (net m138_4_03_4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) + (portRef D (instanceRef DAT_DATA_OUT_RNO_10)) )) (net regio_addr_i_9 (joined - (portRef regio_addr_i_9) + (portRef (member regio_addr_i 6)) (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) (portRef A (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_7)) (portRef D (instanceRef buf_BUS_ADDR_OUT_9)) )) (net regio_addr_i_12 (joined - (portRef regio_addr_i_12) + (portRef (member regio_addr_i 3)) (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) (portRef B (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_7)) (portRef D (instanceRef buf_BUS_ADDR_OUT_12)) )) (net regio_addr_i_13 (joined - (portRef regio_addr_i_13) + (portRef (member regio_addr_i 2)) (portRef C (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) (portRef C (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_7)) (portRef D (instanceRef buf_BUS_ADDR_OUT_13)) )) (net regio_addr_i_14 (joined - (portRef regio_addr_i_14) + (portRef (member regio_addr_i 1)) (portRef D (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) (portRef D (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_7)) (portRef D (instanceRef buf_BUS_ADDR_OUT_14)) @@ -598100,83 +598102,47 @@ (portRef B (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) )) (net regio_addr_i_8 (joined - (portRef regio_addr_i_8) + (portRef (member regio_addr_i 7)) (portRef C (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_3)) (portRef C (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) (portRef D (instanceRef buf_BUS_ADDR_OUT_8)) )) - (net un12_dat_addr_in_8 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) - (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) - (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) - )) - (net regio_addr_i_2_d0 (joined - (portRef regio_addr_i_2_d0) - (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_2)) - )) - (net regio_addr_i_3 (joined - (portRef regio_addr_i_3) - (portRef C (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_3)) - )) - (net regio_addr_i_4 (joined - (portRef regio_addr_i_4) - (portRef D (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_4)) - )) - (net un14_dat_addr_in_1 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) - (portRef C (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) - )) - (net regio_addr_i_0 (joined - (portRef regio_addr_i_0) - (portRef A (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in_1)) - )) - (net regio_addr_i_1_1 (joined - (portRef (member regio_addr_i_1 0)) - (portRef B (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in_1)) - (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) - )) - (net regio_addr_i_7 (joined - (portRef regio_addr_i_7) - (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) - (portRef B (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_3)) - (portRef C (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_7)) - )) - (net un18_dat_addr_in_1 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in_1)) - (portRef B (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) - )) - (net waiting_word (joined - (portRef waiting_word) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_1)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_0)) - )) - (net m166_2_03_4_i_4 (joined - (portRef m166_2_03_4_i_4) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_1)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2)) - )) - (net m4_6_03_a0_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_1)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3)) - )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) + (net reset_i_fast_r8 (joined + (portRef reset_i_fast_r8) (portRef C (instanceRef buf_BUS_ADDR_OUT_1_sqmuxa_i)) (portRef C (instanceRef buf_BUS_ADDR_OUT_0_sqmuxa)) )) (net buf_BUS_ADDR_OUT_1_sqmuxa_i (joined (portRef Z (instanceRef buf_BUS_ADDR_OUT_1_sqmuxa_i)) - (portRef A (instanceRef port_select_inte_2)) (portRef A (instanceRef port_select_inte_0)) + (portRef A (instanceRef port_select_inte_2)) (portRef A (instanceRef port_select_inte_1)) )) + (net dbuf_data_in_11 (joined + (portRef dbuf_data_in_11) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + )) + (net regio_data_in_11 (joined + (portRef (member regio_data_in 20)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + )) + (net m11_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + (portRef A (instanceRef DAT_DATA_OUT_RNO_11)) + )) + (net dbuf_data_in_18 (joined + (portRef dbuf_data_in_18) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) + )) + (net regio_data_in_18 (joined + (portRef (member regio_data_in 13)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) + )) + (net m18_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) + )) (net dbuf_data_in_16 (joined (portRef dbuf_data_in_16) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0)) @@ -598189,66 +598155,56 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) )) - (net dbuf_data_in_26 (joined - (portRef dbuf_data_in_26) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) - )) - (net regio_data_in_26 (joined - (portRef (member regio_data_in 5)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) + (net m142_4_03_1_i_4 (joined + (portRef m142_4_03_1_i_4) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) )) (net m6_6_03_1_1 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_2_1)) (portRef B (instanceRef DAT_DATA_OUT_RNO_0_12)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT0_0_i)) (portRef A (instanceRef DAT_DATA_OUT_RNO_10)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_2)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_2)) + (portRef A (instanceRef DAT_DATA_OUT_RNO_13)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_2)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_2)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) (portRef m6_6_03_1_1) )) - (net m137_2_03_2_i_4 (joined - (portRef m137_2_03_2_i_4) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) - )) - (net m169_2_03_4_i_4 (joined - (portRef m169_2_03_4_i_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) + (net m174_2_03_3_i_4 (joined + (portRef m174_2_03_3_i_4) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) )) - (net m9_6_03_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) + (net m14_6_03_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_14)) )) - (net dbuf_data_in_2 (joined - (portRef dbuf_data_in_2) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) + (net dbuf_data_in_5 (joined + (portRef dbuf_data_in_5) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0)) )) - (net regio_data_in_2 (joined - (portRef (member regio_data_in 29)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) + (net regio_data_in_5 (joined + (portRef (member regio_data_in 26)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0)) )) - (net m2_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) + (net m5_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) )) - (net dbuf_data_in_10 (joined - (portRef dbuf_data_in_10) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) + (net dbuf_data_in_3 (joined + (portRef dbuf_data_in_3) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) )) - (net regio_data_in_10 (joined - (portRef (member regio_data_in 21)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) + (net regio_data_in_3 (joined + (portRef (member regio_data_in 28)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) )) - (net m10_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_10)) + (net m3_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i_1)) )) (net dbuf_data_in_1 (joined (portRef dbuf_data_in_1) @@ -598258,6 +598214,10 @@ (portRef (member regio_data_in 30)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) )) + (net m1_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0)) + )) (net stat_handler_i_1 (joined (portRef (member stat_handler_i 0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1)) @@ -598268,52 +598228,52 @@ )) (net m1_6_03_0 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0)) )) - (net m142_4_03_1_i_4 (joined - (portRef m142_4_03_1_i_4) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) + (net dbuf_data_in_2 (joined + (portRef dbuf_data_in_2) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) )) - (net m174_2_03_3_i_4 (joined - (portRef m174_2_03_3_i_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) + (net regio_data_in_2 (joined + (portRef (member regio_data_in 29)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) )) - (net m14_6_03_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_14)) + (net m2_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0)) )) - (net m165_2_03_4_i_4 (joined - (portRef m165_2_03_4_i_4) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_2)) + (net dbuf_data_in_10 (joined + (portRef dbuf_data_in_10) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) )) - (net trg_int_number_i_1 (joined - (portRef (member trg_int_number_i 1)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_2)) + (net regio_data_in_10 (joined + (portRef (member regio_data_in 21)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) )) - (net m5_6_03_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_2)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) + (net m10_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_10)) + )) + (net dbuf_data_in_27 (joined + (portRef dbuf_data_in_27) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) + )) + (net regio_data_in_27 (joined + (portRef (member regio_data_in 4)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) + )) + (net m166_2_03_4_i_4 (joined + (portRef m166_2_03_4_i_4) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) )) (net trg_int_number_i_2 (joined - (portRef (member trg_int_number_i 0)) + (portRef (member trg_int_number_i 1)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) )) (net m6_6_03_1 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT6_0_i)) )) - (net dbuf_data_in_7 (joined - (portRef dbuf_data_in_7) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) - )) - (net regio_data_in_7 (joined - (portRef (member regio_data_in 24)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) - )) - (net m7_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1)) - )) (net m136_2_03_2_i_4 (joined (portRef m136_2_03_2_i_4) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_2)) @@ -598326,69 +598286,41 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_2)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT8_0_i)) )) - (net m141_2_03 (joined - (portRef m141_2_03) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_2)) - )) - (net m173_2_03_3_i_4 (joined - (portRef m173_2_03_3_i_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_2)) - )) - (net m13_6_03_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_2)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_13)) - )) - (net dbuf_data_in_12 (joined - (portRef dbuf_data_in_12) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) - )) - (net regio_data_in_12 (joined - (portRef (member regio_data_in 19)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) - )) - (net m12_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_12)) - )) - (net dbuf_data_in_25 (joined - (portRef dbuf_data_in_25) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) - )) - (net regio_data_in_25 (joined - (portRef (member regio_data_in 6)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) + (net dbuf_data_in_7 (joined + (portRef dbuf_data_in_7) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) )) - (net dbuf_data_in_11 (joined - (portRef dbuf_data_in_11) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + (net regio_data_in_7 (joined + (portRef (member regio_data_in 24)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) )) - (net regio_data_in_11 (joined - (portRef (member regio_data_in 20)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + (net m7_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1)) )) - (net m11_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_11)) + (net m137_2_03_2_i_4 (joined + (portRef m137_2_03_2_i_4) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) )) - (net dbuf_data_in_3 (joined - (portRef dbuf_data_in_3) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) + (net m169_2_03_4_i_4 (joined + (portRef m169_2_03_4_i_4) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) )) - (net regio_data_in_3 (joined - (portRef (member regio_data_in 28)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) + (net m9_6_03_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) )) - (net m3_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i_1)) + (net dbuf_data_in_4 (joined + (portRef dbuf_data_in_4) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) )) - (net dbuf_data_in_27 (joined - (portRef dbuf_data_in_27) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) + (net regio_data_in_4 (joined + (portRef (member regio_data_in 27)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) )) - (net regio_data_in_27 (joined - (portRef (member regio_data_in 4)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) + (net m4_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1)) )) (net dbuf_data_in_17 (joined (portRef dbuf_data_in_17) @@ -598400,39 +598332,31 @@ )) (net m17_6_03 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) - )) - (net dbuf_data_in_0 (joined - (portRef dbuf_data_in_0) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) - )) - (net regio_data_in_0 (joined - (portRef (member regio_data_in 31)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) )) - (net m0_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT0_0_i)) + (net dbuf_data_in_12 (joined + (portRef dbuf_data_in_12) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) )) - (net dbuf_data_in_24 (joined - (portRef dbuf_data_in_24) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + (net regio_data_in_12 (joined + (portRef (member regio_data_in 19)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) )) - (net regio_data_in_24 (joined - (portRef (member regio_data_in 7)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + (net m12_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_12)) )) - (net dbuf_data_in_19 (joined - (portRef dbuf_data_in_19) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) + (net dbuf_data_in_21 (joined + (portRef dbuf_data_in_21) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) )) - (net regio_data_in_19 (joined - (portRef (member regio_data_in 12)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) + (net regio_data_in_21 (joined + (portRef (member regio_data_in 10)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) )) - (net m19_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT19_0_i)) + (net m21_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT21_0_i)) )) (net dbuf_data_in_20 (joined (portRef dbuf_data_in_20) @@ -598446,30 +598370,6 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT20_0_i)) )) - (net dbuf_data_in_18 (joined - (portRef dbuf_data_in_18) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) - )) - (net regio_data_in_18 (joined - (portRef (member regio_data_in 13)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) - )) - (net m18_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) - )) - (net dbuf_data_in_21 (joined - (portRef dbuf_data_in_21) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) - )) - (net regio_data_in_21 (joined - (portRef (member regio_data_in 10)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) - )) - (net m21_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT21_0_i)) - )) (net dbuf_data_in_22 (joined (portRef dbuf_data_in_22) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0)) @@ -598506,15 +598406,35 @@ (portRef Z (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_3_bm)) (portRef ALUT (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_3)) )) - (net N_4423 (joined + (net N_4431 (joined (portRef Z (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_3)) (portRef D0 (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_7)) )) + (net dbuf_data_in_25 (joined + (portRef dbuf_data_in_25) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) + )) + (net regio_data_in_25 (joined + (portRef (member regio_data_in 6)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) + )) + (net dbuf_data_in_19 (joined + (portRef dbuf_data_in_19) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) + )) + (net regio_data_in_19 (joined + (portRef (member regio_data_in 12)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) + )) + (net m19_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT19_0_i)) + )) (net last_read_enable_3 (joined (portRef (member last_read_enable 2)) (portRef D (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_6)) )) - (net N_2326 (joined + (net N_2333 (joined (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_6)) (portRef A (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_7)) )) @@ -598543,22 +598463,34 @@ (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_3_bm)) (portRef ALUT (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_3)) )) - (net N_4419 (joined + (net N_4427 (joined (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_3)) (portRef B (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_7)) )) - (net current_state_4 (joined - (portRef current_state_4) + (net current_state_0 (joined + (portRef current_state_0) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) + )) + (net current_state_3 (joined + (portRef current_state_3) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) )) - (net m128_0_03_1_1 (joined - (portRef m128_0_03_1_1) + (net N_277 (joined + (portRef N_277) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) )) (net m128_4_03_1_1 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT0_0_i)) )) + (net dbuf_data_in_24 (joined + (portRef dbuf_data_in_24) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + )) + (net regio_data_in_24 (joined + (portRef (member regio_data_in 7)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + )) (net stat_handler_i_0 (joined (portRef (member stat_handler_i 1)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1)) @@ -598569,57 +598501,55 @@ )) (net m0_6_03_0 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT0_0_i)) )) - (net dbuf_data_in_4 (joined - (portRef dbuf_data_in_4) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) + (net dbuf_data_in_0 (joined + (portRef dbuf_data_in_0) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) )) - (net regio_data_in_4 (joined - (portRef (member regio_data_in 27)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) + (net regio_data_in_0 (joined + (portRef (member regio_data_in 31)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) )) - (net m4_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_2)) + (net m0_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0)) )) - (net DAT_DATA_READ_OUT_0_0 (joined - (portRef (member dat_data_read_out_0 0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3)) + (net dbuf_data_in_13 (joined + (portRef dbuf_data_in_13) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_0)) )) - (net first_fifo_read (joined - (portRef first_fifo_read) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2)) + (net regio_data_in_13 (joined + (portRef (member regio_data_in 18)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_0)) )) - (net trg_int_number_i_0 (joined - (portRef (member trg_int_number_i 2)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz)) + (net m13_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_0)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_13)) )) - (net m4_6_03_0_1_tz (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_2)) + (net dbuf_data_in_26 (joined + (portRef dbuf_data_in_26) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) )) - (net current_state_0 (joined - (portRef current_state_0) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_2)) + (net regio_data_in_26 (joined + (portRef (member regio_data_in 5)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) )) - (net current_state_1 (joined - (portRef current_state_1) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) + (net un20_dat_addr_in_14 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) + (portRef C (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) + (portRef C (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) + (portRef D (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) )) - (net m2_6_03_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_2)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) + (net regio_addr_i_3_0 (joined + (portRef (member regio_addr_i_3 0)) + (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) )) - (net regio_addr_i_10 (joined - (portRef regio_addr_i_10) - (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) - (portRef D (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_10)) + (net un20_dat_addr_in_9 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) + (portRef B (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) + (portRef D (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) + (portRef C (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) )) (net stat_header_buffer_level_6 (joined (portRef stat_header_buffer_level_6) @@ -598635,36 +598565,35 @@ )) (net m5_6_03_0_0 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) )) (net un23_dat_addr_in_3 (joined (portRef Z (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_3)) - (portRef C (instanceRef next_port_select_int_5_sqmuxa_1_0)) - (portRef C (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) - )) - (net stat_header_buffer_level_16 (joined - (portRef stat_header_buffer_level_16) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef B (instanceRef next_port_select_int_5_sqmuxa_1_0)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) )) - (net m16_6_03_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) + (net un14_dat_addr_in_1 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) + (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) )) - (net stat_header_buffer_level_18 (joined - (portRef stat_header_buffer_level_18) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) + (net m4_6_03_m6_0_a2_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) )) - (net m18_6_03_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) + (net buf_api_stat_fifo_to_int_46 (joined + (portRef (member buf_api_stat_fifo_to_int 0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0)) )) - (net stat_header_buffer_level_22 (joined - (portRef stat_header_buffer_level_22) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) + (net DAT_DATA_READ_OUT_0_m3_0_a2_0 (joined + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0)) )) - (net m22_6_03_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT22_0_i)) + (net m1_6_03_m6_0_a2_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) )) (net stat_header_buffer_level_19 (joined (portRef stat_header_buffer_level_19) @@ -598674,21 +598603,29 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT19_0_i)) )) + (net stat_header_buffer_level_22 (joined + (portRef stat_header_buffer_level_22) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) + )) + (net m22_6_03_0_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT22_0_i)) + )) (net stat_header_buffer_level_17 (joined (portRef stat_header_buffer_level_17) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) )) (net m17_6_03_0_0 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) )) - (net stat_header_buffer_level_21 (joined - (portRef stat_header_buffer_level_21) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) + (net stat_header_buffer_level_18 (joined + (portRef stat_header_buffer_level_18) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) )) - (net m21_6_03_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT21_0_i)) + (net m18_6_03_0_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) )) (net stat_header_buffer_level_20 (joined (portRef stat_header_buffer_level_20) @@ -598698,13 +598635,21 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT20_0_i)) )) - (net stat_header_buffer_level_8 (joined - (portRef stat_header_buffer_level_8) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) + (net stat_header_buffer_level_16 (joined + (portRef stat_header_buffer_level_16) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) )) - (net m8_6_03_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT8_0_i)) + (net m16_6_03_0_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) + )) + (net stat_header_buffer_level_21 (joined + (portRef stat_header_buffer_level_21) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) + )) + (net m21_6_03_0_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT21_0_i)) )) (net stat_header_buffer_level_9 (joined (portRef stat_header_buffer_level_9) @@ -598714,13 +598659,13 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) )) - (net stat_header_buffer_level_4 (joined - (portRef stat_header_buffer_level_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_0)) + (net stat_header_buffer_level_8 (joined + (portRef stat_header_buffer_level_8) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) )) - (net m4_6_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_2)) + (net m8_6_03_0_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT8_0_i)) )) (net dbuf_unknown_addr (joined (portRef dbuf_unknown_addr) @@ -598742,7 +598687,7 @@ (portRef Z (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6_bm)) (portRef ALUT (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6)) )) - (net N_4426 (joined + (net N_4434 (joined (portRef Z (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6)) (portRef D1 (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_7)) )) @@ -598750,24 +598695,9 @@ (portRef (member stat_buffer_i 8)) (portRef D (instanceRef DAT_DATA_OUT_RNO_15)) )) - (net un12_dat_addr_in_2 (joined - (portRef un12_dat_addr_in_2) - (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) - )) - (net un12_dat_addr_in_9 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) - (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) - (portRef C (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) - )) (net un12_dat_addr_in (joined (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) (portRef B (instanceRef next_port_select_int_5_sqmuxa_1_2)) - (portRef A (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_1)) - )) - (net stat_buffer_i_1 (joined - (portRef (member stat_buffer_i 22)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0)) )) (net stat_buffer_i_0 (joined (portRef (member stat_buffer_i 23)) @@ -598777,39 +598707,57 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT0_0_i)) )) - (net m7_6_03_m5_0_a2_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2)) - )) - (net m4_6_03_a0_3 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) - )) - (net port_select_int_3_0_a3_0_2 (joined - (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) - (portRef C (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) - (portRef C (instanceRef proc_rw_signals_port_select_int_3_0_i_1)) + (net N_132 (joined + (portRef N_132) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0)) )) - (net N_2135_2 (joined - (portRef N_2135_2) - (portRef A (instanceRef next_port_select_int_5_sqmuxa_1_0)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) + (net m2_6_03_1_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) )) (net next_port_select_int_5_sqmuxa_1_0 (joined (portRef Z (instanceRef next_port_select_int_5_sqmuxa_1_0)) (portRef A (instanceRef proc_rw_signals_port_select_int_3_0_i_0)) (portRef A (instanceRef next_port_select_int_5_sqmuxa_1_2)) )) + (net un16_dat_addr_in_4 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_4_0_a2)) + (portRef B (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) + (portRef un16_dat_addr_in_4) + )) + (net N_228_1 (joined + (portRef N_228_1) + (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) + (portRef A (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) + )) + (net un14_dat_addr_in_4 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_4)) + (portRef C (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) + (portRef un14_dat_addr_in_4) + )) + (net N_5867 (joined + (portRef N_5867) + (portRef A (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) + )) + (net regio_addr_i_1_1 (joined + (portRef (member regio_addr_i_1 0)) + (portRef D (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_1)) + )) (net un20_dat_addr_in (joined (portRef Z (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_i_1)) (portRef D (instanceRef next_port_select_int_5_sqmuxa_1_2)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_5)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_5)) + )) + (net N_5861 (joined + (portRef N_5861) + (portRef A (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) + )) + (net reg_enable_pattern_1 (joined + (portRef (member reg_enable_pattern 0)) + (portRef B (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) )) (net un18_dat_addr_in (joined (portRef Z (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) @@ -598819,36 +598767,17 @@ (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_4)) (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_4)) )) - (net N_180 (joined - (portRef N_180) - (portRef A (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) - (portRef A (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) - )) - (net regio_addr_i_2_1 (joined - (portRef (member regio_addr_i_2 0)) - (portRef D (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_1)) - )) - (net un16_dat_addr_in (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) - (portRef C (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) - (portRef C (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_7)) - (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_3)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_3)) + (net m1_6_03_1_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) )) - (net un14_dat_addr_in (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) - (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) - (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_7)) - (portRef A (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) - (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_i_0)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_2)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_2)) + (net m176_2_03_2_i_4 (joined + (portRef m176_2_03_2_i_4) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) )) - (net m4_6_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_2)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) + (net m177_2_03_2_i_4 (joined + (portRef m177_2_03_2_i_4) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) )) (net m178_2_03_2_i_4 (joined (portRef m178_2_03_2_i_4) @@ -598870,68 +598799,44 @@ (portRef m182_2_03_1_i_4) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT22_0_i)) )) - (net m176_2_03_2_i_4 (joined - (portRef m176_2_03_2_i_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) - )) - (net m177_2_03_2_i_4 (joined - (portRef m177_2_03_2_i_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) + (net N_3681 (joined + (portRef Z (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_RNO_7)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) )) (net m171_2_03_4_i_4 (joined (portRef m171_2_03_4_i_4) (portRef D (instanceRef DAT_DATA_OUT_RNO_11)) )) - (net m1_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2)) - (portRef m1_6_03) - )) - (net m1_6_03_1_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2)) - (portRef m1_6_03_1_0_0) + (net m4_6_03_1_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) )) - (net m1_6_03_m7_0_a2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) + (net d_N_6_0 (joined + (portRef d_N_6_0) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) )) - (net N_4877 (joined - (portRef N_4877) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef last_second_word_waiting_RNIKQVT1) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2)) )) - (net next_port_select_int_5_sqmuxa_1_2 (joined - (portRef Z (instanceRef next_port_select_int_5_sqmuxa_1_2)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_7)) - )) - (net m7_6_03_1_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) - )) - (net d_m5_0_0 (joined - (portRef d_m5_0_0) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) - )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) + (net d_N_6 (joined + (portRef d_N_6) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) - )) - (net DAT_DATA_READ_OUT_0_RNIPO254_0 (joined - (portRef (member dat_data_read_out_0_rnipo254 0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) )) (net port_select_int_3_0_i_0 (joined (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_i_0)) (portRef C (instanceRef port_select_inte_0)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef D (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) + (net port_select_int_3_0_a3_0_2 (joined + (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) + (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) + (portRef C (instanceRef proc_rw_signals_port_select_int_3_0_i_1)) + )) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef A (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) (portRef D (instanceRef proc_rw_signals_port_select_int_3_0_i_1)) (portRef D (instanceRef proc_rw_signals_port_select_int_3_0_i_0)) )) @@ -598939,9 +598844,29 @@ (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_i_1)) (portRef C (instanceRef port_select_inte_1)) )) - (net port_select_int_3_0_i_2 (joined - (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) - (portRef C (instanceRef port_select_inte_2)) + (net trg_int_number_i_3 (joined + (portRef (member trg_int_number_i 0)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1)) + )) + (net OUT7_0_i_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) + )) + (net m7_6_03_1_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) + )) + (net stat_buffer_i_2 (joined + (portRef (member stat_buffer_i 21)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1)) + )) + (net OUT2_0_i_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) + )) + (net stat_header_buffer_level_2 (joined + (portRef stat_header_buffer_level_2) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) )) (net stat_buffer_i_23 (joined (portRef (member stat_buffer_i 0)) @@ -598951,7 +598876,7 @@ (portRef (member regio_data_in 8)) (portRef D (instanceRef DAT_DATA_OUT_RNO_0_23)) )) - (net DAT_DATA_OUTs_i_1 (joined + (net DAT_DATA_OUTs_0_i_1 (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_0_23)) (portRef A (instanceRef DAT_DATA_OUT_RNO_23)) )) @@ -598967,7 +598892,7 @@ (portRef (member stat_buffer_i 11)) (portRef D (instanceRef DAT_DATA_OUT_RNO_0_12)) )) - (net DAT_DATA_OUTs_2_i_1 (joined + (net DAT_DATA_OUTs_3_i_1 (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_0_12)) (portRef A (instanceRef DAT_DATA_OUT_RNO_12)) )) @@ -598979,7 +598904,7 @@ (portRef (member regio_data_in 17)) (portRef D (instanceRef DAT_DATA_OUT_RNO_0_14)) )) - (net DAT_DATA_OUTs_4_i_1 (joined + (net DAT_DATA_OUTs_5_i_1 (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_0_14)) (portRef A (instanceRef DAT_DATA_OUT_RNO_14)) )) @@ -598995,6 +598920,18 @@ (portRef (member stat_buffer_i 20)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i)) )) + (net stat_header_buffer_level_4 (joined + (portRef stat_header_buffer_level_4) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1)) + )) + (net m4_6_03_1_0_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0)) + )) + (net stat_buffer_i_4 (joined + (portRef (member stat_buffer_i 19)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0)) + )) (net stat_header_buffer_level_7 (joined (portRef stat_header_buffer_level_7) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1)) @@ -599007,9 +598944,9 @@ (portRef (member stat_buffer_i 16)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0)) )) - (net regio_addr_i_0_rep1_1 (joined - (portRef regio_addr_i_0_rep1_1) - (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) + (net port_select_int_3_0_i_2 (joined + (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) + (portRef C (instanceRef port_select_inte_2)) )) ) (property HGROUP (string "Bus_handler_group")) @@ -599020,42 +598957,52 @@ (interface (port (array (rename stat_handler_i "stat_handler_i(1:0)") 2) (direction INPUT)) (port (array (rename lvl1_error_pattern_i "lvl1_error_pattern_i(21:20)") 2) (direction INPUT)) + (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) (port (array (rename med_packet_num_in "med_packet_num_in(2:0)") 3) (direction INPUT)) (port (array (rename med_data_in "med_data_in(15:0)") 16) (direction INPUT)) (port (array (rename med_data_out "med_data_out(15:0)") 16) (direction OUTPUT)) (port med_packet_num_out_0 (direction OUTPUT)) (port med_packet_num_out_2 (direction OUTPUT)) (port (array (rename un1_the_endpoint_1_0 "un1_THE_ENDPOINT_1_0(2:2)") 1) (direction OUTPUT)) - (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:0)") 2) (direction OUTPUT)) - (port regio_addr_i_7 (direction OUTPUT)) - (port regio_addr_i_6 (direction OUTPUT)) - (port regio_addr_i_5 (direction OUTPUT)) - (port regio_addr_i_4 (direction OUTPUT)) - (port regio_addr_i_3 (direction OUTPUT)) - (port regio_addr_i_2_d0 (direction OUTPUT)) - (port regio_addr_i_0 (direction OUTPUT)) - (port regio_addr_i_8 (direction OUTPUT)) - (port regio_addr_i_9 (direction OUTPUT)) - (port regio_addr_i_10 (direction OUTPUT)) - (port regio_addr_i_11 (direction OUTPUT)) - (port regio_addr_i_12 (direction OUTPUT)) - (port regio_addr_i_13 (direction OUTPUT)) - (port regio_addr_i_14 (direction OUTPUT)) - (port regio_addr_i_15 (direction OUTPUT)) - (port stat_reg_60 (direction INPUT)) + (port (array (rename regio_addr_i "regio_addr_i(15:2)") 14) (direction OUTPUT)) + (port (array (rename reg_enable_pattern "reg_enable_pattern(1:1)") 1) (direction OUTPUT)) + (port common_stat_reg_i_57 (direction INPUT)) + (port common_stat_reg_i_58 (direction INPUT)) + (port common_stat_reg_i_59 (direction INPUT)) + (port common_stat_reg_i_55 (direction INPUT)) + (port common_stat_reg_i_53 (direction INPUT)) + (port common_stat_reg_i_54 (direction INPUT)) + (port common_stat_reg_i_61 (direction INPUT)) + (port common_stat_reg_i_62 (direction INPUT)) + (port common_stat_reg_i_51 (direction INPUT)) + (port common_stat_reg_i_0 (direction INPUT)) + (port common_stat_reg_i_2 (direction INPUT)) + (port common_stat_reg_i_48 (direction INPUT)) + (port common_stat_reg_i_49 (direction INPUT)) + (port common_stat_reg_i_50 (direction INPUT)) + (port common_stat_reg_i_47 (direction INPUT)) + (port common_stat_reg_i_60 (direction INPUT)) + (port common_stat_reg_i_56 (direction INPUT)) + (port common_stat_reg_i_52 (direction INPUT)) + (port stat_reg_63 (direction INPUT)) + (port stat_reg_61 (direction INPUT)) (port stat_reg_62 (direction INPUT)) - (port stat_reg_488 (direction INPUT)) - (port stat_reg_456 (direction INPUT)) - (port stat_reg_424 (direction INPUT)) - (port stat_reg_392 (direction INPUT)) - (port stat_reg_490 (direction INPUT)) - (port stat_reg_458 (direction INPUT)) - (port stat_reg_426 (direction INPUT)) - (port stat_reg_394 (direction INPUT)) - (port stat_reg_496 (direction INPUT)) - (port stat_reg_464 (direction INPUT)) - (port stat_reg_432 (direction INPUT)) - (port stat_reg_400 (direction INPUT)) + (port stat_reg_43 (direction INPUT)) + (port stat_reg_56 (direction INPUT)) + (port stat_reg_58 (direction INPUT)) + (port stat_reg_57 (direction INPUT)) + (port stat_reg_500 (direction INPUT)) + (port stat_reg_468 (direction INPUT)) + (port stat_reg_436 (direction INPUT)) + (port stat_reg_404 (direction INPUT)) + (port stat_reg_494 (direction INPUT)) + (port stat_reg_462 (direction INPUT)) + (port stat_reg_430 (direction INPUT)) + (port stat_reg_398 (direction INPUT)) + (port stat_reg_484 (direction INPUT)) + (port stat_reg_452 (direction INPUT)) + (port stat_reg_420 (direction INPUT)) + (port stat_reg_388 (direction INPUT)) (port stat_reg_487 (direction INPUT)) (port stat_reg_455 (direction INPUT)) (port stat_reg_423 (direction INPUT)) @@ -599068,8 +599015,8 @@ (port stat_reg_630 (direction INPUT)) (port stat_reg_599 (direction INPUT)) (port stat_reg_631 (direction INPUT)) - (port stat_reg_532 (direction INPUT)) - (port stat_reg_564 (direction INPUT)) + (port stat_reg_592 (direction INPUT)) + (port stat_reg_624 (direction INPUT)) (port stat_reg_595 (direction INPUT)) (port stat_reg_627 (direction INPUT)) (port stat_reg_597 (direction INPUT)) @@ -599080,63 +599027,42 @@ (port stat_reg_626 (direction INPUT)) (port stat_reg_588 (direction INPUT)) (port stat_reg_620 (direction INPUT)) + (port stat_reg_584 (direction INPUT)) + (port stat_reg_616 (direction INPUT)) (port stat_reg_582 (direction INPUT)) (port stat_reg_614 (direction INPUT)) (port stat_reg_204 (direction INPUT)) (port stat_reg_236 (direction INPUT)) - (port stat_reg_590 (direction INPUT)) - (port stat_reg_622 (direction INPUT)) + (port stat_reg_585 (direction INPUT)) + (port stat_reg_617 (direction INPUT)) (port stat_reg_206 (direction INPUT)) (port stat_reg_238 (direction INPUT)) - (port stat_reg_583 (direction INPUT)) - (port stat_reg_615 (direction INPUT)) (port stat_reg_576 (direction INPUT)) (port stat_reg_608 (direction INPUT)) - (port stat_reg_516 (direction INPUT)) - (port stat_reg_548 (direction INPUT)) + (port stat_reg_203 (direction INPUT)) + (port stat_reg_235 (direction INPUT)) (port stat_reg_579 (direction INPUT)) (port stat_reg_611 (direction INPUT)) (port stat_reg_586 (direction INPUT)) (port stat_reg_618 (direction INPUT)) (port stat_reg_591 (direction INPUT)) (port stat_reg_623 (direction INPUT)) + (port stat_reg_4 (direction INPUT)) + (port stat_reg_36 (direction INPUT)) (port stat_reg_581 (direction INPUT)) (port stat_reg_613 (direction INPUT)) (port stat_reg_577 (direction INPUT)) (port stat_reg_609 (direction INPUT)) + (port stat_reg_205 (direction INPUT)) + (port stat_reg_237 (direction INPUT)) (port stat_reg_578 (direction INPUT)) (port stat_reg_610 (direction INPUT)) + (port stat_reg_589 (direction INPUT)) + (port stat_reg_621 (direction INPUT)) (port stat_reg_207 (direction INPUT)) (port stat_reg_239 (direction INPUT)) - (port stat_reg_45 (direction INPUT)) - (port stat_reg_43 (direction INPUT)) - (port stat_reg_261 (direction INPUT)) - (port stat_reg_293 (direction INPUT)) - (port stat_reg_517 (direction INPUT)) - (port stat_reg_549 (direction INPUT)) - (port stat_reg_137 (direction INPUT)) - (port stat_reg_169 (direction INPUT)) - (port stat_reg_201 (direction INPUT)) - (port stat_reg_233 (direction INPUT)) - (port stat_reg_265 (direction INPUT)) - (port stat_reg_297 (direction INPUT)) - (port stat_reg_329 (direction INPUT)) - (port stat_reg_361 (direction INPUT)) - (port stat_reg_144 (direction INPUT)) - (port stat_reg_176 (direction INPUT)) - (port stat_reg_208 (direction INPUT)) - (port stat_reg_240 (direction INPUT)) - (port stat_reg_336 (direction INPUT)) - (port stat_reg_368 (direction INPUT)) - (port stat_reg_259 (direction INPUT)) - (port stat_reg_291 (direction INPUT)) - (port stat_reg_323 (direction INPUT)) - (port stat_reg_355 (direction INPUT)) - (port stat_reg_521 (direction INPUT)) - (port stat_reg_553 (direction INPUT)) - (port stat_reg_585 (direction INPUT)) - (port stat_reg_617 (direction INPUT)) - (port stat_reg_57 (direction INPUT)) + (port stat_reg_587 (direction INPUT)) + (port stat_reg_619 (direction INPUT)) (port stat_reg_535 (direction INPUT)) (port stat_reg_567 (direction INPUT)) (port stat_reg_471 (direction INPUT)) @@ -599181,10 +599107,8 @@ (port stat_reg_179 (direction INPUT)) (port stat_reg_596 (direction INPUT)) (port stat_reg_628 (direction INPUT)) - (port stat_reg_404 (direction INPUT)) - (port stat_reg_436 (direction INPUT)) - (port stat_reg_468 (direction INPUT)) - (port stat_reg_500 (direction INPUT)) + (port stat_reg_532 (direction INPUT)) + (port stat_reg_564 (direction INPUT)) (port stat_reg_340 (direction INPUT)) (port stat_reg_372 (direction INPUT)) (port stat_reg_276 (direction INPUT)) @@ -599207,12 +599131,20 @@ (port stat_reg_245 (direction INPUT)) (port stat_reg_149 (direction INPUT)) (port stat_reg_181 (direction INPUT)) + (port stat_reg_336 (direction INPUT)) + (port stat_reg_368 (direction INPUT)) (port stat_reg_272 (direction INPUT)) (port stat_reg_304 (direction INPUT)) - (port stat_reg_592 (direction INPUT)) - (port stat_reg_624 (direction INPUT)) (port stat_reg_528 (direction INPUT)) (port stat_reg_560 (direction INPUT)) + (port stat_reg_464 (direction INPUT)) + (port stat_reg_496 (direction INPUT)) + (port stat_reg_400 (direction INPUT)) + (port stat_reg_432 (direction INPUT)) + (port stat_reg_208 (direction INPUT)) + (port stat_reg_240 (direction INPUT)) + (port stat_reg_144 (direction INPUT)) + (port stat_reg_176 (direction INPUT)) (port stat_reg_529 (direction INPUT)) (port stat_reg_561 (direction INPUT)) (port stat_reg_465 (direction INPUT)) @@ -599241,12 +599173,22 @@ (port stat_reg_242 (direction INPUT)) (port stat_reg_146 (direction INPUT)) (port stat_reg_178 (direction INPUT)) - (port stat_reg_58 (direction INPUT)) - (port stat_reg_56 (direction INPUT)) + (port stat_reg_521 (direction INPUT)) + (port stat_reg_553 (direction INPUT)) + (port stat_reg_329 (direction INPUT)) + (port stat_reg_361 (direction INPUT)) + (port stat_reg_265 (direction INPUT)) + (port stat_reg_297 (direction INPUT)) + (port stat_reg_201 (direction INPUT)) + (port stat_reg_233 (direction INPUT)) + (port stat_reg_137 (direction INPUT)) + (port stat_reg_169 (direction INPUT)) (port stat_reg_457 (direction INPUT)) (port stat_reg_489 (direction INPUT)) (port stat_reg_393 (direction INPUT)) (port stat_reg_425 (direction INPUT)) + (port stat_reg_583 (direction INPUT)) + (port stat_reg_615 (direction INPUT)) (port stat_reg_519 (direction INPUT)) (port stat_reg_551 (direction INPUT)) (port stat_reg_327 (direction INPUT)) @@ -599277,6 +599219,10 @@ (port stat_reg_483 (direction INPUT)) (port stat_reg_387 (direction INPUT)) (port stat_reg_419 (direction INPUT)) + (port stat_reg_323 (direction INPUT)) + (port stat_reg_355 (direction INPUT)) + (port stat_reg_259 (direction INPUT)) + (port stat_reg_291 (direction INPUT)) (port stat_reg_195 (direction INPUT)) (port stat_reg_227 (direction INPUT)) (port stat_reg_131 (direction INPUT)) @@ -599285,10 +599231,8 @@ (port stat_reg_35 (direction INPUT)) (port stat_reg_580 (direction INPUT)) (port stat_reg_612 (direction INPUT)) - (port stat_reg_388 (direction INPUT)) - (port stat_reg_420 (direction INPUT)) - (port stat_reg_452 (direction INPUT)) - (port stat_reg_484 (direction INPUT)) + (port stat_reg_516 (direction INPUT)) + (port stat_reg_548 (direction INPUT)) (port stat_reg_324 (direction INPUT)) (port stat_reg_356 (direction INPUT)) (port stat_reg_260 (direction INPUT)) @@ -599297,14 +599241,16 @@ (port stat_reg_228 (direction INPUT)) (port stat_reg_132 (direction INPUT)) (port stat_reg_164 (direction INPUT)) - (port stat_reg_4 (direction INPUT)) - (port stat_reg_36 (direction INPUT)) + (port stat_reg_517 (direction INPUT)) + (port stat_reg_549 (direction INPUT)) (port stat_reg_453 (direction INPUT)) (port stat_reg_485 (direction INPUT)) (port stat_reg_389 (direction INPUT)) (port stat_reg_421 (direction INPUT)) (port stat_reg_325 (direction INPUT)) (port stat_reg_357 (direction INPUT)) + (port stat_reg_261 (direction INPUT)) + (port stat_reg_293 (direction INPUT)) (port stat_reg_197 (direction INPUT)) (port stat_reg_229 (direction INPUT)) (port stat_reg_133 (direction INPUT)) @@ -599359,8 +599305,6 @@ (port stat_reg_34 (direction INPUT)) (port stat_reg_397 (direction INPUT)) (port stat_reg_429 (direction INPUT)) - (port stat_reg_589 (direction INPUT)) - (port stat_reg_621 (direction INPUT)) (port stat_reg_525 (direction INPUT)) (port stat_reg_557 (direction INPUT)) (port stat_reg_461 (direction INPUT)) @@ -599369,10 +599313,10 @@ (port stat_reg_365 (direction INPUT)) (port stat_reg_269 (direction INPUT)) (port stat_reg_301 (direction INPUT)) - (port stat_reg_205 (direction INPUT)) - (port stat_reg_237 (direction INPUT)) (port stat_reg_141 (direction INPUT)) (port stat_reg_173 (direction INPUT)) + (port stat_reg_590 (direction INPUT)) + (port stat_reg_622 (direction INPUT)) (port stat_reg_526 (direction INPUT)) (port stat_reg_558 (direction INPUT)) (port stat_reg_142 (direction INPUT)) @@ -599391,6 +599335,10 @@ (port stat_reg_175 (direction INPUT)) (port stat_reg_522 (direction INPUT)) (port stat_reg_554 (direction INPUT)) + (port stat_reg_458 (direction INPUT)) + (port stat_reg_490 (direction INPUT)) + (port stat_reg_394 (direction INPUT)) + (port stat_reg_426 (direction INPUT)) (port stat_reg_330 (direction INPUT)) (port stat_reg_362 (direction INPUT)) (port stat_reg_266 (direction INPUT)) @@ -599401,8 +599349,6 @@ (port stat_reg_170 (direction INPUT)) (port stat_reg_395 (direction INPUT)) (port stat_reg_427 (direction INPUT)) - (port stat_reg_587 (direction INPUT)) - (port stat_reg_619 (direction INPUT)) (port stat_reg_523 (direction INPUT)) (port stat_reg_555 (direction INPUT)) (port stat_reg_459 (direction INPUT)) @@ -599411,8 +599357,6 @@ (port stat_reg_363 (direction INPUT)) (port stat_reg_267 (direction INPUT)) (port stat_reg_299 (direction INPUT)) - (port stat_reg_203 (direction INPUT)) - (port stat_reg_235 (direction INPUT)) (port stat_reg_139 (direction INPUT)) (port stat_reg_171 (direction INPUT)) (port stat_reg_524 (direction INPUT)) @@ -599427,10 +599371,12 @@ (port stat_reg_300 (direction INPUT)) (port stat_reg_140 (direction INPUT)) (port stat_reg_172 (direction INPUT)) - (port stat_reg_584 (direction INPUT)) - (port stat_reg_616 (direction INPUT)) (port stat_reg_520 (direction INPUT)) (port stat_reg_552 (direction INPUT)) + (port stat_reg_456 (direction INPUT)) + (port stat_reg_488 (direction INPUT)) + (port stat_reg_392 (direction INPUT)) + (port stat_reg_424 (direction INPUT)) (port stat_reg_328 (direction INPUT)) (port stat_reg_360 (direction INPUT)) (port stat_reg_264 (direction INPUT)) @@ -599439,61 +599385,83 @@ (port stat_reg_232 (direction INPUT)) (port stat_reg_136 (direction INPUT)) (port stat_reg_168 (direction INPUT)) - (port stat_reg_462 (direction INPUT)) - (port stat_reg_494 (direction INPUT)) - (port stat_reg_398 (direction INPUT)) - (port stat_reg_430 (direction INPUT)) (port stat_reg_334 (direction INPUT)) (port stat_reg_366 (direction INPUT)) (port stat_reg_270 (direction INPUT)) (port stat_reg_302 (direction INPUT)) (port stat_reg_663 (direction INPUT)) (port stat_reg_659 (direction INPUT)) - (port stat_reg_660 (direction INPUT)) + (port stat_reg_656 (direction INPUT)) (port stat_reg_657 (direction INPUT)) (port stat_reg_658 (direction INPUT)) - (port stat_reg_653 (direction INPUT)) - (port stat_reg_651 (direction INPUT)) - (port stat_reg_49 (direction INPUT)) - (port stat_reg_38 (direction INPUT)) - (port stat_reg_55 (direction INPUT)) - (port stat_reg_39 (direction INPUT)) - (port stat_reg_42 (direction INPUT)) - (port stat_reg_50 (direction INPUT)) + (port stat_reg_52 (direction INPUT)) (port stat_reg_53 (direction INPUT)) - (port stat_reg_51 (direction INPUT)) - (port stat_reg_37 (direction INPUT)) - (port stat_reg_48 (direction INPUT)) (port stat_reg_41 (direction INPUT)) + (port stat_reg_39 (direction INPUT)) (port stat_reg_54 (direction INPUT)) - (port stat_reg_52 (direction INPUT)) - (port stat_reg_655 (direction INPUT)) + (port stat_reg_51 (direction INPUT)) + (port stat_reg_40 (direction INPUT)) + (port stat_reg_48 (direction INPUT)) + (port stat_reg_42 (direction INPUT)) + (port stat_reg_38 (direction INPUT)) + (port stat_reg_55 (direction INPUT)) + (port stat_reg_37 (direction INPUT)) + (port stat_reg_50 (direction INPUT)) + (port stat_reg_49 (direction INPUT)) + (port stat_reg_647 (direction INPUT)) + (port stat_reg_646 (direction INPUT)) (port stat_reg_644 (direction INPUT)) - (port stat_reg_643 (direction INPUT)) - (port stat_reg_47 (direction INPUT)) - (port stat_reg_645 (direction INPUT)) - (port stat_reg_648 (direction INPUT)) + (port stat_reg_661 (direction INPUT)) + (port stat_reg_45 (direction INPUT)) (port stat_reg_652 (direction INPUT)) - (port stat_reg_646 (direction INPUT)) + (port stat_reg_645 (direction INPUT)) + (port stat_reg_650 (direction INPUT)) (port stat_reg_641 (direction INPUT)) + (port stat_reg_47 (direction INPUT)) + (port stat_reg_44 (direction INPUT)) + (port stat_reg_648 (direction INPUT)) (port stat_reg_654 (direction INPUT)) + (port stat_reg_655 (direction INPUT)) + (port stat_reg_660 (direction INPUT)) (port stat_reg_649 (direction INPUT)) - (port stat_reg_647 (direction INPUT)) (port stat_reg_662 (direction INPUT)) - (port stat_reg_650 (direction INPUT)) - (port stat_reg_46 (direction INPUT)) - (port stat_reg_656 (direction INPUT)) - (port stat_reg_661 (direction INPUT)) (port stat_reg_642 (direction INPUT)) - (port stat_reg_44 (direction INPUT)) (port stat_reg_640 (direction INPUT)) + (port stat_reg_46 (direction INPUT)) + (port stat_reg_643 (direction INPUT)) + (port stat_reg_651 (direction INPUT)) + (port stat_reg_653 (direction INPUT)) + (port stat_reg_60 (direction INPUT)) (port stat_reg_59 (direction INPUT)) - (port stat_reg_63 (direction INPUT)) - (port stat_reg_61 (direction INPUT)) - (port stat_reg_40 (direction INPUT)) - (port (array (rename regio_addr_i_2 "regio_addr_i_2(1:1)") 1) (direction OUTPUT)) + (port (array (rename regio_addr_i_5 "regio_addr_i_5(0:0)") 1) (direction OUTPUT)) + (port (array (rename regio_addr_i_3 "regio_addr_i_3(0:0)") 1) (direction OUTPUT)) + (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:1)") 1) (direction OUTPUT)) (port timer_ticks_0 (direction OUTPUT)) (port (array (rename regio_data_out_i "regio_data_out_i(31:0)") 32) (direction OUTPUT)) + (port ctrl_reg_83 (direction OUTPUT)) + (port ctrl_reg_82 (direction OUTPUT)) + (port ctrl_reg_81 (direction OUTPUT)) + (port ctrl_reg_80 (direction OUTPUT)) + (port ctrl_reg_79 (direction OUTPUT)) + (port ctrl_reg_78 (direction OUTPUT)) + (port ctrl_reg_77 (direction OUTPUT)) + (port ctrl_reg_76 (direction OUTPUT)) + (port ctrl_reg_75 (direction OUTPUT)) + (port ctrl_reg_74 (direction OUTPUT)) + (port ctrl_reg_73 (direction OUTPUT)) + (port ctrl_reg_72 (direction OUTPUT)) + (port ctrl_reg_71 (direction OUTPUT)) + (port ctrl_reg_70 (direction OUTPUT)) + (port ctrl_reg_69 (direction OUTPUT)) + (port ctrl_reg_68 (direction OUTPUT)) + (port ctrl_reg_67 (direction OUTPUT)) + (port ctrl_reg_66 (direction OUTPUT)) + (port ctrl_reg_65 (direction OUTPUT)) + (port ctrl_reg_64 (direction OUTPUT)) + (port ctrl_reg_63 (direction OUTPUT)) + (port ctrl_reg_62 (direction OUTPUT)) + (port ctrl_reg_61 (direction OUTPUT)) + (port ctrl_reg_60 (direction OUTPUT)) (port ctrl_reg_59 (direction OUTPUT)) (port ctrl_reg_58 (direction OUTPUT)) (port ctrl_reg_57 (direction OUTPUT)) @@ -599501,12 +599469,7 @@ (port ctrl_reg_55 (direction OUTPUT)) (port ctrl_reg_54 (direction OUTPUT)) (port ctrl_reg_53 (direction OUTPUT)) - (port ctrl_reg_52 (direction OUTPUT)) (port ctrl_reg_51 (direction OUTPUT)) - (port ctrl_reg_50 (direction OUTPUT)) - (port ctrl_reg_49 (direction OUTPUT)) - (port ctrl_reg_48 (direction OUTPUT)) - (port ctrl_reg_47 (direction OUTPUT)) (port ctrl_reg_46 (direction OUTPUT)) (port ctrl_reg_45 (direction OUTPUT)) (port ctrl_reg_44 (direction OUTPUT)) @@ -599518,54 +599481,17 @@ (port ctrl_reg_38 (direction OUTPUT)) (port ctrl_reg_37 (direction OUTPUT)) (port ctrl_reg_36 (direction OUTPUT)) - (port ctrl_reg_35 (direction OUTPUT)) - (port ctrl_reg_34 (direction OUTPUT)) - (port ctrl_reg_33 (direction OUTPUT)) - (port ctrl_reg_32 (direction OUTPUT)) - (port ctrl_reg_31 (direction OUTPUT)) (port ctrl_reg_30 (direction OUTPUT)) (port ctrl_reg_29 (direction OUTPUT)) + (port ctrl_reg_28 (direction OUTPUT)) (port ctrl_reg_27 (direction OUTPUT)) - (port ctrl_reg_22 (direction OUTPUT)) - (port ctrl_reg_21 (direction OUTPUT)) - (port ctrl_reg_20 (direction OUTPUT)) - (port ctrl_reg_19 (direction OUTPUT)) - (port ctrl_reg_18 (direction OUTPUT)) - (port ctrl_reg_17 (direction OUTPUT)) - (port ctrl_reg_16 (direction OUTPUT)) - (port ctrl_reg_15 (direction OUTPUT)) - (port ctrl_reg_14 (direction OUTPUT)) - (port ctrl_reg_13 (direction OUTPUT)) - (port ctrl_reg_12 (direction OUTPUT)) - (port ctrl_reg_6 (direction OUTPUT)) - (port ctrl_reg_5 (direction OUTPUT)) - (port ctrl_reg_4 (direction OUTPUT)) - (port ctrl_reg_3 (direction OUTPUT)) - (port ctrl_reg_2 (direction OUTPUT)) - (port ctrl_reg_1 (direction OUTPUT)) + (port ctrl_reg_26 (direction OUTPUT)) + (port ctrl_reg_25 (direction OUTPUT)) + (port ctrl_reg_24 (direction OUTPUT)) (port ctrl_reg_0 (direction OUTPUT)) (port (array (rename regio_data_in_i "regio_data_in_i(31:0)") 32) (direction INPUT)) - (port common_stat_reg_i_58 (direction INPUT)) - (port common_stat_reg_i_62 (direction INPUT)) - (port common_stat_reg_i_59 (direction INPUT)) - (port common_stat_reg_i_54 (direction INPUT)) - (port common_stat_reg_i_53 (direction INPUT)) - (port common_stat_reg_i_55 (direction INPUT)) - (port common_stat_reg_i_61 (direction INPUT)) - (port common_stat_reg_i_51 (direction INPUT)) - (port common_stat_reg_i_50 (direction INPUT)) - (port common_stat_reg_i_49 (direction INPUT)) - (port common_stat_reg_i_47 (direction INPUT)) - (port common_stat_reg_i_48 (direction INPUT)) - (port common_stat_reg_i_60 (direction INPUT)) - (port common_stat_reg_i_52 (direction INPUT)) - (port common_stat_reg_i_56 (direction INPUT)) - (port common_stat_reg_i_57 (direction INPUT)) - (port common_stat_reg_i_2 (direction INPUT)) - (port common_stat_reg_i_0 (direction INPUT)) (port (array (rename trg_int_number_i "trg_int_number_i(15:0)") 16) (direction OUTPUT)) - (port (array (rename un14_i_a3_1 "un14_i_a3_1(1:1)") 1) (direction INPUT)) - (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) + (port (array (rename un14_i_a3_0 "un14_i_a3_0(1:1)") 1) (direction INPUT)) (port (array (rename ipu_data_i "ipu_data_i(31:0)") 32) (direction INPUT)) (port (array (rename ipu_number_i "ipu_number_i(15:0)") 16) (direction OUTPUT)) (port (array (rename ipu_error_pattern_i "ipu_error_pattern_i(27:27)") 1) (direction INPUT)) @@ -599574,7 +599500,7 @@ (port trg_information_i_0 (direction OUTPUT)) (port (array (rename trg_code_i "trg_code_i(7:0)") 8) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port (array (rename buf_apl_dataready_in "buf_APL_DATAREADY_IN(1:1)") 1) (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) (port (array (rename current_state "CURRENT_STATE(2:0)") 3) (direction INPUT)) (port (array (rename code_line_c "CODE_LINE_c(1:0)") 2) (direction INPUT)) (port common_ctrl_reg_1 (direction OUTPUT)) @@ -599587,64 +599513,58 @@ (port int_lvl1_long_trg (direction OUTPUT)) (port trg_timeout_detected_i (direction OUTPUT)) (port trg_notiming_valid_i (direction OUTPUT)) - (port LVL1_TRG_RELEASE_OUT (direction INPUT)) + (port LVL1_TRG_RELEASE_OUT_0_a2 (direction INPUT)) (port TRIGGER_LEFT_c (direction INPUT)) - (port d_m5_0_0 (direction OUTPUT)) + (port m6_6_03_1_1 (direction INPUT)) + (port first_fifo_read_RNIS8RB (direction INPUT)) + (port d_N_6_0 (direction OUTPUT)) (port med_dataready_in (direction INPUT)) (port med_dataready_out (direction OUTPUT)) - (port N_538_i (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) + (port N_465_i (direction INPUT)) (port TEMPSENS_in (direction INPUT)) - (port regio_unknown_addr_i (direction INPUT)) - (port regio_nomoredata_i (direction INPUT)) - (port regio_dataready_i (direction INPUT)) - (port N_180 (direction OUTPUT)) - (port regio_write_ack_i (direction INPUT)) + (port reset_i_rep2_1 (direction INPUT)) + (port N_5867 (direction OUTPUT)) (port regio_write_enable_i (direction OUTPUT)) (port regio_read_enable_i (direction OUTPUT)) - (port regio_addr_i_0_rep1_1 (direction OUTPUT)) - (port un12_dat_addr_in_2 (direction OUTPUT)) - (port N_2135_2 (direction OUTPUT)) - (port N_1700 (direction INPUT)) - (port un20_dat_addr_in_1 (direction OUTPUT)) + (port regio_dataready_i (direction INPUT)) + (port regio_nomoredata_i (direction INPUT)) + (port regio_unknown_addr_i (direction INPUT)) + (port N_5861 (direction OUTPUT)) + (port regio_write_ack_i (direction INPUT)) + (port N_228_1 (direction OUTPUT)) + (port un16_dat_addr_in_4 (direction INPUT)) + (port un14_dat_addr_in_4 (direction INPUT)) (port trg_timing_valid_i (direction OUTPUT)) (port ipu_start_readout_i (direction OUTPUT)) (port ipu_dataready_i (direction INPUT)) - (port waiting_word_RNIIPH92 (direction OUTPUT)) (port m166_2_03_4_i_4 (direction INPUT)) (port m161_2_03 (direction INPUT)) - (port suppress_output_fast (direction INPUT)) - (port reset_i_fast_r2 (direction INPUT)) - (port m6_6_03_1_1 (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (direction OUTPUT)) - (port N_4877 (direction OUTPUT)) + (port suppress_output (direction INPUT)) + (port reset_i_fast_r3 (direction INPUT)) (port ipu_readout_finished_i (direction INPUT)) (port reset_i_fast_1_i (direction INPUT)) - (port last_second_word_waiting (direction OUTPUT)) (port m173_2_03_3_i_4 (direction INPUT)) (port m174_2_03_3_i_4 (direction INPUT)) - (port reset_i_fast_r8 (direction INPUT)) - (port reset_i_fast_r4 (direction INPUT)) - (port reset_i_fast_r9 (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (direction OUTPUT)) - (port reset_i_fast_r3 (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) + (port last_second_word_waiting_RNIKQVT1 (direction OUTPUT)) + (port reset_i_fast_r2 (direction INPUT)) (port signal_sync_1 (direction OUTPUT)) (port signal_sync_0 (direction OUTPUT)) (port signal_sync (direction OUTPUT)) - (port reset_i_fast_r7 (direction INPUT)) + (port reset_i_fast_r6 (direction INPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_18 (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) + (port reset_i_fast_r9 (direction INPUT)) + (port reset_i_fast_r8 (direction INPUT)) (port reset_i_19 (direction INPUT)) - (port waiting_word (direction OUTPUT)) + (port reset_i_rep1_1 (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port reset_i_rep1 (direction INPUT)) + (port reset_i_20 (direction INPUT)) (port N_300 (direction INPUT)) - (port N_560 (direction INPUT)) + (port N_484 (direction INPUT)) (port N_299 (direction INPUT)) (port reset_i_rep2 (direction INPUT)) - (port reset_i_fast_r6 (direction INPUT)) (port reset_i_fast_r5 (direction INPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port trb_reset_buffer (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) @@ -599742,9 +599662,6 @@ (instance buf_IDRAM_DATA_IN_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance make_trbnet_reset_RNIU0MD (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) (instance REG_LINK_ERROR_un8_med_stat_op_in_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) @@ -599754,6 +599671,9 @@ (instance buf_IDRAM_DATA_IN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) + (instance link_and_reset_statuse_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) (instance reset_no_link_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) @@ -599763,12 +599683,12 @@ (instance link_and_reset_status_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance link_and_reset_status_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+!A))")) - ) (instance link_and_reset_status_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C+(!B+!A)))")) ) + (instance link_and_reset_status_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+!A))")) + ) (instance REG_LINK_ERROR_un8_med_stat_op_in_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) @@ -599823,15 +599743,15 @@ (net link_and_reset_status_0 (joined (portRef Q (instanceRef link_and_reset_status_0)) (portRef (member link_and_reset_status 3) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef A (instanceRef link_and_reset_status_RNO_3)) (portRef A (instanceRef link_and_reset_status_RNO_2)) + (portRef A (instanceRef link_and_reset_status_RNO_3)) (portRef A (instanceRef link_and_reset_status_RNO_1)) (portRef A (instanceRef link_and_reset_status_0__fb)) )) (net common_ctrl_reg_5 (joined (portRef common_ctrl_reg_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef common_ctrl_reg_1 (instanceRef THE_LVL1_HANDLER)) - (portRef B (instanceRef make_trbnet_reset_RNIU0MD)) + (portRef B (instanceRef link_and_reset_statuse_0_i)) (portRef CD (instanceRef link_and_reset_status_3)) (portRef CD (instanceRef link_and_reset_status_2)) (portRef CD (instanceRef link_and_reset_status_1)) @@ -599840,7 +599760,7 @@ )) (net make_trbnet_reset (joined (portRef Q (instanceRef make_trbnet_reset)) - (portRef A (instanceRef make_trbnet_reset_RNIU0MD)) + (portRef A (instanceRef link_and_reset_statuse_0_i)) (portRef C (instanceRef link_and_reset_status_0__fb)) )) (net fb (joined @@ -599976,12 +599896,12 @@ (portRef CD (instanceRef lvl1_tmg_trg_missing_flag)) (portRef common_ctrl_reg_3) )) - (net link_and_reset_statusc_i (joined + (net link_and_reset_statusc_0_i (joined (portRef Z (instanceRef link_and_reset_status_RNO_1)) (portRef D (instanceRef link_and_reset_status_1)) )) - (net N_21 (joined - (portRef Z (instanceRef make_trbnet_reset_RNIU0MD)) + (net link_and_reset_statuse_0_i (joined + (portRef Z (instanceRef link_and_reset_statuse_0_i)) (portRef SP (instanceRef link_and_reset_status_3)) (portRef SP (instanceRef link_and_reset_status_2)) (portRef SP (instanceRef link_and_reset_status_1)) @@ -599989,19 +599909,19 @@ (net link_and_reset_status_1 (joined (portRef Q (instanceRef link_and_reset_status_1)) (portRef (member link_and_reset_status 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef B (instanceRef link_and_reset_status_RNO_3)) (portRef B (instanceRef link_and_reset_status_RNO_2)) + (portRef B (instanceRef link_and_reset_status_RNO_3)) (portRef B (instanceRef link_and_reset_status_RNO_1)) )) - (net link_and_reset_statusc_0_i (joined + (net link_and_reset_statusc_i (joined (portRef Z (instanceRef link_and_reset_status_RNO_2)) (portRef D (instanceRef link_and_reset_status_2)) )) (net link_and_reset_status_2 (joined (portRef Q (instanceRef link_and_reset_status_2)) (portRef (member link_and_reset_status 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef C (instanceRef link_and_reset_status_RNO_3)) (portRef C (instanceRef link_and_reset_status_RNO_2)) + (portRef C (instanceRef link_and_reset_status_RNO_3)) )) (net N_13_i_i (joined (portRef Z (instanceRef link_and_reset_status_RNO_3)) @@ -600035,12 +599955,11 @@ (portRef (member onewire_addr 0) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) (portRef A (instanceRef buf_IDRAM_ADDR_IN_1)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef reset_i_fast_r5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_fast_r5 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) - (portRef reset_i_fast_r5 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef reset_i_fast_r5 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef reset_i_fast_r7 (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef reset_i_fast_r7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef reset_i_fast_r7 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) (portRef B (instanceRef buf_IDRAM_ADDR_IN_1)) (portRef B (instanceRef buf_IDRAM_ADDR_IN_0)) )) @@ -600060,8 +599979,8 @@ (portRef (member onewire_data 2) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) (portRef A (instanceRef buf_IDRAM_DATA_IN_13)) )) - (net reset_i_fast_r6 (joined - (portRef reset_i_fast_r6) + (net reset_i_fast_r5 (joined + (portRef reset_i_fast_r5) (portRef C (instanceRef buf_IDRAM_DATA_IN_1)) (portRef C (instanceRef buf_IDRAM_DATA_IN_0)) (portRef B (instanceRef buf_IDRAM_DATA_IN_11)) @@ -600223,7 +600142,6 @@ (portRef reset_i_rep2) (portRef reset_i_rep2 (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) (portRef reset_i_rep2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_rep2 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) (portRef reset_i_rep2 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef B (instanceRef reset_trg_logic_1_0_i)) (portRef B (instanceRef reset_no_link_1_0_i)) @@ -600244,8 +600162,8 @@ (portRef Z (instanceRef REG_LINK_ERROR_un8_med_stat_op_in_3)) (portRef B (instanceRef REG_LINK_ERROR_un8_med_stat_op_in)) )) - (net N_560 (joined - (portRef N_560) + (net N_484 (joined + (portRef N_484) (portRef A (instanceRef REG_LINK_ERROR_un8_med_stat_op_in)) )) (net CURRENT_STATE_1 (joined @@ -600665,11 +600583,11 @@ (portRef MED_IO_PACKET_NUM_OUT_8 (instanceRef MPLEX)) )) (net buf_api_stat_fifo_to_int_38 (joined - (portRef buf_api_stat_fifo_to_int_3 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef buf_api_stat_fifo_to_int_0 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member buf_api_stat_fifo_to_int 1) (instanceRef genbuffers_1_geniobuf_IOBUF)) )) (net buf_api_stat_fifo_to_int_39 (joined - (portRef buf_api_stat_fifo_to_int_4 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef buf_api_stat_fifo_to_int_1 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef genbuffers_1_geniobuf_IOBUF)) ) ) @@ -601041,20 +600959,20 @@ (portRef MED_IO_PACKET_NUM_OUT_20 (instanceRef MPLEX)) )) (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3) (instanceRef genbuffers_3_geniobuf_IOBUF)) - (portRef (member current_output_data_buffer 3) (instanceRef MPLEX)) + (portRef current_output_data_buffer_0 (instanceRef genbuffers_3_geniobuf_IOBUF)) + (portRef current_output_data_buffer_0 (instanceRef MPLEX)) )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2) (instanceRef genbuffers_3_geniobuf_IOBUF)) - (portRef (member current_output_data_buffer 2) (instanceRef MPLEX)) + (net current_output_data_buffer_3 (joined + (portRef current_output_data_buffer_3 (instanceRef genbuffers_3_geniobuf_IOBUF)) + (portRef current_output_data_buffer_3 (instanceRef MPLEX)) )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1) (instanceRef genbuffers_3_geniobuf_IOBUF)) - (portRef (member current_output_data_buffer 1) (instanceRef MPLEX)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1) (instanceRef genbuffers_3_geniobuf_IOBUF)) + (portRef (member current_output_data_buffer_mb 1) (instanceRef MPLEX)) )) - (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0) (instanceRef genbuffers_3_geniobuf_IOBUF)) - (portRef (member current_output_data_buffer 0) (instanceRef MPLEX)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0) (instanceRef genbuffers_3_geniobuf_IOBUF)) + (portRef (member current_output_data_buffer_mb 0) (instanceRef MPLEX)) )) (net buf_api_stat_fifo_to_int_102 (joined (portRef buf_api_stat_fifo_to_int_3 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) @@ -601472,14 +601390,6 @@ (portRef (member buf_apl_data_in 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) (portRef (member buf_apl_data_in 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net state_0 (joined - (portRef (member state 1) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef (member state 1) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - )) - (net state_1 (joined - (portRef (member state 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef (member state 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - )) (net buf_APL_DATA_OUT_16 (joined (portRef (member buf_apl_data_out 15) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member buf_apl_data_out 15) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) @@ -601577,14 +601487,6 @@ (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_api_stat_fifo_to_int_35 (joined - (portRef buf_api_stat_fifo_to_int_0 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef buf_api_stat_fifo_to_int_0 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - )) - (net buf_api_stat_fifo_to_int_46 (joined - (portRef buf_api_stat_fifo_to_int_11 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef buf_api_stat_fifo_to_int_11 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - )) (net MY_ADDRESS_0 (joined (portRef (member my_address 15) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef (member my_address 15) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) @@ -601794,9 +601696,9 @@ (portRef (member common_ctrl_reg 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member common_ctrl_reg 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net FF_23_RNICS201 (joined - (portRef FF_23_RNICS201 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef FF_23_RNICS201 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (net N_5723_i (joined + (portRef N_5723_i (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef N_5723_i (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net buf_APL_PACKET_NUM_IN_11 (joined (portRef buf_APL_PACKET_NUM_IN_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -601806,69 +601708,98 @@ (portRef buf_APL_PACKET_NUM_IN_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef buf_APL_PACKET_NUM_IN_0 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_48 (joined - (portRef (member buf_apl_data_in 15) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 15) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_API_DATA_OUT_RNIGU9Q_9 (joined + (portRef (member buf_api_data_out_rnigu9q 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member buf_api_data_out_rnigu9q 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_49 (joined - (portRef (member buf_apl_data_in 14) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 14) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_63 (joined + (portRef buf_APL_DATA_IN_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_15 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_50 (joined - (portRef (member buf_apl_data_in 13) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 13) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_62 (joined + (portRef buf_APL_DATA_IN_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_14 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_51 (joined - (portRef (member buf_apl_data_in 12) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 12) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_61 (joined + (portRef buf_APL_DATA_IN_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_13 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_52 (joined - (portRef (member buf_apl_data_in 11) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 11) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_60 (joined + (portRef buf_APL_DATA_IN_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_12 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_53 (joined - (portRef (member buf_apl_data_in 10) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 10) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_59 (joined + (portRef buf_APL_DATA_IN_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_11 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_54 (joined - (portRef (member buf_apl_data_in 9) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 9) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_58 (joined + (portRef buf_APL_DATA_IN_10 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_10 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + )) + (net buf_APL_DATA_IN_56 (joined + (portRef buf_APL_DATA_IN_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_8 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net buf_APL_DATA_IN_55 (joined - (portRef (member buf_apl_data_in 8) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 8) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef buf_APL_DATA_IN_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_7 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_56 (joined - (portRef (member buf_apl_data_in 7) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 7) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_54 (joined + (portRef buf_APL_DATA_IN_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_6 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_57 (joined - (portRef (member buf_apl_data_in 6) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 6) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_53 (joined + (portRef buf_APL_DATA_IN_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_5 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_58 (joined - (portRef (member buf_apl_data_in 5) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 5) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_52 (joined + (portRef buf_APL_DATA_IN_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_4 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_59 (joined - (portRef (member buf_apl_data_in 4) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 4) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_51 (joined + (portRef buf_APL_DATA_IN_3 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_3 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_60 (joined - (portRef (member buf_apl_data_in 3) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 3) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_50 (joined + (portRef buf_APL_DATA_IN_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_2 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_61 (joined - (portRef (member buf_apl_data_in 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 2) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_49 (joined + (portRef buf_APL_DATA_IN_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_62 (joined - (portRef (member buf_apl_data_in 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 1) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_48 (joined + (portRef buf_APL_DATA_IN_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_0 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_63 (joined - (portRef (member buf_apl_data_in 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_TYP_OUT_0_0 (joined + (portRef (member buf_apl_typ_out 2) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_typ_out 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net buf_APL_TYP_OUT_0_1 (joined + (portRef (member buf_apl_typ_out 1) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_typ_out 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net buf_APL_TYP_OUT_0_2 (joined + (portRef (member buf_apl_typ_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_typ_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net buf_api_stat_fifo_to_apl_106 (joined + (portRef buf_api_stat_fifo_to_apl_2 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + ) + ) + (net buf_APL_PACKET_NUM_OUT_9 (joined + (portRef (member buf_apl_packet_num_out 2) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_packet_num_out 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net buf_APL_PACKET_NUM_OUT_10 (joined + (portRef (member buf_apl_packet_num_out 1) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_packet_num_out 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net buf_APL_PACKET_NUM_OUT_11 (joined + (portRef (member buf_apl_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net buf_APL_DATA_OUT_48 (joined (portRef (member buf_apl_data_out 15) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) @@ -601934,6 +601865,10 @@ (portRef (member buf_apl_data_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member buf_apl_data_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net buf_APL_READ_IN_3 (joined + (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + )) (net ADR_PACKET_NUM_OUT_2 (joined (portRef (member adr_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef (member adr_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) @@ -601942,39 +601877,10 @@ (portRef buf_api_stat_fifo_to_int_11 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net buf_APL_READ_IN_3 (joined - (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - )) - (net buf_APL_PACKET_NUM_OUT_9 (joined - (portRef (member buf_apl_packet_num_out 2) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_packet_num_out 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net buf_APL_PACKET_NUM_OUT_10 (joined - (portRef (member buf_apl_packet_num_out 1) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_packet_num_out 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net buf_APL_PACKET_NUM_OUT_11 (joined - (portRef (member buf_apl_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net buf_APL_TYP_OUT_0_0 (joined - (portRef (member buf_apl_typ_out 2) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_typ_out 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net buf_APL_TYP_OUT_0_1 (joined - (portRef (member buf_apl_typ_out 1) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_typ_out 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net buf_APL_TYP_OUT_0_2 (joined - (portRef (member buf_apl_typ_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_typ_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_THE_ENDPOINT_6 (joined + (portRef (member un1_the_endpoint 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member un1_the_endpoint 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_api_stat_fifo_to_apl_106 (joined - (portRef buf_api_stat_fifo_to_apl_7 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - ) - ) (net buf_APL_ERROR_PATTERN_IN_114 (joined (portRef buf_APL_ERROR_PATTERN_IN_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef buf_APL_ERROR_PATTERN_IN_14 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) @@ -602012,46 +601918,52 @@ (portRef (member final_reset_iso 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member final_reset_iso 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net un1_THE_ENDPOINT_6 (joined - (portRef (member un1_the_endpoint 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member un1_the_endpoint 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef reset_i_20 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net reset_i_19 (joined (portRef reset_i_19) (portRef reset_i_19 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef reset_i_19 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net N_93 (joined + (portRef N_93 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_93 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef reset_i_rep1_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_rep1_1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef reset_i_rep1_1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) - (portRef reset_i_rep1_1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef reset_i_rep1_1 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (net N_87 (joined + (portRef N_87 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_87 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net N_2069_0_1 (joined - (portRef N_2069_0_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef N_2069_0_1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_API_SHORT_TRANSFER_OUT_Q (joined + (portRef buf_API_SHORT_TRANSFER_OUT_Q (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_API_SHORT_TRANSFER_OUT_Q (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net buf_API_DATAREADY_OUT (joined (portRef buf_API_DATAREADY_OUT (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef buf_API_DATAREADY_OUT (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net N_1956 (joined - (portRef N_1956 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef N_1956 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net N_1958 (joined + (portRef N_1958 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_1958 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + )) + (net reset_i_rep1 (joined + (portRef reset_i_rep1) + (portRef reset_i_rep1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_rep1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (portRef reset_i_rep1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef reset_i_rep1 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net regio_timeout_out (joined (portRef regio_timeout_out (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef regio_timeout_out (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_API_SHORT_TRANSFER_OUT_Q (joined - (portRef buf_API_SHORT_TRANSFER_OUT_Q (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef buf_API_SHORT_TRANSFER_OUT_Q (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1) + (portRef reset_i_rep1_1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_rep1_1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (portRef reset_i_rep1_1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef reset_i_rep1_1 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net stat_lvl1_handler_11 (joined (portRef stat_lvl1_handler_11 (instanceRef THE_LVL1_HANDLER)) @@ -602199,19 +602111,9 @@ (portRef (member trg_number_i 0) (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) (portRef (member trg_number_i 0) (instanceRef THE_LVL1_HANDLER)) )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef reset_i_fast_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_fast_1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef reset_i_fast_1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) - (portRef reset_i_fast_1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef reset_i_rep1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_rep1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef reset_i_rep1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) - (portRef reset_i_rep1 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (net reset_i_fast_r6 (joined + (portRef reset_i_fast_r6) + (portRef reset_i_fast_r6 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) )) (net buf_LVL1_TRG_RELEASE_IN (joined (portRef buf_LVL1_TRG_RELEASE_IN (instanceRef THE_LVL1_HANDLER)) @@ -602221,9 +602123,15 @@ (portRef reg_TRG_RECEIVED_OUT_Q (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) (portRef reg_TRG_RECEIVED_OUT_Q (instanceRef THE_LVL1_HANDLER)) )) - (net reset_i_fast_r3 (joined - (portRef reset_i_fast_r3) - (portRef reset_i_fast_r3 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef reset_i_fast_r4 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_fast_r4 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (portRef reset_i_fast_r4 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + )) + (net reset_i_fast_r2 (joined + (portRef reset_i_fast_r2) + (portRef reset_i_fast_r2 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) )) (net ipu_length_i_0 (joined (portRef (member ipu_length_i 15)) @@ -602485,28 +602393,31 @@ (portRef (member ipu_data_i 0)) (portRef (member ipu_data_i 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) - (net buf_APL_DATAREADY_IN_1 (joined - (portRef (member buf_apl_dataready_in 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef (member buf_apl_dataready_in 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_dataready_in 0)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i) - )) - (net reset_i_fast_r9 (joined - (portRef reset_i_fast_r9) - (portRef reset_i_fast_r9 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (net buf_api_stat_fifo_to_int_8 (joined + (portRef buf_api_stat_fifo_to_int_8 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef buf_api_stat_fifo_to_int_8) )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef reset_i_fast_r10 (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef reset_i_fast_r10 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef reset_i_fast_r10 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef reset_i_fast_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef reset_i_fast_1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_fast_1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) )) (net reset_i_fast_r8 (joined (portRef reset_i_fast_r8) (portRef reset_i_fast_r8 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_fast_r8 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + )) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef last_second_word_waiting_RNIKQVT1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef last_second_word_waiting_RNIKQVT1) + )) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef reset_i_fast_r9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef reset_i_fast_r9 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_fast_r9 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) )) (net m174_2_03_3_i_4 (joined (portRef m174_2_03_3_i_4) @@ -602516,38 +602427,17 @@ (portRef m173_2_03_3_i_4) (portRef m173_2_03_3_i_4 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) - (net last_second_word_waiting (joined - (portRef last_second_word_waiting (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef last_second_word_waiting) - )) (net ipu_readout_finished_i (joined (portRef ipu_readout_finished_i) (portRef ipu_readout_finished_i (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) - (net N_4877 (joined - (portRef N_4877 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef N_4877) - )) - (net d_N_9 (joined - (portRef d_N_9 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef d_N_9 (instanceRef THE_LVL1_HANDLER)) - )) - (net waiting_word (joined - (portRef waiting_word (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef waiting_word (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef waiting_word) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0) - )) - (net reset_i_fast_r2 (joined - (portRef reset_i_fast_r2) - (portRef reset_i_fast_r2 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (net reset_i_fast_r3 (joined + (portRef reset_i_fast_r3) + (portRef reset_i_fast_r3 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) - (net suppress_output_fast (joined - (portRef suppress_output_fast) - (portRef suppress_output_fast (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (net suppress_output (joined + (portRef suppress_output) + (portRef suppress_output (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) (net m161_2_03 (joined (portRef m161_2_03) @@ -602557,10 +602447,6 @@ (portRef m166_2_03_4_i_4) (portRef m166_2_03_4_i_4 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) - (net waiting_word_RNIIPH92 (joined - (portRef waiting_word_RNIIPH92 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef waiting_word_RNIIPH92) - )) (net ipu_dataready_i (joined (portRef ipu_dataready_i) (portRef ipu_dataready_i (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) @@ -602569,9 +602455,9 @@ (portRef ipu_start_readout_i (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) (portRef ipu_start_readout_i) )) - (net un14_i_a3_1_1 (joined - (portRef (member un14_i_a3_1 0)) - (portRef (member un14_i_a3_1 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un14_i_a3_0_1 (joined + (portRef (member un14_i_a3_0 0)) + (portRef (member un14_i_a3_0 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net trg_type_i_0 (joined (portRef (member trg_type_i 3) (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) @@ -602594,11 +602480,6 @@ (portRef (member trg_type_i 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef (member trg_type_i 0)) )) - (net trg_information_i_0 (joined - (portRef (member trg_information_i 15) (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) - (portRef (member trg_information_i 15) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef trg_information_i_0) - )) (net trg_code_i_0 (joined (portRef (member trg_code_i 7) (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) (portRef (member trg_code_i 7) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -602639,125 +602520,10 @@ (portRef (member trg_code_i 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef (member trg_code_i 0)) )) - (net common_stat_reg_i_0 (joined - (portRef common_stat_reg_i_0) - (portRef common_stat_reg_i_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_2 (joined - (portRef common_stat_reg_i_2) - (portRef common_stat_reg_i_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_57 (joined - (portRef common_stat_reg_i_57) - (portRef common_stat_reg_i_57 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_56 (joined - (portRef common_stat_reg_i_56) - (portRef common_stat_reg_i_56 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_52 (joined - (portRef common_stat_reg_i_52) - (portRef common_stat_reg_i_52 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_60 (joined - (portRef common_stat_reg_i_60) - (portRef common_stat_reg_i_60 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_48 (joined - (portRef common_stat_reg_i_48) - (portRef common_stat_reg_i_48 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_47 (joined - (portRef common_stat_reg_i_47) - (portRef common_stat_reg_i_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_49 (joined - (portRef common_stat_reg_i_49) - (portRef common_stat_reg_i_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_50 (joined - (portRef common_stat_reg_i_50) - (portRef common_stat_reg_i_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_51 (joined - (portRef common_stat_reg_i_51) - (portRef common_stat_reg_i_51 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_61 (joined - (portRef common_stat_reg_i_61) - (portRef common_stat_reg_i_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_55 (joined - (portRef common_stat_reg_i_55) - (portRef common_stat_reg_i_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_53 (joined - (portRef common_stat_reg_i_53) - (portRef common_stat_reg_i_53 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_54 (joined - (portRef common_stat_reg_i_54) - (portRef common_stat_reg_i_54 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_59 (joined - (portRef common_stat_reg_i_59) - (portRef common_stat_reg_i_59 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_62 (joined - (portRef common_stat_reg_i_62) - (portRef common_stat_reg_i_62 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_58 (joined - (portRef common_stat_reg_i_58) - (portRef common_stat_reg_i_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_0 (joined - (portRef (member temperature 11) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 11) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_1 (joined - (portRef (member temperature 10) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 10) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_2 (joined - (portRef (member temperature 9) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 9) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_3 (joined - (portRef (member temperature 8) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 8) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_4 (joined - (portRef (member temperature 7) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 7) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_5 (joined - (portRef (member temperature 6) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 6) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_6 (joined - (portRef (member temperature 5) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 5) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_7 (joined - (portRef (member temperature 4) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 4) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_8 (joined - (portRef (member temperature 3) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 3) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_9 (joined - (portRef (member temperature 2) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_10 (joined - (portRef (member temperature 1) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_11 (joined - (portRef (member temperature 0) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net trg_information_i_0 (joined + (portRef (member trg_information_i 15) (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (portRef (member trg_information_i 15) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef trg_information_i_0) )) (net COMMON_CTRL_REG_STROBE_1 (joined (portRef (member common_ctrl_reg_strobe 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -602892,288 +602658,208 @@ (portRef (member regio_data_in_i 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net ctrl_reg_0 (joined - (portRef ctrl_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef ctrl_reg_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef ctrl_reg_0) )) - (net ctrl_reg_1 (joined + (net ctrl_reg_24 (joined + (portRef ctrl_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef ctrl_reg_24) + )) + (net ctrl_reg_25 (joined (portRef ctrl_reg_37 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_1) + (portRef ctrl_reg_25) )) - (net ctrl_reg_2 (joined + (net ctrl_reg_26 (joined (portRef ctrl_reg_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_2) + (portRef ctrl_reg_26) )) - (net ctrl_reg_3 (joined + (net ctrl_reg_27 (joined (portRef ctrl_reg_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_3) + (portRef ctrl_reg_27) )) - (net ctrl_reg_4 (joined + (net ctrl_reg_28 (joined (portRef ctrl_reg_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_4) + (portRef ctrl_reg_28) )) - (net ctrl_reg_5 (joined + (net ctrl_reg_29 (joined (portRef ctrl_reg_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_5) + (portRef ctrl_reg_29) )) - (net ctrl_reg_6 (joined + (net ctrl_reg_30 (joined (portRef ctrl_reg_42 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_6) + (portRef ctrl_reg_30) )) - (net ctrl_reg_12 (joined + (net ctrl_reg_36 (joined (portRef ctrl_reg_48 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_12) + (portRef ctrl_reg_36) )) - (net ctrl_reg_13 (joined + (net ctrl_reg_37 (joined (portRef ctrl_reg_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_13) + (portRef ctrl_reg_37) )) - (net ctrl_reg_14 (joined + (net ctrl_reg_38 (joined (portRef ctrl_reg_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_14) + (portRef ctrl_reg_38) )) - (net ctrl_reg_15 (joined + (net ctrl_reg_39 (joined (portRef ctrl_reg_51 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_15) + (portRef ctrl_reg_39) )) - (net ctrl_reg_16 (joined + (net ctrl_reg_40 (joined (portRef ctrl_reg_52 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_16) + (portRef ctrl_reg_40) )) - (net ctrl_reg_17 (joined + (net ctrl_reg_41 (joined (portRef ctrl_reg_53 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_17) + (portRef ctrl_reg_41) )) - (net ctrl_reg_18 (joined + (net ctrl_reg_42 (joined (portRef ctrl_reg_54 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_18) + (portRef ctrl_reg_42) )) - (net ctrl_reg_19 (joined + (net ctrl_reg_43 (joined (portRef ctrl_reg_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_19) + (portRef ctrl_reg_43) )) - (net ctrl_reg_20 (joined + (net ctrl_reg_44 (joined (portRef ctrl_reg_56 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_20) + (portRef ctrl_reg_44) )) - (net ctrl_reg_21 (joined + (net ctrl_reg_45 (joined (portRef ctrl_reg_57 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_21) + (portRef ctrl_reg_45) )) - (net ctrl_reg_22 (joined + (net ctrl_reg_46 (joined (portRef ctrl_reg_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_22) + (portRef ctrl_reg_46) )) - (net ctrl_reg_27 (joined + (net ctrl_reg_51 (joined (portRef ctrl_reg_63 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_27) + (portRef ctrl_reg_51) )) - (net ctrl_reg_29 (joined + (net ctrl_reg_53 (joined (portRef ctrl_reg_65 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_29) + (portRef ctrl_reg_53) )) - (net ctrl_reg_30 (joined + (net ctrl_reg_54 (joined (portRef ctrl_reg_66 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_30) + (portRef ctrl_reg_54) )) - (net ctrl_reg_31 (joined + (net ctrl_reg_55 (joined (portRef ctrl_reg_67 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_31) + (portRef ctrl_reg_55) )) - (net ctrl_reg_32 (joined + (net ctrl_reg_56 (joined (portRef ctrl_reg_68 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_32) + (portRef ctrl_reg_56) )) - (net ctrl_reg_33 (joined + (net ctrl_reg_57 (joined (portRef ctrl_reg_69 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_33) + (portRef ctrl_reg_57) )) - (net ctrl_reg_34 (joined + (net ctrl_reg_58 (joined (portRef ctrl_reg_70 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_34) + (portRef ctrl_reg_58) )) - (net ctrl_reg_35 (joined + (net ctrl_reg_59 (joined (portRef ctrl_reg_71 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_35) + (portRef ctrl_reg_59) )) - (net ctrl_reg_36 (joined + (net ctrl_reg_60 (joined (portRef ctrl_reg_72 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_36) + (portRef ctrl_reg_60) )) - (net ctrl_reg_37 (joined + (net ctrl_reg_61 (joined (portRef ctrl_reg_73 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_37) + (portRef ctrl_reg_61) )) - (net ctrl_reg_38 (joined + (net ctrl_reg_62 (joined (portRef ctrl_reg_74 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_38) + (portRef ctrl_reg_62) )) - (net ctrl_reg_39 (joined + (net ctrl_reg_63 (joined (portRef ctrl_reg_75 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_39) + (portRef ctrl_reg_63) )) - (net ctrl_reg_40 (joined + (net ctrl_reg_64 (joined (portRef ctrl_reg_76 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_40) + (portRef ctrl_reg_64) )) - (net ctrl_reg_41 (joined + (net ctrl_reg_65 (joined (portRef ctrl_reg_77 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_41) + (portRef ctrl_reg_65) )) - (net ctrl_reg_42 (joined + (net ctrl_reg_66 (joined (portRef ctrl_reg_78 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_42) + (portRef ctrl_reg_66) )) - (net ctrl_reg_43 (joined + (net ctrl_reg_67 (joined (portRef ctrl_reg_79 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_43) + (portRef ctrl_reg_67) )) - (net ctrl_reg_44 (joined + (net ctrl_reg_68 (joined (portRef ctrl_reg_80 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_44) + (portRef ctrl_reg_68) )) - (net ctrl_reg_45 (joined + (net ctrl_reg_69 (joined (portRef ctrl_reg_81 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_45) + (portRef ctrl_reg_69) )) - (net ctrl_reg_46 (joined + (net ctrl_reg_70 (joined (portRef ctrl_reg_82 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_46) + (portRef ctrl_reg_70) )) - (net ctrl_reg_47 (joined + (net ctrl_reg_71 (joined (portRef ctrl_reg_83 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_47) + (portRef ctrl_reg_71) )) - (net ctrl_reg_48 (joined + (net ctrl_reg_72 (joined (portRef ctrl_reg_84 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_48) + (portRef ctrl_reg_72) )) - (net ctrl_reg_49 (joined + (net ctrl_reg_73 (joined (portRef ctrl_reg_85 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_49) + (portRef ctrl_reg_73) )) - (net ctrl_reg_50 (joined + (net ctrl_reg_74 (joined (portRef ctrl_reg_86 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_50) + (portRef ctrl_reg_74) )) - (net ctrl_reg_51 (joined + (net ctrl_reg_75 (joined (portRef ctrl_reg_87 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_51) + (portRef ctrl_reg_75) )) - (net ctrl_reg_52 (joined + (net ctrl_reg_76 (joined (portRef ctrl_reg_88 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_52) + (portRef ctrl_reg_76) )) - (net ctrl_reg_53 (joined + (net ctrl_reg_77 (joined (portRef ctrl_reg_89 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_53) + (portRef ctrl_reg_77) )) - (net ctrl_reg_54 (joined + (net ctrl_reg_78 (joined (portRef ctrl_reg_90 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_54) + (portRef ctrl_reg_78) )) - (net ctrl_reg_55 (joined + (net ctrl_reg_79 (joined (portRef ctrl_reg_91 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_55) + (portRef ctrl_reg_79) )) - (net ctrl_reg_56 (joined + (net ctrl_reg_80 (joined (portRef ctrl_reg_92 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_56) + (portRef ctrl_reg_80) )) - (net ctrl_reg_57 (joined + (net ctrl_reg_81 (joined (portRef ctrl_reg_93 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_57) + (portRef ctrl_reg_81) )) - (net ctrl_reg_58 (joined + (net ctrl_reg_82 (joined (portRef ctrl_reg_94 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_58) + (portRef ctrl_reg_82) )) - (net ctrl_reg_59 (joined + (net ctrl_reg_83 (joined (portRef ctrl_reg_95 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_59) - )) - (net common_ctrl_reg_32 (joined - (portRef common_ctrl_reg_32 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_28 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_33 (joined - (portRef common_ctrl_reg_33 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_29 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_34 (joined - (portRef common_ctrl_reg_34 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_30 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_35 (joined - (portRef common_ctrl_reg_35 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_31 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_36 (joined - (portRef common_ctrl_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_32 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_37 (joined - (portRef common_ctrl_reg_37 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_33 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_38 (joined - (portRef common_ctrl_reg_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_34 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_39 (joined - (portRef common_ctrl_reg_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_35 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_40 (joined - (portRef common_ctrl_reg_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_36 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_41 (joined - (portRef common_ctrl_reg_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_37 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_42 (joined - (portRef common_ctrl_reg_42 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_38 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_43 (joined - (portRef common_ctrl_reg_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_39 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_44 (joined - (portRef common_ctrl_reg_44 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_40 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_45 (joined - (portRef common_ctrl_reg_45 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_41 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_46 (joined - (portRef common_ctrl_reg_46 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_42 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_47 (joined - (portRef common_ctrl_reg_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_43 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_93 (joined - (portRef common_ctrl_reg_93 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_89 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_95 (joined - (portRef common_ctrl_reg_95 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_91 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_16 (joined - (portRef common_ctrl_reg_16 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_12 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_14 (joined - (portRef common_ctrl_reg_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_14) - )) - (net common_ctrl_reg_1 (joined - (portRef common_ctrl_reg_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_1) + (portRef ctrl_reg_83) )) (net regio_data_out_i_0 (joined (portRef (member regio_data_out_i 31) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603307,37 +602993,33 @@ (portRef (member timer_ticks 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef timer_ticks_0) )) - (net stat_lvl1_handler_61 (joined - (portRef stat_lvl1_handler_61 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_lvl1_handler_40 (joined (portRef stat_lvl1_handler_40 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_lvl1_handler_35 (joined + (portRef stat_lvl1_handler_35 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_35 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_lvl1_handler_63 (joined (portRef stat_lvl1_handler_63 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_63 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_59 (joined - (portRef stat_lvl1_handler_59 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_59 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_62 (joined + (portRef stat_lvl1_handler_62 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_62 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_lvl1_handler_60 (joined (portRef stat_lvl1_handler_60 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_60 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_35 (joined - (portRef stat_lvl1_handler_35 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_35 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_lvl1_handler_41 (joined - (portRef stat_lvl1_handler_41 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_61 (joined + (portRef stat_lvl1_handler_61 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_62 (joined - (portRef stat_lvl1_handler_62 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_62 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_59 (joined + (portRef stat_lvl1_handler_59 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_59 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_lvl1_handler_42 (joined (portRef stat_lvl1_handler_42 (instanceRef THE_LVL1_HANDLER)) @@ -603351,6 +603033,14 @@ (portRef stat_lvl1_handler_15 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_lvl1_handler_32 (joined + (portRef stat_lvl1_handler_32 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_32 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_lvl1_handler_0 (joined + (portRef stat_lvl1_handler_0 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_lvl1_handler_44 (joined (portRef stat_lvl1_handler_44 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_44 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603359,13 +603049,13 @@ (portRef stat_lvl1_handler_12 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_39 (joined - (portRef stat_lvl1_handler_39 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_46 (joined + (portRef stat_lvl1_handler_46 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_46 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_7 (joined - (portRef stat_lvl1_handler_7 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_14 (joined + (portRef stat_lvl1_handler_14 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_lvl1_handler_45 (joined (portRef stat_lvl1_handler_45 (instanceRef THE_LVL1_HANDLER)) @@ -603375,18 +603065,6 @@ (portRef stat_lvl1_handler_13 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_38 (joined - (portRef stat_lvl1_handler_38 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_lvl1_handler_6 (joined - (portRef stat_lvl1_handler_6 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_lvl1_handler_43 (joined - (portRef stat_lvl1_handler_43 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_lvl1_handler_50 (joined (portRef stat_lvl1_handler_50 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603411,14 +603089,6 @@ (portRef stat_lvl1_handler_19 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_19 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_55 (joined - (portRef stat_lvl1_handler_55 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_lvl1_handler_23 (joined - (portRef stat_lvl1_handler_23 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_23 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_lvl1_handler_49 (joined (portRef stat_lvl1_handler_49 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603459,21 +603129,33 @@ (portRef stat_lvl1_handler_25 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_25 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_46 (joined - (portRef stat_lvl1_handler_46 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_46 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_39 (joined + (portRef stat_lvl1_handler_39 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_14 (joined - (portRef stat_lvl1_handler_14 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_7 (joined + (portRef stat_lvl1_handler_7 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_32 (joined - (portRef stat_lvl1_handler_32 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_32 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_43 (joined + (portRef stat_lvl1_handler_43 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_0 (joined - (portRef stat_lvl1_handler_0 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_38 (joined + (portRef stat_lvl1_handler_38 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_lvl1_handler_6 (joined + (portRef stat_lvl1_handler_6 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_lvl1_handler_36 (joined + (portRef stat_lvl1_handler_36 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_lvl1_handler_4 (joined + (portRef stat_lvl1_handler_4 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_lvl1_handler_34 (joined (portRef stat_lvl1_handler_34 (instanceRef THE_LVL1_HANDLER)) @@ -603499,6 +603181,14 @@ (portRef stat_lvl1_handler_20 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_20 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_lvl1_handler_55 (joined + (portRef stat_lvl1_handler_55 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_lvl1_handler_23 (joined + (portRef stat_lvl1_handler_23 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_23 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_lvl1_handler_58 (joined (portRef stat_lvl1_handler_58 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603507,13 +603197,9 @@ (portRef stat_lvl1_handler_26 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_26 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_36 (joined - (portRef stat_lvl1_handler_36 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_lvl1_handler_4 (joined - (portRef stat_lvl1_handler_4 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_41 (joined + (portRef stat_lvl1_handler_41 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_lvl1_handler_5 (joined (portRef stat_lvl1_handler_5 (instanceRef THE_LVL1_HANDLER)) @@ -603523,165 +603209,257 @@ (portRef stat_lvl1_handler_37 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_37 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net regio_addr_i_2_1 (joined - (portRef (member regio_addr_i_2 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member regio_addr_i_2 0)) + (net regio_addr_i_1_1 (joined + (portRef regio_addr_i_1_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i_1 0)) )) - (net stat_reg_40 (joined - (portRef stat_reg_40) - (portRef stat_reg_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net common_ctrl_reg_32 (joined + (portRef common_ctrl_reg_32 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_28 (instanceRef THE_LVL1_HANDLER)) )) - (net stat_reg_61 (joined - (portRef stat_reg_61) - (portRef stat_reg_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net common_ctrl_reg_33 (joined + (portRef common_ctrl_reg_33 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_29 (instanceRef THE_LVL1_HANDLER)) )) - (net stat_reg_63 (joined - (portRef stat_reg_63) - (portRef stat_reg_63 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net common_ctrl_reg_34 (joined + (portRef common_ctrl_reg_34 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_30 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_35 (joined + (portRef common_ctrl_reg_35 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_31 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_36 (joined + (portRef common_ctrl_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_32 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_37 (joined + (portRef common_ctrl_reg_37 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_33 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_38 (joined + (portRef common_ctrl_reg_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_34 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_39 (joined + (portRef common_ctrl_reg_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_35 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_40 (joined + (portRef common_ctrl_reg_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_36 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_41 (joined + (portRef common_ctrl_reg_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_37 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_42 (joined + (portRef common_ctrl_reg_42 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_38 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_43 (joined + (portRef common_ctrl_reg_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_39 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_44 (joined + (portRef common_ctrl_reg_44 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_40 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_45 (joined + (portRef common_ctrl_reg_45 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_41 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_46 (joined + (portRef common_ctrl_reg_46 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_42 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_47 (joined + (portRef common_ctrl_reg_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_43 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_93 (joined + (portRef common_ctrl_reg_93 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_89 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_95 (joined + (portRef common_ctrl_reg_95 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_91 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_16 (joined + (portRef common_ctrl_reg_16 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_12 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_14 (joined + (portRef common_ctrl_reg_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_14) + )) + (net common_ctrl_reg_1 (joined + (portRef common_ctrl_reg_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_1) + )) + (net regio_addr_i_3_0 (joined + (portRef (member regio_addr_i_3 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i_3 0)) + )) + (net regio_addr_i_5_0 (joined + (portRef (member regio_addr_i_5 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i_5 0)) )) (net stat_reg_59 (joined (portRef stat_reg_59) (portRef stat_reg_59 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_640 (joined - (portRef stat_reg_640) - (portRef stat_reg_640 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_44 (joined - (portRef stat_reg_44) - (portRef stat_reg_44 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_60 (joined + (portRef stat_reg_60) + (portRef stat_reg_60 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_642 (joined - (portRef stat_reg_642) - (portRef stat_reg_642 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_653 (joined + (portRef stat_reg_653) + (portRef stat_reg_653 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_661 (joined - (portRef stat_reg_661) - (portRef stat_reg_661 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_651 (joined + (portRef stat_reg_651) + (portRef stat_reg_651 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_656 (joined - (portRef stat_reg_656) - (portRef stat_reg_656 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_643 (joined + (portRef stat_reg_643) + (portRef stat_reg_643 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_46 (joined (portRef stat_reg_46) (portRef stat_reg_46 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_650 (joined - (portRef stat_reg_650) - (portRef stat_reg_650 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_640 (joined + (portRef stat_reg_640) + (portRef stat_reg_640 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_642 (joined + (portRef stat_reg_642) + (portRef stat_reg_642 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_662 (joined (portRef stat_reg_662) (portRef stat_reg_662 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_647 (joined - (portRef stat_reg_647) - (portRef stat_reg_647 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_649 (joined (portRef stat_reg_649) (portRef stat_reg_649 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_660 (joined + (portRef stat_reg_660) + (portRef stat_reg_660 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_655 (joined + (portRef stat_reg_655) + (portRef stat_reg_655 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_654 (joined (portRef stat_reg_654) (portRef stat_reg_654 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_648 (joined + (portRef stat_reg_648) + (portRef stat_reg_648 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_44 (joined + (portRef stat_reg_44) + (portRef stat_reg_44 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_47 (joined + (portRef stat_reg_47) + (portRef stat_reg_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_641 (joined (portRef stat_reg_641) (portRef stat_reg_641 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_646 (joined - (portRef stat_reg_646) - (portRef stat_reg_646 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_652 (joined - (portRef stat_reg_652) - (portRef stat_reg_652 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_648 (joined - (portRef stat_reg_648) - (portRef stat_reg_648 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_650 (joined + (portRef stat_reg_650) + (portRef stat_reg_650 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_645 (joined (portRef stat_reg_645) (portRef stat_reg_645 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_47 (joined - (portRef stat_reg_47) - (portRef stat_reg_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_652 (joined + (portRef stat_reg_652) + (portRef stat_reg_652 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_643 (joined - (portRef stat_reg_643) - (portRef stat_reg_643 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_45 (joined + (portRef stat_reg_45) + (portRef stat_reg_45 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_661 (joined + (portRef stat_reg_661) + (portRef stat_reg_661 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_644 (joined (portRef stat_reg_644) (portRef stat_reg_644 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_655 (joined - (portRef stat_reg_655) - (portRef stat_reg_655 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_52 (joined - (portRef stat_reg_52) - (portRef stat_reg_52 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_646 (joined + (portRef stat_reg_646) + (portRef stat_reg_646 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_54 (joined - (portRef stat_reg_54) - (portRef stat_reg_54 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_647 (joined + (portRef stat_reg_647) + (portRef stat_reg_647 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_41 (joined - (portRef stat_reg_41) - (portRef stat_reg_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_49 (joined + (portRef stat_reg_49) + (portRef stat_reg_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_48 (joined - (portRef stat_reg_48) - (portRef stat_reg_48 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_50 (joined + (portRef stat_reg_50) + (portRef stat_reg_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_37 (joined (portRef stat_reg_37) (portRef stat_reg_37 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_51 (joined - (portRef stat_reg_51) - (portRef stat_reg_51 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_53 (joined - (portRef stat_reg_53) - (portRef stat_reg_53 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_55 (joined + (portRef stat_reg_55) + (portRef stat_reg_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_50 (joined - (portRef stat_reg_50) - (portRef stat_reg_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_38 (joined + (portRef stat_reg_38) + (portRef stat_reg_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_42 (joined (portRef stat_reg_42) (portRef stat_reg_42 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_48 (joined + (portRef stat_reg_48) + (portRef stat_reg_48 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_40 (joined + (portRef stat_reg_40) + (portRef stat_reg_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_51 (joined + (portRef stat_reg_51) + (portRef stat_reg_51 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_54 (joined + (portRef stat_reg_54) + (portRef stat_reg_54 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_39 (joined (portRef stat_reg_39) (portRef stat_reg_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_55 (joined - (portRef stat_reg_55) - (portRef stat_reg_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_38 (joined - (portRef stat_reg_38) - (portRef stat_reg_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_49 (joined - (portRef stat_reg_49) - (portRef stat_reg_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_41 (joined + (portRef stat_reg_41) + (portRef stat_reg_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_651 (joined - (portRef stat_reg_651) - (portRef stat_reg_651 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_53 (joined + (portRef stat_reg_53) + (portRef stat_reg_53 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_653 (joined - (portRef stat_reg_653) - (portRef stat_reg_653 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_52 (joined + (portRef stat_reg_52) + (portRef stat_reg_52 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_658 (joined (portRef stat_reg_658) @@ -603691,9 +603469,9 @@ (portRef stat_reg_657) (portRef stat_reg_657 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_660 (joined - (portRef stat_reg_660) - (portRef stat_reg_660 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_656 (joined + (portRef stat_reg_656) + (portRef stat_reg_656 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_659 (joined (portRef stat_reg_659) @@ -603719,22 +603497,6 @@ (portRef stat_reg_334) (portRef stat_reg_334 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_430 (joined - (portRef stat_reg_430) - (portRef stat_reg_430 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_398 (joined - (portRef stat_reg_398) - (portRef stat_reg_398 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_494 (joined - (portRef stat_reg_494) - (portRef stat_reg_494 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_462 (joined - (portRef stat_reg_462) - (portRef stat_reg_462 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_168 (joined (portRef stat_reg_168) (portRef stat_reg_168 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603767,6 +603529,22 @@ (portRef stat_reg_328) (portRef stat_reg_328 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_424 (joined + (portRef stat_reg_424) + (portRef stat_reg_424 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_392 (joined + (portRef stat_reg_392) + (portRef stat_reg_392 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_488 (joined + (portRef stat_reg_488) + (portRef stat_reg_488 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_456 (joined + (portRef stat_reg_456) + (portRef stat_reg_456 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_552 (joined (portRef stat_reg_552) (portRef stat_reg_552 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603775,14 +603553,6 @@ (portRef stat_reg_520) (portRef stat_reg_520 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_616 (joined - (portRef stat_reg_616) - (portRef stat_reg_616 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_584 (joined - (portRef stat_reg_584) - (portRef stat_reg_584 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_172 (joined (portRef stat_reg_172) (portRef stat_reg_172 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603839,14 +603609,6 @@ (portRef stat_reg_139) (portRef stat_reg_139 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_235 (joined - (portRef stat_reg_235) - (portRef stat_reg_235 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_203 (joined - (portRef stat_reg_203) - (portRef stat_reg_203 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_299 (joined (portRef stat_reg_299) (portRef stat_reg_299 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603879,14 +603641,6 @@ (portRef stat_reg_523) (portRef stat_reg_523 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_619 (joined - (portRef stat_reg_619) - (portRef stat_reg_619 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_587 (joined - (portRef stat_reg_587) - (portRef stat_reg_587 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_427 (joined (portRef stat_reg_427) (portRef stat_reg_427 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603927,6 +603681,22 @@ (portRef stat_reg_330) (portRef stat_reg_330 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_426 (joined + (portRef stat_reg_426) + (portRef stat_reg_426 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_394 (joined + (portRef stat_reg_394) + (portRef stat_reg_394 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_490 (joined + (portRef stat_reg_490) + (portRef stat_reg_490 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_458 (joined + (portRef stat_reg_458) + (portRef stat_reg_458 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_554 (joined (portRef stat_reg_554) (portRef stat_reg_554 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603999,6 +603769,14 @@ (portRef stat_reg_526) (portRef stat_reg_526 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_622 (joined + (portRef stat_reg_622) + (portRef stat_reg_622 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_590 (joined + (portRef stat_reg_590) + (portRef stat_reg_590 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_173 (joined (portRef stat_reg_173) (portRef stat_reg_173 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604007,14 +603785,6 @@ (portRef stat_reg_141) (portRef stat_reg_141 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_237 (joined - (portRef stat_reg_237) - (portRef stat_reg_237 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_205 (joined - (portRef stat_reg_205) - (portRef stat_reg_205 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_301 (joined (portRef stat_reg_301) (portRef stat_reg_301 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604047,14 +603817,6 @@ (portRef stat_reg_525) (portRef stat_reg_525 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_621 (joined - (portRef stat_reg_621) - (portRef stat_reg_621 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_589 (joined - (portRef stat_reg_589) - (portRef stat_reg_589 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_429 (joined (portRef stat_reg_429) (portRef stat_reg_429 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604271,6 +604033,14 @@ (portRef stat_reg_197) (portRef stat_reg_197 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_293 (joined + (portRef stat_reg_293) + (portRef stat_reg_293 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_261 (joined + (portRef stat_reg_261) + (portRef stat_reg_261 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_357 (joined (portRef stat_reg_357) (portRef stat_reg_357 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604295,13 +604065,13 @@ (portRef stat_reg_453) (portRef stat_reg_453 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_36 (joined - (portRef stat_reg_36) - (portRef stat_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_549 (joined + (portRef stat_reg_549) + (portRef stat_reg_549 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_4 (joined - (portRef stat_reg_4) - (portRef stat_reg_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_517 (joined + (portRef stat_reg_517) + (portRef stat_reg_517 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_164 (joined (portRef stat_reg_164) @@ -604335,21 +604105,13 @@ (portRef stat_reg_324) (portRef stat_reg_324 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_484 (joined - (portRef stat_reg_484) - (portRef stat_reg_484 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_452 (joined - (portRef stat_reg_452) - (portRef stat_reg_452 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_420 (joined - (portRef stat_reg_420) - (portRef stat_reg_420 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_548 (joined + (portRef stat_reg_548) + (portRef stat_reg_548 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_388 (joined - (portRef stat_reg_388) - (portRef stat_reg_388 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_516 (joined + (portRef stat_reg_516) + (portRef stat_reg_516 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_612 (joined (portRef stat_reg_612) @@ -604383,6 +604145,22 @@ (portRef stat_reg_195) (portRef stat_reg_195 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_291 (joined + (portRef stat_reg_291) + (portRef stat_reg_291 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_259 (joined + (portRef stat_reg_259) + (portRef stat_reg_259 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_355 (joined + (portRef stat_reg_355) + (portRef stat_reg_355 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_323 (joined + (portRef stat_reg_323) + (portRef stat_reg_323 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_419 (joined (portRef stat_reg_419) (portRef stat_reg_419 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604503,6 +604281,14 @@ (portRef stat_reg_519) (portRef stat_reg_519 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_615 (joined + (portRef stat_reg_615) + (portRef stat_reg_615 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_583 (joined + (portRef stat_reg_583) + (portRef stat_reg_583 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_425 (joined (portRef stat_reg_425) (portRef stat_reg_425 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604519,13 +604305,45 @@ (portRef stat_reg_457) (portRef stat_reg_457 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_56 (joined - (portRef stat_reg_56) - (portRef stat_reg_56 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_169 (joined + (portRef stat_reg_169) + (portRef stat_reg_169 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_58 (joined - (portRef stat_reg_58) - (portRef stat_reg_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_137 (joined + (portRef stat_reg_137) + (portRef stat_reg_137 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_233 (joined + (portRef stat_reg_233) + (portRef stat_reg_233 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_201 (joined + (portRef stat_reg_201) + (portRef stat_reg_201 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_297 (joined + (portRef stat_reg_297) + (portRef stat_reg_297 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_265 (joined + (portRef stat_reg_265) + (portRef stat_reg_265 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_361 (joined + (portRef stat_reg_361) + (portRef stat_reg_361 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_329 (joined + (portRef stat_reg_329) + (portRef stat_reg_329 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_553 (joined + (portRef stat_reg_553) + (portRef stat_reg_553 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_521 (joined + (portRef stat_reg_521) + (portRef stat_reg_521 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_178 (joined (portRef stat_reg_178) @@ -604639,6 +604457,38 @@ (portRef stat_reg_529) (portRef stat_reg_529 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_176 (joined + (portRef stat_reg_176) + (portRef stat_reg_176 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_144 (joined + (portRef stat_reg_144) + (portRef stat_reg_144 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_240 (joined + (portRef stat_reg_240) + (portRef stat_reg_240 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_208 (joined + (portRef stat_reg_208) + (portRef stat_reg_208 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_432 (joined + (portRef stat_reg_432) + (portRef stat_reg_432 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_400 (joined + (portRef stat_reg_400) + (portRef stat_reg_400 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_496 (joined + (portRef stat_reg_496) + (portRef stat_reg_496 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_464 (joined + (portRef stat_reg_464) + (portRef stat_reg_464 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_560 (joined (portRef stat_reg_560) (portRef stat_reg_560 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604647,14 +604497,6 @@ (portRef stat_reg_528) (portRef stat_reg_528 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_624 (joined - (portRef stat_reg_624) - (portRef stat_reg_624 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_592 (joined - (portRef stat_reg_592) - (portRef stat_reg_592 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_304 (joined (portRef stat_reg_304) (portRef stat_reg_304 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604663,6 +604505,14 @@ (portRef stat_reg_272) (portRef stat_reg_272 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_368 (joined + (portRef stat_reg_368) + (portRef stat_reg_368 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_336 (joined + (portRef stat_reg_336) + (portRef stat_reg_336 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_181 (joined (portRef stat_reg_181) (portRef stat_reg_181 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604751,21 +604601,13 @@ (portRef stat_reg_340) (portRef stat_reg_340 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_500 (joined - (portRef stat_reg_500) - (portRef stat_reg_500 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_468 (joined - (portRef stat_reg_468) - (portRef stat_reg_468 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_436 (joined - (portRef stat_reg_436) - (portRef stat_reg_436 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_564 (joined + (portRef stat_reg_564) + (portRef stat_reg_564 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_404 (joined - (portRef stat_reg_404) - (portRef stat_reg_404 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_532 (joined + (portRef stat_reg_532) + (portRef stat_reg_532 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_628 (joined (portRef stat_reg_628) @@ -604943,121 +604785,13 @@ (portRef stat_reg_535) (portRef stat_reg_535 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_57 (joined - (portRef stat_reg_57) - (portRef stat_reg_57 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_617 (joined - (portRef stat_reg_617) - (portRef stat_reg_617 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_585 (joined - (portRef stat_reg_585) - (portRef stat_reg_585 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_553 (joined - (portRef stat_reg_553) - (portRef stat_reg_553 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_521 (joined - (portRef stat_reg_521) - (portRef stat_reg_521 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_355 (joined - (portRef stat_reg_355) - (portRef stat_reg_355 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_323 (joined - (portRef stat_reg_323) - (portRef stat_reg_323 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_291 (joined - (portRef stat_reg_291) - (portRef stat_reg_291 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_259 (joined - (portRef stat_reg_259) - (portRef stat_reg_259 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_368 (joined - (portRef stat_reg_368) - (portRef stat_reg_368 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_336 (joined - (portRef stat_reg_336) - (portRef stat_reg_336 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_240 (joined - (portRef stat_reg_240) - (portRef stat_reg_240 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_208 (joined - (portRef stat_reg_208) - (portRef stat_reg_208 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_176 (joined - (portRef stat_reg_176) - (portRef stat_reg_176 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_144 (joined - (portRef stat_reg_144) - (portRef stat_reg_144 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_361 (joined - (portRef stat_reg_361) - (portRef stat_reg_361 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_329 (joined - (portRef stat_reg_329) - (portRef stat_reg_329 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_297 (joined - (portRef stat_reg_297) - (portRef stat_reg_297 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_265 (joined - (portRef stat_reg_265) - (portRef stat_reg_265 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_233 (joined - (portRef stat_reg_233) - (portRef stat_reg_233 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_201 (joined - (portRef stat_reg_201) - (portRef stat_reg_201 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_169 (joined - (portRef stat_reg_169) - (portRef stat_reg_169 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_137 (joined - (portRef stat_reg_137) - (portRef stat_reg_137 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_549 (joined - (portRef stat_reg_549) - (portRef stat_reg_549 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_517 (joined - (portRef stat_reg_517) - (portRef stat_reg_517 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_293 (joined - (portRef stat_reg_293) - (portRef stat_reg_293 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_261 (joined - (portRef stat_reg_261) - (portRef stat_reg_261 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_43 (joined - (portRef stat_reg_43) - (portRef stat_reg_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_619 (joined + (portRef stat_reg_619) + (portRef stat_reg_619 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_45 (joined - (portRef stat_reg_45) - (portRef stat_reg_45 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_587 (joined + (portRef stat_reg_587) + (portRef stat_reg_587 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_239 (joined (portRef stat_reg_239) @@ -605067,6 +604801,14 @@ (portRef stat_reg_207) (portRef stat_reg_207 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_621 (joined + (portRef stat_reg_621) + (portRef stat_reg_621 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_589 (joined + (portRef stat_reg_589) + (portRef stat_reg_589 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_610 (joined (portRef stat_reg_610) (portRef stat_reg_610 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605075,6 +604817,14 @@ (portRef stat_reg_578) (portRef stat_reg_578 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_237 (joined + (portRef stat_reg_237) + (portRef stat_reg_237 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_205 (joined + (portRef stat_reg_205) + (portRef stat_reg_205 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_609 (joined (portRef stat_reg_609) (portRef stat_reg_609 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605091,6 +604841,14 @@ (portRef stat_reg_581) (portRef stat_reg_581 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_36 (joined + (portRef stat_reg_36) + (portRef stat_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_4 (joined + (portRef stat_reg_4) + (portRef stat_reg_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_623 (joined (portRef stat_reg_623) (portRef stat_reg_623 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605115,13 +604873,13 @@ (portRef stat_reg_579) (portRef stat_reg_579 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_548 (joined - (portRef stat_reg_548) - (portRef stat_reg_548 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_235 (joined + (portRef stat_reg_235) + (portRef stat_reg_235 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_516 (joined - (portRef stat_reg_516) - (portRef stat_reg_516 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_203 (joined + (portRef stat_reg_203) + (portRef stat_reg_203 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_608 (joined (portRef stat_reg_608) @@ -605131,14 +604889,6 @@ (portRef stat_reg_576) (portRef stat_reg_576 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_615 (joined - (portRef stat_reg_615) - (portRef stat_reg_615 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_583 (joined - (portRef stat_reg_583) - (portRef stat_reg_583 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_238 (joined (portRef stat_reg_238) (portRef stat_reg_238 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605147,13 +604897,13 @@ (portRef stat_reg_206) (portRef stat_reg_206 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_622 (joined - (portRef stat_reg_622) - (portRef stat_reg_622 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_617 (joined + (portRef stat_reg_617) + (portRef stat_reg_617 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_590 (joined - (portRef stat_reg_590) - (portRef stat_reg_590 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_585 (joined + (portRef stat_reg_585) + (portRef stat_reg_585 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_236 (joined (portRef stat_reg_236) @@ -605171,6 +604921,14 @@ (portRef stat_reg_582) (portRef stat_reg_582 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_616 (joined + (portRef stat_reg_616) + (portRef stat_reg_616 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_584 (joined + (portRef stat_reg_584) + (portRef stat_reg_584 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_620 (joined (portRef stat_reg_620) (portRef stat_reg_620 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605211,13 +604969,13 @@ (portRef stat_reg_595) (portRef stat_reg_595 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_564 (joined - (portRef stat_reg_564) - (portRef stat_reg_564 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_624 (joined + (portRef stat_reg_624) + (portRef stat_reg_624 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_532 (joined - (portRef stat_reg_532) - (portRef stat_reg_532 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_592 (joined + (portRef stat_reg_592) + (portRef stat_reg_592 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_631 (joined (portRef stat_reg_631) @@ -605267,174 +605025,297 @@ (portRef stat_reg_487) (portRef stat_reg_487 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_400 (joined - (portRef stat_reg_400) - (portRef stat_reg_400 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_388 (joined + (portRef stat_reg_388) + (portRef stat_reg_388 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_432 (joined - (portRef stat_reg_432) - (portRef stat_reg_432 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_420 (joined + (portRef stat_reg_420) + (portRef stat_reg_420 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_464 (joined - (portRef stat_reg_464) - (portRef stat_reg_464 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_452 (joined + (portRef stat_reg_452) + (portRef stat_reg_452 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_496 (joined - (portRef stat_reg_496) - (portRef stat_reg_496 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_484 (joined + (portRef stat_reg_484) + (portRef stat_reg_484 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_394 (joined - (portRef stat_reg_394) - (portRef stat_reg_394 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_398 (joined + (portRef stat_reg_398) + (portRef stat_reg_398 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_426 (joined - (portRef stat_reg_426) - (portRef stat_reg_426 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_430 (joined + (portRef stat_reg_430) + (portRef stat_reg_430 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_458 (joined - (portRef stat_reg_458) - (portRef stat_reg_458 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_462 (joined + (portRef stat_reg_462) + (portRef stat_reg_462 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_490 (joined - (portRef stat_reg_490) - (portRef stat_reg_490 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_494 (joined + (portRef stat_reg_494) + (portRef stat_reg_494 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_392 (joined - (portRef stat_reg_392) - (portRef stat_reg_392 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_404 (joined + (portRef stat_reg_404) + (portRef stat_reg_404 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_424 (joined - (portRef stat_reg_424) - (portRef stat_reg_424 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_436 (joined + (portRef stat_reg_436) + (portRef stat_reg_436 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_456 (joined - (portRef stat_reg_456) - (portRef stat_reg_456 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_468 (joined + (portRef stat_reg_468) + (portRef stat_reg_468 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_488 (joined - (portRef stat_reg_488) - (portRef stat_reg_488 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_500 (joined + (portRef stat_reg_500) + (portRef stat_reg_500 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_57 (joined + (portRef stat_reg_57) + (portRef stat_reg_57 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_58 (joined + (portRef stat_reg_58) + (portRef stat_reg_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_56 (joined + (portRef stat_reg_56) + (portRef stat_reg_56 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_43 (joined + (portRef stat_reg_43) + (portRef stat_reg_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_62 (joined (portRef stat_reg_62) (portRef stat_reg_62 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_60 (joined - (portRef stat_reg_60) - (portRef stat_reg_60 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_61 (joined + (portRef stat_reg_61) + (portRef stat_reg_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_63 (joined + (portRef stat_reg_63) + (portRef stat_reg_63 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_0 (joined + (portRef (member temperature 11) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 11) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_1 (joined + (portRef (member temperature 10) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 10) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_2 (joined + (portRef (member temperature 9) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 9) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_3 (joined + (portRef (member temperature 8) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 8) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_4 (joined + (portRef (member temperature 7) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 7) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_5 (joined + (portRef (member temperature 6) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 6) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_6 (joined + (portRef (member temperature 5) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 5) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_7 (joined + (portRef (member temperature 4) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 4) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_8 (joined + (portRef (member temperature 3) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 3) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_9 (joined + (portRef (member temperature 2) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_10 (joined + (portRef (member temperature 1) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_11 (joined + (portRef (member temperature 0) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_52 (joined + (portRef common_stat_reg_i_52) + (portRef common_stat_reg_i_52 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_56 (joined + (portRef common_stat_reg_i_56) + (portRef common_stat_reg_i_56 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_60 (joined + (portRef common_stat_reg_i_60) + (portRef common_stat_reg_i_60 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_47 (joined + (portRef common_stat_reg_i_47) + (portRef common_stat_reg_i_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_50 (joined + (portRef common_stat_reg_i_50) + (portRef common_stat_reg_i_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_49 (joined + (portRef common_stat_reg_i_49) + (portRef common_stat_reg_i_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_48 (joined + (portRef common_stat_reg_i_48) + (portRef common_stat_reg_i_48 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_2 (joined + (portRef common_stat_reg_i_2) + (portRef common_stat_reg_i_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_0 (joined + (portRef common_stat_reg_i_0) + (portRef common_stat_reg_i_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_51 (joined + (portRef common_stat_reg_i_51) + (portRef common_stat_reg_i_51 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_62 (joined + (portRef common_stat_reg_i_62) + (portRef common_stat_reg_i_62 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_61 (joined + (portRef common_stat_reg_i_61) + (portRef common_stat_reg_i_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_54 (joined + (portRef common_stat_reg_i_54) + (portRef common_stat_reg_i_54 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_53 (joined + (portRef common_stat_reg_i_53) + (portRef common_stat_reg_i_53 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_55 (joined + (portRef common_stat_reg_i_55) + (portRef common_stat_reg_i_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_59 (joined + (portRef common_stat_reg_i_59) + (portRef common_stat_reg_i_59 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_58 (joined + (portRef common_stat_reg_i_58) + (portRef common_stat_reg_i_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_57 (joined + (portRef common_stat_reg_i_57) + (portRef common_stat_reg_i_57 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net reg_enable_pattern_1 (joined + (portRef (member reg_enable_pattern 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member reg_enable_pattern 0)) )) (net regio_addr_i_15 (joined (portRef regio_addr_i_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_15) + (portRef (member regio_addr_i 0)) )) (net regio_addr_i_14 (joined (portRef regio_addr_i_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_14) + (portRef (member regio_addr_i 1)) )) (net regio_addr_i_13 (joined (portRef regio_addr_i_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_13) + (portRef (member regio_addr_i 2)) )) (net regio_addr_i_12 (joined (portRef regio_addr_i_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_12) + (portRef (member regio_addr_i 3)) )) (net regio_addr_i_11 (joined (portRef regio_addr_i_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_11) + (portRef (member regio_addr_i 4)) )) (net regio_addr_i_10 (joined (portRef regio_addr_i_10 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_10) + (portRef (member regio_addr_i 5)) )) (net regio_addr_i_9 (joined (portRef regio_addr_i_9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_9) + (portRef (member regio_addr_i 6)) )) (net regio_addr_i_8 (joined (portRef regio_addr_i_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_8) + (portRef (member regio_addr_i 7)) )) - (net regio_addr_i_0 (joined - (portRef regio_addr_i_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_0) + (net regio_addr_i_2 (joined + (portRef regio_addr_i_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i 13)) )) - (net regio_addr_i_2_d0 (joined - (portRef regio_addr_i_2_d0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_2_d0) + (net regio_addr_iZ0Z_5 (joined + (portRef regio_addr_i_5_d0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i 10)) )) - (net regio_addr_i_3 (joined - (portRef regio_addr_i_3 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_3) + (net regio_addr_iZ0Z_3 (joined + (portRef regio_addr_i_3_d0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i 12)) )) (net regio_addr_i_4 (joined (portRef regio_addr_i_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_4) - )) - (net regio_addr_i_5 (joined - (portRef regio_addr_i_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_5) + (portRef (member regio_addr_i 11)) )) (net regio_addr_i_6 (joined (portRef regio_addr_i_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_6) + (portRef (member regio_addr_i 9)) )) (net regio_addr_i_7 (joined (portRef regio_addr_i_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_7) - )) - (net regio_addr_i_1_0 (joined - (portRef (member regio_addr_i_1 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member regio_addr_i_1 1)) - )) - (net regio_addr_i_1_1 (joined - (portRef (member regio_addr_i_1 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member regio_addr_i_1 0)) - )) - (net reset_i_fast_r4 (joined - (portRef reset_i_fast_r4) - (portRef reset_i_fast_r4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_fast_r4 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef (member regio_addr_i 8)) )) (net buf_IDRAM_WR_IN_0_i (joined (portRef buf_IDRAM_WR_IN_0_i (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) (portRef buf_IDRAM_WR_IN_0_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_timing_trg_found_1_axb_8 (joined - (portRef un1_timing_trg_found_1_axb_8 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_10 (joined + (portRef un1_tmg_edge_found_i_axb_10 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_10 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_tmg_edge_found_i_axb_9 (joined + (portRef un1_tmg_edge_found_i_axb_9 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_tmg_edge_found_i_axb_3 (joined (portRef un1_tmg_edge_found_i_axb_3 (instanceRef THE_LVL1_HANDLER)) (portRef un1_tmg_edge_found_i_axb_3 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_6 (joined - (portRef un1_tmg_edge_found_i_axb_6 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_tmg_edge_found_i_axb_10 (joined - (portRef un1_tmg_edge_found_i_axb_10 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_10 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net un1_tmg_edge_found_i_axb_11 (joined (portRef un1_tmg_edge_found_i_axb_11 (instanceRef THE_LVL1_HANDLER)) (portRef un1_tmg_edge_found_i_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_13 (joined - (portRef un1_tmg_edge_found_i_axb_13 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net un1_tmg_edge_found_i_axb_12 (joined (portRef un1_tmg_edge_found_i_axb_12 (instanceRef THE_LVL1_HANDLER)) (portRef un1_tmg_edge_found_i_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_9 (joined - (portRef un1_tmg_edge_found_i_axb_9 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_13 (joined + (portRef un1_tmg_edge_found_i_axb_13 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_14 (joined - (portRef un1_tmg_edge_found_i_axb_14 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_7 (joined + (portRef un1_tmg_edge_found_i_axb_7 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_tmg_edge_found_i_axb_6 (joined + (portRef un1_tmg_edge_found_i_axb_6 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_tmg_edge_found_i_axb_0 (joined (portRef un1_tmg_edge_found_i_axb_0 (instanceRef THE_LVL1_HANDLER)) @@ -605448,25 +605329,21 @@ (portRef un1_tmg_edge_found_i_axb_2 (instanceRef THE_LVL1_HANDLER)) (portRef un1_tmg_edge_found_i_axb_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_4 (joined - (portRef un1_tmg_edge_found_i_axb_4 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_5 (joined + (portRef un1_tmg_edge_found_i_axb_5 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_tmg_edge_found_i_axb_15 (joined (portRef un1_tmg_edge_found_i_axb_15 (instanceRef THE_LVL1_HANDLER)) (portRef un1_tmg_edge_found_i_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_5 (joined - (portRef un1_tmg_edge_found_i_axb_5 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un20_dat_addr_in_1 (joined - (portRef un20_dat_addr_in_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef un20_dat_addr_in_1) + (net un14_dat_addr_in_4 (joined + (portRef un14_dat_addr_in_4) + (portRef un14_dat_addr_in_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net N_1700 (joined - (portRef N_1700) - (portRef N_1700 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un16_dat_addr_in_4 (joined + (portRef un16_dat_addr_in_4) + (portRef un16_dat_addr_in_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_invalid_trg_axb_8 (joined (portRef un1_invalid_trg_axb_8 (instanceRef THE_LVL1_HANDLER)) @@ -605496,14 +605373,6 @@ (portRef un1_invalid_trg_axb_15 (instanceRef THE_LVL1_HANDLER)) (portRef un1_invalid_trg_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_prev_trg_reg_1_axb_0 (joined - (portRef un1_prev_trg_reg_1_axb_0 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_prev_trg_reg_1_axb_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_invalid_trg_axb_0 (joined - (portRef un1_invalid_trg_axb_0 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_invalid_trg_axb_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net un1_prev_trg_reg_1_axb_3 (joined (portRef un1_prev_trg_reg_1_axb_3 (instanceRef THE_LVL1_HANDLER)) (portRef un1_prev_trg_reg_1_axb_3 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605512,6 +605381,14 @@ (portRef un1_invalid_trg_axb_3 (instanceRef THE_LVL1_HANDLER)) (portRef un1_invalid_trg_axb_3 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net un1_prev_trg_reg_1_axb_12 (joined + (portRef un1_prev_trg_reg_1_axb_12 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_prev_trg_reg_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_invalid_trg_axb_12 (joined + (portRef un1_invalid_trg_axb_12 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_invalid_trg_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net un1_prev_trg_reg_1_axb_14 (joined (portRef un1_prev_trg_reg_1_axb_14 (instanceRef THE_LVL1_HANDLER)) (portRef un1_prev_trg_reg_1_axb_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605536,14 +605413,6 @@ (portRef un1_invalid_trg_axb_1 (instanceRef THE_LVL1_HANDLER)) (portRef un1_invalid_trg_axb_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_prev_trg_reg_1_axb_6 (joined - (portRef un1_prev_trg_reg_1_axb_6 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_prev_trg_reg_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_invalid_trg_axb_6 (joined - (portRef un1_invalid_trg_axb_6 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_invalid_trg_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net un1_prev_trg_reg_1_axb_13 (joined (portRef un1_prev_trg_reg_1_axb_13 (instanceRef THE_LVL1_HANDLER)) (portRef un1_prev_trg_reg_1_axb_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605552,13 +605421,13 @@ (portRef un1_invalid_trg_axb_13 (instanceRef THE_LVL1_HANDLER)) (portRef un1_invalid_trg_axb_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_prev_trg_reg_1_axb_11 (joined - (portRef un1_prev_trg_reg_1_axb_11 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_prev_trg_reg_1_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_prev_trg_reg_1_axb_8 (joined + (portRef un1_prev_trg_reg_1_axb_8 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_prev_trg_reg_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_invalid_trg_axb_11 (joined - (portRef un1_invalid_trg_axb_11 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_invalid_trg_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net N_228_1 (joined + (portRef N_228_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_228_1) )) (net un1_timing_trg_found_1_axb_4 (joined (portRef un1_timing_trg_found_1_axb_4 (instanceRef THE_LVL1_HANDLER)) @@ -605576,6 +605445,14 @@ (portRef un1_spurious_trg_1_axb_2 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net un1_timing_trg_found_1_axb_15 (joined + (portRef un1_timing_trg_found_1_axb_15 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_spurious_trg_1_axb_15 (joined + (portRef un1_spurious_trg_1_axb_15 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net un1_timing_trg_found_1_axb_0 (joined (portRef un1_timing_trg_found_1_axb_0 (instanceRef THE_LVL1_HANDLER)) (portRef un1_timing_trg_found_1_axb_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605600,6 +605477,14 @@ (portRef un1_spurious_trg_1_axb_14 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net un1_timing_trg_found_1_axb_7 (joined + (portRef un1_timing_trg_found_1_axb_7 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_spurious_trg_1_axb_7 (joined + (portRef un1_spurious_trg_1_axb_7 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net un1_timing_trg_found_1_axb_1 (joined (portRef un1_timing_trg_found_1_axb_1 (instanceRef THE_LVL1_HANDLER)) (portRef un1_timing_trg_found_1_axb_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605608,13 +605493,13 @@ (portRef un1_spurious_trg_1_axb_1 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_timing_trg_found_1_axb_5 (joined - (portRef un1_timing_trg_found_1_axb_5 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_timing_trg_found_1_axb_6 (joined + (portRef un1_timing_trg_found_1_axb_6 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_spurious_trg_1_axb_5 (joined - (portRef un1_spurious_trg_1_axb_5 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_spurious_trg_1_axb_6 (joined + (portRef un1_spurious_trg_1_axb_6 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_timing_trg_found_1_axb_13 (joined (portRef un1_timing_trg_found_1_axb_13 (instanceRef THE_LVL1_HANDLER)) @@ -605624,25 +605509,9 @@ (portRef un1_spurious_trg_1_axb_13 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_spurious_trg_1_axb_8 (joined - (portRef un1_spurious_trg_1_axb_8 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_timing_trg_found_1_axb_6 (joined - (portRef un1_timing_trg_found_1_axb_6 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_spurious_trg_1_axb_6 (joined - (portRef un1_spurious_trg_1_axb_6 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_prev_trg_reg_1_axb_12 (joined - (portRef un1_prev_trg_reg_1_axb_12 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_prev_trg_reg_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_invalid_trg_axb_12 (joined - (portRef un1_invalid_trg_axb_12 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_invalid_trg_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net regio_write_ack_i (joined + (portRef regio_write_ack_i) + (portRef regio_write_ack_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_timing_trg_found_1_axb_9 (joined (portRef un1_timing_trg_found_1_axb_9 (instanceRef THE_LVL1_HANDLER)) @@ -605652,13 +605521,33 @@ (portRef un1_spurious_trg_1_axb_9 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_timing_trg_found_1_axb_12 (joined - (portRef un1_timing_trg_found_1_axb_12 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_spurious_trg_1_axb_8 (joined + (portRef un1_spurious_trg_1_axb_8 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_spurious_trg_1_axb_12 (joined - (portRef un1_spurious_trg_1_axb_12 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_timing_trg_found_1_axb_5 (joined + (portRef un1_timing_trg_found_1_axb_5 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_spurious_trg_1_axb_5 (joined + (portRef un1_spurious_trg_1_axb_5 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_prev_trg_reg_1_axb_11 (joined + (portRef un1_prev_trg_reg_1_axb_11 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_prev_trg_reg_1_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_invalid_trg_axb_11 (joined + (portRef un1_invalid_trg_axb_11 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_invalid_trg_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_prev_trg_reg_1_axb_6 (joined + (portRef un1_prev_trg_reg_1_axb_6 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_prev_trg_reg_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_invalid_trg_axb_6 (joined + (portRef un1_invalid_trg_axb_6 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_invalid_trg_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_prev_trg_reg_1_axb_10 (joined (portRef un1_prev_trg_reg_1_axb_10 (instanceRef THE_LVL1_HANDLER)) @@ -605676,21 +605565,17 @@ (portRef un1_spurious_trg_1_axb_10 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_10 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_8 (joined - (portRef un1_tmg_edge_found_i_axb_8 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_prev_trg_reg_1_axb_8 (joined - (portRef un1_prev_trg_reg_1_axb_8 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_prev_trg_reg_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_timing_trg_found_1_axb_8 (joined + (portRef un1_timing_trg_found_1_axb_8 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_timing_trg_found_1_axb_15 (joined - (portRef un1_timing_trg_found_1_axb_15 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_timing_trg_found_1_axb_12 (joined + (portRef un1_timing_trg_found_1_axb_12 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_spurious_trg_1_axb_15 (joined - (portRef un1_spurious_trg_1_axb_15 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_spurious_trg_1_axb_12 (joined + (portRef un1_spurious_trg_1_axb_12 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_timing_trg_found_1_axb_11 (joined (portRef un1_timing_trg_found_1_axb_11 (instanceRef THE_LVL1_HANDLER)) @@ -605700,13 +605585,13 @@ (portRef un1_spurious_trg_1_axb_11 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_timing_trg_found_1_axb_7 (joined - (portRef un1_timing_trg_found_1_axb_7 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_prev_trg_reg_1_axb_0 (joined + (portRef un1_prev_trg_reg_1_axb_0 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_prev_trg_reg_1_axb_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_spurious_trg_1_axb_7 (joined - (portRef un1_spurious_trg_1_axb_7 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_invalid_trg_axb_0 (joined + (portRef un1_invalid_trg_axb_0 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_invalid_trg_axb_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_prev_trg_reg_1_axb_9 (joined (portRef un1_prev_trg_reg_1_axb_9 (instanceRef THE_LVL1_HANDLER)) @@ -605716,22 +605601,21 @@ (portRef un1_invalid_trg_axb_9 (instanceRef THE_LVL1_HANDLER)) (portRef un1_invalid_trg_axb_9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net reset_i_fast_r7 (joined - (portRef reset_i_fast_r7) - (portRef reset_i_fast_r7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_fast_r7 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (net N_5861 (joined + (portRef N_5861 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_5861) )) - (net N_2135_2 (joined - (portRef N_2135_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef N_2135_2) + (net regio_unknown_addr_i (joined + (portRef regio_unknown_addr_i) + (portRef regio_unknown_addr_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un12_dat_addr_in_2 (joined - (portRef un12_dat_addr_in_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef un12_dat_addr_in_2) + (net regio_nomoredata_i (joined + (portRef regio_nomoredata_i) + (portRef regio_nomoredata_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net regio_addr_i_0_rep1_1 (joined - (portRef regio_addr_i_0_rep1_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_0_rep1_1) + (net regio_dataready_i (joined + (portRef regio_dataready_i) + (portRef regio_dataready_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net regio_read_enable_i (joined (portRef regio_read_enable_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605755,42 +605639,34 @@ (portRef un1_prev_trg_reg_1_axb_5 (instanceRef THE_LVL1_HANDLER)) (portRef un1_prev_trg_reg_1_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_7 (joined - (portRef un1_tmg_edge_found_i_axb_7 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net regio_write_ack_i (joined - (portRef regio_write_ack_i) - (portRef regio_write_ack_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net N_180 (joined - (portRef N_180 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef N_180) + (net un1_tmg_edge_found_i_axb_14 (joined + (portRef un1_tmg_edge_found_i_axb_14 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net regio_dataready_i (joined - (portRef regio_dataready_i) - (portRef regio_dataready_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_4 (joined + (portRef un1_tmg_edge_found_i_axb_4 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net regio_nomoredata_i (joined - (portRef regio_nomoredata_i) - (portRef regio_nomoredata_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_8 (joined + (portRef un1_tmg_edge_found_i_axb_8 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net regio_unknown_addr_i (joined - (portRef regio_unknown_addr_i) - (portRef regio_unknown_addr_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net N_5867 (joined + (portRef N_5867 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_5867) )) (net un1_THE_ENDPOINT_1_0_2 (joined (portRef (member un1_the_endpoint_1_0 0) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) (portRef (member un1_the_endpoint_1_0 0)) )) - (net TEMPSENS_in (joined - (portRef TEMPSENS_in) - (portRef TEMPSENS_in (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef reset_i_rep2_1 (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) )) + (net TEMPSENS_in (joined + (portRef TEMPSENS_in) + (portRef TEMPSENS_in (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + )) (net MED_IO_DATAREADY_IN_2 (joined (portRef (member med_io_dataready_in 1) (instanceRef MPLEX)) (portRef (member med_io_dataready_in 0) (instanceRef genbuffers_2_gentermbuf_termbuf)) @@ -605904,6 +605780,10 @@ (portRef MED_IO_DATA_OUT_1 (instanceRef genbuffers_2_gentermbuf_termbuf)) (portRef MED_IO_DATA_OUT_66 (instanceRef MPLEX)) )) + (net real_reading_6_5 (joined + (portRef (member real_readingZ0Z_6 0) (instanceRef MPLEX)) + (portRef (member real_reading_6 0) (instanceRef genbuffers_2_gentermbuf_termbuf)) + )) (net MED_IO_PACKET_NUM_OUT_12 (joined (portRef (member med_io_packet_num_out 5) (instanceRef genbuffers_2_gentermbuf_termbuf)) (portRef MED_IO_PACKET_NUM_OUT_9 (instanceRef MPLEX)) @@ -605928,10 +605808,6 @@ (portRef (member med_io_packet_num_out 0) (instanceRef genbuffers_2_gentermbuf_termbuf)) (portRef MED_IO_PACKET_NUM_OUT_14 (instanceRef MPLEX)) )) - (net real_reading_6_5 (joined - (portRef (member real_readingZ0Z_6 0) (instanceRef MPLEX)) - (portRef (member real_reading_6 0) (instanceRef genbuffers_2_gentermbuf_termbuf)) - )) (net MED_IO_DATAREADY_OUT_4 (joined (portRef (member med_io_dataready_out 1) (instanceRef genbuffers_2_gentermbuf_termbuf)) (portRef MED_IO_DATAREADY_OUT_4 (instanceRef MPLEX)) @@ -606102,9 +605978,9 @@ (portRef (member med_packet_num_in 0)) (portRef (member med_packet_num_in 0) (instanceRef MPLEX)) )) - (net N_538_i (joined - (portRef N_538_i) - (portRef N_538_i (instanceRef MPLEX)) + (net N_465_i (joined + (portRef N_465_i) + (portRef N_465_i (instanceRef MPLEX)) )) (net med_dataready_out (joined (portRef med_dataready_out (instanceRef MPLEX)) @@ -606117,7 +605993,6 @@ (net port_select_int_0 (joined (portRef (member port_select_int 0)) (portRef (member port_select_int 0) (instanceRef THE_LVL1_HANDLER)) - (portRef (member port_select_int 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) (net lvl1_error_pattern_i_20 (joined (portRef (member lvl1_error_pattern_i 1)) @@ -606215,14 +606090,17 @@ (portRef (member stat_handler_i 0)) (portRef (member stat_handler_i 0) (instanceRef THE_LVL1_HANDLER)) )) - (net d_m5_0_0 (joined - (portRef d_m5_0_0 (instanceRef THE_LVL1_HANDLER)) - (portRef d_m5_0_0) + (net d_N_6_0 (joined + (portRef d_N_6_0 (instanceRef THE_LVL1_HANDLER)) + (portRef d_N_6_0) + )) + (net first_fifo_read_RNIS8RB (joined + (portRef first_fifo_read_RNIS8RB) + (portRef first_fifo_read_RNIS8RB (instanceRef THE_LVL1_HANDLER)) )) (net m6_6_03_1_1 (joined (portRef m6_6_03_1_1) (portRef m6_6_03_1_1 (instanceRef THE_LVL1_HANDLER)) - (portRef m6_6_03_1_1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) (net signal_sync (joined (portRef signal_sync_1 (instanceRef THE_LVL1_HANDLER)) @@ -606258,9 +606136,9 @@ (portRef TRIGGER_LEFT_c) (portRef TRIGGER_LEFT_c (instanceRef THE_LVL1_HANDLER)) )) - (net LVL1_TRG_RELEASE_OUT (joined - (portRef LVL1_TRG_RELEASE_OUT) - (portRef LVL1_TRG_RELEASE_OUT (instanceRef THE_LVL1_HANDLER)) + (net LVL1_TRG_RELEASE_OUT_0_a2 (joined + (portRef LVL1_TRG_RELEASE_OUT_0_a2) + (portRef LVL1_TRG_RELEASE_OUT_0_a2 (instanceRef THE_LVL1_HANDLER)) )) (net trg_notiming_valid_i (joined (portRef trg_notiming_valid_i (instanceRef THE_LVL1_HANDLER)) @@ -606278,15 +606156,15 @@ (portRef trg_multiple_trg_i (instanceRef THE_LVL1_HANDLER)) (portRef trg_multiple_trg_i) )) - (net trg_invalid_i (joined - (portRef trg_invalid_i (instanceRef THE_LVL1_HANDLER)) - (portRef trg_invalid_i) - )) (net trg_timing_valid_i (joined (portRef trg_timing_valid_i (instanceRef THE_LVL1_HANDLER)) (portRef trg_timing_valid_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef trg_timing_valid_i) )) + (net trg_invalid_i (joined + (portRef trg_invalid_i (instanceRef THE_LVL1_HANDLER)) + (portRef trg_invalid_i) + )) (net trg_data_valid_i (joined (portRef trg_data_valid_i (instanceRef THE_LVL1_HANDLER)) (portRef trg_data_valid_i) @@ -606668,7 +606546,7 @@ (interface (port (array (rename fifo_nr_hex_2 "fifo_nr_hex_2(3:0)") 4) (direction OUTPUT)) (port (array (rename mask_i "mask_i(23:16)") 8) (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -606864,8 +606742,8 @@ (portRef OCEA (instanceRef ROM_FIFO_0_0_0)) (portRef CEA (instanceRef ROM_FIFO_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef RSTA (instanceRef ROM_FIFO_0_0_0)) )) (net fifo_nr_hex_2_0 (joined @@ -606986,9 +606864,9 @@ (cell ROM_FIFO_2 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename fifo_nr_hex_1 "fifo_nr_hex_1(3:0)") 4) (direction OUTPUT)) - (port (array (rename mask_i "mask_i(15:8)") 8) (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port (array (rename fifo_nr_hex_3 "fifo_nr_hex_3(3:0)") 4) (direction OUTPUT)) + (port (array (rename mask_i "mask_i(31:24)") 8) (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -607141,35 +607019,35 @@ (portRef DIA1 (instanceRef ROM_FIFO_0_0_0)) (portRef DIA0 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_8 (joined + (net mask_i_24 (joined (portRef (member mask_i 7)) (portRef ADA2 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_9 (joined + (net mask_i_25 (joined (portRef (member mask_i 6)) (portRef ADA3 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_10 (joined + (net mask_i_26 (joined (portRef (member mask_i 5)) (portRef ADA4 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_11 (joined + (net mask_i_27 (joined (portRef (member mask_i 4)) (portRef ADA5 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_12 (joined + (net mask_i_28 (joined (portRef (member mask_i 3)) (portRef ADA6 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_13 (joined + (net mask_i_29 (joined (portRef (member mask_i 2)) (portRef ADA7 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_14 (joined + (net mask_i_30 (joined (portRef (member mask_i 1)) (portRef ADA8 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_15 (joined + (net mask_i_31 (joined (portRef (member mask_i 0)) (portRef ADA9 (instanceRef ROM_FIFO_0_0_0)) )) @@ -607184,25 +607062,25 @@ (portRef OCEA (instanceRef ROM_FIFO_0_0_0)) (portRef CEA (instanceRef ROM_FIFO_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef RSTA (instanceRef ROM_FIFO_0_0_0)) )) - (net fifo_nr_hex_1_0 (joined + (net fifo_nr_hex_3_0 (joined (portRef DOA0 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_1 3)) + (portRef (member fifo_nr_hex_3 3)) )) - (net fifo_nr_hex_1_1 (joined + (net fifo_nr_hex_3_1 (joined (portRef DOA1 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_1 2)) + (portRef (member fifo_nr_hex_3 2)) )) - (net fifo_nr_hex_1_2 (joined + (net fifo_nr_hex_3_2 (joined (portRef DOA2 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_1 1)) + (portRef (member fifo_nr_hex_3 1)) )) - (net fifo_nr_hex_1_3 (joined + (net fifo_nr_hex_3_3 (joined (portRef DOA3 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_1 0)) + (portRef (member fifo_nr_hex_3 0)) )) (net ROM_FIFO_0_0_0_DOA4_1 (joined (portRef DOA4 (instanceRef ROM_FIFO_0_0_0)) @@ -607308,7 +607186,7 @@ (interface (port (array (rename fifo_nr_hex_0 "fifo_nr_hex_0(3:0)") 4) (direction OUTPUT)) (port (array (rename mask_i "mask_i(7:0)") 8) (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -607504,8 +607382,8 @@ (portRef OCEA (instanceRef ROM_FIFO_0_0_0)) (portRef CEA (instanceRef ROM_FIFO_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef RSTA (instanceRef ROM_FIFO_0_0_0)) )) (net fifo_nr_hex_0_0 (joined @@ -607626,9 +607504,9 @@ (cell ROM_FIFO (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename fifo_nr_hex_3 "fifo_nr_hex_3(3:0)") 4) (direction OUTPUT)) - (port (array (rename mask_i "mask_i(31:24)") 8) (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port (array (rename fifo_nr_hex_1 "fifo_nr_hex_1(3:0)") 4) (direction OUTPUT)) + (port (array (rename mask_i "mask_i(15:8)") 8) (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -607781,35 +607659,35 @@ (portRef DIA1 (instanceRef ROM_FIFO_0_0_0)) (portRef DIA0 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_24 (joined + (net mask_i_8 (joined (portRef (member mask_i 7)) (portRef ADA2 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_25 (joined + (net mask_i_9 (joined (portRef (member mask_i 6)) (portRef ADA3 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_26 (joined + (net mask_i_10 (joined (portRef (member mask_i 5)) (portRef ADA4 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_27 (joined + (net mask_i_11 (joined (portRef (member mask_i 4)) (portRef ADA5 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_28 (joined + (net mask_i_12 (joined (portRef (member mask_i 3)) (portRef ADA6 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_29 (joined + (net mask_i_13 (joined (portRef (member mask_i 2)) (portRef ADA7 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_30 (joined + (net mask_i_14 (joined (portRef (member mask_i 1)) (portRef ADA8 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_31 (joined + (net mask_i_15 (joined (portRef (member mask_i 0)) (portRef ADA9 (instanceRef ROM_FIFO_0_0_0)) )) @@ -607824,25 +607702,25 @@ (portRef OCEA (instanceRef ROM_FIFO_0_0_0)) (portRef CEA (instanceRef ROM_FIFO_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef RSTA (instanceRef ROM_FIFO_0_0_0)) )) - (net fifo_nr_hex_3_0 (joined + (net fifo_nr_hex_1_0 (joined (portRef DOA0 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_3 3)) + (portRef (member fifo_nr_hex_1 3)) )) - (net fifo_nr_hex_3_1 (joined + (net fifo_nr_hex_1_1 (joined (portRef DOA1 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_3 2)) + (portRef (member fifo_nr_hex_1 2)) )) - (net fifo_nr_hex_3_2 (joined + (net fifo_nr_hex_1_2 (joined (portRef DOA2 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_3 1)) + (portRef (member fifo_nr_hex_1 1)) )) - (net fifo_nr_hex_3_3 (joined + (net fifo_nr_hex_1_3 (joined (portRef DOA3 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_3 0)) + (portRef (member fifo_nr_hex_1 0)) )) (net ROM_FIFO_0_0_0_DOA4 (joined (portRef DOA4 (instanceRef ROM_FIFO_0_0_0)) @@ -607943,6 +607821,185 @@ ) ) ) + (cell edge_to_pulse_0_31 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_reset_tdc_2_sn (direction OUTPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + (port valid_timing_trg_200 (direction INPUT)) + (port GND (direction INPUT)) + ) + (contents + (instance current_state_1__fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance signal_sync (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + ) + (instance current_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance current_state_1 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + ) + (instance current_statec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (net current_state_1 (joined + (portRef Q (instanceRef current_state_1)) + (portRef A (instanceRef current_statec)) + (portRef A (instanceRef current_state_1__fb)) + )) + (net signal_sync (joined + (portRef Q (instanceRef signal_sync)) + (portRef B (instanceRef current_statec)) + (portRef B (instanceRef current_state_1__fb)) + )) + (net GND (joined + (portRef GND) + )) + (net fb (joined + (portRef Z (instanceRef current_state_1__fb)) + (portRef PD (instanceRef current_state_1)) + )) + (net valid_timing_trg_200 (joined + (portRef valid_timing_trg_200) + (portRef D (instanceRef signal_sync)) + )) + (net current_statec (joined + (portRef Z (instanceRef current_statec)) + (portRef D (instanceRef current_state_0)) + )) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef current_state_1)) + (portRef CK (instanceRef current_state_0)) + (portRef CK (instanceRef signal_sync)) + )) + (net un1_reset_tdc_2_sn (joined + (portRef Q (instanceRef current_state_0)) + (portRef D (instanceRef current_state_1)) + (portRef CD (instanceRef current_state_0)) + (portRef un1_reset_tdc_2_sn) + )) + (net VCC (joined + (portRef VCC) + )) + ) + ) + ) + (cell bit_sync_Valid_timing_trigger_sync (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port trg_timing_valid_i (direction INPUT)) + (port valid_timing_trg_200 (direction OUTPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + (port VCC (direction INPUT)) + (port reset_tdc_iso (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + ) + (contents + (instance sync_q_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_1)) + (portRef trg_timing_valid_i) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef sync_q_1)) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + ) + ) + (net reset_tdc_iso (joined + (portRef reset_tdc_iso) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + (portRef CD (instanceRef sync_q_1)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_1 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef Q (instanceRef sync_q_1)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + )) + (net sync_q_1_3 (joined + (portRef valid_timing_trg_200) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + )) + ) + ) + ) + (cell bit_sync_3 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename ctrl_reg "ctrl_reg(12:12)") 1) (direction INPUT)) + (port readout_trigger_mode_200 (direction OUTPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + (port VCC (direction INPUT)) + (port reset_tdc_iso (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + ) + (contents + (instance sync_q_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_1)) + (portRef (member ctrl_reg 0)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef sync_q_1)) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + ) + ) + (net reset_tdc_iso (joined + (portRef reset_tdc_iso) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + (portRef CD (instanceRef sync_q_1)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_1 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef Q (instanceRef sync_q_1)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + )) + (net sync_q_1_3 (joined + (portRef readout_trigger_mode_200) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + )) + ) + ) + ) (cell up_counter (cellType GENERIC) (view netlist (viewType NETLIST) (interface @@ -607950,7 +608007,7 @@ (port (array (rename coarse_cnt "coarse_cnt(10:0)") 11) (direction OUTPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) - (port reset_tdc_iso (direction INPUT)) + (port reset_coarse_cnt (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) ) (contents @@ -608046,8 +608103,8 @@ (portRef CK (instanceRef counter_1)) (portRef CK (instanceRef counter_0)) )) - (net reset_tdc_iso (joined - (portRef reset_tdc_iso) + (net reset_coarse_cnt (joined + (portRef reset_coarse_cnt) (portRef CD (instanceRef counter_10)) (portRef CD (instanceRef counter_9)) (portRef CD (instanceRef counter_8)) @@ -608223,32 +608280,34 @@ ) ) ) - (cell Channel_16 (cellType GENERIC) + (cell Channel_29 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(16:16)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(16:16)") 1) (direction INPUT)) - (port (array (rename channel_data_i_16 "channel_data_i_16(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(48:48)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(29:29)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(29:29)") 1) (direction INPUT)) + (port (array (rename channel_data_i_29 "channel_data_i_29(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(61:61)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1533_Q (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_9 (direction INPUT)) - (port reset_i_10 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r25 (direction INPUT)) - (port reset_tdc_48 (direction INPUT)) - (port reset_tdc_49 (direction INPUT)) - (port reset_tdc_50 (direction INPUT)) - (port reset_tdc_51 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) + (port reset_i_3 (direction INPUT)) + (port reset_i_4 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_fast_37_r16 (direction INPUT)) + (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_10 (direction INPUT)) + (port reset_tdc_11 (direction INPUT)) + (port reset_tdc_12 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_34 (direction INPUT)) - (port reset_tdc_rep1_33 (direction INPUT)) - (port reset_tdc_rep1_32 (direction INPUT)) - (port reset_tdc_rep1_2 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) + (port reset_tdc_rep2_34 (direction INPUT)) + (port reset_tdc_rep2_33 (direction INPUT)) + (port reset_tdc_rep2_32 (direction INPUT)) + (port reset_tdc_rep2_2 (direction INPUT)) + (port reset_tdc_rep2_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -608262,7 +608321,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295459_0 (direction OUTPUT)) + (port N_295757_0 (direction OUTPUT)) (port G_1531_Q (direction INPUT)) (port sync_q_and_29 (direction OUTPUT)) (port G_1528_Q (direction INPUT)) @@ -608271,14 +608330,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIK70S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIAM3U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIK70S_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIAM3U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIK70S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIAM3U_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -610124,7 +610183,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIH0RS (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI7FUU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -610380,32 +610439,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0)) )) (net tmp1_29_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIH0RS)) + (portRef B (instanceRef result_2_reg_RNI7FUU)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIK70S_1)) - (portRef B (instanceRef result_2_reg_RNIK70S_0)) - (portRef B (instanceRef result_2_reg_RNIK70S)) + (portRef B (instanceRef result_2_reg_RNIAM3U_1)) + (portRef B (instanceRef result_2_reg_RNIAM3U_0)) + (portRef B (instanceRef result_2_reg_RNIAM3U)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIH0RS)) + (portRef C (instanceRef result_2_reg_RNI7FUU)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIK70S_1)) - (portRef C (instanceRef result_2_reg_RNIK70S_0)) - (portRef C (instanceRef result_2_reg_RNIK70S)) + (portRef C (instanceRef result_2_reg_RNIAM3U_1)) + (portRef C (instanceRef result_2_reg_RNIAM3U_0)) + (portRef C (instanceRef result_2_reg_RNIAM3U)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIK70S)) + (portRef Z (instanceRef result_2_reg_RNIAM3U)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -610484,9 +610543,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIK70S_1)) - (portRef A (instanceRef result_2_reg_RNIK70S_0)) - (portRef A (instanceRef result_2_reg_RNIK70S)) + (portRef A (instanceRef result_2_reg_RNIAM3U_1)) + (portRef A (instanceRef result_2_reg_RNIAM3U_0)) + (portRef A (instanceRef result_2_reg_RNIAM3U)) )) (net GND (joined (portRef GND) @@ -610641,7 +610700,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIK70S_0)) + (portRef Z (instanceRef result_2_reg_RNIAM3U_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -610719,7 +610778,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIK70S_1)) + (portRef Z (instanceRef result_2_reg_RNIAM3U_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -610806,9 +610865,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295459_0 (joined + (net N_295757_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295459_0) + (portRef N_295757_0) )) (net tmp1_29_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -611460,7 +611519,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIH0RS)) + (portRef Z (instanceRef result_2_reg_RNI7FUU)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -614373,9 +614432,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_16_1 (joined + (net channel_debug_01_i_29_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_16 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_29 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_29 (joined @@ -614574,7 +614633,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_48 (joined + (net stat_reg_61 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -614655,257 +614714,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_16_0 (joined + (net channel_data_i_29_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_16 31)) + (portRef (member channel_data_i_29 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_16_1 (joined + (net channel_data_i_29_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_16 30)) + (portRef (member channel_data_i_29 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_16_2 (joined + (net channel_data_i_29_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_16 29)) + (portRef (member channel_data_i_29 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_16_3 (joined + (net channel_data_i_29_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_16 28)) + (portRef (member channel_data_i_29 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_16_4 (joined + (net channel_data_i_29_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_16 27)) + (portRef (member channel_data_i_29 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_16_5 (joined + (net channel_data_i_29_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_16 26)) + (portRef (member channel_data_i_29 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_16_6 (joined + (net channel_data_i_29_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_16 25)) + (portRef (member channel_data_i_29 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_16_7 (joined + (net channel_data_i_29_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_16 24)) + (portRef (member channel_data_i_29 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_16_8 (joined + (net channel_data_i_29_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_16 23)) + (portRef (member channel_data_i_29 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_16_9 (joined + (net channel_data_i_29_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_16 22)) + (portRef (member channel_data_i_29 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_16_10 (joined + (net channel_data_i_29_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_16 21)) + (portRef (member channel_data_i_29 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_16_11 (joined + (net channel_data_i_29_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_16 20)) + (portRef (member channel_data_i_29 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_16_12 (joined + (net channel_data_i_29_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_16 19)) + (portRef (member channel_data_i_29 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_16_13 (joined + (net channel_data_i_29_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_16 18)) + (portRef (member channel_data_i_29 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_16_14 (joined + (net channel_data_i_29_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_16 17)) + (portRef (member channel_data_i_29 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_16_15 (joined + (net channel_data_i_29_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_16 16)) + (portRef (member channel_data_i_29 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_16_16 (joined + (net channel_data_i_29_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_16 15)) + (portRef (member channel_data_i_29 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_16_17 (joined + (net channel_data_i_29_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_16 14)) + (portRef (member channel_data_i_29 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_16_18 (joined + (net channel_data_i_29_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_16 13)) + (portRef (member channel_data_i_29 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_16_19 (joined + (net channel_data_i_29_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_16 12)) + (portRef (member channel_data_i_29 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_16_20 (joined + (net channel_data_i_29_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_16 11)) + (portRef (member channel_data_i_29 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_16_21 (joined + (net channel_data_i_29_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_16 10)) + (portRef (member channel_data_i_29 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_16_22 (joined + (net channel_data_i_29_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_16 9)) + (portRef (member channel_data_i_29 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_16_23 (joined + (net channel_data_i_29_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_16 8)) + (portRef (member channel_data_i_29 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_16_24 (joined + (net channel_data_i_29_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_16 7)) + (portRef (member channel_data_i_29 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_16_25 (joined + (net channel_data_i_29_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_16 6)) + (portRef (member channel_data_i_29 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_16_26 (joined + (net channel_data_i_29_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_16 5)) + (portRef (member channel_data_i_29 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_16_27 (joined + (net channel_data_i_29_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_16 4)) + (portRef (member channel_data_i_29 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_16_28 (joined + (net channel_data_i_29_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_16 3)) + (portRef (member channel_data_i_29 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_16_29 (joined + (net channel_data_i_29_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_16 2)) + (portRef (member channel_data_i_29 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_16_30 (joined + (net channel_data_i_29_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_16 1)) + (portRef (member channel_data_i_29 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_16_31 (joined + (net channel_data_i_29_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_16 0)) + (portRef (member channel_data_i_29 0)) )) (net sync_q_CR0_ram_DO1_29 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -614924,26 +614983,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_2 (joined - (portRef reset_tdc_rep1_2) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) - (portRef B (instanceRef lost_hit_cntr_lm_0_7)) - (portRef B (instanceRef lost_hit_cntr_lm_0_6)) + (net reset_tdc_rep2_1 (joined + (portRef reset_tdc_rep2_1) (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) @@ -614975,6 +615016,27 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_7)) (portRef A (instanceRef lost_hit_cntr_lm_0_7)) )) + (net reset_tdc_rep2_2 (joined + (portRef reset_tdc_rep2_2) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + (portRef B (instanceRef lost_hit_cntr_lm_0_7)) + (portRef B (instanceRef lost_hit_cntr_lm_0_6)) + )) (net lost_hit_cntr_s_8 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_7)) (portRef A (instanceRef lost_hit_cntr_lm_0_8)) @@ -615047,20 +615109,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_32 (joined - (portRef reset_tdc_rep1_32) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) + (net reset_tdc_rep2_32 (joined + (portRef reset_tdc_rep2_32) (portRef B (instanceRef result_reg_3_0_i_71)) (portRef B (instanceRef result_reg_3_0_i_70)) (portRef B (instanceRef result_reg_3_0_i_69)) @@ -615407,69 +615457,8 @@ (portRef (member result_i 230) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_73)) )) - (net result_i_74 (joined - (portRef (member result_i 229) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_74)) - )) - (net result_i_75 (joined - (portRef (member result_i 228) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_75)) - )) - (net result_i_76 (joined - (portRef (member result_i 227) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_76)) - )) - (net result_i_77 (joined - (portRef (member result_i 226) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_77)) - )) - (net result_i_78 (joined - (portRef (member result_i 225) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_78)) - )) - (net result_i_79 (joined - (portRef (member result_i 224) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_79)) - )) - (net result_i_80 (joined - (portRef (member result_i 223) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_80)) - )) - (net result_i_81 (joined - (portRef (member result_i 222) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_81)) - )) - (net result_i_82 (joined - (portRef (member result_i 221) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_82)) - )) - (net result_i_83 (joined - (portRef (member result_i 220) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_83)) - )) - (net result_i_84 (joined - (portRef (member result_i 219) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_84)) - )) - (net result_i_85 (joined - (portRef (member result_i 218) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_85)) - )) - (net reset_tdc_rep1_33 (joined - (portRef reset_tdc_rep1_33) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) + (net reset_tdc_rep2_33 (joined + (portRef reset_tdc_rep2_33) (portRef B (instanceRef result_reg_3_0_i_169)) (portRef B (instanceRef result_reg_3_0_i_168)) (portRef B (instanceRef result_reg_3_0_i_167)) @@ -615556,6 +615545,66 @@ (portRef B (instanceRef result_reg_3_0_i_86)) (portRef B (instanceRef result_reg_3_0_i_85)) (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + )) + (net result_i_74 (joined + (portRef (member result_i 229) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_74)) + )) + (net result_i_75 (joined + (portRef (member result_i 228) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_75)) + )) + (net result_i_76 (joined + (portRef (member result_i 227) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_76)) + )) + (net result_i_77 (joined + (portRef (member result_i 226) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_77)) + )) + (net result_i_78 (joined + (portRef (member result_i 225) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_78)) + )) + (net result_i_79 (joined + (portRef (member result_i 224) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_79)) + )) + (net result_i_80 (joined + (portRef (member result_i 223) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_80)) + )) + (net result_i_81 (joined + (portRef (member result_i 222) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_81)) + )) + (net result_i_82 (joined + (portRef (member result_i 221) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_82)) + )) + (net result_i_83 (joined + (portRef (member result_i 220) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_83)) + )) + (net result_i_84 (joined + (portRef (member result_i 219) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_84)) + )) + (net result_i_85 (joined + (portRef (member result_i 218) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_85)) )) (net result_i_86 (joined (portRef (member result_i 217) (instanceRef FC)) @@ -615901,74 +615950,8 @@ (portRef (member result_i 132) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_171)) )) - (net result_i_172 (joined - (portRef (member result_i 131) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_172)) - )) - (net result_i_173 (joined - (portRef (member result_i 130) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_173)) - )) - (net result_i_174 (joined - (portRef (member result_i 129) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_174)) - )) - (net result_i_175 (joined - (portRef (member result_i 128) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_175)) - )) - (net result_i_176 (joined - (portRef (member result_i 127) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_176)) - )) - (net result_i_177 (joined - (portRef (member result_i 126) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_177)) - )) - (net result_i_178 (joined - (portRef (member result_i 125) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_178)) - )) - (net result_i_179 (joined - (portRef (member result_i 124) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_179)) - )) - (net result_i_180 (joined - (portRef (member result_i 123) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_180)) - )) - (net result_i_181 (joined - (portRef (member result_i 122) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_181)) - )) - (net result_i_182 (joined - (portRef (member result_i 121) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_182)) - )) - (net result_i_183 (joined - (portRef (member result_i 120) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_183)) - )) - (net result_i_184 (joined - (portRef (member result_i 119) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_184)) - )) - (net reset_tdc_rep1_34 (joined - (portRef reset_tdc_rep1_34) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) + (net reset_tdc_rep2_34 (joined + (portRef reset_tdc_rep2_34) (portRef B (instanceRef result_reg_3_0_i_267)) (portRef B (instanceRef result_reg_3_0_i_266)) (portRef B (instanceRef result_reg_3_0_i_265)) @@ -616054,6 +616037,71 @@ (portRef B (instanceRef result_reg_3_0_i_185)) (portRef B (instanceRef result_reg_3_0_i_184)) (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + )) + (net result_i_172 (joined + (portRef (member result_i 131) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_172)) + )) + (net result_i_173 (joined + (portRef (member result_i 130) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_173)) + )) + (net result_i_174 (joined + (portRef (member result_i 129) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_174)) + )) + (net result_i_175 (joined + (portRef (member result_i 128) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_175)) + )) + (net result_i_176 (joined + (portRef (member result_i 127) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_176)) + )) + (net result_i_177 (joined + (portRef (member result_i 126) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_177)) + )) + (net result_i_178 (joined + (portRef (member result_i 125) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_178)) + )) + (net result_i_179 (joined + (portRef (member result_i 124) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_179)) + )) + (net result_i_180 (joined + (portRef (member result_i 123) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_180)) + )) + (net result_i_181 (joined + (portRef (member result_i 122) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_181)) + )) + (net result_i_182 (joined + (portRef (member result_i 121) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_182)) + )) + (net result_i_183 (joined + (portRef (member result_i 120) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_183)) + )) + (net result_i_184 (joined + (portRef (member result_i 119) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_184)) )) (net result_i_185 (joined (portRef (member result_i 118) (instanceRef FC)) @@ -616395,6 +616443,46 @@ (portRef (member result_i 34) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_269)) )) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef reset_tdc_rep2_35 (instanceRef Encoder)) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + )) (net result_i_270 (joined (portRef (member result_i 33) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_270)) @@ -616451,32 +616539,6 @@ (portRef (member result_i 20) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_283)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - )) (net result_i_284 (joined (portRef (member result_i 19) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_284)) @@ -616557,8 +616619,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_16_0 (joined - (portRef (member channel_debug_01_i_16 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_29_0 (joined + (portRef (member channel_debug_01_i_29 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -616585,9 +616647,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_16_2 (joined - (portRef (member channel_debug_01_i_16 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_16 0) (instanceRef FIFO)) + (net channel_debug_01_i_29_2 (joined + (portRef (member channel_debug_01_i_29 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_29 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -616772,17 +616834,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_50 (joined - (portRef reset_tdc_50) - (portRef reset_tdc_50 (instanceRef FC)) + (net reset_tdc_11 (joined + (portRef reset_tdc_11) + (portRef reset_tdc_11 (instanceRef FC)) )) - (net reset_tdc_49 (joined - (portRef reset_tdc_49) - (portRef reset_tdc_49 (instanceRef FC)) + (net reset_tdc_10 (joined + (portRef reset_tdc_10) + (portRef reset_tdc_10 (instanceRef FC)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) - (portRef reset_tdc_48 (instanceRef FC)) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) + (portRef reset_tdc_9 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -616824,39 +616886,43 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) - (portRef reset_tdc_51 (instanceRef Encoder)) - (portRef reset_tdc_51 (instanceRef FC)) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) + (portRef reset_tdc_12 (instanceRef Encoder)) + (portRef reset_tdc_12 (instanceRef FC)) )) - (net reset_tdc_fast_36_r25 (joined - (portRef reset_tdc_fast_36_r25) - (portRef reset_tdc_fast_36_r25 (instanceRef Encoder)) + (net reset_tdc_fast_37_r16 (joined + (portRef reset_tdc_fast_37_r16) + (portRef reset_tdc_fast_37_r16 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) + )) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef reset_tdc_rep2_36 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIH0RS)) + (portRef A (instanceRef result_2_reg_RNI7FUU)) )) - (net rd_en_i_16 (joined + (net rd_en_i_29 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_10 (joined - (portRef reset_i_10) - (portRef reset_i_10 (instanceRef FIFO)) + (net reset_i_4 (joined + (portRef reset_i_4) + (portRef reset_i_4 (instanceRef FIFO)) )) - (net reset_i_9 (joined - (portRef reset_i_9) - (portRef reset_i_9 (instanceRef FIFO)) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef reset_i_3 (instanceRef FIFO)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) - (portRef reset_i_rep2_1 (instanceRef FIFO)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef FIFO)) )) (net G_1531_Q (joined (portRef G_1531_Q) @@ -616875,34 +616941,33 @@ ) ) ) - (cell Channel_29 (cellType GENERIC) + (cell Channel_14 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(29:29)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(29:29)") 1) (direction INPUT)) - (port (array (rename channel_data_i_29 "channel_data_i_29(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(61:61)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(14:14)") 1) (direction INPUT)) + (port (array (rename channel_data_i_14 "channel_data_i_14(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(46:46)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(14:14)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1542_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_2 (direction INPUT)) - (port reset_i_3 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_fast_37_r16 (direction INPUT)) - (port reset_tdc_9 (direction INPUT)) - (port reset_tdc_10 (direction INPUT)) - (port reset_tdc_11 (direction INPUT)) - (port reset_tdc_12 (direction INPUT)) + (port reset_i_11 (direction INPUT)) + (port reset_i_12 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r23 (direction INPUT)) + (port reset_tdc_54 (direction INPUT)) + (port reset_tdc_55 (direction INPUT)) + (port reset_tdc_56 (direction INPUT)) + (port reset_tdc_57 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) - (port reset_tdc_rep2_34 (direction INPUT)) - (port reset_tdc_rep2_33 (direction INPUT)) - (port reset_tdc_rep2_32 (direction INPUT)) - (port reset_tdc_rep2_2 (direction INPUT)) - (port reset_tdc_rep2_1 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_34 (direction INPUT)) + (port reset_tdc_rep1_33 (direction INPUT)) + (port reset_tdc_rep1_32 (direction INPUT)) + (port reset_tdc_rep1_2 (direction INPUT)) + (port N_2892_i (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -616916,7 +616981,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295472_0 (direction OUTPUT)) + (port N_295770_0 (direction OUTPUT)) (port G_1540_Q (direction INPUT)) (port sync_q_and_28 (direction OUTPUT)) (port G_1537_Q (direction INPUT)) @@ -616925,14 +616990,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIAM3U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNISTTV (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIAM3U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNISTTV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIAM3U_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNISTTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -616941,10 +617006,10 @@ (instance GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) (instance result_reg_0 (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT))) @@ -617729,6 +617794,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -618778,7 +618845,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI7FUU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIPMO01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -619025,41 +619092,41 @@ ) (net hit_in_i (joined (portRef A (instanceRef hit_buf_RNO)) - (portRef (member hit_in_iZ0 0)) + (portRef N_2892_i) (portRef DI0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) ) ) (net tmp1_28_0 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0)) )) (net tmp1_28_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI7FUU)) + (portRef B (instanceRef result_2_reg_RNIPMO01)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIAM3U_1)) - (portRef B (instanceRef result_2_reg_RNIAM3U_0)) - (portRef B (instanceRef result_2_reg_RNIAM3U)) + (portRef B (instanceRef result_2_reg_RNISTTV_1)) + (portRef B (instanceRef result_2_reg_RNISTTV_0)) + (portRef B (instanceRef result_2_reg_RNISTTV)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI7FUU)) + (portRef C (instanceRef result_2_reg_RNIPMO01)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIAM3U_1)) - (portRef C (instanceRef result_2_reg_RNIAM3U_0)) - (portRef C (instanceRef result_2_reg_RNIAM3U)) + (portRef C (instanceRef result_2_reg_RNISTTV_1)) + (portRef C (instanceRef result_2_reg_RNISTTV_0)) + (portRef C (instanceRef result_2_reg_RNISTTV)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIAM3U)) + (portRef Z (instanceRef result_2_reg_RNISTTV)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -619138,9 +619205,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIAM3U_1)) - (portRef A (instanceRef result_2_reg_RNIAM3U_0)) - (portRef A (instanceRef result_2_reg_RNIAM3U)) + (portRef A (instanceRef result_2_reg_RNISTTV_1)) + (portRef A (instanceRef result_2_reg_RNISTTV_0)) + (portRef A (instanceRef result_2_reg_RNISTTV)) )) (net GND (joined (portRef GND) @@ -619295,7 +619362,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIAM3U_0)) + (portRef Z (instanceRef result_2_reg_RNISTTV_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -619373,7 +619440,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIAM3U_1)) + (portRef Z (instanceRef result_2_reg_RNISTTV_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -619460,24 +619527,24 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295472_0 (joined + (net N_295770_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295472_0) + (portRef N_295770_0) )) (net tmp1_28_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) (portRef WAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - )) - (net tmp2_28_1 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) + (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) )) (net tmp3_28_1 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) + (net tmp2_28_1 (joined + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) + (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) + )) (net result_i_0 (joined (portRef (member result_i 303) (instanceRef FC)) (portRef D (instanceRef result_reg_0)) @@ -620114,7 +620181,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI7FUU)) + (portRef Z (instanceRef result_2_reg_RNIPMO01)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -623027,9 +623094,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_29_1 (joined + (net channel_debug_01_i_14_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_29 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_14 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_28 (joined @@ -623224,18 +623291,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_14 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_61 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -623272,6 +623339,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -623308,258 +623376,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_29_0 (joined + (net stat_reg_46 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_14_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_29 31)) + (portRef (member channel_data_i_14 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_29_1 (joined + (net channel_data_i_14_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_29 30)) + (portRef (member channel_data_i_14 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_29_2 (joined + (net channel_data_i_14_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_29 29)) + (portRef (member channel_data_i_14 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_29_3 (joined + (net channel_data_i_14_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_29 28)) + (portRef (member channel_data_i_14 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_29_4 (joined + (net channel_data_i_14_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_29 27)) + (portRef (member channel_data_i_14 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_29_5 (joined + (net channel_data_i_14_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_29 26)) + (portRef (member channel_data_i_14 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_29_6 (joined + (net channel_data_i_14_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_29 25)) + (portRef (member channel_data_i_14 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_29_7 (joined + (net channel_data_i_14_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_29 24)) + (portRef (member channel_data_i_14 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_29_8 (joined + (net channel_data_i_14_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_29 23)) + (portRef (member channel_data_i_14 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_29_9 (joined + (net channel_data_i_14_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_29 22)) + (portRef (member channel_data_i_14 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_29_10 (joined + (net channel_data_i_14_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_29 21)) + (portRef (member channel_data_i_14 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_29_11 (joined + (net channel_data_i_14_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_29 20)) + (portRef (member channel_data_i_14 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_29_12 (joined + (net channel_data_i_14_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_29 19)) + (portRef (member channel_data_i_14 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_29_13 (joined + (net channel_data_i_14_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_29 18)) + (portRef (member channel_data_i_14 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_29_14 (joined + (net channel_data_i_14_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_29 17)) + (portRef (member channel_data_i_14 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_29_15 (joined + (net channel_data_i_14_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_29 16)) + (portRef (member channel_data_i_14 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_29_16 (joined + (net channel_data_i_14_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_29 15)) + (portRef (member channel_data_i_14 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_29_17 (joined + (net channel_data_i_14_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_29 14)) + (portRef (member channel_data_i_14 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_29_18 (joined + (net channel_data_i_14_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_29 13)) + (portRef (member channel_data_i_14 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_29_19 (joined + (net channel_data_i_14_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_29 12)) + (portRef (member channel_data_i_14 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_29_20 (joined + (net channel_data_i_14_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_29 11)) + (portRef (member channel_data_i_14 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_29_21 (joined + (net channel_data_i_14_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_29 10)) + (portRef (member channel_data_i_14 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_29_22 (joined + (net channel_data_i_14_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_29 9)) + (portRef (member channel_data_i_14 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_29_23 (joined + (net channel_data_i_14_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_29 8)) + (portRef (member channel_data_i_14 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_29_24 (joined + (net channel_data_i_14_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_29 7)) + (portRef (member channel_data_i_14 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_29_25 (joined + (net channel_data_i_14_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_29 6)) + (portRef (member channel_data_i_14 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_29_26 (joined + (net channel_data_i_14_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_29 5)) + (portRef (member channel_data_i_14 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_29_27 (joined + (net channel_data_i_14_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_29 4)) + (portRef (member channel_data_i_14 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_29_28 (joined + (net channel_data_i_14_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_29 3)) + (portRef (member channel_data_i_14 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_29_29 (joined + (net channel_data_i_14_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_29 2)) + (portRef (member channel_data_i_14 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_29_30 (joined + (net channel_data_i_14_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_29 1)) + (portRef (member channel_data_i_14 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_29_31 (joined + (net channel_data_i_14_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_29 0)) + (portRef (member channel_data_i_14 0)) )) (net sync_q_CR0_ram_DO1_28 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -623578,8 +623655,26 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_1 (joined - (portRef reset_tdc_rep2_1) + (net reset_tdc_rep1_2 (joined + (portRef reset_tdc_rep1_2) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + (portRef B (instanceRef lost_hit_cntr_lm_0_7)) + (portRef B (instanceRef lost_hit_cntr_lm_0_6)) (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) @@ -623611,27 +623706,6 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_7)) (portRef A (instanceRef lost_hit_cntr_lm_0_7)) )) - (net reset_tdc_rep2_2 (joined - (portRef reset_tdc_rep2_2) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) - (portRef B (instanceRef lost_hit_cntr_lm_0_7)) - (portRef B (instanceRef lost_hit_cntr_lm_0_6)) - )) (net lost_hit_cntr_s_8 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_7)) (portRef A (instanceRef lost_hit_cntr_lm_0_8)) @@ -623704,8 +623778,20 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_32 (joined - (portRef reset_tdc_rep2_32) + (net reset_tdc_rep1_32 (joined + (portRef reset_tdc_rep1_32) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) (portRef B (instanceRef result_reg_3_0_i_71)) (portRef B (instanceRef result_reg_3_0_i_70)) (portRef B (instanceRef result_reg_3_0_i_69)) @@ -624052,8 +624138,69 @@ (portRef (member result_i 230) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_73)) )) - (net reset_tdc_rep2_33 (joined - (portRef reset_tdc_rep2_33) + (net result_i_74 (joined + (portRef (member result_i 229) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_74)) + )) + (net result_i_75 (joined + (portRef (member result_i 228) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_75)) + )) + (net result_i_76 (joined + (portRef (member result_i 227) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_76)) + )) + (net result_i_77 (joined + (portRef (member result_i 226) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_77)) + )) + (net result_i_78 (joined + (portRef (member result_i 225) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_78)) + )) + (net result_i_79 (joined + (portRef (member result_i 224) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_79)) + )) + (net result_i_80 (joined + (portRef (member result_i 223) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_80)) + )) + (net result_i_81 (joined + (portRef (member result_i 222) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_81)) + )) + (net result_i_82 (joined + (portRef (member result_i 221) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_82)) + )) + (net result_i_83 (joined + (portRef (member result_i 220) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_83)) + )) + (net result_i_84 (joined + (portRef (member result_i 219) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_84)) + )) + (net result_i_85 (joined + (portRef (member result_i 218) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_85)) + )) + (net reset_tdc_rep1_33 (joined + (portRef reset_tdc_rep1_33) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) (portRef B (instanceRef result_reg_3_0_i_169)) (portRef B (instanceRef result_reg_3_0_i_168)) (portRef B (instanceRef result_reg_3_0_i_167)) @@ -624140,66 +624287,6 @@ (portRef B (instanceRef result_reg_3_0_i_86)) (portRef B (instanceRef result_reg_3_0_i_85)) (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - )) - (net result_i_74 (joined - (portRef (member result_i 229) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_74)) - )) - (net result_i_75 (joined - (portRef (member result_i 228) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_75)) - )) - (net result_i_76 (joined - (portRef (member result_i 227) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_76)) - )) - (net result_i_77 (joined - (portRef (member result_i 226) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_77)) - )) - (net result_i_78 (joined - (portRef (member result_i 225) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_78)) - )) - (net result_i_79 (joined - (portRef (member result_i 224) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_79)) - )) - (net result_i_80 (joined - (portRef (member result_i 223) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_80)) - )) - (net result_i_81 (joined - (portRef (member result_i 222) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_81)) - )) - (net result_i_82 (joined - (portRef (member result_i 221) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_82)) - )) - (net result_i_83 (joined - (portRef (member result_i 220) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_83)) - )) - (net result_i_84 (joined - (portRef (member result_i 219) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_84)) - )) - (net result_i_85 (joined - (portRef (member result_i 218) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_85)) )) (net result_i_86 (joined (portRef (member result_i 217) (instanceRef FC)) @@ -624545,8 +624632,74 @@ (portRef (member result_i 132) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_171)) )) - (net reset_tdc_rep2_34 (joined - (portRef reset_tdc_rep2_34) + (net result_i_172 (joined + (portRef (member result_i 131) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_172)) + )) + (net result_i_173 (joined + (portRef (member result_i 130) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_173)) + )) + (net result_i_174 (joined + (portRef (member result_i 129) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_174)) + )) + (net result_i_175 (joined + (portRef (member result_i 128) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_175)) + )) + (net result_i_176 (joined + (portRef (member result_i 127) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_176)) + )) + (net result_i_177 (joined + (portRef (member result_i 126) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_177)) + )) + (net result_i_178 (joined + (portRef (member result_i 125) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_178)) + )) + (net result_i_179 (joined + (portRef (member result_i 124) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_179)) + )) + (net result_i_180 (joined + (portRef (member result_i 123) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_180)) + )) + (net result_i_181 (joined + (portRef (member result_i 122) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_181)) + )) + (net result_i_182 (joined + (portRef (member result_i 121) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_182)) + )) + (net result_i_183 (joined + (portRef (member result_i 120) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_183)) + )) + (net result_i_184 (joined + (portRef (member result_i 119) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_184)) + )) + (net reset_tdc_rep1_34 (joined + (portRef reset_tdc_rep1_34) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) (portRef B (instanceRef result_reg_3_0_i_267)) (portRef B (instanceRef result_reg_3_0_i_266)) (portRef B (instanceRef result_reg_3_0_i_265)) @@ -624632,71 +624785,6 @@ (portRef B (instanceRef result_reg_3_0_i_185)) (portRef B (instanceRef result_reg_3_0_i_184)) (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - )) - (net result_i_172 (joined - (portRef (member result_i 131) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_172)) - )) - (net result_i_173 (joined - (portRef (member result_i 130) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_173)) - )) - (net result_i_174 (joined - (portRef (member result_i 129) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_174)) - )) - (net result_i_175 (joined - (portRef (member result_i 128) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_175)) - )) - (net result_i_176 (joined - (portRef (member result_i 127) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_176)) - )) - (net result_i_177 (joined - (portRef (member result_i 126) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_177)) - )) - (net result_i_178 (joined - (portRef (member result_i 125) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_178)) - )) - (net result_i_179 (joined - (portRef (member result_i 124) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_179)) - )) - (net result_i_180 (joined - (portRef (member result_i 123) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_180)) - )) - (net result_i_181 (joined - (portRef (member result_i 122) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_181)) - )) - (net result_i_182 (joined - (portRef (member result_i 121) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_182)) - )) - (net result_i_183 (joined - (portRef (member result_i 120) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_183)) - )) - (net result_i_184 (joined - (portRef (member result_i 119) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_184)) )) (net result_i_185 (joined (portRef (member result_i 118) (instanceRef FC)) @@ -625038,46 +625126,6 @@ (portRef (member result_i 34) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_269)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef reset_tdc_rep2_35 (instanceRef Encoder)) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - )) (net result_i_270 (joined (portRef (member result_i 33) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_270)) @@ -625134,6 +625182,32 @@ (portRef (member result_i 20) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_283)) )) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + )) (net result_i_284 (joined (portRef (member result_i 19) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_284)) @@ -625214,8 +625288,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_29_0 (joined - (portRef (member channel_debug_01_i_29 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_14_0 (joined + (portRef (member channel_debug_01_i_14 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -625242,9 +625316,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_29_2 (joined - (portRef (member channel_debug_01_i_29 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_29 0) (instanceRef FIFO)) + (net channel_debug_01_i_14_2 (joined + (portRef (member channel_debug_01_i_14 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_14 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -625429,17 +625503,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_11 (joined - (portRef reset_tdc_11) - (portRef reset_tdc_11 (instanceRef FC)) + (net reset_tdc_56 (joined + (portRef reset_tdc_56) + (portRef reset_tdc_56 (instanceRef FC)) )) - (net reset_tdc_10 (joined - (portRef reset_tdc_10) - (portRef reset_tdc_10 (instanceRef FC)) + (net reset_tdc_55 (joined + (portRef reset_tdc_55) + (portRef reset_tdc_55 (instanceRef FC)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) - (portRef reset_tdc_9 (instanceRef FC)) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) + (portRef reset_tdc_54 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -625481,39 +625555,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) - (portRef reset_tdc_12 (instanceRef Encoder)) - (portRef reset_tdc_12 (instanceRef FC)) - )) - (net reset_tdc_fast_37_r16 (joined - (portRef reset_tdc_fast_37_r16) - (portRef reset_tdc_fast_37_r16 (instanceRef Encoder)) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) + (portRef reset_tdc_57 (instanceRef Encoder)) + (portRef reset_tdc_57 (instanceRef FC)) )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) + (net reset_tdc_fast_36_r23 (joined + (portRef reset_tdc_fast_36_r23) + (portRef reset_tdc_fast_36_r23 (instanceRef Encoder)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI7FUU)) + (portRef A (instanceRef result_2_reg_RNIPMO01)) )) - (net rd_en_i_29 (joined + (net rd_en_i_14 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_3 (joined - (portRef reset_i_3) - (portRef reset_i_3 (instanceRef FIFO)) + (net reset_i_12 (joined + (portRef reset_i_12) + (portRef reset_i_12 (instanceRef FIFO)) )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef reset_i_2 (instanceRef FIFO)) + (net reset_i_11 (joined + (portRef reset_i_11) + (portRef reset_i_11 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -625536,27 +625606,28 @@ ) ) ) - (cell Channel_24 (cellType GENERIC) + (cell Channel_31 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(24:24)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(24:24)") 1) (direction INPUT)) - (port (array (rename channel_data_i_24 "channel_data_i_24(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(56:56)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(31:31)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(31:31)") 1) (direction INPUT)) + (port (array (rename channel_data_i_31 "channel_data_i_31(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(63:63)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1551_Q (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_5 (direction INPUT)) - (port reset_i_6 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) + (port reset_i_2 (direction INPUT)) + (port reset_i_3 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_36_r33 (direction INPUT)) - (port reset_tdc_24 (direction INPUT)) - (port reset_tdc_25 (direction INPUT)) - (port reset_tdc_26 (direction INPUT)) - (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_fast_37_r18 (direction INPUT)) + (port reset_tdc_3 (direction INPUT)) + (port reset_tdc_4 (direction INPUT)) + (port reset_tdc_5 (direction INPUT)) + (port reset_tdc_6 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_rep2_32 (direction INPUT)) (port reset_tdc_rep2_31 (direction INPUT)) @@ -625576,7 +625647,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295485_0 (direction OUTPUT)) + (port N_295783_0 (direction OUTPUT)) (port G_1549_Q (direction INPUT)) (port sync_q_and_27 (direction OUTPUT)) (port G_1546_Q (direction INPUT)) @@ -625585,14 +625656,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIUTTV (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNICFQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIUTTV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICFQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIUTTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICFQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -627438,7 +627509,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIRMO01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI98LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -627694,32 +627765,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0)) )) (net tmp1_27_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIRMO01)) + (portRef B (instanceRef result_2_reg_RNI98LU)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIUTTV_1)) - (portRef B (instanceRef result_2_reg_RNIUTTV_0)) - (portRef B (instanceRef result_2_reg_RNIUTTV)) + (portRef B (instanceRef result_2_reg_RNICFQT_1)) + (portRef B (instanceRef result_2_reg_RNICFQT_0)) + (portRef B (instanceRef result_2_reg_RNICFQT)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIRMO01)) + (portRef C (instanceRef result_2_reg_RNI98LU)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIUTTV_1)) - (portRef C (instanceRef result_2_reg_RNIUTTV_0)) - (portRef C (instanceRef result_2_reg_RNIUTTV)) + (portRef C (instanceRef result_2_reg_RNICFQT_1)) + (portRef C (instanceRef result_2_reg_RNICFQT_0)) + (portRef C (instanceRef result_2_reg_RNICFQT)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIUTTV)) + (portRef Z (instanceRef result_2_reg_RNICFQT)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -627798,9 +627869,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIUTTV_1)) - (portRef A (instanceRef result_2_reg_RNIUTTV_0)) - (portRef A (instanceRef result_2_reg_RNIUTTV)) + (portRef A (instanceRef result_2_reg_RNICFQT_1)) + (portRef A (instanceRef result_2_reg_RNICFQT_0)) + (portRef A (instanceRef result_2_reg_RNICFQT)) )) (net GND (joined (portRef GND) @@ -627955,7 +628026,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIUTTV_0)) + (portRef Z (instanceRef result_2_reg_RNICFQT_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -628033,7 +628104,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIUTTV_1)) + (portRef Z (instanceRef result_2_reg_RNICFQT_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -628120,9 +628191,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295485_0 (joined + (net N_295783_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295485_0) + (portRef N_295783_0) )) (net tmp1_27_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -628774,7 +628845,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIRMO01)) + (portRef Z (instanceRef result_2_reg_RNI98LU)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -631687,9 +631758,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_24_1 (joined + (net channel_debug_01_i_31_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_24 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_31 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_27 (joined @@ -631888,7 +631959,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_56 (joined + (net stat_reg_63 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -631969,257 +632040,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_24_0 (joined + (net channel_data_i_31_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_24 31)) + (portRef (member channel_data_i_31 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_24_1 (joined + (net channel_data_i_31_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_24 30)) + (portRef (member channel_data_i_31 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_24_2 (joined + (net channel_data_i_31_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_24 29)) + (portRef (member channel_data_i_31 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_24_3 (joined + (net channel_data_i_31_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_24 28)) + (portRef (member channel_data_i_31 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_24_4 (joined + (net channel_data_i_31_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_24 27)) + (portRef (member channel_data_i_31 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_24_5 (joined + (net channel_data_i_31_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_24 26)) + (portRef (member channel_data_i_31 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_24_6 (joined + (net channel_data_i_31_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_24 25)) + (portRef (member channel_data_i_31 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_24_7 (joined + (net channel_data_i_31_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_24 24)) + (portRef (member channel_data_i_31 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_24_8 (joined + (net channel_data_i_31_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_24 23)) + (portRef (member channel_data_i_31 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_24_9 (joined + (net channel_data_i_31_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_24 22)) + (portRef (member channel_data_i_31 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_24_10 (joined + (net channel_data_i_31_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_24 21)) + (portRef (member channel_data_i_31 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_24_11 (joined + (net channel_data_i_31_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_24 20)) + (portRef (member channel_data_i_31 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_24_12 (joined + (net channel_data_i_31_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_24 19)) + (portRef (member channel_data_i_31 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_24_13 (joined + (net channel_data_i_31_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_24 18)) + (portRef (member channel_data_i_31 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_24_14 (joined + (net channel_data_i_31_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_24 17)) + (portRef (member channel_data_i_31 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_24_15 (joined + (net channel_data_i_31_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_24 16)) + (portRef (member channel_data_i_31 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_24_16 (joined + (net channel_data_i_31_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_24 15)) + (portRef (member channel_data_i_31 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_24_17 (joined + (net channel_data_i_31_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_24 14)) + (portRef (member channel_data_i_31 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_24_18 (joined + (net channel_data_i_31_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_24 13)) + (portRef (member channel_data_i_31 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_24_19 (joined + (net channel_data_i_31_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_24 12)) + (portRef (member channel_data_i_31 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_24_20 (joined + (net channel_data_i_31_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_24 11)) + (portRef (member channel_data_i_31 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_24_21 (joined + (net channel_data_i_31_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_24 10)) + (portRef (member channel_data_i_31 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_24_22 (joined + (net channel_data_i_31_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_24 9)) + (portRef (member channel_data_i_31 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_24_23 (joined + (net channel_data_i_31_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_24 8)) + (portRef (member channel_data_i_31 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_24_24 (joined + (net channel_data_i_31_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_24 7)) + (portRef (member channel_data_i_31 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_24_25 (joined + (net channel_data_i_31_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_24 6)) + (portRef (member channel_data_i_31 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_24_26 (joined + (net channel_data_i_31_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_24 5)) + (portRef (member channel_data_i_31 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_24_27 (joined + (net channel_data_i_31_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_24 4)) + (portRef (member channel_data_i_31 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_24_28 (joined + (net channel_data_i_31_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_24 3)) + (portRef (member channel_data_i_31 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_24_29 (joined + (net channel_data_i_31_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_24 2)) + (portRef (member channel_data_i_31 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_24_30 (joined + (net channel_data_i_31_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_24 1)) + (portRef (member channel_data_i_31 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_24_31 (joined + (net channel_data_i_31_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_24 0)) + (portRef (member channel_data_i_31 0)) )) (net sync_q_CR0_ram_DO1_27 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -633870,8 +633941,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_24_0 (joined - (portRef (member channel_debug_01_i_24 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_31_0 (joined + (portRef (member channel_debug_01_i_31 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -633898,9 +633969,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_24_2 (joined - (portRef (member channel_debug_01_i_24 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_24 0) (instanceRef FIFO)) + (net channel_debug_01_i_31_2 (joined + (portRef (member channel_debug_01_i_31 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_31 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -634085,17 +634156,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_26 (joined - (portRef reset_tdc_26) - (portRef reset_tdc_26 (instanceRef FC)) + (net reset_tdc_5 (joined + (portRef reset_tdc_5) + (portRef reset_tdc_5 (instanceRef FC)) )) - (net reset_tdc_25 (joined - (portRef reset_tdc_25) - (portRef reset_tdc_25 (instanceRef FC)) + (net reset_tdc_4 (joined + (portRef reset_tdc_4) + (portRef reset_tdc_4 (instanceRef FC)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) - (portRef reset_tdc_24 (instanceRef FC)) + (net reset_tdc_3 (joined + (portRef reset_tdc_3) + (portRef reset_tdc_3 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -634137,14 +634208,18 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) - (portRef reset_tdc_27 (instanceRef Encoder)) - (portRef reset_tdc_27 (instanceRef FC)) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) + (portRef reset_tdc_6 (instanceRef Encoder)) + (portRef reset_tdc_6 (instanceRef FC)) )) - (net reset_tdc_fast_36_r33 (joined - (portRef reset_tdc_fast_36_r33) - (portRef reset_tdc_fast_36_r33 (instanceRef Encoder)) + (net reset_tdc_fast_37_r18 (joined + (portRef reset_tdc_fast_37_r18) + (portRef reset_tdc_fast_37_r18 (instanceRef Encoder)) + )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) @@ -634157,23 +634232,23 @@ (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIRMO01)) + (portRef A (instanceRef result_2_reg_RNI98LU)) )) - (net rd_en_i_24 (joined + (net rd_en_i_31 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_6 (joined - (portRef reset_i_6) - (portRef reset_i_6 (instanceRef FIFO)) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef reset_i_3 (instanceRef FIFO)) )) - (net reset_i_5 (joined - (portRef reset_i_5) - (portRef reset_i_5 (instanceRef FIFO)) + (net reset_i_2 (joined + (portRef reset_i_2) + (portRef reset_i_2 (instanceRef FIFO)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) - (portRef reset_i_rep2_1 (instanceRef FIFO)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef FIFO)) )) (net G_1549_Q (joined (portRef G_1549_Q) @@ -634192,33 +634267,32 @@ ) ) ) - (cell Channel_12 (cellType GENERIC) + (cell Channel_24 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(12:12)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(12:12)") 1) (direction INPUT)) - (port (array (rename channel_data_i_12 "channel_data_i_12(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(44:44)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(24:24)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(24:24)") 1) (direction INPUT)) + (port (array (rename channel_data_i_24 "channel_data_i_24(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(56:56)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1560_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_11 (direction INPUT)) - (port reset_i_12 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r21 (direction INPUT)) - (port reset_tdc_61 (direction INPUT)) - (port reset_tdc_62 (direction INPUT)) - (port reset_tdc_63 (direction INPUT)) - (port reset_tdc_64 (direction INPUT)) + (port reset_i_6 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_fast_36_r33 (direction INPUT)) + (port reset_tdc_24 (direction INPUT)) + (port reset_tdc_25 (direction INPUT)) + (port reset_tdc_26 (direction INPUT)) + (port reset_tdc_27 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_32 (direction INPUT)) - (port reset_tdc_rep1_31 (direction INPUT)) - (port reset_tdc_rep1_30 (direction INPUT)) - (port reset_tdc_rep1_29 (direction INPUT)) - (port reset_tdc_rep1_2 (direction INPUT)) + (port reset_tdc_rep2_29 (direction INPUT)) + (port reset_tdc_rep2_28 (direction INPUT)) + (port reset_tdc_rep2_27 (direction INPUT)) + (port reset_tdc_rep2_26 (direction INPUT)) + (port reset_tdc_rep2_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -634232,7 +634306,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295498_0 (direction OUTPUT)) + (port N_295796_0 (direction OUTPUT)) (port G_1558_Q (direction INPUT)) (port sync_q_and_26 (direction OUTPUT)) (port G_1555_Q (direction INPUT)) @@ -634241,14 +634315,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI4KR31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIUTTV (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI4KR31_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIUTTV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI4KR31_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIUTTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -636094,7 +636168,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI1DM41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIRMO01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -636350,32 +636424,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0)) )) (net tmp1_26_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI1DM41)) + (portRef B (instanceRef result_2_reg_RNIRMO01)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI4KR31_1)) - (portRef B (instanceRef result_2_reg_RNI4KR31_0)) - (portRef B (instanceRef result_2_reg_RNI4KR31)) + (portRef B (instanceRef result_2_reg_RNIUTTV_1)) + (portRef B (instanceRef result_2_reg_RNIUTTV_0)) + (portRef B (instanceRef result_2_reg_RNIUTTV)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI1DM41)) + (portRef C (instanceRef result_2_reg_RNIRMO01)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI4KR31_1)) - (portRef C (instanceRef result_2_reg_RNI4KR31_0)) - (portRef C (instanceRef result_2_reg_RNI4KR31)) + (portRef C (instanceRef result_2_reg_RNIUTTV_1)) + (portRef C (instanceRef result_2_reg_RNIUTTV_0)) + (portRef C (instanceRef result_2_reg_RNIUTTV)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI4KR31)) + (portRef Z (instanceRef result_2_reg_RNIUTTV)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -636454,9 +636528,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI4KR31_1)) - (portRef A (instanceRef result_2_reg_RNI4KR31_0)) - (portRef A (instanceRef result_2_reg_RNI4KR31)) + (portRef A (instanceRef result_2_reg_RNIUTTV_1)) + (portRef A (instanceRef result_2_reg_RNIUTTV_0)) + (portRef A (instanceRef result_2_reg_RNIUTTV)) )) (net GND (joined (portRef GND) @@ -636611,7 +636685,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI4KR31_0)) + (portRef Z (instanceRef result_2_reg_RNIUTTV_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -636689,7 +636763,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI4KR31_1)) + (portRef Z (instanceRef result_2_reg_RNIUTTV_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -636776,9 +636850,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295498_0 (joined + (net N_295796_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295498_0) + (portRef N_295796_0) )) (net tmp1_26_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -637430,7 +637504,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI1DM41)) + (portRef Z (instanceRef result_2_reg_RNIRMO01)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -640343,9 +640417,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_12_1 (joined + (net channel_debug_01_i_24_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_12 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_24 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_26 (joined @@ -640544,7 +640618,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_44 (joined + (net stat_reg_56 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -640625,257 +640699,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_12_0 (joined + (net channel_data_i_24_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_12 31)) + (portRef (member channel_data_i_24 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_12_1 (joined + (net channel_data_i_24_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_12 30)) + (portRef (member channel_data_i_24 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_12_2 (joined + (net channel_data_i_24_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_12 29)) + (portRef (member channel_data_i_24 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_12_3 (joined + (net channel_data_i_24_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_12 28)) + (portRef (member channel_data_i_24 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_12_4 (joined + (net channel_data_i_24_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_12 27)) + (portRef (member channel_data_i_24 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_12_5 (joined + (net channel_data_i_24_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_12 26)) + (portRef (member channel_data_i_24 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_12_6 (joined + (net channel_data_i_24_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_12 25)) + (portRef (member channel_data_i_24 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_12_7 (joined + (net channel_data_i_24_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_12 24)) + (portRef (member channel_data_i_24 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_12_8 (joined + (net channel_data_i_24_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_12 23)) + (portRef (member channel_data_i_24 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_12_9 (joined + (net channel_data_i_24_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_12 22)) + (portRef (member channel_data_i_24 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_12_10 (joined + (net channel_data_i_24_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_12 21)) + (portRef (member channel_data_i_24 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_12_11 (joined + (net channel_data_i_24_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_12 20)) + (portRef (member channel_data_i_24 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_12_12 (joined + (net channel_data_i_24_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_12 19)) + (portRef (member channel_data_i_24 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_12_13 (joined + (net channel_data_i_24_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_12 18)) + (portRef (member channel_data_i_24 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_12_14 (joined + (net channel_data_i_24_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_12 17)) + (portRef (member channel_data_i_24 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_12_15 (joined + (net channel_data_i_24_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_12 16)) + (portRef (member channel_data_i_24 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_12_16 (joined + (net channel_data_i_24_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_12 15)) + (portRef (member channel_data_i_24 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_12_17 (joined + (net channel_data_i_24_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_12 14)) + (portRef (member channel_data_i_24 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_12_18 (joined + (net channel_data_i_24_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_12 13)) + (portRef (member channel_data_i_24 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_12_19 (joined + (net channel_data_i_24_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_12 12)) + (portRef (member channel_data_i_24 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_12_20 (joined + (net channel_data_i_24_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_12 11)) + (portRef (member channel_data_i_24 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_12_21 (joined + (net channel_data_i_24_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_12 10)) + (portRef (member channel_data_i_24 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_12_22 (joined + (net channel_data_i_24_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_12 9)) + (portRef (member channel_data_i_24 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_12_23 (joined + (net channel_data_i_24_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_12 8)) + (portRef (member channel_data_i_24 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_12_24 (joined + (net channel_data_i_24_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_12 7)) + (portRef (member channel_data_i_24 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_12_25 (joined + (net channel_data_i_24_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_12 6)) + (portRef (member channel_data_i_24 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_12_26 (joined + (net channel_data_i_24_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_12 5)) + (portRef (member channel_data_i_24 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_12_27 (joined + (net channel_data_i_24_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_12 4)) + (portRef (member channel_data_i_24 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_12_28 (joined + (net channel_data_i_24_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_12 3)) + (portRef (member channel_data_i_24 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_12_29 (joined + (net channel_data_i_24_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_12 2)) + (portRef (member channel_data_i_24 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_12_30 (joined + (net channel_data_i_24_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_12 1)) + (portRef (member channel_data_i_24 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_12_31 (joined + (net channel_data_i_24_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_12 0)) + (portRef (member channel_data_i_24 0)) )) (net sync_q_CR0_ram_DO1_26 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -640894,8 +640968,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_2 (joined - (portRef reset_tdc_rep1_2) + (net reset_tdc_rep2_1 (joined + (portRef reset_tdc_rep2_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -641017,10 +641091,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_29 (joined - (portRef reset_tdc_rep1_29) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) + (net reset_tdc_rep2_26 (joined + (portRef reset_tdc_rep2_26) (portRef B (instanceRef result_reg_3_0_i_85)) (portRef B (instanceRef result_reg_3_0_i_84)) (portRef B (instanceRef result_reg_3_0_i_83)) @@ -641437,19 +641509,8 @@ (portRef (member result_i 216) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_87)) )) - (net result_i_88 (joined - (portRef (member result_i 215) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_88)) - )) - (net result_i_89 (joined - (portRef (member result_i 214) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_89)) - )) - (net reset_tdc_rep1_30 (joined - (portRef reset_tdc_rep1_30) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) + (net reset_tdc_rep2_27 (joined + (portRef reset_tdc_rep2_27) (portRef B (instanceRef result_reg_3_0_i_183)) (portRef B (instanceRef result_reg_3_0_i_182)) (portRef B (instanceRef result_reg_3_0_i_181)) @@ -641546,6 +641607,16 @@ (portRef B (instanceRef result_reg_3_0_i_90)) (portRef B (instanceRef result_reg_3_0_i_89)) (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + )) + (net result_i_88 (joined + (portRef (member result_i 215) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_88)) + )) + (net result_i_89 (joined + (portRef (member result_i 214) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_89)) )) (net result_i_90 (joined (portRef (member result_i 213) (instanceRef FC)) @@ -641931,24 +642002,8 @@ (portRef (member result_i 118) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_185)) )) - (net result_i_186 (joined - (portRef (member result_i 117) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_186)) - )) - (net result_i_187 (joined - (portRef (member result_i 116) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_187)) - )) - (net result_i_188 (joined - (portRef (member result_i 115) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_188)) - )) - (net reset_tdc_rep1_31 (joined - (portRef reset_tdc_rep1_31) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) + (net reset_tdc_rep2_28 (joined + (portRef reset_tdc_rep2_28) (portRef B (instanceRef result_reg_3_0_i_281)) (portRef B (instanceRef result_reg_3_0_i_280)) (portRef B (instanceRef result_reg_3_0_i_279)) @@ -642044,6 +642099,21 @@ (portRef B (instanceRef result_reg_3_0_i_189)) (portRef B (instanceRef result_reg_3_0_i_188)) (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + )) + (net result_i_186 (joined + (portRef (member result_i 117) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_186)) + )) + (net result_i_187 (joined + (portRef (member result_i 116) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_187)) + )) + (net result_i_188 (joined + (portRef (member result_i 115) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_188)) )) (net result_i_189 (joined (portRef (member result_i 114) (instanceRef FC)) @@ -642425,24 +642495,8 @@ (portRef (member result_i 20) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_283)) )) - (net result_i_284 (joined - (portRef (member result_i 19) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_284)) - )) - (net result_i_285 (joined - (portRef (member result_i 18) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_285)) - )) - (net result_i_286 (joined - (portRef (member result_i 17) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_286)) - )) - (net result_i_287 (joined - (portRef (member result_i 16) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_287)) - )) - (net reset_tdc_rep1_32 (joined - (portRef reset_tdc_rep1_32) + (net reset_tdc_rep2_29 (joined + (portRef reset_tdc_rep2_29) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -642461,6 +642515,26 @@ (portRef B (instanceRef result_reg_3_0_i_288)) (portRef B (instanceRef result_reg_3_0_i_287)) (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + )) + (net result_i_284 (joined + (portRef (member result_i 19) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_284)) + )) + (net result_i_285 (joined + (portRef (member result_i 18) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_285)) + )) + (net result_i_286 (joined + (portRef (member result_i 17) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_286)) + )) + (net result_i_287 (joined + (portRef (member result_i 16) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_287)) )) (net result_i_288 (joined (portRef (member result_i 15) (instanceRef FC)) @@ -642526,8 +642600,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_12_0 (joined - (portRef (member channel_debug_01_i_12 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_24_0 (joined + (portRef (member channel_debug_01_i_24 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -642554,9 +642628,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_12_2 (joined - (portRef (member channel_debug_01_i_12 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_12 0) (instanceRef FIFO)) + (net channel_debug_01_i_24_2 (joined + (portRef (member channel_debug_01_i_24 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_24 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -642741,17 +642815,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_63 (joined - (portRef reset_tdc_63) - (portRef reset_tdc_63 (instanceRef FC)) + (net reset_tdc_26 (joined + (portRef reset_tdc_26) + (portRef reset_tdc_26 (instanceRef FC)) )) - (net reset_tdc_62 (joined - (portRef reset_tdc_62) - (portRef reset_tdc_62 (instanceRef FC)) + (net reset_tdc_25 (joined + (portRef reset_tdc_25) + (portRef reset_tdc_25 (instanceRef FC)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) - (portRef reset_tdc_61 (instanceRef FC)) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) + (portRef reset_tdc_24 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -642793,39 +642867,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) - (portRef reset_tdc_64 (instanceRef Encoder)) - (portRef reset_tdc_64 (instanceRef FC)) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) + (portRef reset_tdc_27 (instanceRef Encoder)) + (portRef reset_tdc_27 (instanceRef FC)) )) - (net reset_tdc_fast_36_r21 (joined - (portRef reset_tdc_fast_36_r21) - (portRef reset_tdc_fast_36_r21 (instanceRef Encoder)) + (net reset_tdc_fast_36_r33 (joined + (portRef reset_tdc_fast_36_r33) + (portRef reset_tdc_fast_36_r33 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef reset_tdc_rep2_36 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef reset_tdc_rep2_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI1DM41)) + (portRef A (instanceRef result_2_reg_RNIRMO01)) )) - (net rd_en_i_12 (joined + (net rd_en_i_24 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_12 (joined - (portRef reset_i_12) - (portRef reset_i_12 (instanceRef FIFO)) - )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef reset_i_11 (instanceRef FIFO)) + (net reset_i_6 (joined + (portRef reset_i_6) + (portRef reset_i_6 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -642848,48 +642918,48 @@ ) ) ) - (cell Channel_27 (cellType GENERIC) + (cell Channel_10 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(27:27)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(27:27)") 1) (direction INPUT)) - (port (array (rename channel_data_i_27 "channel_data_i_27(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(59:59)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(10:10)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(10:10)") 1) (direction INPUT)) + (port (array (rename channel_data_i_10 "channel_data_i_10(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(42:42)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(10:10)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) - (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) + (port (array (rename coarse_cnt "coarse_cnt(10:0)") 11) (direction INPUT)) (port G_1569_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_3 (direction INPUT)) - (port reset_i_4 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_37_r14 (direction INPUT)) - (port reset_tdc_15 (direction INPUT)) - (port reset_tdc_16 (direction INPUT)) - (port reset_tdc_17 (direction INPUT)) - (port reset_tdc_18 (direction INPUT)) + (port reset_i_14 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) + (port reset_tdc_fast_36_r18 (direction INPUT)) + (port reset_tdc_fast_37_r10 (direction INPUT)) + (port reset_tdc_fast_37_r11 (direction INPUT)) + (port reset_tdc_fast_36_r17 (direction INPUT)) + (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_68 (direction INPUT)) + (port reset_tdc_69 (direction INPUT)) + (port reset_tdc_70 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep2_29 (direction INPUT)) - (port reset_tdc_rep2_28 (direction INPUT)) - (port reset_tdc_rep2_27 (direction INPUT)) - (port reset_tdc_rep2_26 (direction INPUT)) - (port reset_tdc_rep2_1 (direction INPUT)) + (port reset_tdc_fast_34 (direction INPUT)) + (port reset_tdc_fast_33 (direction INPUT)) + (port reset_tdc_fast_32 (direction INPUT)) + (port reset_tdc_fast_3 (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_7_0_S0 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_5_0_S1 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_5_0_S0 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_3_0_S1 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_3_0_S0 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_1_0_S1 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_1_0_S0 (direction INPUT)) + (port un3_hit_time_stamp_i_s_9_0_S0 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_7_0_S1 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_7_0_S0 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_5_0_S1 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_5_0_S0 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_3_0_S1 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_3_0_S0 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_1_0_S1 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_1_0_S0 (direction OUTPUT)) (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295511_0 (direction OUTPUT)) + (port N_295809_0 (direction OUTPUT)) (port G_1567_Q (direction INPUT)) (port sync_q_and_25 (direction OUTPUT)) (port G_1564_Q (direction INPUT)) @@ -642898,14 +642968,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIIC121 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNICAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIIC121_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIIC121_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -643702,6 +643772,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -644751,7 +644823,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIF5S21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI93KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -644832,6 +644904,120 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) + (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un3_hit_time_stamp_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x010a")) + ) + (instance un3_hit_time_stamp_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x010a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x010a")) + ) + (instance un3_hit_time_stamp_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x010a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x010a")) + ) + (instance un3_hit_time_stamp_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x010a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x010a")) + ) + (instance un3_hit_time_stamp_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x010a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x030f")) + ) + (instance un3_hit_time_stamp_i_s_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x050c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) @@ -644910,84 +645096,6 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) (instance FC (viewRef netlist (cellRef Adder_304_27)) ) (instance Encoder (viewRef netlist (cellRef Encoder_304_Bit_Encoder_60_27)) @@ -645007,32 +645115,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0)) )) (net tmp1_25_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIF5S21)) + (portRef B (instanceRef result_2_reg_RNI93KO)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIIC121_1)) - (portRef B (instanceRef result_2_reg_RNIIC121_0)) - (portRef B (instanceRef result_2_reg_RNIIC121)) + (portRef B (instanceRef result_2_reg_RNICAPN_1)) + (portRef B (instanceRef result_2_reg_RNICAPN_0)) + (portRef B (instanceRef result_2_reg_RNICAPN)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIF5S21)) + (portRef C (instanceRef result_2_reg_RNI93KO)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIIC121_1)) - (portRef C (instanceRef result_2_reg_RNIIC121_0)) - (portRef C (instanceRef result_2_reg_RNIIC121)) + (portRef C (instanceRef result_2_reg_RNICAPN_1)) + (portRef C (instanceRef result_2_reg_RNICAPN_0)) + (portRef C (instanceRef result_2_reg_RNICAPN)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIIC121)) + (portRef Z (instanceRef result_2_reg_RNICAPN)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -645111,9 +645219,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIIC121_1)) - (portRef A (instanceRef result_2_reg_RNIIC121_0)) - (portRef A (instanceRef result_2_reg_RNIIC121)) + (portRef A (instanceRef result_2_reg_RNICAPN_1)) + (portRef A (instanceRef result_2_reg_RNICAPN_0)) + (portRef A (instanceRef result_2_reg_RNICAPN)) )) (net GND (joined (portRef GND) @@ -645121,60 +645229,6 @@ (portRef GND (instanceRef FIFO)) (portRef GND (instanceRef Encoder)) (portRef GND (instanceRef FC)) - (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef C0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef B0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) @@ -645229,6 +645283,86 @@ (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef C0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef B0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C1 (instanceRef lost_hit_cntr_s_0_23)) (portRef B1 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_s_0_23)) @@ -645268,7 +645402,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIIC121_0)) + (portRef Z (instanceRef result_2_reg_RNICAPN_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -645346,7 +645480,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIIC121_1)) + (portRef Z (instanceRef result_2_reg_RNICAPN_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -645433,9 +645567,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295511_0 (joined + (net N_295809_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295511_0) + (portRef N_295809_0) )) (net tmp1_25_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -645946,32 +646080,6 @@ (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) (portRef VCC (instanceRef FC)) - (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) @@ -645998,6 +646106,45 @@ (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef lost_hit_cntr_s_0_23)) (portRef D0 (instanceRef lost_hit_cntr_s_0_23)) (portRef D1 (instanceRef lost_hit_cntr_cry_0_21)) @@ -646087,7 +646234,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIF5S21)) + (portRef Z (instanceRef result_2_reg_RNI93KO)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -648898,7 +649045,7 @@ (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined - (portRef (member coarse_cnt 0)) + (portRef (member coarse_cnt 10)) (portRef D (instanceRef hit_time_stamp_i_0)) )) (net hit_detect_reg (joined @@ -648928,81 +649075,45 @@ (portRef Q (instanceRef hit_time_stamp_i_1)) (portRef (member hit_time_stamp_i 9) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_1_0_S0 (joined - (portRef un3_hit_time_stamp_i_cry_1_0_S0) - (portRef D (instanceRef hit_time_stamp_i_2)) - )) (net hit_time_stamp_i_2 (joined (portRef Q (instanceRef hit_time_stamp_i_2)) (portRef (member hit_time_stamp_i 8) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_1_0_S1 (joined - (portRef un3_hit_time_stamp_i_cry_1_0_S1) - (portRef D (instanceRef hit_time_stamp_i_3)) - )) (net hit_time_stamp_i_3 (joined (portRef Q (instanceRef hit_time_stamp_i_3)) (portRef (member hit_time_stamp_i 7) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_3_0_S0 (joined - (portRef un3_hit_time_stamp_i_cry_3_0_S0) - (portRef D (instanceRef hit_time_stamp_i_4)) - )) (net hit_time_stamp_i_4 (joined (portRef Q (instanceRef hit_time_stamp_i_4)) (portRef (member hit_time_stamp_i 6) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_3_0_S1 (joined - (portRef un3_hit_time_stamp_i_cry_3_0_S1) - (portRef D (instanceRef hit_time_stamp_i_5)) - )) (net hit_time_stamp_i_5 (joined (portRef Q (instanceRef hit_time_stamp_i_5)) (portRef (member hit_time_stamp_i 5) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_5_0_S0 (joined - (portRef un3_hit_time_stamp_i_cry_5_0_S0) - (portRef D (instanceRef hit_time_stamp_i_6)) - )) (net hit_time_stamp_i_6 (joined (portRef Q (instanceRef hit_time_stamp_i_6)) (portRef (member hit_time_stamp_i 4) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_5_0_S1 (joined - (portRef un3_hit_time_stamp_i_cry_5_0_S1) - (portRef D (instanceRef hit_time_stamp_i_7)) - )) (net hit_time_stamp_i_7 (joined (portRef Q (instanceRef hit_time_stamp_i_7)) (portRef (member hit_time_stamp_i 3) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_7_0_S0 (joined - (portRef un3_hit_time_stamp_i_cry_7_0_S0) - (portRef D (instanceRef hit_time_stamp_i_8)) - )) (net hit_time_stamp_i_8 (joined (portRef Q (instanceRef hit_time_stamp_i_8)) (portRef (member hit_time_stamp_i 2) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_7_0_S1 (joined - (portRef un3_hit_time_stamp_i_cry_7_0_S1) - (portRef D (instanceRef hit_time_stamp_i_9)) - )) (net hit_time_stamp_i_9 (joined (portRef Q (instanceRef hit_time_stamp_i_9)) (portRef (member hit_time_stamp_i 1) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_s_9_0_S0 (joined - (portRef un3_hit_time_stamp_i_s_9_0_S0) - (portRef D (instanceRef hit_time_stamp_i_10)) - )) (net hit_time_stamp_i_10 (joined (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_27_1 (joined + (net channel_debug_01_i_10_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_27 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_10 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_25 (joined @@ -649197,18 +649308,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_10 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_59 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -649245,6 +649356,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -649281,258 +649393,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_27_0 (joined + (net stat_reg_42 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_10_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_27 31)) + (portRef (member channel_data_i_10 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_27_1 (joined + (net channel_data_i_10_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_27 30)) + (portRef (member channel_data_i_10 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_27_2 (joined + (net channel_data_i_10_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_27 29)) + (portRef (member channel_data_i_10 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_27_3 (joined + (net channel_data_i_10_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_27 28)) + (portRef (member channel_data_i_10 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_27_4 (joined + (net channel_data_i_10_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_27 27)) + (portRef (member channel_data_i_10 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_27_5 (joined + (net channel_data_i_10_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_27 26)) + (portRef (member channel_data_i_10 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_27_6 (joined + (net channel_data_i_10_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_27 25)) + (portRef (member channel_data_i_10 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_27_7 (joined + (net channel_data_i_10_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_27 24)) + (portRef (member channel_data_i_10 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_27_8 (joined + (net channel_data_i_10_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_27 23)) + (portRef (member channel_data_i_10 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_27_9 (joined + (net channel_data_i_10_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_27 22)) + (portRef (member channel_data_i_10 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_27_10 (joined + (net channel_data_i_10_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_27 21)) + (portRef (member channel_data_i_10 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_27_11 (joined + (net channel_data_i_10_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_27 20)) + (portRef (member channel_data_i_10 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_27_12 (joined + (net channel_data_i_10_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_27 19)) + (portRef (member channel_data_i_10 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_27_13 (joined + (net channel_data_i_10_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_27 18)) + (portRef (member channel_data_i_10 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_27_14 (joined + (net channel_data_i_10_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_27 17)) + (portRef (member channel_data_i_10 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_27_15 (joined + (net channel_data_i_10_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_27 16)) + (portRef (member channel_data_i_10 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_27_16 (joined + (net channel_data_i_10_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_27 15)) + (portRef (member channel_data_i_10 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_27_17 (joined + (net channel_data_i_10_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_27 14)) + (portRef (member channel_data_i_10 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_27_18 (joined + (net channel_data_i_10_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_27 13)) + (portRef (member channel_data_i_10 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_27_19 (joined + (net channel_data_i_10_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_27 12)) + (portRef (member channel_data_i_10 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_27_20 (joined + (net channel_data_i_10_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_27 11)) + (portRef (member channel_data_i_10 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_27_21 (joined + (net channel_data_i_10_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_27 10)) + (portRef (member channel_data_i_10 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_27_22 (joined + (net channel_data_i_10_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_27 9)) + (portRef (member channel_data_i_10 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_27_23 (joined + (net channel_data_i_10_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_27 8)) + (portRef (member channel_data_i_10 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_27_24 (joined + (net channel_data_i_10_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_27 7)) + (portRef (member channel_data_i_10 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_27_25 (joined + (net channel_data_i_10_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_27 6)) + (portRef (member channel_data_i_10 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_27_26 (joined + (net channel_data_i_10_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_27 5)) + (portRef (member channel_data_i_10 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_27_27 (joined + (net channel_data_i_10_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_27 4)) + (portRef (member channel_data_i_10 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_27_28 (joined + (net channel_data_i_10_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_27 3)) + (portRef (member channel_data_i_10 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_27_29 (joined + (net channel_data_i_10_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_27 2)) + (portRef (member channel_data_i_10 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_27_30 (joined + (net channel_data_i_10_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_27 1)) + (portRef (member channel_data_i_10 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_27_31 (joined + (net channel_data_i_10_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_27 0)) + (portRef (member channel_data_i_10 0)) )) (net sync_q_CR0_ram_DO1_25 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -649551,8 +649672,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_1 (joined - (portRef reset_tdc_rep2_1) + (net reset_tdc_fast_3 (joined + (portRef reset_tdc_fast_3) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -649674,8 +649795,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_26 (joined - (portRef reset_tdc_rep2_26) + (net reset_tdc_fast_32 (joined + (portRef reset_tdc_fast_32) (portRef B (instanceRef result_reg_3_0_i_85)) (portRef B (instanceRef result_reg_3_0_i_84)) (portRef B (instanceRef result_reg_3_0_i_83)) @@ -650092,8 +650213,8 @@ (portRef (member result_i 216) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_87)) )) - (net reset_tdc_rep2_27 (joined - (portRef reset_tdc_rep2_27) + (net reset_tdc_fast_33 (joined + (portRef reset_tdc_fast_33) (portRef B (instanceRef result_reg_3_0_i_183)) (portRef B (instanceRef result_reg_3_0_i_182)) (portRef B (instanceRef result_reg_3_0_i_181)) @@ -650585,8 +650706,8 @@ (portRef (member result_i 118) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_185)) )) - (net reset_tdc_rep2_28 (joined - (portRef reset_tdc_rep2_28) + (net reset_tdc_fast_34 (joined + (portRef reset_tdc_fast_34) (portRef B (instanceRef result_reg_3_0_i_281)) (portRef B (instanceRef result_reg_3_0_i_280)) (portRef B (instanceRef result_reg_3_0_i_279)) @@ -651078,8 +651199,10 @@ (portRef (member result_i 20) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_283)) )) - (net reset_tdc_rep2_29 (joined - (portRef reset_tdc_rep2_29) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) + (portRef reset_tdc_fast_35 (instanceRef Encoder)) + (portRef A (instanceRef result_2_reg_RNI93KO)) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -651183,8 +651306,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_27_0 (joined - (portRef (member channel_debug_01_i_27 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_10_0 (joined + (portRef (member channel_debug_01_i_10 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -651211,9 +651334,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_27_2 (joined - (portRef (member channel_debug_01_i_27 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_27 0) (instanceRef FIFO)) + (net channel_debug_01_i_10_2 (joined + (portRef (member channel_debug_01_i_10 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_10 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -651278,63 +651401,6 @@ (net lost_hit_cntr_s_0_S1_25_23 (joined (portRef S1 (instanceRef lost_hit_cntr_s_0_23)) )) - (net un1_fifo_wr_en_i_cry_0 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) - )) - (net un1_fifo_wr_en_i_cry_0_0_S0_3 (joined - (portRef S0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - )) - (net un1_fifo_wr_en_i_cry_2 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_3_0)) - )) - (net un1_fifo_wr_en_i_cry_4 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_5_0)) - )) - (net un1_fifo_wr_en_i_cry_6 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_7_0)) - )) - (net un1_fifo_wr_en_i_cry_8 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_9_0)) - )) - (net un1_fifo_wr_en_i_cry_10 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_11_0)) - )) - (net un1_fifo_wr_en_i_cry_12 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_13_0)) - )) - (net un1_fifo_wr_en_i_cry_14 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_15_0)) - )) - (net un1_fifo_wr_en_i_cry_16 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_17_0)) - )) - (net un1_fifo_wr_en_i_cry_18 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_19_0)) - )) - (net un1_fifo_wr_en_i_cry_20 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_21_0)) - )) - (net un1_fifo_wr_en_i_cry_22 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) - (net un1_fifo_wr_en_i_s_23_0_COUT_3 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) - (net un1_fifo_wr_en_i_s_23_0_S1_3 (joined - (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) (net un1_encoder_start_i_cry_0 (joined (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) @@ -651392,23 +651458,197 @@ (net un1_encoder_start_i_s_23_0_S1_3 (joined (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net coarse_cnt_1 (joined + (portRef (member coarse_cnt 9)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + )) + (net un3_hit_time_stamp_i_cry_0 (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_1_0)) + )) + (net un3_hit_time_stamp_i_cry_0_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + )) + (net un3_hit_time_stamp_i_cry_0_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + )) + (net coarse_cnt_2 (joined + (portRef (member coarse_cnt 8)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + )) + (net coarse_cnt_3 (joined + (portRef (member coarse_cnt 7)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + )) + (net un3_hit_time_stamp_i_cry_2 (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_3_0)) + )) + (net un3_hit_time_stamp_i_cry_1_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef D (instanceRef hit_time_stamp_i_2)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0) + )) + (net un3_hit_time_stamp_i_cry_1_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef D (instanceRef hit_time_stamp_i_3)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1) + )) + (net coarse_cnt_4 (joined + (portRef (member coarse_cnt 6)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + )) + (net coarse_cnt_5 (joined + (portRef (member coarse_cnt 5)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + )) + (net un3_hit_time_stamp_i_cry_4 (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_5_0)) + )) + (net un3_hit_time_stamp_i_cry_3_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef D (instanceRef hit_time_stamp_i_4)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0) + )) + (net un3_hit_time_stamp_i_cry_3_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef D (instanceRef hit_time_stamp_i_5)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1) + )) + (net coarse_cnt_6 (joined + (portRef (member coarse_cnt 4)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + )) + (net coarse_cnt_7 (joined + (portRef (member coarse_cnt 3)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + )) + (net un3_hit_time_stamp_i_cry_6 (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_7_0)) + )) + (net un3_hit_time_stamp_i_cry_5_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef D (instanceRef hit_time_stamp_i_6)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0) + )) + (net un3_hit_time_stamp_i_cry_5_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef D (instanceRef hit_time_stamp_i_7)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1) + )) + (net coarse_cnt_8 (joined + (portRef (member coarse_cnt 2)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + )) + (net coarse_cnt_9 (joined + (portRef (member coarse_cnt 1)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + )) + (net un3_hit_time_stamp_i_cry_8 (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_s_9_0)) + )) + (net un3_hit_time_stamp_i_cry_7_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef D (instanceRef hit_time_stamp_i_8)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0) + )) + (net un3_hit_time_stamp_i_cry_7_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef D (instanceRef hit_time_stamp_i_9)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1) + )) + (net coarse_cnt_10 (joined + (portRef (member coarse_cnt 0)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_s_9_0)) + )) + (net un3_hit_time_stamp_i_s_9_0_COUT (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_s_9_0)) + )) + (net un3_hit_time_stamp_i_s_9_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef D (instanceRef hit_time_stamp_i_10)) + (portRef un3_hit_time_stamp_i_s_9_0_S0) + )) + (net un3_hit_time_stamp_i_s_9_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_s_9_0)) + )) + (net un1_fifo_wr_en_i_cry_0 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) + )) + (net un1_fifo_wr_en_i_cry_0_0_S0_3 (joined + (portRef S0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + )) + (net un1_fifo_wr_en_i_cry_2 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_3_0)) + )) + (net un1_fifo_wr_en_i_cry_4 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_5_0)) + )) + (net un1_fifo_wr_en_i_cry_6 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_7_0)) + )) + (net un1_fifo_wr_en_i_cry_8 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_9_0)) + )) + (net un1_fifo_wr_en_i_cry_10 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_11_0)) + )) + (net un1_fifo_wr_en_i_cry_12 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_13_0)) + )) + (net un1_fifo_wr_en_i_cry_14 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_15_0)) + )) + (net un1_fifo_wr_en_i_cry_16 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_17_0)) + )) + (net un1_fifo_wr_en_i_cry_18 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_19_0)) + )) + (net un1_fifo_wr_en_i_cry_20 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_21_0)) + )) + (net un1_fifo_wr_en_i_cry_22 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) + (net un1_fifo_wr_en_i_s_23_0_COUT_3 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) + (net un1_fifo_wr_en_i_s_23_0_S1_3 (joined + (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) (net hit_buf (joined (portRef hit_buf (instanceRef FC)) (portRef Z (instanceRef hit_buf_RNO)) ) (property NOMERGE (string "true")) ) - (net reset_tdc_17 (joined - (portRef reset_tdc_17) - (portRef reset_tdc_17 (instanceRef FC)) + (net reset_tdc_69 (joined + (portRef reset_tdc_69) + (portRef reset_tdc_69 (instanceRef FC)) )) - (net reset_tdc_16 (joined - (portRef reset_tdc_16) - (portRef reset_tdc_16 (instanceRef FC)) + (net reset_tdc_68 (joined + (portRef reset_tdc_68) + (portRef reset_tdc_68 (instanceRef FC)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) - (portRef reset_tdc_15 (instanceRef FC)) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) + (portRef reset_tdc_67 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -651450,43 +651690,38 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) - (portRef reset_tdc_18 (instanceRef Encoder)) - (portRef reset_tdc_18 (instanceRef FC)) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) + (portRef reset_tdc_70 (instanceRef Encoder)) + (portRef reset_tdc_70 (instanceRef FC)) )) - (net reset_tdc_fast_37_r14 (joined - (portRef reset_tdc_fast_37_r14) - (portRef reset_tdc_fast_37_r14 (instanceRef Encoder)) + (net reset_tdc_fast_36_r17 (joined + (portRef reset_tdc_fast_36_r17) + (portRef reset_tdc_fast_36_r17 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + (net reset_tdc_fast_37_r11 (joined + (portRef reset_tdc_fast_37_r11) + (portRef reset_tdc_fast_37_r11 (instanceRef Encoder)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r10 (joined + (portRef reset_tdc_fast_37_r10) + (portRef reset_tdc_fast_37_r10 (instanceRef Encoder)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef reset_tdc_rep2_35 (instanceRef Encoder)) + (net reset_tdc_fast_36_r18 (joined + (portRef reset_tdc_fast_36_r18) + (portRef reset_tdc_fast_36_r18 (instanceRef Encoder)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) - (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIF5S21)) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) + (portRef reset_tdc_fast_36_r20 (instanceRef Encoder)) )) - (net rd_en_i_27 (joined + (net rd_en_i_10 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef reset_i_4 (instanceRef FIFO)) - )) - (net reset_i_3 (joined - (portRef reset_i_3) - (portRef reset_i_3 (instanceRef FIFO)) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef reset_i_14 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -651521,8 +651756,8 @@ (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1578_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_6 (direction INPUT)) (port reset_i_7 (direction INPUT)) + (port reset_i_8 (direction INPUT)) (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_rep1_36 (direction INPUT)) (port reset_tdc_fast_36_r31 (direction INPUT)) @@ -651535,10 +651770,9 @@ (port reset_tdc_rep2_25 (direction INPUT)) (port reset_tdc_rep2_24 (direction INPUT)) (port reset_tdc_rep2_23 (direction INPUT)) - (port reset_tdc_rep1_29 (direction INPUT)) - (port reset_tdc_rep1_28 (direction INPUT)) + (port reset_tdc_rep1_32 (direction INPUT)) + (port reset_tdc_rep1_31 (direction INPUT)) (port reset_tdc_rep1_2 (direction INPUT)) - (port reset_tdc_rep1_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -651552,7 +651786,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295524_0 (direction OUTPUT)) + (port N_295822_0 (direction OUTPUT)) (port G_1576_Q (direction INPUT)) (port sync_q_and_24 (direction OUTPUT)) (port G_1573_Q (direction INPUT)) @@ -654096,9 +654330,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295524_0 (joined + (net N_295822_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295524_0) + (portRef N_295822_0) )) (net tmp1_24_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -658214,8 +658448,24 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_1 (joined - (portRef reset_tdc_rep1_1) + (net reset_tdc_rep1_2 (joined + (portRef reset_tdc_rep1_2) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) (portRef B (instanceRef lost_hit_cntr_lm_0_7)) (portRef B (instanceRef lost_hit_cntr_lm_0_6)) (portRef B (instanceRef lost_hit_cntr_lm_0_5)) @@ -658257,25 +658507,6 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_9)) )) - (net reset_tdc_rep1_2 (joined - (portRef reset_tdc_rep1_2) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) - )) (net lost_hit_cntr_s_10 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_10)) @@ -658340,12 +658571,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_28 (joined - (portRef reset_tdc_rep1_28) - (portRef B (instanceRef result_reg_3_0_i_27)) - (portRef B (instanceRef result_reg_3_0_i_26)) - (portRef B (instanceRef result_reg_3_0_i_25)) - (portRef B (instanceRef result_reg_3_0_i_24)) + (net reset_tdc_rep1_31 (joined + (portRef reset_tdc_rep1_31) (portRef B (instanceRef result_reg_3_0_i_23)) (portRef B (instanceRef result_reg_3_0_i_22)) (portRef B (instanceRef result_reg_3_0_i_21)) @@ -658452,6 +658679,27 @@ (portRef (member result_i 278) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_25)) )) + (net reset_tdc_rep1_32 (joined + (portRef reset_tdc_rep1_32) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + (portRef B (instanceRef result_reg_3_0_i_27)) + (portRef B (instanceRef result_reg_3_0_i_26)) + (portRef B (instanceRef result_reg_3_0_i_25)) + (portRef B (instanceRef result_reg_3_0_i_24)) + )) (net result_i_26 (joined (portRef (member result_i 277) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_26)) @@ -658468,23 +658716,6 @@ (portRef (member result_i 274) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_29)) )) - (net reset_tdc_rep1_29 (joined - (portRef reset_tdc_rep1_29) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - )) (net result_i_30 (joined (portRef (member result_i 273) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_30)) @@ -660148,14 +660379,14 @@ (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) + (net reset_i_8 (joined + (portRef reset_i_8) + (portRef reset_i_8 (instanceRef FIFO)) + )) (net reset_i_7 (joined (portRef reset_i_7) (portRef reset_i_7 (instanceRef FIFO)) )) - (net reset_i_6 (joined - (portRef reset_i_6) - (portRef reset_i_6 (instanceRef FIFO)) - )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef reset_i_rep2_1 (instanceRef FIFO)) @@ -660177,47 +660408,48 @@ ) ) ) - (cell Channel_10 (cellType GENERIC) + (cell Channel_27 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(10:10)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(10:10)") 1) (direction INPUT)) - (port (array (rename channel_data_i_10 "channel_data_i_10(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(42:42)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(27:27)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(27:27)") 1) (direction INPUT)) + (port (array (rename channel_data_i_27 "channel_data_i_27(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(59:59)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) - (port (array (rename coarse_cnt "coarse_cnt(10:0)") 11) (direction INPUT)) + (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1587_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_13 (direction INPUT)) - (port reset_tdc_fast_36_r20 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_37_r10 (direction INPUT)) - (port reset_tdc_fast_37_r11 (direction INPUT)) - (port reset_tdc_fast_36_r17 (direction INPUT)) - (port reset_tdc_67 (direction INPUT)) - (port reset_tdc_68 (direction INPUT)) - (port reset_tdc_69 (direction INPUT)) - (port reset_tdc_70 (direction INPUT)) + (port reset_i_4 (direction INPUT)) + (port reset_i_5 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_37_r14 (direction INPUT)) + (port reset_tdc_15 (direction INPUT)) + (port reset_tdc_16 (direction INPUT)) + (port reset_tdc_17 (direction INPUT)) + (port reset_tdc_18 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_34 (direction INPUT)) - (port reset_tdc_fast_33 (direction INPUT)) - (port reset_tdc_fast_32 (direction INPUT)) - (port reset_tdc_fast_3 (direction INPUT)) + (port reset_tdc_rep2_23 (direction INPUT)) + (port reset_tdc_rep2_22 (direction INPUT)) + (port reset_tdc_rep2_21 (direction INPUT)) + (port reset_tdc_rep2_20 (direction INPUT)) + (port reset_tdc_rep2_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port un3_hit_time_stamp_i_s_9_0_S0 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_7_0_S1 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_7_0_S0 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_5_0_S1 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_5_0_S0 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_3_0_S1 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_3_0_S0 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_1_0_S1 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_1_0_S0 (direction OUTPUT)) + (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_7_0_S0 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_5_0_S1 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_5_0_S0 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_3_0_S1 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_3_0_S0 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_1_0_S1 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_1_0_S0 (direction INPUT)) (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295537_0 (direction OUTPUT)) + (port N_295835_0 (direction OUTPUT)) (port G_1585_Q (direction INPUT)) (port sync_q_and_23 (direction OUTPUT)) (port G_1582_Q (direction INPUT)) @@ -660226,14 +660458,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNICAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIIC121 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIIC121_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIIC121_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -662079,7 +662311,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI93KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIF5S21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -662160,42 +662392,6 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_hit_time_stamp_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x010a")) - ) - (instance un3_hit_time_stamp_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x010a")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x010a")) - ) - (instance un3_hit_time_stamp_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x010a")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x010a")) - ) - (instance un3_hit_time_stamp_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x010a")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x010a")) - ) - (instance un3_hit_time_stamp_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x010a")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x030f")) - ) - (instance un3_hit_time_stamp_i_s_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x050c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) @@ -662371,32 +662567,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0)) )) (net tmp1_23_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI93KO)) + (portRef B (instanceRef result_2_reg_RNIF5S21)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNICAPN_1)) - (portRef B (instanceRef result_2_reg_RNICAPN_0)) - (portRef B (instanceRef result_2_reg_RNICAPN)) + (portRef B (instanceRef result_2_reg_RNIIC121_1)) + (portRef B (instanceRef result_2_reg_RNIIC121_0)) + (portRef B (instanceRef result_2_reg_RNIIC121)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI93KO)) + (portRef C (instanceRef result_2_reg_RNIF5S21)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNICAPN_1)) - (portRef C (instanceRef result_2_reg_RNICAPN_0)) - (portRef C (instanceRef result_2_reg_RNICAPN)) + (portRef C (instanceRef result_2_reg_RNIIC121_1)) + (portRef C (instanceRef result_2_reg_RNIIC121_0)) + (portRef C (instanceRef result_2_reg_RNIIC121)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNICAPN)) + (portRef Z (instanceRef result_2_reg_RNIIC121)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -662475,9 +662671,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNICAPN_1)) - (portRef A (instanceRef result_2_reg_RNICAPN_0)) - (portRef A (instanceRef result_2_reg_RNICAPN)) + (portRef A (instanceRef result_2_reg_RNIIC121_1)) + (portRef A (instanceRef result_2_reg_RNIIC121_0)) + (portRef A (instanceRef result_2_reg_RNIIC121)) )) (net GND (joined (portRef GND) @@ -662593,32 +662789,6 @@ (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) (portRef C1 (instanceRef lost_hit_cntr_s_0_23)) (portRef B1 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_s_0_23)) @@ -662658,7 +662828,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNICAPN_0)) + (portRef Z (instanceRef result_2_reg_RNIIC121_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -662736,7 +662906,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNICAPN_1)) + (portRef Z (instanceRef result_2_reg_RNIIC121_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -662823,9 +662993,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295537_0 (joined + (net N_295835_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295537_0) + (portRef N_295835_0) )) (net tmp1_23_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -663388,19 +663558,6 @@ (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) (portRef D1 (instanceRef lost_hit_cntr_s_0_23)) (portRef D0 (instanceRef lost_hit_cntr_s_0_23)) (portRef D1 (instanceRef lost_hit_cntr_cry_0_21)) @@ -663490,7 +663647,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI93KO)) + (portRef Z (instanceRef result_2_reg_RNIF5S21)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -666301,7 +666458,7 @@ (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined - (portRef (member coarse_cnt 10)) + (portRef (member coarse_cnt 0)) (portRef D (instanceRef hit_time_stamp_i_0)) )) (net hit_detect_reg (joined @@ -666331,45 +666488,81 @@ (portRef Q (instanceRef hit_time_stamp_i_1)) (portRef (member hit_time_stamp_i 9) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_1_0_S0 (joined + (portRef un3_hit_time_stamp_i_cry_1_0_S0) + (portRef D (instanceRef hit_time_stamp_i_2)) + )) (net hit_time_stamp_i_2 (joined (portRef Q (instanceRef hit_time_stamp_i_2)) (portRef (member hit_time_stamp_i 8) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_1_0_S1 (joined + (portRef un3_hit_time_stamp_i_cry_1_0_S1) + (portRef D (instanceRef hit_time_stamp_i_3)) + )) (net hit_time_stamp_i_3 (joined (portRef Q (instanceRef hit_time_stamp_i_3)) (portRef (member hit_time_stamp_i 7) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_3_0_S0 (joined + (portRef un3_hit_time_stamp_i_cry_3_0_S0) + (portRef D (instanceRef hit_time_stamp_i_4)) + )) (net hit_time_stamp_i_4 (joined (portRef Q (instanceRef hit_time_stamp_i_4)) (portRef (member hit_time_stamp_i 6) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_3_0_S1 (joined + (portRef un3_hit_time_stamp_i_cry_3_0_S1) + (portRef D (instanceRef hit_time_stamp_i_5)) + )) (net hit_time_stamp_i_5 (joined (portRef Q (instanceRef hit_time_stamp_i_5)) (portRef (member hit_time_stamp_i 5) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_5_0_S0 (joined + (portRef un3_hit_time_stamp_i_cry_5_0_S0) + (portRef D (instanceRef hit_time_stamp_i_6)) + )) (net hit_time_stamp_i_6 (joined (portRef Q (instanceRef hit_time_stamp_i_6)) (portRef (member hit_time_stamp_i 4) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_5_0_S1 (joined + (portRef un3_hit_time_stamp_i_cry_5_0_S1) + (portRef D (instanceRef hit_time_stamp_i_7)) + )) (net hit_time_stamp_i_7 (joined (portRef Q (instanceRef hit_time_stamp_i_7)) (portRef (member hit_time_stamp_i 3) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_7_0_S0 (joined + (portRef un3_hit_time_stamp_i_cry_7_0_S0) + (portRef D (instanceRef hit_time_stamp_i_8)) + )) (net hit_time_stamp_i_8 (joined (portRef Q (instanceRef hit_time_stamp_i_8)) (portRef (member hit_time_stamp_i 2) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_7_0_S1 (joined + (portRef un3_hit_time_stamp_i_cry_7_0_S1) + (portRef D (instanceRef hit_time_stamp_i_9)) + )) (net hit_time_stamp_i_9 (joined (portRef Q (instanceRef hit_time_stamp_i_9)) (portRef (member hit_time_stamp_i 1) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_s_9_0_S0 (joined + (portRef un3_hit_time_stamp_i_s_9_0_S0) + (portRef D (instanceRef hit_time_stamp_i_10)) + )) (net hit_time_stamp_i_10 (joined (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_10_1 (joined + (net channel_debug_01_i_27_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_10 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_27 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_23 (joined @@ -666568,7 +666761,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_42 (joined + (net stat_reg_59 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -666649,257 +666842,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_10_0 (joined + (net channel_data_i_27_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_10 31)) + (portRef (member channel_data_i_27 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_10_1 (joined + (net channel_data_i_27_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_10 30)) + (portRef (member channel_data_i_27 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_10_2 (joined + (net channel_data_i_27_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_10 29)) + (portRef (member channel_data_i_27 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_10_3 (joined + (net channel_data_i_27_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_10 28)) + (portRef (member channel_data_i_27 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_10_4 (joined + (net channel_data_i_27_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_10 27)) + (portRef (member channel_data_i_27 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_10_5 (joined + (net channel_data_i_27_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_10 26)) + (portRef (member channel_data_i_27 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_10_6 (joined + (net channel_data_i_27_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_10 25)) + (portRef (member channel_data_i_27 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_10_7 (joined + (net channel_data_i_27_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_10 24)) + (portRef (member channel_data_i_27 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_10_8 (joined + (net channel_data_i_27_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_10 23)) + (portRef (member channel_data_i_27 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_10_9 (joined + (net channel_data_i_27_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_10 22)) + (portRef (member channel_data_i_27 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_10_10 (joined + (net channel_data_i_27_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_10 21)) + (portRef (member channel_data_i_27 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_10_11 (joined + (net channel_data_i_27_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_10 20)) + (portRef (member channel_data_i_27 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_10_12 (joined + (net channel_data_i_27_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_10 19)) + (portRef (member channel_data_i_27 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_10_13 (joined + (net channel_data_i_27_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_10 18)) + (portRef (member channel_data_i_27 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_10_14 (joined + (net channel_data_i_27_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_10 17)) + (portRef (member channel_data_i_27 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_10_15 (joined + (net channel_data_i_27_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_10 16)) + (portRef (member channel_data_i_27 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_10_16 (joined + (net channel_data_i_27_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_10 15)) + (portRef (member channel_data_i_27 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_10_17 (joined + (net channel_data_i_27_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_10 14)) + (portRef (member channel_data_i_27 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_10_18 (joined + (net channel_data_i_27_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_10 13)) + (portRef (member channel_data_i_27 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_10_19 (joined + (net channel_data_i_27_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_10 12)) + (portRef (member channel_data_i_27 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_10_20 (joined + (net channel_data_i_27_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_10 11)) + (portRef (member channel_data_i_27 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_10_21 (joined + (net channel_data_i_27_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_10 10)) + (portRef (member channel_data_i_27 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_10_22 (joined + (net channel_data_i_27_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_10 9)) + (portRef (member channel_data_i_27 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_10_23 (joined + (net channel_data_i_27_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_10 8)) + (portRef (member channel_data_i_27 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_10_24 (joined + (net channel_data_i_27_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_10 7)) + (portRef (member channel_data_i_27 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_10_25 (joined + (net channel_data_i_27_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_10 6)) + (portRef (member channel_data_i_27 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_10_26 (joined + (net channel_data_i_27_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_10 5)) + (portRef (member channel_data_i_27 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_10_27 (joined + (net channel_data_i_27_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_10 4)) + (portRef (member channel_data_i_27 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_10_28 (joined + (net channel_data_i_27_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_10 3)) + (portRef (member channel_data_i_27 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_10_29 (joined + (net channel_data_i_27_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_10 2)) + (portRef (member channel_data_i_27 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_10_30 (joined + (net channel_data_i_27_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_10 1)) + (portRef (member channel_data_i_27 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_10_31 (joined + (net channel_data_i_27_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_10 0)) + (portRef (member channel_data_i_27 0)) )) (net sync_q_CR0_ram_DO1_23 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -666918,8 +667111,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_fast_3 (joined - (portRef reset_tdc_fast_3) + (net reset_tdc_rep2_1 (joined + (portRef reset_tdc_rep2_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -667041,34 +667234,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_32 (joined - (portRef reset_tdc_fast_32) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) + (net reset_tdc_rep2_20 (joined + (portRef reset_tdc_rep2_20) (portRef B (instanceRef result_reg_3_0_i_59)) (portRef B (instanceRef result_reg_3_0_i_58)) (portRef B (instanceRef result_reg_3_0_i_57)) @@ -667355,6 +667522,108 @@ (portRef (member result_i 242) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_61)) )) + (net reset_tdc_rep2_21 (joined + (portRef reset_tdc_rep2_21) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + )) (net result_i_62 (joined (portRef (member result_i 241) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_62)) @@ -667459,107 +667728,6 @@ (portRef (member result_i 216) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_87)) )) - (net reset_tdc_fast_33 (joined - (portRef reset_tdc_fast_33) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - )) (net result_i_88 (joined (portRef (member result_i 215) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_88)) @@ -667852,6 +668020,107 @@ (portRef (member result_i 143) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_160)) )) + (net reset_tdc_rep2_22 (joined + (portRef reset_tdc_rep2_22) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + )) (net result_i_161 (joined (portRef (member result_i 142) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_161)) @@ -667952,107 +668221,6 @@ (portRef (member result_i 118) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_185)) )) - (net reset_tdc_fast_34 (joined - (portRef reset_tdc_fast_34) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - )) (net result_i_186 (joined (portRef (member result_i 117) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_186)) @@ -668345,6 +668513,56 @@ (portRef (member result_i 45) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_258)) )) + (net reset_tdc_rep2_23 (joined + (portRef reset_tdc_rep2_23) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + )) (net result_i_259 (joined (portRef (member result_i 44) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_259)) @@ -668445,33 +668663,6 @@ (portRef (member result_i 20) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_283)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) - (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI93KO)) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - )) (net result_i_284 (joined (portRef (member result_i 19) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_284)) @@ -668552,8 +668743,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_10_0 (joined - (portRef (member channel_debug_01_i_10 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_27_0 (joined + (portRef (member channel_debug_01_i_27 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -668580,9 +668771,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_10_2 (joined - (portRef (member channel_debug_01_i_10 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_10 0) (instanceRef FIFO)) + (net channel_debug_01_i_27_2 (joined + (portRef (member channel_debug_01_i_27 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_27 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -668647,123 +668838,6 @@ (net lost_hit_cntr_s_0_S1_23_23 (joined (portRef S1 (instanceRef lost_hit_cntr_s_0_23)) )) - (net coarse_cnt_1 (joined - (portRef (member coarse_cnt 9)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - )) - (net un3_hit_time_stamp_i_cry_0 (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_1_0)) - )) - (net un3_hit_time_stamp_i_cry_0_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - )) - (net un3_hit_time_stamp_i_cry_0_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - )) - (net coarse_cnt_2 (joined - (portRef (member coarse_cnt 8)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - )) - (net coarse_cnt_3 (joined - (portRef (member coarse_cnt 7)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - )) - (net un3_hit_time_stamp_i_cry_2 (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_3_0)) - )) - (net un3_hit_time_stamp_i_cry_1_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef D (instanceRef hit_time_stamp_i_2)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0) - )) - (net un3_hit_time_stamp_i_cry_1_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef D (instanceRef hit_time_stamp_i_3)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1) - )) - (net coarse_cnt_4 (joined - (portRef (member coarse_cnt 6)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - )) - (net coarse_cnt_5 (joined - (portRef (member coarse_cnt 5)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - )) - (net un3_hit_time_stamp_i_cry_4 (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_5_0)) - )) - (net un3_hit_time_stamp_i_cry_3_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef D (instanceRef hit_time_stamp_i_4)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0) - )) - (net un3_hit_time_stamp_i_cry_3_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef D (instanceRef hit_time_stamp_i_5)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1) - )) - (net coarse_cnt_6 (joined - (portRef (member coarse_cnt 4)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - )) - (net coarse_cnt_7 (joined - (portRef (member coarse_cnt 3)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - )) - (net un3_hit_time_stamp_i_cry_6 (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_7_0)) - )) - (net un3_hit_time_stamp_i_cry_5_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef D (instanceRef hit_time_stamp_i_6)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0) - )) - (net un3_hit_time_stamp_i_cry_5_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef D (instanceRef hit_time_stamp_i_7)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1) - )) - (net coarse_cnt_8 (joined - (portRef (member coarse_cnt 2)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - )) - (net coarse_cnt_9 (joined - (portRef (member coarse_cnt 1)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - )) - (net un3_hit_time_stamp_i_cry_8 (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_s_9_0)) - )) - (net un3_hit_time_stamp_i_cry_7_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef D (instanceRef hit_time_stamp_i_8)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0) - )) - (net un3_hit_time_stamp_i_cry_7_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef D (instanceRef hit_time_stamp_i_9)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1) - )) - (net coarse_cnt_10 (joined - (portRef (member coarse_cnt 0)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_s_9_0)) - )) - (net un3_hit_time_stamp_i_s_9_0_COUT (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_s_9_0)) - )) - (net un3_hit_time_stamp_i_s_9_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef D (instanceRef hit_time_stamp_i_10)) - (portRef un3_hit_time_stamp_i_s_9_0_S0) - )) - (net un3_hit_time_stamp_i_s_9_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_s_9_0)) - )) (net un1_fifo_wr_en_i_cry_0 (joined (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) @@ -668884,17 +668958,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_69 (joined - (portRef reset_tdc_69) - (portRef reset_tdc_69 (instanceRef FC)) + (net reset_tdc_17 (joined + (portRef reset_tdc_17) + (portRef reset_tdc_17 (instanceRef FC)) )) - (net reset_tdc_68 (joined - (portRef reset_tdc_68) - (portRef reset_tdc_68 (instanceRef FC)) + (net reset_tdc_16 (joined + (portRef reset_tdc_16) + (portRef reset_tdc_16 (instanceRef FC)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) - (portRef reset_tdc_67 (instanceRef FC)) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) + (portRef reset_tdc_15 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -668936,38 +669010,43 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) - (portRef reset_tdc_70 (instanceRef Encoder)) - (portRef reset_tdc_70 (instanceRef FC)) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) + (portRef reset_tdc_18 (instanceRef Encoder)) + (portRef reset_tdc_18 (instanceRef FC)) )) - (net reset_tdc_fast_36_r17 (joined - (portRef reset_tdc_fast_36_r17) - (portRef reset_tdc_fast_36_r17 (instanceRef Encoder)) + (net reset_tdc_fast_37_r14 (joined + (portRef reset_tdc_fast_37_r14) + (portRef reset_tdc_fast_37_r14 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r11 (joined - (portRef reset_tdc_fast_37_r11) - (portRef reset_tdc_fast_37_r11 (instanceRef Encoder)) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r10 (joined - (portRef reset_tdc_fast_37_r10) - (portRef reset_tdc_fast_37_r10 (instanceRef Encoder)) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef reset_tdc_rep2_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) - (portRef reset_tdc_fast_36_r18 (instanceRef Encoder)) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef reset_tdc_rep2_35 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r20 (joined - (portRef reset_tdc_fast_36_r20) - (portRef reset_tdc_fast_36_r20 (instanceRef Encoder)) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) + (portRef reset_tdc_fast_35 (instanceRef Encoder)) + (portRef A (instanceRef result_2_reg_RNIF5S21)) )) - (net rd_en_i_10 (joined + (net rd_en_i_27 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef reset_i_13 (instanceRef FIFO)) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef reset_i_5 (instanceRef FIFO)) + )) + (net reset_i_4 (joined + (portRef reset_i_4) + (portRef reset_i_4 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -668990,34 +669069,35 @@ ) ) ) - (cell Channel_25 (cellType GENERIC) + (cell Channel_12 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(25:25)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(25:25)") 1) (direction INPUT)) - (port (array (rename channel_data_i_25 "channel_data_i_25(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(57:57)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(12:12)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(12:12)") 1) (direction INPUT)) + (port (array (rename channel_data_i_12 "channel_data_i_12(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(44:44)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(12:12)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1596_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_4 (direction INPUT)) - (port reset_i_5 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_37_r12 (direction INPUT)) - (port reset_tdc_21 (direction INPUT)) - (port reset_tdc_22 (direction INPUT)) - (port reset_tdc_23 (direction INPUT)) - (port reset_tdc_24 (direction INPUT)) + (port reset_i_12 (direction INPUT)) + (port reset_i_13 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r21 (direction INPUT)) + (port reset_tdc_61 (direction INPUT)) + (port reset_tdc_62 (direction INPUT)) + (port reset_tdc_63 (direction INPUT)) + (port reset_tdc_64 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep2_23 (direction INPUT)) - (port reset_tdc_rep2_22 (direction INPUT)) - (port reset_tdc_rep2_21 (direction INPUT)) - (port reset_tdc_rep2_20 (direction INPUT)) - (port reset_tdc_rep2_1 (direction INPUT)) + (port reset_tdc_rep1_31 (direction INPUT)) + (port reset_tdc_rep1_30 (direction INPUT)) + (port reset_tdc_rep1_29 (direction INPUT)) + (port reset_tdc_rep1_28 (direction INPUT)) + (port reset_tdc_rep1_2 (direction INPUT)) + (port reset_tdc_rep1_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -669031,7 +669111,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295550_0 (direction OUTPUT)) + (port N_295848_0 (direction OUTPUT)) (port G_1594_Q (direction INPUT)) (port sync_q_and_22 (direction OUTPUT)) (port G_1591_Q (direction INPUT)) @@ -669040,14 +669120,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIQ2VL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI4KR31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIQ2VL_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI4KR31_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIQ2VL_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI4KR31_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -669844,6 +669924,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -670893,7 +670975,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNINRPM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI1DM41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -671149,32 +671231,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0)) )) (net tmp1_22_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNINRPM)) + (portRef B (instanceRef result_2_reg_RNI1DM41)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIQ2VL_1)) - (portRef B (instanceRef result_2_reg_RNIQ2VL_0)) - (portRef B (instanceRef result_2_reg_RNIQ2VL)) + (portRef B (instanceRef result_2_reg_RNI4KR31_1)) + (portRef B (instanceRef result_2_reg_RNI4KR31_0)) + (portRef B (instanceRef result_2_reg_RNI4KR31)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNINRPM)) + (portRef C (instanceRef result_2_reg_RNI1DM41)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIQ2VL_1)) - (portRef C (instanceRef result_2_reg_RNIQ2VL_0)) - (portRef C (instanceRef result_2_reg_RNIQ2VL)) + (portRef C (instanceRef result_2_reg_RNI4KR31_1)) + (portRef C (instanceRef result_2_reg_RNI4KR31_0)) + (portRef C (instanceRef result_2_reg_RNI4KR31)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIQ2VL)) + (portRef Z (instanceRef result_2_reg_RNI4KR31)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -671253,9 +671335,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIQ2VL_1)) - (portRef A (instanceRef result_2_reg_RNIQ2VL_0)) - (portRef A (instanceRef result_2_reg_RNIQ2VL)) + (portRef A (instanceRef result_2_reg_RNI4KR31_1)) + (portRef A (instanceRef result_2_reg_RNI4KR31_0)) + (portRef A (instanceRef result_2_reg_RNI4KR31)) )) (net GND (joined (portRef GND) @@ -671410,7 +671492,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIQ2VL_0)) + (portRef Z (instanceRef result_2_reg_RNI4KR31_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -671488,7 +671570,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIQ2VL_1)) + (portRef Z (instanceRef result_2_reg_RNI4KR31_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -671575,9 +671657,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295550_0 (joined + (net N_295848_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295550_0) + (portRef N_295848_0) )) (net tmp1_22_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -672229,7 +672311,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNINRPM)) + (portRef Z (instanceRef result_2_reg_RNI1DM41)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -675142,9 +675224,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_25_1 (joined + (net channel_debug_01_i_12_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_25 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_12 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_22 (joined @@ -675339,18 +675421,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_12 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_57 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -675387,6 +675469,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -675423,258 +675506,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_25_0 (joined + (net stat_reg_44 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_12_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_25 31)) + (portRef (member channel_data_i_12 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_25_1 (joined + (net channel_data_i_12_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_25 30)) + (portRef (member channel_data_i_12 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_25_2 (joined + (net channel_data_i_12_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_25 29)) + (portRef (member channel_data_i_12 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_25_3 (joined + (net channel_data_i_12_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_25 28)) + (portRef (member channel_data_i_12 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_25_4 (joined + (net channel_data_i_12_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_25 27)) + (portRef (member channel_data_i_12 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_25_5 (joined + (net channel_data_i_12_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_25 26)) + (portRef (member channel_data_i_12 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_25_6 (joined + (net channel_data_i_12_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_25 25)) + (portRef (member channel_data_i_12 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_25_7 (joined + (net channel_data_i_12_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_25 24)) + (portRef (member channel_data_i_12 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_25_8 (joined + (net channel_data_i_12_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_25 23)) + (portRef (member channel_data_i_12 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_25_9 (joined + (net channel_data_i_12_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_25 22)) + (portRef (member channel_data_i_12 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_25_10 (joined + (net channel_data_i_12_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_25 21)) + (portRef (member channel_data_i_12 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_25_11 (joined + (net channel_data_i_12_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_25 20)) + (portRef (member channel_data_i_12 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_25_12 (joined + (net channel_data_i_12_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_25 19)) + (portRef (member channel_data_i_12 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_25_13 (joined + (net channel_data_i_12_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_25 18)) + (portRef (member channel_data_i_12 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_25_14 (joined + (net channel_data_i_12_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_25 17)) + (portRef (member channel_data_i_12 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_25_15 (joined + (net channel_data_i_12_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_25 16)) + (portRef (member channel_data_i_12 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_25_16 (joined + (net channel_data_i_12_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_25 15)) + (portRef (member channel_data_i_12 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_25_17 (joined + (net channel_data_i_12_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_25 14)) + (portRef (member channel_data_i_12 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_25_18 (joined + (net channel_data_i_12_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_25 13)) + (portRef (member channel_data_i_12 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_25_19 (joined + (net channel_data_i_12_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_25 12)) + (portRef (member channel_data_i_12 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_25_20 (joined + (net channel_data_i_12_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_25 11)) + (portRef (member channel_data_i_12 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_25_21 (joined + (net channel_data_i_12_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_25 10)) + (portRef (member channel_data_i_12 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_25_22 (joined + (net channel_data_i_12_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_25 9)) + (portRef (member channel_data_i_12 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_25_23 (joined + (net channel_data_i_12_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_25 8)) + (portRef (member channel_data_i_12 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_25_24 (joined + (net channel_data_i_12_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_25 7)) + (portRef (member channel_data_i_12 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_25_25 (joined + (net channel_data_i_12_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_25 6)) + (portRef (member channel_data_i_12 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_25_26 (joined + (net channel_data_i_12_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_25 5)) + (portRef (member channel_data_i_12 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_25_27 (joined + (net channel_data_i_12_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_25 4)) + (portRef (member channel_data_i_12 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_25_28 (joined + (net channel_data_i_12_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_25 3)) + (portRef (member channel_data_i_12 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_25_29 (joined + (net channel_data_i_12_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_25 2)) + (portRef (member channel_data_i_12 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_25_30 (joined + (net channel_data_i_12_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_25 1)) + (portRef (member channel_data_i_12 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_25_31 (joined + (net channel_data_i_12_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_25 0)) + (portRef (member channel_data_i_12 0)) )) (net sync_q_CR0_ram_DO1_22 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -675693,24 +675785,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_1 (joined - (portRef reset_tdc_rep2_1) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + (net reset_tdc_rep1_1 (joined + (portRef reset_tdc_rep1_1) (portRef B (instanceRef lost_hit_cntr_lm_0_7)) (portRef B (instanceRef lost_hit_cntr_lm_0_6)) (portRef B (instanceRef lost_hit_cntr_lm_0_5)) @@ -675752,6 +675828,25 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_9)) )) + (net reset_tdc_rep1_2 (joined + (portRef reset_tdc_rep1_2) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + )) (net lost_hit_cntr_s_10 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_10)) @@ -675816,40 +675911,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_20 (joined - (portRef reset_tdc_rep2_20) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) + (net reset_tdc_rep1_28 (joined + (portRef reset_tdc_rep1_28) (portRef B (instanceRef result_reg_3_0_i_27)) (portRef B (instanceRef result_reg_3_0_i_26)) (portRef B (instanceRef result_reg_3_0_i_25)) @@ -675976,6 +676039,108 @@ (portRef (member result_i 274) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_29)) )) + (net reset_tdc_rep1_29 (joined + (portRef reset_tdc_rep1_29) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + )) (net result_i_30 (joined (portRef (member result_i 273) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_30)) @@ -676104,108 +676269,6 @@ (portRef (member result_i 242) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_61)) )) - (net reset_tdc_rep2_21 (joined - (portRef reset_tdc_rep2_21) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - )) (net result_i_62 (joined (portRef (member result_i 241) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_62)) @@ -676474,6 +676537,108 @@ (portRef (member result_i 175) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_128)) )) + (net reset_tdc_rep1_30 (joined + (portRef reset_tdc_rep1_30) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + )) (net result_i_129 (joined (portRef (member result_i 174) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_129)) @@ -676602,107 +676767,6 @@ (portRef (member result_i 143) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_160)) )) - (net reset_tdc_rep2_22 (joined - (portRef reset_tdc_rep2_22) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - )) (net result_i_161 (joined (portRef (member result_i 142) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_161)) @@ -676971,6 +677035,87 @@ (portRef (member result_i 76) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_227)) )) + (net reset_tdc_rep1_31 (joined + (portRef reset_tdc_rep1_31) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + )) (net result_i_228 (joined (portRef (member result_i 75) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_228)) @@ -677095,56 +677240,6 @@ (portRef (member result_i 45) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_258)) )) - (net reset_tdc_rep2_23 (joined - (portRef reset_tdc_rep2_23) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - )) (net result_i_259 (joined (portRef (member result_i 44) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_259)) @@ -677325,8 +677420,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_25_0 (joined - (portRef (member channel_debug_01_i_25 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_12_0 (joined + (portRef (member channel_debug_01_i_12 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -677353,9 +677448,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_25_2 (joined - (portRef (member channel_debug_01_i_25 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_25 0) (instanceRef FIFO)) + (net channel_debug_01_i_12_2 (joined + (portRef (member channel_debug_01_i_12 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_12 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -677540,17 +677635,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_23 (joined - (portRef reset_tdc_23) - (portRef reset_tdc_23 (instanceRef FC)) + (net reset_tdc_63 (joined + (portRef reset_tdc_63) + (portRef reset_tdc_63 (instanceRef FC)) )) - (net reset_tdc_22 (joined - (portRef reset_tdc_22) - (portRef reset_tdc_22 (instanceRef FC)) + (net reset_tdc_62 (joined + (portRef reset_tdc_62) + (portRef reset_tdc_62 (instanceRef FC)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) - (portRef reset_tdc_21 (instanceRef FC)) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) + (portRef reset_tdc_61 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -677592,43 +677687,39 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) - (portRef reset_tdc_24 (instanceRef Encoder)) - (portRef reset_tdc_24 (instanceRef FC)) - )) - (net reset_tdc_fast_37_r12 (joined - (portRef reset_tdc_fast_37_r12) - (portRef reset_tdc_fast_37_r12 (instanceRef Encoder)) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) + (portRef reset_tdc_64 (instanceRef Encoder)) + (portRef reset_tdc_64 (instanceRef FC)) )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + (net reset_tdc_fast_36_r21 (joined + (portRef reset_tdc_fast_36_r21) + (portRef reset_tdc_fast_36_r21 (instanceRef Encoder)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef reset_tdc_rep2_35 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNINRPM)) + (portRef A (instanceRef result_2_reg_RNI1DM41)) )) - (net rd_en_i_25 (joined + (net rd_en_i_12 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_5 (joined - (portRef reset_i_5) - (portRef reset_i_5 (instanceRef FIFO)) + (net reset_i_13 (joined + (portRef reset_i_13) + (portRef reset_i_13 (instanceRef FIFO)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef reset_i_4 (instanceRef FIFO)) + (net reset_i_12 (joined + (portRef reset_i_12) + (portRef reset_i_12 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -677651,33 +677742,35 @@ ) ) ) - (cell Channel_13 (cellType GENERIC) + (cell Channel_25 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(13:13)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(13:13)") 1) (direction INPUT)) - (port (array (rename channel_data_i_13 "channel_data_i_13(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(45:45)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(25:25)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(25:25)") 1) (direction INPUT)) + (port (array (rename channel_data_i_25 "channel_data_i_25(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(57:57)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1605_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_11 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r22 (direction INPUT)) - (port reset_tdc_57 (direction INPUT)) - (port reset_tdc_58 (direction INPUT)) - (port reset_tdc_59 (direction INPUT)) - (port reset_tdc_60 (direction INPUT)) - (port reset_tdc_61 (direction INPUT)) + (port reset_i_5 (direction INPUT)) + (port reset_i_6 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_37_r12 (direction INPUT)) + (port reset_tdc_21 (direction INPUT)) + (port reset_tdc_22 (direction INPUT)) + (port reset_tdc_23 (direction INPUT)) + (port reset_tdc_24 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_28 (direction INPUT)) - (port reset_tdc_rep1_27 (direction INPUT)) - (port reset_tdc_rep1_26 (direction INPUT)) - (port reset_tdc_rep1_25 (direction INPUT)) - (port reset_tdc_rep1_1 (direction INPUT)) + (port reset_tdc_rep2_20 (direction INPUT)) + (port reset_tdc_rep2_19 (direction INPUT)) + (port reset_tdc_rep2_18 (direction INPUT)) + (port reset_tdc_rep2_17 (direction INPUT)) + (port reset_tdc_rep2_1 (direction INPUT)) + (port reset_tdc_rep2_r0 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -677691,7 +677784,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295563_0 (direction OUTPUT)) + (port N_295861_0 (direction OUTPUT)) (port G_1603_Q (direction INPUT)) (port sync_q_and_21 (direction OUTPUT)) (port G_1600_Q (direction INPUT)) @@ -677700,14 +677793,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI0PSP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIQ2VL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI0PSP_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIQ2VL_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI0PSP_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIQ2VL_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -677716,10 +677809,10 @@ (instance GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) (instance result_reg_0 (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT))) @@ -679553,7 +679646,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNITHNQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNINRPM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -679807,34 +679900,34 @@ (net tmp1_21_0 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0)) )) (net tmp1_21_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNITHNQ)) + (portRef B (instanceRef result_2_reg_RNINRPM)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI0PSP_1)) - (portRef B (instanceRef result_2_reg_RNI0PSP_0)) - (portRef B (instanceRef result_2_reg_RNI0PSP)) + (portRef B (instanceRef result_2_reg_RNIQ2VL_1)) + (portRef B (instanceRef result_2_reg_RNIQ2VL_0)) + (portRef B (instanceRef result_2_reg_RNIQ2VL)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNITHNQ)) + (portRef C (instanceRef result_2_reg_RNINRPM)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI0PSP_1)) - (portRef C (instanceRef result_2_reg_RNI0PSP_0)) - (portRef C (instanceRef result_2_reg_RNI0PSP)) + (portRef C (instanceRef result_2_reg_RNIQ2VL_1)) + (portRef C (instanceRef result_2_reg_RNIQ2VL_0)) + (portRef C (instanceRef result_2_reg_RNIQ2VL)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI0PSP)) + (portRef Z (instanceRef result_2_reg_RNIQ2VL)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -679913,9 +680006,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI0PSP_1)) - (portRef A (instanceRef result_2_reg_RNI0PSP_0)) - (portRef A (instanceRef result_2_reg_RNI0PSP)) + (portRef A (instanceRef result_2_reg_RNIQ2VL_1)) + (portRef A (instanceRef result_2_reg_RNIQ2VL_0)) + (portRef A (instanceRef result_2_reg_RNIQ2VL)) )) (net GND (joined (portRef GND) @@ -680070,7 +680163,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI0PSP_0)) + (portRef Z (instanceRef result_2_reg_RNIQ2VL_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -680148,7 +680241,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI0PSP_1)) + (portRef Z (instanceRef result_2_reg_RNIQ2VL_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -680235,24 +680328,24 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295563_0 (joined + (net N_295861_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295563_0) + (portRef N_295861_0) )) (net tmp1_21_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) (portRef WAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - )) - (net tmp3_21_1 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) + (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) )) (net tmp2_21_1 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) )) + (net tmp3_21_1 (joined + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) + (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) + )) (net result_i_0 (joined (portRef (member result_i 303) (instanceRef FC)) (portRef D (instanceRef result_reg_0)) @@ -680889,7 +680982,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNITHNQ)) + (portRef Z (instanceRef result_2_reg_RNINRPM)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -683802,9 +683895,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_13_1 (joined + (net channel_debug_01_i_25_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_13 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_25 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_21 (joined @@ -684003,7 +684096,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_45 (joined + (net stat_reg_57 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -684084,257 +684177,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_13_0 (joined + (net channel_data_i_25_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_13 31)) + (portRef (member channel_data_i_25 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_13_1 (joined + (net channel_data_i_25_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_13 30)) + (portRef (member channel_data_i_25 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_13_2 (joined + (net channel_data_i_25_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_13 29)) + (portRef (member channel_data_i_25 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_13_3 (joined + (net channel_data_i_25_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_13 28)) + (portRef (member channel_data_i_25 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_13_4 (joined + (net channel_data_i_25_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_13 27)) + (portRef (member channel_data_i_25 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_13_5 (joined + (net channel_data_i_25_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_13 26)) + (portRef (member channel_data_i_25 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_13_6 (joined + (net channel_data_i_25_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_13 25)) + (portRef (member channel_data_i_25 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_13_7 (joined + (net channel_data_i_25_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_13 24)) + (portRef (member channel_data_i_25 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_13_8 (joined + (net channel_data_i_25_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_13 23)) + (portRef (member channel_data_i_25 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_13_9 (joined + (net channel_data_i_25_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_13 22)) + (portRef (member channel_data_i_25 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_13_10 (joined + (net channel_data_i_25_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_13 21)) + (portRef (member channel_data_i_25 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_13_11 (joined + (net channel_data_i_25_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_13 20)) + (portRef (member channel_data_i_25 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_13_12 (joined + (net channel_data_i_25_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_13 19)) + (portRef (member channel_data_i_25 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_13_13 (joined + (net channel_data_i_25_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_13 18)) + (portRef (member channel_data_i_25 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_13_14 (joined + (net channel_data_i_25_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_13 17)) + (portRef (member channel_data_i_25 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_13_15 (joined + (net channel_data_i_25_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_13 16)) + (portRef (member channel_data_i_25 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_13_16 (joined + (net channel_data_i_25_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_13 15)) + (portRef (member channel_data_i_25 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_13_17 (joined + (net channel_data_i_25_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_13 14)) + (portRef (member channel_data_i_25 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_13_18 (joined + (net channel_data_i_25_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_13 13)) + (portRef (member channel_data_i_25 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_13_19 (joined + (net channel_data_i_25_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_13 12)) + (portRef (member channel_data_i_25 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_13_20 (joined + (net channel_data_i_25_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_13 11)) + (portRef (member channel_data_i_25 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_13_21 (joined + (net channel_data_i_25_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_13 10)) + (portRef (member channel_data_i_25 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_13_22 (joined + (net channel_data_i_25_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_13 9)) + (portRef (member channel_data_i_25 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_13_23 (joined + (net channel_data_i_25_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_13 8)) + (portRef (member channel_data_i_25 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_13_24 (joined + (net channel_data_i_25_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_13 7)) + (portRef (member channel_data_i_25 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_13_25 (joined + (net channel_data_i_25_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_13 6)) + (portRef (member channel_data_i_25 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_13_26 (joined + (net channel_data_i_25_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_13 5)) + (portRef (member channel_data_i_25 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_13_27 (joined + (net channel_data_i_25_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_13 4)) + (portRef (member channel_data_i_25 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_13_28 (joined + (net channel_data_i_25_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_13 3)) + (portRef (member channel_data_i_25 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_13_29 (joined + (net channel_data_i_25_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_13 2)) + (portRef (member channel_data_i_25 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_13_30 (joined + (net channel_data_i_25_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_13 1)) + (portRef (member channel_data_i_25 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_13_31 (joined + (net channel_data_i_25_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_13 0)) + (portRef (member channel_data_i_25 0)) )) (net sync_q_CR0_ram_DO1_21 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -684353,8 +684446,26 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_1 (joined - (portRef reset_tdc_rep1_1) + (net reset_tdc_rep2_r0 (joined + (portRef reset_tdc_rep2_r0) + (portRef B (instanceRef lost_hit_cntr_lm_0_2)) + (portRef B (instanceRef lost_hit_cntr_lm_0_1)) + (portRef B (instanceRef lost_hit_cntr_lm_0_0)) + )) + (net lost_hit_cntr_s_2 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) + (portRef A (instanceRef lost_hit_cntr_lm_0_2)) + )) + (net lost_hit_cntr_s_3 (joined + (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_3)) + )) + (net lost_hit_cntr_s_4 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_4)) + )) + (net reset_tdc_rep2_1 (joined + (portRef reset_tdc_rep2_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -684376,21 +684487,6 @@ (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) - (portRef B (instanceRef lost_hit_cntr_lm_0_2)) - (portRef B (instanceRef lost_hit_cntr_lm_0_1)) - (portRef B (instanceRef lost_hit_cntr_lm_0_0)) - )) - (net lost_hit_cntr_s_2 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) - (portRef A (instanceRef lost_hit_cntr_lm_0_2)) - )) - (net lost_hit_cntr_s_3 (joined - (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_3)) - )) - (net lost_hit_cntr_s_4 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_4)) )) (net lost_hit_cntr_s_5 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) @@ -684476,8 +684572,40 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_25 (joined - (portRef reset_tdc_rep1_25) + (net reset_tdc_rep2_17 (joined + (portRef reset_tdc_rep2_17) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) (portRef B (instanceRef result_reg_3_0_i_31)) (portRef B (instanceRef result_reg_3_0_i_30)) (portRef B (instanceRef result_reg_3_0_i_29)) @@ -684624,108 +684752,6 @@ (portRef (member result_i 270) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_33)) )) - (net reset_tdc_rep1_26 (joined - (portRef reset_tdc_rep1_26) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - )) (net result_i_34 (joined (portRef (member result_i 269) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_34)) @@ -684854,6 +684880,108 @@ (portRef (member result_i 238) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_65)) )) + (net reset_tdc_rep2_18 (joined + (portRef reset_tdc_rep2_18) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + )) (net result_i_66 (joined (portRef (member result_i 237) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_66)) @@ -685122,108 +685250,6 @@ (portRef (member result_i 171) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_132)) )) - (net reset_tdc_rep1_27 (joined - (portRef reset_tdc_rep1_27) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - )) (net result_i_133 (joined (portRef (member result_i 170) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_133)) @@ -685352,6 +685378,108 @@ (portRef (member result_i 139) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_164)) )) + (net reset_tdc_rep2_19 (joined + (portRef reset_tdc_rep2_19) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + )) (net result_i_165 (joined (portRef (member result_i 138) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_165)) @@ -685620,83 +685748,6 @@ (portRef (member result_i 72) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_231)) )) - (net reset_tdc_rep1_28 (joined - (portRef reset_tdc_rep1_28) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - )) (net result_i_232 (joined (portRef (member result_i 71) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_232)) @@ -685825,6 +685876,51 @@ (portRef (member result_i 40) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_263)) )) + (net reset_tdc_rep2_20 (joined + (portRef reset_tdc_rep2_20) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + )) (net result_i_264 (joined (portRef (member result_i 39) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_264)) @@ -685985,8 +686081,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_13_0 (joined - (portRef (member channel_debug_01_i_13 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_25_0 (joined + (portRef (member channel_debug_01_i_25 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -686013,9 +686109,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_13_2 (joined - (portRef (member channel_debug_01_i_13 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_13 0) (instanceRef FIFO)) + (net channel_debug_01_i_25_2 (joined + (portRef (member channel_debug_01_i_25 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_25 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -686200,21 +686296,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_60 (joined - (portRef reset_tdc_60) - (portRef reset_tdc_60 (instanceRef FC)) - )) - (net reset_tdc_59 (joined - (portRef reset_tdc_59) - (portRef reset_tdc_59 (instanceRef FC)) + (net reset_tdc_23 (joined + (portRef reset_tdc_23) + (portRef reset_tdc_23 (instanceRef FC)) )) - (net reset_tdc_58 (joined - (portRef reset_tdc_58) - (portRef reset_tdc_58 (instanceRef FC)) + (net reset_tdc_22 (joined + (portRef reset_tdc_22) + (portRef reset_tdc_22 (instanceRef FC)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) - (portRef reset_tdc_57 (instanceRef FC)) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) + (portRef reset_tdc_21 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -686256,35 +686348,43 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) - (portRef reset_tdc_61 (instanceRef Encoder)) - (portRef reset_tdc_61 (instanceRef FC)) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) + (portRef reset_tdc_24 (instanceRef Encoder)) + (portRef reset_tdc_24 (instanceRef FC)) )) - (net reset_tdc_fast_36_r22 (joined - (portRef reset_tdc_fast_36_r22) - (portRef reset_tdc_fast_36_r22 (instanceRef Encoder)) + (net reset_tdc_fast_37_r12 (joined + (portRef reset_tdc_fast_37_r12) + (portRef reset_tdc_fast_37_r12 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + )) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef reset_tdc_rep2_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNITHNQ)) + (portRef A (instanceRef result_2_reg_RNINRPM)) )) - (net rd_en_i_13 (joined + (net rd_en_i_25 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef reset_i_11 (instanceRef FIFO)) + (net reset_i_6 (joined + (portRef reset_i_6) + (portRef reset_i_6 (instanceRef FIFO)) + )) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef reset_i_5 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -686307,34 +686407,33 @@ ) ) ) - (cell Channel_28 (cellType GENERIC) + (cell Channel_15 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(28:28)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(28:28)") 1) (direction INPUT)) - (port (array (rename channel_data_i_28 "channel_data_i_28(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(60:60)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(15:15)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(15:15)") 1) (direction INPUT)) + (port (array (rename channel_data_i_15 "channel_data_i_15(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(47:47)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(15:15)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1614_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_3 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_37_r15 (direction INPUT)) - (port reset_tdc_12 (direction INPUT)) - (port reset_tdc_13 (direction INPUT)) - (port reset_tdc_14 (direction INPUT)) - (port reset_tdc_15 (direction INPUT)) + (port reset_i_11 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r24 (direction INPUT)) + (port reset_tdc_51 (direction INPUT)) + (port reset_tdc_52 (direction INPUT)) + (port reset_tdc_53 (direction INPUT)) + (port reset_tdc_54 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep2_20 (direction INPUT)) - (port reset_tdc_rep2_19 (direction INPUT)) - (port reset_tdc_rep2_18 (direction INPUT)) - (port reset_tdc_rep2_17 (direction INPUT)) - (port reset_tdc_rep2_1 (direction INPUT)) - (port reset_tdc_rep2_r7 (direction INPUT)) + (port reset_tdc_rep1_28 (direction INPUT)) + (port reset_tdc_rep1_27 (direction INPUT)) + (port reset_tdc_rep1_26 (direction INPUT)) + (port reset_tdc_rep1_25 (direction INPUT)) + (port reset_tdc_rep1_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -686348,7 +686447,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295576_0 (direction OUTPUT)) + (port N_295874_0 (direction OUTPUT)) (port G_1612_Q (direction INPUT)) (port sync_q_and_20 (direction OUTPUT)) (port G_1609_Q (direction INPUT)) @@ -686357,14 +686456,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIEH2O (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIO2VL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIEH2O_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIO2VL_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIEH2O_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIO2VL_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -687161,6 +687260,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -688210,7 +688311,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIBATO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNILRPM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -688466,32 +688567,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0)) )) (net tmp1_20_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIBATO)) + (portRef B (instanceRef result_2_reg_RNILRPM)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIEH2O_1)) - (portRef B (instanceRef result_2_reg_RNIEH2O_0)) - (portRef B (instanceRef result_2_reg_RNIEH2O)) + (portRef B (instanceRef result_2_reg_RNIO2VL_1)) + (portRef B (instanceRef result_2_reg_RNIO2VL_0)) + (portRef B (instanceRef result_2_reg_RNIO2VL)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIBATO)) + (portRef C (instanceRef result_2_reg_RNILRPM)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIEH2O_1)) - (portRef C (instanceRef result_2_reg_RNIEH2O_0)) - (portRef C (instanceRef result_2_reg_RNIEH2O)) + (portRef C (instanceRef result_2_reg_RNIO2VL_1)) + (portRef C (instanceRef result_2_reg_RNIO2VL_0)) + (portRef C (instanceRef result_2_reg_RNIO2VL)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIEH2O)) + (portRef Z (instanceRef result_2_reg_RNIO2VL)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -688570,9 +688671,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIEH2O_1)) - (portRef A (instanceRef result_2_reg_RNIEH2O_0)) - (portRef A (instanceRef result_2_reg_RNIEH2O)) + (portRef A (instanceRef result_2_reg_RNIO2VL_1)) + (portRef A (instanceRef result_2_reg_RNIO2VL_0)) + (portRef A (instanceRef result_2_reg_RNIO2VL)) )) (net GND (joined (portRef GND) @@ -688727,7 +688828,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIEH2O_0)) + (portRef Z (instanceRef result_2_reg_RNIO2VL_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -688805,7 +688906,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIEH2O_1)) + (portRef Z (instanceRef result_2_reg_RNIO2VL_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -688892,9 +688993,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295576_0 (joined + (net N_295874_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295576_0) + (portRef N_295874_0) )) (net tmp1_20_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -689546,7 +689647,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIBATO)) + (portRef Z (instanceRef result_2_reg_RNILRPM)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -692459,9 +692560,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_28_1 (joined + (net channel_debug_01_i_15_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_28 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_15 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_20 (joined @@ -692656,18 +692757,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_15 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_60 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -692704,6 +692805,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -692740,258 +692842,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_28_0 (joined + (net stat_reg_47 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_15_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_28 31)) + (portRef (member channel_data_i_15 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_28_1 (joined + (net channel_data_i_15_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_28 30)) + (portRef (member channel_data_i_15 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_28_2 (joined + (net channel_data_i_15_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_28 29)) + (portRef (member channel_data_i_15 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_28_3 (joined + (net channel_data_i_15_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_28 28)) + (portRef (member channel_data_i_15 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_28_4 (joined + (net channel_data_i_15_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_28 27)) + (portRef (member channel_data_i_15 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_28_5 (joined + (net channel_data_i_15_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_28 26)) + (portRef (member channel_data_i_15 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_28_6 (joined + (net channel_data_i_15_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_28 25)) + (portRef (member channel_data_i_15 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_28_7 (joined + (net channel_data_i_15_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_28 24)) + (portRef (member channel_data_i_15 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_28_8 (joined + (net channel_data_i_15_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_28 23)) + (portRef (member channel_data_i_15 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_28_9 (joined + (net channel_data_i_15_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_28 22)) + (portRef (member channel_data_i_15 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_28_10 (joined + (net channel_data_i_15_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_28 21)) + (portRef (member channel_data_i_15 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_28_11 (joined + (net channel_data_i_15_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_28 20)) + (portRef (member channel_data_i_15 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_28_12 (joined + (net channel_data_i_15_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_28 19)) + (portRef (member channel_data_i_15 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_28_13 (joined + (net channel_data_i_15_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_28 18)) + (portRef (member channel_data_i_15 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_28_14 (joined + (net channel_data_i_15_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_28 17)) + (portRef (member channel_data_i_15 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_28_15 (joined + (net channel_data_i_15_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_28 16)) + (portRef (member channel_data_i_15 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_28_16 (joined + (net channel_data_i_15_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_28 15)) + (portRef (member channel_data_i_15 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_28_17 (joined + (net channel_data_i_15_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_28 14)) + (portRef (member channel_data_i_15 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_28_18 (joined + (net channel_data_i_15_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_28 13)) + (portRef (member channel_data_i_15 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_28_19 (joined + (net channel_data_i_15_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_28 12)) + (portRef (member channel_data_i_15 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_28_20 (joined + (net channel_data_i_15_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_28 11)) + (portRef (member channel_data_i_15 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_28_21 (joined + (net channel_data_i_15_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_28 10)) + (portRef (member channel_data_i_15 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_28_22 (joined + (net channel_data_i_15_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_28 9)) + (portRef (member channel_data_i_15 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_28_23 (joined + (net channel_data_i_15_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_28 8)) + (portRef (member channel_data_i_15 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_28_24 (joined + (net channel_data_i_15_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_28 7)) + (portRef (member channel_data_i_15 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_28_25 (joined + (net channel_data_i_15_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_28 6)) + (portRef (member channel_data_i_15 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_28_26 (joined + (net channel_data_i_15_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_28 5)) + (portRef (member channel_data_i_15 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_28_27 (joined + (net channel_data_i_15_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_28 4)) + (portRef (member channel_data_i_15 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_28_28 (joined + (net channel_data_i_15_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_28 3)) + (portRef (member channel_data_i_15 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_28_29 (joined + (net channel_data_i_15_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_28 2)) + (portRef (member channel_data_i_15 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_28_30 (joined + (net channel_data_i_15_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_28 1)) + (portRef (member channel_data_i_15 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_28_31 (joined + (net channel_data_i_15_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_28 0)) + (portRef (member channel_data_i_15 0)) )) (net sync_q_CR0_ram_DO1_20 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -693010,26 +693121,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_r7 (joined - (portRef reset_tdc_rep2_r7) - (portRef B (instanceRef lost_hit_cntr_lm_0_2)) - (portRef B (instanceRef lost_hit_cntr_lm_0_1)) - (portRef B (instanceRef lost_hit_cntr_lm_0_0)) - )) - (net lost_hit_cntr_s_2 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) - (portRef A (instanceRef lost_hit_cntr_lm_0_2)) - )) - (net lost_hit_cntr_s_3 (joined - (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_3)) - )) - (net lost_hit_cntr_s_4 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_4)) - )) - (net reset_tdc_rep2_1 (joined - (portRef reset_tdc_rep2_1) + (net reset_tdc_rep1_1 (joined + (portRef reset_tdc_rep1_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -693051,6 +693144,21 @@ (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) + (portRef B (instanceRef lost_hit_cntr_lm_0_2)) + (portRef B (instanceRef lost_hit_cntr_lm_0_1)) + (portRef B (instanceRef lost_hit_cntr_lm_0_0)) + )) + (net lost_hit_cntr_s_2 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) + (portRef A (instanceRef lost_hit_cntr_lm_0_2)) + )) + (net lost_hit_cntr_s_3 (joined + (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_3)) + )) + (net lost_hit_cntr_s_4 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_4)) )) (net lost_hit_cntr_s_5 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) @@ -693136,40 +693244,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_17 (joined - (portRef reset_tdc_rep2_17) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) + (net reset_tdc_rep1_25 (joined + (portRef reset_tdc_rep1_25) (portRef B (instanceRef result_reg_3_0_i_31)) (portRef B (instanceRef result_reg_3_0_i_30)) (portRef B (instanceRef result_reg_3_0_i_29)) @@ -693316,6 +693392,108 @@ (portRef (member result_i 270) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_33)) )) + (net reset_tdc_rep1_26 (joined + (portRef reset_tdc_rep1_26) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + )) (net result_i_34 (joined (portRef (member result_i 269) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_34)) @@ -693444,108 +693622,6 @@ (portRef (member result_i 238) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_65)) )) - (net reset_tdc_rep2_18 (joined - (portRef reset_tdc_rep2_18) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - )) (net result_i_66 (joined (portRef (member result_i 237) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_66)) @@ -693814,6 +693890,108 @@ (portRef (member result_i 171) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_132)) )) + (net reset_tdc_rep1_27 (joined + (portRef reset_tdc_rep1_27) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + )) (net result_i_133 (joined (portRef (member result_i 170) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_133)) @@ -693942,108 +694120,6 @@ (portRef (member result_i 139) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_164)) )) - (net reset_tdc_rep2_19 (joined - (portRef reset_tdc_rep2_19) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - )) (net result_i_165 (joined (portRef (member result_i 138) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_165)) @@ -694312,6 +694388,83 @@ (portRef (member result_i 72) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_231)) )) + (net reset_tdc_rep1_28 (joined + (portRef reset_tdc_rep1_28) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + )) (net result_i_232 (joined (portRef (member result_i 71) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_232)) @@ -694440,51 +694593,6 @@ (portRef (member result_i 40) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_263)) )) - (net reset_tdc_rep2_20 (joined - (portRef reset_tdc_rep2_20) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - )) (net result_i_264 (joined (portRef (member result_i 39) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_264)) @@ -694645,8 +694753,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_28_0 (joined - (portRef (member channel_debug_01_i_28 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_15_0 (joined + (portRef (member channel_debug_01_i_15 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -694673,9 +694781,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_28_2 (joined - (portRef (member channel_debug_01_i_28 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_28 0) (instanceRef FIFO)) + (net channel_debug_01_i_15_2 (joined + (portRef (member channel_debug_01_i_15 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_15 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -694860,17 +694968,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_14 (joined - (portRef reset_tdc_14) - (portRef reset_tdc_14 (instanceRef FC)) + (net reset_tdc_53 (joined + (portRef reset_tdc_53) + (portRef reset_tdc_53 (instanceRef FC)) )) - (net reset_tdc_13 (joined - (portRef reset_tdc_13) - (portRef reset_tdc_13 (instanceRef FC)) + (net reset_tdc_52 (joined + (portRef reset_tdc_52) + (portRef reset_tdc_52 (instanceRef FC)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) - (portRef reset_tdc_12 (instanceRef FC)) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) + (portRef reset_tdc_51 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -694912,39 +695020,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) - (portRef reset_tdc_15 (instanceRef Encoder)) - (portRef reset_tdc_15 (instanceRef FC)) - )) - (net reset_tdc_fast_37_r15 (joined - (portRef reset_tdc_fast_37_r15) - (portRef reset_tdc_fast_37_r15 (instanceRef Encoder)) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) + (portRef reset_tdc_54 (instanceRef Encoder)) + (portRef reset_tdc_54 (instanceRef FC)) )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + (net reset_tdc_fast_36_r24 (joined + (portRef reset_tdc_fast_36_r24) + (portRef reset_tdc_fast_36_r24 (instanceRef Encoder)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef reset_tdc_rep2_35 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIBATO)) + (portRef A (instanceRef result_2_reg_RNILRPM)) )) - (net rd_en_i_28 (joined + (net rd_en_i_15 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_3 (joined - (portRef reset_i_3) - (portRef reset_i_3 (instanceRef FIFO)) + (net reset_i_11 (joined + (portRef reset_i_11) + (portRef reset_i_11 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -694967,32 +695071,33 @@ ) ) ) - (cell Channel_23 (cellType GENERIC) + (cell Channel_30 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(23:23)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(23:23)") 1) (direction INPUT)) - (port (array (rename channel_data_i_23 "channel_data_i_23(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(55:55)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(30:30)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(30:30)") 1) (direction INPUT)) + (port (array (rename channel_data_i_30 "channel_data_i_30(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(62:62)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1623_Q (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_6 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) + (port reset_i_3 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_36_r32 (direction INPUT)) - (port reset_tdc_27 (direction INPUT)) - (port reset_tdc_28 (direction INPUT)) - (port reset_tdc_29 (direction INPUT)) - (port reset_tdc_30 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_fast_37_r17 (direction INPUT)) + (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_7 (direction INPUT)) + (port reset_tdc_8 (direction INPUT)) + (port reset_tdc_9 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_rep2_17 (direction INPUT)) (port reset_tdc_rep2_16 (direction INPUT)) (port reset_tdc_rep2_15 (direction INPUT)) (port reset_tdc_rep2_14 (direction INPUT)) - (port reset_tdc_rep2_r17 (direction INPUT)) + (port reset_tdc_rep2_r19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -695006,7 +695111,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295589_0 (direction OUTPUT)) + (port N_295887_0 (direction OUTPUT)) (port G_1621_Q (direction INPUT)) (port sync_q_and_19 (direction OUTPUT)) (port G_1618_Q (direction INPUT)) @@ -695015,14 +695120,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI2PSP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIGAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI2PSP_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIGAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI2PSP_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIGAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -696868,7 +696973,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIVHNQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNID3KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -697124,32 +697229,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0)) )) (net tmp1_19_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIVHNQ)) + (portRef B (instanceRef result_2_reg_RNID3KO)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI2PSP_1)) - (portRef B (instanceRef result_2_reg_RNI2PSP_0)) - (portRef B (instanceRef result_2_reg_RNI2PSP)) + (portRef B (instanceRef result_2_reg_RNIGAPN_1)) + (portRef B (instanceRef result_2_reg_RNIGAPN_0)) + (portRef B (instanceRef result_2_reg_RNIGAPN)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIVHNQ)) + (portRef C (instanceRef result_2_reg_RNID3KO)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI2PSP_1)) - (portRef C (instanceRef result_2_reg_RNI2PSP_0)) - (portRef C (instanceRef result_2_reg_RNI2PSP)) + (portRef C (instanceRef result_2_reg_RNIGAPN_1)) + (portRef C (instanceRef result_2_reg_RNIGAPN_0)) + (portRef C (instanceRef result_2_reg_RNIGAPN)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI2PSP)) + (portRef Z (instanceRef result_2_reg_RNIGAPN)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -697228,9 +697333,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI2PSP_1)) - (portRef A (instanceRef result_2_reg_RNI2PSP_0)) - (portRef A (instanceRef result_2_reg_RNI2PSP)) + (portRef A (instanceRef result_2_reg_RNIGAPN_1)) + (portRef A (instanceRef result_2_reg_RNIGAPN_0)) + (portRef A (instanceRef result_2_reg_RNIGAPN)) )) (net GND (joined (portRef GND) @@ -697385,7 +697490,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI2PSP_0)) + (portRef Z (instanceRef result_2_reg_RNIGAPN_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -697463,7 +697568,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI2PSP_1)) + (portRef Z (instanceRef result_2_reg_RNIGAPN_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -697550,9 +697655,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295589_0 (joined + (net N_295887_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295589_0) + (portRef N_295887_0) )) (net tmp1_19_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -698204,7 +698309,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIVHNQ)) + (portRef Z (instanceRef result_2_reg_RNID3KO)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -701117,9 +701222,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_23_1 (joined + (net channel_debug_01_i_30_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_23 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_30 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_19 (joined @@ -701318,7 +701423,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_55 (joined + (net stat_reg_62 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -701399,257 +701504,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_23_0 (joined + (net channel_data_i_30_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_23 31)) + (portRef (member channel_data_i_30 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_23_1 (joined + (net channel_data_i_30_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_23 30)) + (portRef (member channel_data_i_30 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_23_2 (joined + (net channel_data_i_30_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_23 29)) + (portRef (member channel_data_i_30 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_23_3 (joined + (net channel_data_i_30_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_23 28)) + (portRef (member channel_data_i_30 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_23_4 (joined + (net channel_data_i_30_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_23 27)) + (portRef (member channel_data_i_30 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_23_5 (joined + (net channel_data_i_30_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_23 26)) + (portRef (member channel_data_i_30 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_23_6 (joined + (net channel_data_i_30_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_23 25)) + (portRef (member channel_data_i_30 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_23_7 (joined + (net channel_data_i_30_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_23 24)) + (portRef (member channel_data_i_30 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_23_8 (joined + (net channel_data_i_30_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_23 23)) + (portRef (member channel_data_i_30 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_23_9 (joined + (net channel_data_i_30_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_23 22)) + (portRef (member channel_data_i_30 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_23_10 (joined + (net channel_data_i_30_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_23 21)) + (portRef (member channel_data_i_30 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_23_11 (joined + (net channel_data_i_30_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_23 20)) + (portRef (member channel_data_i_30 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_23_12 (joined + (net channel_data_i_30_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_23 19)) + (portRef (member channel_data_i_30 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_23_13 (joined + (net channel_data_i_30_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_23 18)) + (portRef (member channel_data_i_30 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_23_14 (joined + (net channel_data_i_30_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_23 17)) + (portRef (member channel_data_i_30 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_23_15 (joined + (net channel_data_i_30_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_23 16)) + (portRef (member channel_data_i_30 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_23_16 (joined + (net channel_data_i_30_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_23 15)) + (portRef (member channel_data_i_30 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_23_17 (joined + (net channel_data_i_30_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_23 14)) + (portRef (member channel_data_i_30 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_23_18 (joined + (net channel_data_i_30_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_23 13)) + (portRef (member channel_data_i_30 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_23_19 (joined + (net channel_data_i_30_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_23 12)) + (portRef (member channel_data_i_30 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_23_20 (joined + (net channel_data_i_30_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_23 11)) + (portRef (member channel_data_i_30 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_23_21 (joined + (net channel_data_i_30_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_23 10)) + (portRef (member channel_data_i_30 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_23_22 (joined + (net channel_data_i_30_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_23 9)) + (portRef (member channel_data_i_30 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_23_23 (joined + (net channel_data_i_30_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_23 8)) + (portRef (member channel_data_i_30 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_23_24 (joined + (net channel_data_i_30_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_23 7)) + (portRef (member channel_data_i_30 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_23_25 (joined + (net channel_data_i_30_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_23 6)) + (portRef (member channel_data_i_30 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_23_26 (joined + (net channel_data_i_30_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_23 5)) + (portRef (member channel_data_i_30 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_23_27 (joined + (net channel_data_i_30_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_23 4)) + (portRef (member channel_data_i_30 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_23_28 (joined + (net channel_data_i_30_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_23 3)) + (portRef (member channel_data_i_30 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_23_29 (joined + (net channel_data_i_30_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_23 2)) + (portRef (member channel_data_i_30 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_23_30 (joined + (net channel_data_i_30_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_23 1)) + (portRef (member channel_data_i_30 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_23_31 (joined + (net channel_data_i_30_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_23 0)) + (portRef (member channel_data_i_30 0)) )) (net sync_q_CR0_ram_DO1_19 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -701668,8 +701773,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_r17 (joined - (portRef reset_tdc_rep2_r17) + (net reset_tdc_rep2_r19 (joined + (portRef reset_tdc_rep2_r19) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -703300,8 +703405,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_23_0 (joined - (portRef (member channel_debug_01_i_23 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_30_0 (joined + (portRef (member channel_debug_01_i_30 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -703328,9 +703433,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_23_2 (joined - (portRef (member channel_debug_01_i_23 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_23 0) (instanceRef FIFO)) + (net channel_debug_01_i_30_2 (joined + (portRef (member channel_debug_01_i_30 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_30 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -703515,17 +703620,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_29 (joined - (portRef reset_tdc_29) - (portRef reset_tdc_29 (instanceRef FC)) + (net reset_tdc_8 (joined + (portRef reset_tdc_8) + (portRef reset_tdc_8 (instanceRef FC)) )) - (net reset_tdc_28 (joined - (portRef reset_tdc_28) - (portRef reset_tdc_28 (instanceRef FC)) + (net reset_tdc_7 (joined + (portRef reset_tdc_7) + (portRef reset_tdc_7 (instanceRef FC)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) - (portRef reset_tdc_27 (instanceRef FC)) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) + (portRef reset_tdc_6 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -703567,14 +703672,18 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_30 (joined - (portRef reset_tdc_30) - (portRef reset_tdc_30 (instanceRef Encoder)) - (portRef reset_tdc_30 (instanceRef FC)) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) + (portRef reset_tdc_9 (instanceRef Encoder)) + (portRef reset_tdc_9 (instanceRef FC)) )) - (net reset_tdc_fast_36_r32 (joined - (portRef reset_tdc_fast_36_r32) - (portRef reset_tdc_fast_36_r32 (instanceRef Encoder)) + (net reset_tdc_fast_37_r17 (joined + (portRef reset_tdc_fast_37_r17) + (portRef reset_tdc_fast_37_r17 (instanceRef Encoder)) + )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) @@ -703587,19 +703696,19 @@ (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIVHNQ)) + (portRef A (instanceRef result_2_reg_RNID3KO)) )) - (net rd_en_i_23 (joined + (net rd_en_i_30 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_6 (joined - (portRef reset_i_6) - (portRef reset_i_6 (instanceRef FIFO)) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef reset_i_3 (instanceRef FIFO)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) - (portRef reset_i_rep2_1 (instanceRef FIFO)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef FIFO)) )) (net G_1621_Q (joined (portRef G_1621_Q) @@ -703618,36 +703727,34 @@ ) ) ) - (cell Channel_11 (cellType GENERIC) + (cell Channel_13 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(11:11)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(11:11)") 1) (direction INPUT)) - (port (array (rename channel_data_i_11 "channel_data_i_11(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(43:43)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(13:13)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(13:13)") 1) (direction INPUT)) + (port (array (rename channel_data_i_13 "channel_data_i_13(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(45:45)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(13:13)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1632_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port reset_i_12 (direction INPUT)) - (port reset_i_13 (direction INPUT)) - (port reset_tdc_fast_36_r20 (direction INPUT)) - (port reset_tdc_fast_36_r1 (direction INPUT)) - (port reset_tdc_fast_37_r11 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_fast_36_r19 (direction INPUT)) - (port reset_tdc_64 (direction INPUT)) - (port reset_tdc_65 (direction INPUT)) - (port reset_tdc_66 (direction INPUT)) - (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r22 (direction INPUT)) + (port reset_tdc_57 (direction INPUT)) + (port reset_tdc_58 (direction INPUT)) + (port reset_tdc_59 (direction INPUT)) + (port reset_tdc_60 (direction INPUT)) + (port reset_tdc_61 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_rep1_25 (direction INPUT)) (port reset_tdc_rep1_24 (direction INPUT)) (port reset_tdc_rep1_23 (direction INPUT)) - (port reset_tdc_fast_32 (direction INPUT)) - (port reset_tdc_fast_31 (direction INPUT)) - (port reset_tdc_fast_3 (direction INPUT)) + (port reset_tdc_rep1_22 (direction INPUT)) + (port reset_tdc_rep1_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -703661,7 +703768,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295602_0 (direction OUTPUT)) + (port N_295900_0 (direction OUTPUT)) (port G_1630_Q (direction INPUT)) (port sync_q_and_18 (direction OUTPUT)) (port G_1627_Q (direction INPUT)) @@ -703670,14 +703777,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI8FQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI0PSP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI8FQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI0PSP_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI8FQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI0PSP_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -704474,6 +704581,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -705523,7 +705632,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI58LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNITHNQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -705779,32 +705888,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0)) )) (net tmp1_18_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI58LU)) + (portRef B (instanceRef result_2_reg_RNITHNQ)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI8FQT_1)) - (portRef B (instanceRef result_2_reg_RNI8FQT_0)) - (portRef B (instanceRef result_2_reg_RNI8FQT)) + (portRef B (instanceRef result_2_reg_RNI0PSP_1)) + (portRef B (instanceRef result_2_reg_RNI0PSP_0)) + (portRef B (instanceRef result_2_reg_RNI0PSP)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI58LU)) + (portRef C (instanceRef result_2_reg_RNITHNQ)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI8FQT_1)) - (portRef C (instanceRef result_2_reg_RNI8FQT_0)) - (portRef C (instanceRef result_2_reg_RNI8FQT)) + (portRef C (instanceRef result_2_reg_RNI0PSP_1)) + (portRef C (instanceRef result_2_reg_RNI0PSP_0)) + (portRef C (instanceRef result_2_reg_RNI0PSP)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI8FQT)) + (portRef Z (instanceRef result_2_reg_RNI0PSP)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -705883,9 +705992,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI8FQT_1)) - (portRef A (instanceRef result_2_reg_RNI8FQT_0)) - (portRef A (instanceRef result_2_reg_RNI8FQT)) + (portRef A (instanceRef result_2_reg_RNI0PSP_1)) + (portRef A (instanceRef result_2_reg_RNI0PSP_0)) + (portRef A (instanceRef result_2_reg_RNI0PSP)) )) (net GND (joined (portRef GND) @@ -706040,7 +706149,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI8FQT_0)) + (portRef Z (instanceRef result_2_reg_RNI0PSP_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -706118,7 +706227,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI8FQT_1)) + (portRef Z (instanceRef result_2_reg_RNI0PSP_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -706205,9 +706314,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295602_0 (joined + (net N_295900_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295602_0) + (portRef N_295900_0) )) (net tmp1_18_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -706859,7 +706968,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI58LU)) + (portRef Z (instanceRef result_2_reg_RNITHNQ)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -709772,9 +709881,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_11_1 (joined + (net channel_debug_01_i_13_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_11 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_13 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_18 (joined @@ -709969,18 +710078,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_13 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_43 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -710017,6 +710126,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -710053,258 +710163,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_11_0 (joined + (net stat_reg_45 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_13_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_11 31)) + (portRef (member channel_data_i_13 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_11_1 (joined + (net channel_data_i_13_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_11 30)) + (portRef (member channel_data_i_13 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_11_2 (joined + (net channel_data_i_13_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_11 29)) + (portRef (member channel_data_i_13 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_11_3 (joined + (net channel_data_i_13_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_11 28)) + (portRef (member channel_data_i_13 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_11_4 (joined + (net channel_data_i_13_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_11 27)) + (portRef (member channel_data_i_13 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_11_5 (joined + (net channel_data_i_13_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_11 26)) + (portRef (member channel_data_i_13 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_11_6 (joined + (net channel_data_i_13_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_11 25)) + (portRef (member channel_data_i_13 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_11_7 (joined + (net channel_data_i_13_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_11 24)) + (portRef (member channel_data_i_13 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_11_8 (joined + (net channel_data_i_13_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_11 23)) + (portRef (member channel_data_i_13 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_11_9 (joined + (net channel_data_i_13_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_11 22)) + (portRef (member channel_data_i_13 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_11_10 (joined + (net channel_data_i_13_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_11 21)) + (portRef (member channel_data_i_13 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_11_11 (joined + (net channel_data_i_13_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_11 20)) + (portRef (member channel_data_i_13 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_11_12 (joined + (net channel_data_i_13_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_11 19)) + (portRef (member channel_data_i_13 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_11_13 (joined + (net channel_data_i_13_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_11 18)) + (portRef (member channel_data_i_13 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_11_14 (joined + (net channel_data_i_13_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_11 17)) + (portRef (member channel_data_i_13 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_11_15 (joined + (net channel_data_i_13_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_11 16)) + (portRef (member channel_data_i_13 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_11_16 (joined + (net channel_data_i_13_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_11 15)) + (portRef (member channel_data_i_13 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_11_17 (joined + (net channel_data_i_13_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_11 14)) + (portRef (member channel_data_i_13 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_11_18 (joined + (net channel_data_i_13_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_11 13)) + (portRef (member channel_data_i_13 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_11_19 (joined + (net channel_data_i_13_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_11 12)) + (portRef (member channel_data_i_13 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_11_20 (joined + (net channel_data_i_13_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_11 11)) + (portRef (member channel_data_i_13 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_11_21 (joined + (net channel_data_i_13_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_11 10)) + (portRef (member channel_data_i_13 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_11_22 (joined + (net channel_data_i_13_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_11 9)) + (portRef (member channel_data_i_13 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_11_23 (joined + (net channel_data_i_13_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_11 8)) + (portRef (member channel_data_i_13 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_11_24 (joined + (net channel_data_i_13_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_11 7)) + (portRef (member channel_data_i_13 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_11_25 (joined + (net channel_data_i_13_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_11 6)) + (portRef (member channel_data_i_13 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_11_26 (joined + (net channel_data_i_13_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_11 5)) + (portRef (member channel_data_i_13 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_11_27 (joined + (net channel_data_i_13_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_11 4)) + (portRef (member channel_data_i_13 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_11_28 (joined + (net channel_data_i_13_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_11 3)) + (portRef (member channel_data_i_13 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_11_29 (joined + (net channel_data_i_13_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_11 2)) + (portRef (member channel_data_i_13 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_11_30 (joined + (net channel_data_i_13_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_11 1)) + (portRef (member channel_data_i_13 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_11_31 (joined + (net channel_data_i_13_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_11 0)) + (portRef (member channel_data_i_13 0)) )) (net sync_q_CR0_ram_DO1_18 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -710323,8 +710442,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_fast_3 (joined - (portRef reset_tdc_fast_3) + (net reset_tdc_rep1_1 (joined + (portRef reset_tdc_rep1_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -710446,71 +710565,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_31 (joined - (portRef reset_tdc_fast_31) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) + (net reset_tdc_rep1_22 (joined + (portRef reset_tdc_rep1_22) (portRef B (instanceRef result_reg_3_0_i_35)) (portRef B (instanceRef result_reg_3_0_i_34)) (portRef B (instanceRef result_reg_3_0_i_33)) @@ -710677,6 +710733,108 @@ (portRef (member result_i 266) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_37)) )) + (net reset_tdc_rep1_23 (joined + (portRef reset_tdc_rep1_23) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + )) (net result_i_38 (joined (portRef (member result_i 265) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_38)) @@ -710929,24 +711087,6 @@ (portRef (member result_i 203) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_100)) )) - (net reset_tdc_fast_32 (joined - (portRef reset_tdc_fast_32) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - )) (net result_i_101 (joined (portRef (member result_i 202) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_101)) @@ -711007,30 +711147,6 @@ (portRef (member result_i 188) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_115)) )) - (net reset_tdc_rep1_23 (joined - (portRef reset_tdc_rep1_23) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - )) (net result_i_116 (joined (portRef (member result_i 187) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_116)) @@ -711958,8 +712074,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_11_0 (joined - (portRef (member channel_debug_01_i_11 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_13_0 (joined + (portRef (member channel_debug_01_i_13 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -711986,9 +712102,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_11_2 (joined - (portRef (member channel_debug_01_i_11 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_11 0) (instanceRef FIFO)) + (net channel_debug_01_i_13_2 (joined + (portRef (member channel_debug_01_i_13 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_13 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -712173,17 +712289,21 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_66 (joined - (portRef reset_tdc_66) - (portRef reset_tdc_66 (instanceRef FC)) + (net reset_tdc_60 (joined + (portRef reset_tdc_60) + (portRef reset_tdc_60 (instanceRef FC)) )) - (net reset_tdc_65 (joined - (portRef reset_tdc_65) - (portRef reset_tdc_65 (instanceRef FC)) + (net reset_tdc_59 (joined + (portRef reset_tdc_59) + (portRef reset_tdc_59 (instanceRef FC)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) - (portRef reset_tdc_64 (instanceRef FC)) + (net reset_tdc_58 (joined + (portRef reset_tdc_58) + (portRef reset_tdc_58 (instanceRef FC)) + )) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) + (portRef reset_tdc_57 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -712225,44 +712345,32 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) - (portRef reset_tdc_67 (instanceRef Encoder)) - (portRef reset_tdc_67 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r19 (joined - (portRef reset_tdc_fast_36_r19) - (portRef reset_tdc_fast_36_r19 (instanceRef Encoder)) - )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) + (portRef reset_tdc_61 (instanceRef Encoder)) + (portRef reset_tdc_61 (instanceRef FC)) )) - (net reset_tdc_fast_37_r11 (joined - (portRef reset_tdc_fast_37_r11) - (portRef reset_tdc_fast_37_r11 (instanceRef Encoder)) + (net reset_tdc_fast_36_r22 (joined + (portRef reset_tdc_fast_36_r22) + (portRef reset_tdc_fast_36_r22 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r1 (joined - (portRef reset_tdc_fast_36_r1) - (portRef reset_tdc_fast_36_r1 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r20 (joined - (portRef reset_tdc_fast_36_r20) - (portRef reset_tdc_fast_36_r20 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI58LU)) + (portRef A (instanceRef result_2_reg_RNITHNQ)) )) - (net rd_en_i_11 (joined + (net rd_en_i_13 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef reset_i_13 (instanceRef FIFO)) - )) (net reset_i_12 (joined (portRef reset_i_12) (portRef reset_i_12 (instanceRef FIFO)) @@ -712288,33 +712396,33 @@ ) ) ) - (cell Channel_26 (cellType GENERIC) + (cell Channel_16 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(26:26)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(26:26)") 1) (direction INPUT)) - (port (array (rename channel_data_i_26 "channel_data_i_26(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(58:58)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(16:16)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(16:16)") 1) (direction INPUT)) + (port (array (rename channel_data_i_16 "channel_data_i_16(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(48:48)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1641_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_4 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_37_r13 (direction INPUT)) - (port reset_tdc_18 (direction INPUT)) - (port reset_tdc_19 (direction INPUT)) - (port reset_tdc_20 (direction INPUT)) - (port reset_tdc_21 (direction INPUT)) + (port reset_i_10 (direction INPUT)) + (port reset_i_11 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r25 (direction INPUT)) + (port reset_tdc_48 (direction INPUT)) + (port reset_tdc_49 (direction INPUT)) + (port reset_tdc_50 (direction INPUT)) + (port reset_tdc_51 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep2_14 (direction INPUT)) - (port reset_tdc_rep2_13 (direction INPUT)) - (port reset_tdc_rep2_12 (direction INPUT)) - (port reset_tdc_rep2_11 (direction INPUT)) - (port reset_tdc_rep2_r18 (direction INPUT)) + (port reset_tdc_rep1_22 (direction INPUT)) + (port reset_tdc_rep1_21 (direction INPUT)) + (port reset_tdc_rep1_20 (direction INPUT)) + (port reset_tdc_rep1_19 (direction INPUT)) + (port reset_tdc_rep1_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -712328,7 +712436,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295615_0 (direction OUTPUT)) + (port N_295913_0 (direction OUTPUT)) (port G_1639_Q (direction INPUT)) (port sync_q_and_17 (direction OUTPUT)) (port G_1636_Q (direction INPUT)) @@ -712337,14 +712445,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIM70S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIK70S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIM70S_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIK70S_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIM70S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIK70S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -714190,7 +714298,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIJ0RS (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIH0RS (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -714446,32 +714554,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0)) )) (net tmp1_17_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIJ0RS)) + (portRef B (instanceRef result_2_reg_RNIH0RS)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIM70S_1)) - (portRef B (instanceRef result_2_reg_RNIM70S_0)) - (portRef B (instanceRef result_2_reg_RNIM70S)) + (portRef B (instanceRef result_2_reg_RNIK70S_1)) + (portRef B (instanceRef result_2_reg_RNIK70S_0)) + (portRef B (instanceRef result_2_reg_RNIK70S)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIJ0RS)) + (portRef C (instanceRef result_2_reg_RNIH0RS)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIM70S_1)) - (portRef C (instanceRef result_2_reg_RNIM70S_0)) - (portRef C (instanceRef result_2_reg_RNIM70S)) + (portRef C (instanceRef result_2_reg_RNIK70S_1)) + (portRef C (instanceRef result_2_reg_RNIK70S_0)) + (portRef C (instanceRef result_2_reg_RNIK70S)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIM70S)) + (portRef Z (instanceRef result_2_reg_RNIK70S)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -714550,9 +714658,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIM70S_1)) - (portRef A (instanceRef result_2_reg_RNIM70S_0)) - (portRef A (instanceRef result_2_reg_RNIM70S)) + (portRef A (instanceRef result_2_reg_RNIK70S_1)) + (portRef A (instanceRef result_2_reg_RNIK70S_0)) + (portRef A (instanceRef result_2_reg_RNIK70S)) )) (net GND (joined (portRef GND) @@ -714707,7 +714815,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIM70S_0)) + (portRef Z (instanceRef result_2_reg_RNIK70S_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -714785,7 +714893,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIM70S_1)) + (portRef Z (instanceRef result_2_reg_RNIK70S_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -714872,9 +714980,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295615_0 (joined + (net N_295913_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295615_0) + (portRef N_295913_0) )) (net tmp1_17_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -715526,7 +715634,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIJ0RS)) + (portRef Z (instanceRef result_2_reg_RNIH0RS)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -718439,9 +718547,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_26_1 (joined + (net channel_debug_01_i_16_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_26 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_16 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_17 (joined @@ -718640,7 +718748,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_58 (joined + (net stat_reg_48 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -718721,257 +718829,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_26_0 (joined + (net channel_data_i_16_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_26 31)) + (portRef (member channel_data_i_16 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_26_1 (joined + (net channel_data_i_16_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_26 30)) + (portRef (member channel_data_i_16 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_26_2 (joined + (net channel_data_i_16_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_26 29)) + (portRef (member channel_data_i_16 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_26_3 (joined + (net channel_data_i_16_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_26 28)) + (portRef (member channel_data_i_16 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_26_4 (joined + (net channel_data_i_16_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_26 27)) + (portRef (member channel_data_i_16 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_26_5 (joined + (net channel_data_i_16_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_26 26)) + (portRef (member channel_data_i_16 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_26_6 (joined + (net channel_data_i_16_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_26 25)) + (portRef (member channel_data_i_16 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_26_7 (joined + (net channel_data_i_16_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_26 24)) + (portRef (member channel_data_i_16 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_26_8 (joined + (net channel_data_i_16_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_26 23)) + (portRef (member channel_data_i_16 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_26_9 (joined + (net channel_data_i_16_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_26 22)) + (portRef (member channel_data_i_16 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_26_10 (joined + (net channel_data_i_16_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_26 21)) + (portRef (member channel_data_i_16 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_26_11 (joined + (net channel_data_i_16_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_26 20)) + (portRef (member channel_data_i_16 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_26_12 (joined + (net channel_data_i_16_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_26 19)) + (portRef (member channel_data_i_16 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_26_13 (joined + (net channel_data_i_16_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_26 18)) + (portRef (member channel_data_i_16 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_26_14 (joined + (net channel_data_i_16_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_26 17)) + (portRef (member channel_data_i_16 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_26_15 (joined + (net channel_data_i_16_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_26 16)) + (portRef (member channel_data_i_16 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_26_16 (joined + (net channel_data_i_16_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_26 15)) + (portRef (member channel_data_i_16 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_26_17 (joined + (net channel_data_i_16_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_26 14)) + (portRef (member channel_data_i_16 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_26_18 (joined + (net channel_data_i_16_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_26 13)) + (portRef (member channel_data_i_16 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_26_19 (joined + (net channel_data_i_16_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_26 12)) + (portRef (member channel_data_i_16 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_26_20 (joined + (net channel_data_i_16_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_26 11)) + (portRef (member channel_data_i_16 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_26_21 (joined + (net channel_data_i_16_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_26 10)) + (portRef (member channel_data_i_16 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_26_22 (joined + (net channel_data_i_16_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_26 9)) + (portRef (member channel_data_i_16 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_26_23 (joined + (net channel_data_i_16_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_26 8)) + (portRef (member channel_data_i_16 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_26_24 (joined + (net channel_data_i_16_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_26 7)) + (portRef (member channel_data_i_16 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_26_25 (joined + (net channel_data_i_16_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_26 6)) + (portRef (member channel_data_i_16 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_26_26 (joined + (net channel_data_i_16_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_26 5)) + (portRef (member channel_data_i_16 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_26_27 (joined + (net channel_data_i_16_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_26 4)) + (portRef (member channel_data_i_16 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_26_28 (joined + (net channel_data_i_16_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_26 3)) + (portRef (member channel_data_i_16 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_26_29 (joined + (net channel_data_i_16_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_26 2)) + (portRef (member channel_data_i_16 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_26_30 (joined + (net channel_data_i_16_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_26 1)) + (portRef (member channel_data_i_16 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_26_31 (joined + (net channel_data_i_16_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_26 0)) + (portRef (member channel_data_i_16 0)) )) (net sync_q_CR0_ram_DO1_17 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -718990,8 +719098,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_r18 (joined - (portRef reset_tdc_rep2_r18) + (net reset_tdc_rep1_1 (joined + (portRef reset_tdc_rep1_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -719113,40 +719221,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_11 (joined - (portRef reset_tdc_rep2_11) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) + (net reset_tdc_rep1_19 (joined + (portRef reset_tdc_rep1_19) (portRef B (instanceRef result_reg_3_0_i_39)) (portRef B (instanceRef result_reg_3_0_i_38)) (portRef B (instanceRef result_reg_3_0_i_37)) @@ -719333,6 +719409,108 @@ (portRef (member result_i 262) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_41)) )) + (net reset_tdc_rep1_20 (joined + (portRef reset_tdc_rep1_20) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + )) (net result_i_42 (joined (portRef (member result_i 261) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_42)) @@ -719461,108 +719639,6 @@ (portRef (member result_i 230) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_73)) )) - (net reset_tdc_rep2_12 (joined - (portRef reset_tdc_rep2_12) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - )) (net result_i_74 (joined (portRef (member result_i 229) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_74)) @@ -719831,168 +719907,8 @@ (portRef (member result_i 163) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_140)) )) - (net result_i_141 (joined - (portRef (member result_i 162) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_141)) - )) - (net result_i_142 (joined - (portRef (member result_i 161) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_142)) - )) - (net result_i_143 (joined - (portRef (member result_i 160) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_143)) - )) - (net result_i_144 (joined - (portRef (member result_i 159) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_144)) - )) - (net result_i_145 (joined - (portRef (member result_i 158) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_145)) - )) - (net result_i_146 (joined - (portRef (member result_i 157) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_146)) - )) - (net result_i_147 (joined - (portRef (member result_i 156) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_147)) - )) - (net result_i_148 (joined - (portRef (member result_i 155) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_148)) - )) - (net result_i_149 (joined - (portRef (member result_i 154) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_149)) - )) - (net result_i_150 (joined - (portRef (member result_i 153) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_150)) - )) - (net result_i_151 (joined - (portRef (member result_i 152) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_151)) - )) - (net result_i_152 (joined - (portRef (member result_i 151) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_152)) - )) - (net result_i_153 (joined - (portRef (member result_i 150) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_153)) - )) - (net result_i_154 (joined - (portRef (member result_i 149) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_154)) - )) - (net result_i_155 (joined - (portRef (member result_i 148) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_155)) - )) - (net result_i_156 (joined - (portRef (member result_i 147) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_156)) - )) - (net result_i_157 (joined - (portRef (member result_i 146) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_157)) - )) - (net result_i_158 (joined - (portRef (member result_i 145) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_158)) - )) - (net result_i_159 (joined - (portRef (member result_i 144) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_159)) - )) - (net result_i_160 (joined - (portRef (member result_i 143) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_160)) - )) - (net result_i_161 (joined - (portRef (member result_i 142) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_161)) - )) - (net result_i_162 (joined - (portRef (member result_i 141) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_162)) - )) - (net result_i_163 (joined - (portRef (member result_i 140) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_163)) - )) - (net result_i_164 (joined - (portRef (member result_i 139) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_164)) - )) - (net result_i_165 (joined - (portRef (member result_i 138) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_165)) - )) - (net result_i_166 (joined - (portRef (member result_i 137) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_166)) - )) - (net result_i_167 (joined - (portRef (member result_i 136) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_167)) - )) - (net result_i_168 (joined - (portRef (member result_i 135) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_168)) - )) - (net result_i_169 (joined - (portRef (member result_i 134) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_169)) - )) - (net result_i_170 (joined - (portRef (member result_i 133) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_170)) - )) - (net result_i_171 (joined - (portRef (member result_i 132) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_171)) - )) - (net result_i_172 (joined - (portRef (member result_i 131) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_172)) - )) - (net reset_tdc_rep2_13 (joined - (portRef reset_tdc_rep2_13) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) + (net reset_tdc_rep1_21 (joined + (portRef reset_tdc_rep1_21) (portRef B (instanceRef result_reg_3_0_i_237)) (portRef B (instanceRef result_reg_3_0_i_236)) (portRef B (instanceRef result_reg_3_0_i_235)) @@ -720060,6 +719976,166 @@ (portRef B (instanceRef result_reg_3_0_i_173)) (portRef B (instanceRef result_reg_3_0_i_172)) (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + )) + (net result_i_141 (joined + (portRef (member result_i 162) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_141)) + )) + (net result_i_142 (joined + (portRef (member result_i 161) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_142)) + )) + (net result_i_143 (joined + (portRef (member result_i 160) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_143)) + )) + (net result_i_144 (joined + (portRef (member result_i 159) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_144)) + )) + (net result_i_145 (joined + (portRef (member result_i 158) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_145)) + )) + (net result_i_146 (joined + (portRef (member result_i 157) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_146)) + )) + (net result_i_147 (joined + (portRef (member result_i 156) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_147)) + )) + (net result_i_148 (joined + (portRef (member result_i 155) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_148)) + )) + (net result_i_149 (joined + (portRef (member result_i 154) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_149)) + )) + (net result_i_150 (joined + (portRef (member result_i 153) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_150)) + )) + (net result_i_151 (joined + (portRef (member result_i 152) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_151)) + )) + (net result_i_152 (joined + (portRef (member result_i 151) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_152)) + )) + (net result_i_153 (joined + (portRef (member result_i 150) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_153)) + )) + (net result_i_154 (joined + (portRef (member result_i 149) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_154)) + )) + (net result_i_155 (joined + (portRef (member result_i 148) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_155)) + )) + (net result_i_156 (joined + (portRef (member result_i 147) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_156)) + )) + (net result_i_157 (joined + (portRef (member result_i 146) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_157)) + )) + (net result_i_158 (joined + (portRef (member result_i 145) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_158)) + )) + (net result_i_159 (joined + (portRef (member result_i 144) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_159)) + )) + (net result_i_160 (joined + (portRef (member result_i 143) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_160)) + )) + (net result_i_161 (joined + (portRef (member result_i 142) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_161)) + )) + (net result_i_162 (joined + (portRef (member result_i 141) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_162)) + )) + (net result_i_163 (joined + (portRef (member result_i 140) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_163)) + )) + (net result_i_164 (joined + (portRef (member result_i 139) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_164)) + )) + (net result_i_165 (joined + (portRef (member result_i 138) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_165)) + )) + (net result_i_166 (joined + (portRef (member result_i 137) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_166)) + )) + (net result_i_167 (joined + (portRef (member result_i 136) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_167)) + )) + (net result_i_168 (joined + (portRef (member result_i 135) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_168)) + )) + (net result_i_169 (joined + (portRef (member result_i 134) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_169)) + )) + (net result_i_170 (joined + (portRef (member result_i 133) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_170)) + )) + (net result_i_171 (joined + (portRef (member result_i 132) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_171)) + )) + (net result_i_172 (joined + (portRef (member result_i 131) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_172)) )) (net result_i_173 (joined (portRef (member result_i 130) (instanceRef FC)) @@ -720329,6 +720405,75 @@ (portRef (member result_i 64) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_239)) )) + (net reset_tdc_rep1_22 (joined + (portRef reset_tdc_rep1_22) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + )) (net result_i_240 (joined (portRef (member result_i 63) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_240)) @@ -720457,43 +720602,6 @@ (portRef (member result_i 32) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_271)) )) - (net reset_tdc_rep2_14 (joined - (portRef reset_tdc_rep2_14) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - )) (net result_i_272 (joined (portRef (member result_i 31) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_272)) @@ -720622,8 +720730,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_26_0 (joined - (portRef (member channel_debug_01_i_26 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_16_0 (joined + (portRef (member channel_debug_01_i_16 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -720650,9 +720758,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_26_2 (joined - (portRef (member channel_debug_01_i_26 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_26 0) (instanceRef FIFO)) + (net channel_debug_01_i_16_2 (joined + (portRef (member channel_debug_01_i_16 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_16 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -720837,17 +720945,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_20 (joined - (portRef reset_tdc_20) - (portRef reset_tdc_20 (instanceRef FC)) + (net reset_tdc_50 (joined + (portRef reset_tdc_50) + (portRef reset_tdc_50 (instanceRef FC)) )) - (net reset_tdc_19 (joined - (portRef reset_tdc_19) - (portRef reset_tdc_19 (instanceRef FC)) + (net reset_tdc_49 (joined + (portRef reset_tdc_49) + (portRef reset_tdc_49 (instanceRef FC)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) - (portRef reset_tdc_18 (instanceRef FC)) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) + (portRef reset_tdc_48 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -720889,39 +720997,39 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) - (portRef reset_tdc_21 (instanceRef Encoder)) - (portRef reset_tdc_21 (instanceRef FC)) - )) - (net reset_tdc_fast_37_r13 (joined - (portRef reset_tdc_fast_37_r13) - (portRef reset_tdc_fast_37_r13 (instanceRef Encoder)) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) + (portRef reset_tdc_51 (instanceRef Encoder)) + (portRef reset_tdc_51 (instanceRef FC)) )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + (net reset_tdc_fast_36_r25 (joined + (portRef reset_tdc_fast_36_r25) + (portRef reset_tdc_fast_36_r25 (instanceRef Encoder)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef reset_tdc_rep2_35 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIJ0RS)) + (portRef A (instanceRef result_2_reg_RNIH0RS)) )) - (net rd_en_i_26 (joined + (net rd_en_i_16 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef reset_i_4 (instanceRef FIFO)) + (net reset_i_11 (joined + (portRef reset_i_11) + (portRef reset_i_11 (instanceRef FIFO)) + )) + (net reset_i_10 (joined + (portRef reset_i_10) + (portRef reset_i_10 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -720944,34 +721052,32 @@ ) ) ) - (cell Channel_31 (cellType GENERIC) + (cell Channel_23 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(31:31)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(31:31)") 1) (direction INPUT)) - (port (array (rename channel_data_i_31 "channel_data_i_31(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(63:63)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(23:23)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(23:23)") 1) (direction INPUT)) + (port (array (rename channel_data_i_23 "channel_data_i_23(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(55:55)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1650_Q (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) - (port reset_i_1_1 (direction INPUT)) - (port reset_i_2 (direction INPUT)) + (port reset_i_rep2_1 (direction INPUT)) + (port reset_i_7 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_fast_37_r18 (direction INPUT)) - (port reset_tdc_3 (direction INPUT)) - (port reset_tdc_4 (direction INPUT)) - (port reset_tdc_5 (direction INPUT)) - (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_fast_36_r32 (direction INPUT)) + (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_28 (direction INPUT)) + (port reset_tdc_29 (direction INPUT)) + (port reset_tdc_30 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) + (port reset_tdc_rep2_14 (direction INPUT)) + (port reset_tdc_rep2_13 (direction INPUT)) + (port reset_tdc_rep2_12 (direction INPUT)) (port reset_tdc_rep2_11 (direction INPUT)) - (port reset_tdc_rep2_10 (direction INPUT)) - (port reset_tdc_rep2_9 (direction INPUT)) - (port reset_tdc_rep2_8 (direction INPUT)) - (port reset_tdc_rep2_r20 (direction INPUT)) + (port reset_tdc_rep2_r16 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -720985,7 +721091,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295628_0 (direction OUTPUT)) + (port N_295926_0 (direction OUTPUT)) (port G_1648_Q (direction INPUT)) (port sync_q_and_16 (direction OUTPUT)) (port G_1645_Q (direction INPUT)) @@ -720994,14 +721100,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNICFQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI2PSP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICFQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI2PSP_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICFQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI2PSP_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -722847,7 +722953,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI98LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIVHNQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -723103,32 +723209,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0)) )) (net tmp1_16_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI98LU)) + (portRef B (instanceRef result_2_reg_RNIVHNQ)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNICFQT_1)) - (portRef B (instanceRef result_2_reg_RNICFQT_0)) - (portRef B (instanceRef result_2_reg_RNICFQT)) + (portRef B (instanceRef result_2_reg_RNI2PSP_1)) + (portRef B (instanceRef result_2_reg_RNI2PSP_0)) + (portRef B (instanceRef result_2_reg_RNI2PSP)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI98LU)) + (portRef C (instanceRef result_2_reg_RNIVHNQ)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNICFQT_1)) - (portRef C (instanceRef result_2_reg_RNICFQT_0)) - (portRef C (instanceRef result_2_reg_RNICFQT)) + (portRef C (instanceRef result_2_reg_RNI2PSP_1)) + (portRef C (instanceRef result_2_reg_RNI2PSP_0)) + (portRef C (instanceRef result_2_reg_RNI2PSP)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNICFQT)) + (portRef Z (instanceRef result_2_reg_RNI2PSP)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -723207,9 +723313,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNICFQT_1)) - (portRef A (instanceRef result_2_reg_RNICFQT_0)) - (portRef A (instanceRef result_2_reg_RNICFQT)) + (portRef A (instanceRef result_2_reg_RNI2PSP_1)) + (portRef A (instanceRef result_2_reg_RNI2PSP_0)) + (portRef A (instanceRef result_2_reg_RNI2PSP)) )) (net GND (joined (portRef GND) @@ -723364,7 +723470,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNICFQT_0)) + (portRef Z (instanceRef result_2_reg_RNI2PSP_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -723442,7 +723548,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNICFQT_1)) + (portRef Z (instanceRef result_2_reg_RNI2PSP_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -723529,9 +723635,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295628_0 (joined + (net N_295926_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295628_0) + (portRef N_295926_0) )) (net tmp1_16_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -724183,7 +724289,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI98LU)) + (portRef Z (instanceRef result_2_reg_RNIVHNQ)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -727096,9 +727202,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_31_1 (joined + (net channel_debug_01_i_23_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_31 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_23 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_16 (joined @@ -727297,7 +727403,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_63 (joined + (net stat_reg_55 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -727378,257 +727484,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_31_0 (joined + (net channel_data_i_23_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_31 31)) + (portRef (member channel_data_i_23 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_31_1 (joined + (net channel_data_i_23_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_31 30)) + (portRef (member channel_data_i_23 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_31_2 (joined + (net channel_data_i_23_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_31 29)) + (portRef (member channel_data_i_23 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_31_3 (joined + (net channel_data_i_23_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_31 28)) + (portRef (member channel_data_i_23 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_31_4 (joined + (net channel_data_i_23_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_31 27)) + (portRef (member channel_data_i_23 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_31_5 (joined + (net channel_data_i_23_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_31 26)) + (portRef (member channel_data_i_23 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_31_6 (joined + (net channel_data_i_23_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_31 25)) + (portRef (member channel_data_i_23 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_31_7 (joined + (net channel_data_i_23_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_31 24)) + (portRef (member channel_data_i_23 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_31_8 (joined + (net channel_data_i_23_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_31 23)) + (portRef (member channel_data_i_23 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_31_9 (joined + (net channel_data_i_23_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_31 22)) + (portRef (member channel_data_i_23 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_31_10 (joined + (net channel_data_i_23_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_31 21)) + (portRef (member channel_data_i_23 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_31_11 (joined + (net channel_data_i_23_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_31 20)) + (portRef (member channel_data_i_23 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_31_12 (joined + (net channel_data_i_23_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_31 19)) + (portRef (member channel_data_i_23 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_31_13 (joined + (net channel_data_i_23_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_31 18)) + (portRef (member channel_data_i_23 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_31_14 (joined + (net channel_data_i_23_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_31 17)) + (portRef (member channel_data_i_23 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_31_15 (joined + (net channel_data_i_23_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_31 16)) + (portRef (member channel_data_i_23 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_31_16 (joined + (net channel_data_i_23_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_31 15)) + (portRef (member channel_data_i_23 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_31_17 (joined + (net channel_data_i_23_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_31 14)) + (portRef (member channel_data_i_23 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_31_18 (joined + (net channel_data_i_23_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_31 13)) + (portRef (member channel_data_i_23 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_31_19 (joined + (net channel_data_i_23_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_31 12)) + (portRef (member channel_data_i_23 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_31_20 (joined + (net channel_data_i_23_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_31 11)) + (portRef (member channel_data_i_23 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_31_21 (joined + (net channel_data_i_23_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_31 10)) + (portRef (member channel_data_i_23 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_31_22 (joined + (net channel_data_i_23_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_31 9)) + (portRef (member channel_data_i_23 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_31_23 (joined + (net channel_data_i_23_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_31 8)) + (portRef (member channel_data_i_23 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_31_24 (joined + (net channel_data_i_23_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_31 7)) + (portRef (member channel_data_i_23 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_31_25 (joined + (net channel_data_i_23_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_31 6)) + (portRef (member channel_data_i_23 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_31_26 (joined + (net channel_data_i_23_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_31 5)) + (portRef (member channel_data_i_23 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_31_27 (joined + (net channel_data_i_23_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_31 4)) + (portRef (member channel_data_i_23 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_31_28 (joined + (net channel_data_i_23_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_31 3)) + (portRef (member channel_data_i_23 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_31_29 (joined + (net channel_data_i_23_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_31 2)) + (portRef (member channel_data_i_23 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_31_30 (joined + (net channel_data_i_23_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_31 1)) + (portRef (member channel_data_i_23 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_31_31 (joined + (net channel_data_i_23_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_31 0)) + (portRef (member channel_data_i_23 0)) )) (net sync_q_CR0_ram_DO1_16 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -727647,8 +727753,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_r20 (joined - (portRef reset_tdc_rep2_r20) + (net reset_tdc_rep2_r16 (joined + (portRef reset_tdc_rep2_r16) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -727770,12 +727876,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_8 (joined - (portRef reset_tdc_rep2_8) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) + (net reset_tdc_rep2_11 (joined + (portRef reset_tdc_rep2_11) (portRef B (instanceRef result_reg_3_0_i_71)) (portRef B (instanceRef result_reg_3_0_i_70)) (portRef B (instanceRef result_reg_3_0_i_69)) @@ -728122,28 +728224,8 @@ (portRef (member result_i 230) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_73)) )) - (net result_i_74 (joined - (portRef (member result_i 229) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_74)) - )) - (net result_i_75 (joined - (portRef (member result_i 228) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_75)) - )) - (net result_i_76 (joined - (portRef (member result_i 227) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_76)) - )) - (net result_i_77 (joined - (portRef (member result_i 226) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_77)) - )) - (net reset_tdc_rep2_9 (joined - (portRef reset_tdc_rep2_9) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) + (net reset_tdc_rep2_12 (joined + (portRef reset_tdc_rep2_12) (portRef B (instanceRef result_reg_3_0_i_170)) (portRef B (instanceRef result_reg_3_0_i_169)) (portRef B (instanceRef result_reg_3_0_i_168)) @@ -728239,6 +728321,26 @@ (portRef B (instanceRef result_reg_3_0_i_78)) (portRef B (instanceRef result_reg_3_0_i_77)) (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + )) + (net result_i_74 (joined + (portRef (member result_i 229) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_74)) + )) + (net result_i_75 (joined + (portRef (member result_i 228) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_75)) + )) + (net result_i_76 (joined + (portRef (member result_i 227) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_76)) + )) + (net result_i_77 (joined + (portRef (member result_i 226) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_77)) )) (net result_i_78 (joined (portRef (member result_i 225) (instanceRef FC)) @@ -728620,28 +728722,8 @@ (portRef (member result_i 131) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_172)) )) - (net result_i_173 (joined - (portRef (member result_i 130) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_173)) - )) - (net result_i_174 (joined - (portRef (member result_i 129) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_174)) - )) - (net result_i_175 (joined - (portRef (member result_i 128) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_175)) - )) - (net result_i_176 (joined - (portRef (member result_i 127) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_176)) - )) - (net reset_tdc_rep2_10 (joined - (portRef reset_tdc_rep2_10) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) + (net reset_tdc_rep2_13 (joined + (portRef reset_tdc_rep2_13) (portRef B (instanceRef result_reg_3_0_i_269)) (portRef B (instanceRef result_reg_3_0_i_268)) (portRef B (instanceRef result_reg_3_0_i_267)) @@ -728737,6 +728819,26 @@ (portRef B (instanceRef result_reg_3_0_i_177)) (portRef B (instanceRef result_reg_3_0_i_176)) (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + )) + (net result_i_173 (joined + (portRef (member result_i 130) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_173)) + )) + (net result_i_174 (joined + (portRef (member result_i 129) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_174)) + )) + (net result_i_175 (joined + (portRef (member result_i 128) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_175)) + )) + (net result_i_176 (joined + (portRef (member result_i 127) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_176)) )) (net result_i_177 (joined (portRef (member result_i 126) (instanceRef FC)) @@ -729118,24 +729220,8 @@ (portRef (member result_i 32) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_271)) )) - (net result_i_272 (joined - (portRef (member result_i 31) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_272)) - )) - (net result_i_273 (joined - (portRef (member result_i 30) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_273)) - )) - (net result_i_274 (joined - (portRef (member result_i 29) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_274)) - )) - (net result_i_275 (joined - (portRef (member result_i 28) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_275)) - )) - (net reset_tdc_rep2_11 (joined - (portRef reset_tdc_rep2_11) + (net reset_tdc_rep2_14 (joined + (portRef reset_tdc_rep2_14) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -729166,6 +729252,26 @@ (portRef B (instanceRef result_reg_3_0_i_276)) (portRef B (instanceRef result_reg_3_0_i_275)) (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + )) + (net result_i_272 (joined + (portRef (member result_i 31) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_272)) + )) + (net result_i_273 (joined + (portRef (member result_i 30) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_273)) + )) + (net result_i_274 (joined + (portRef (member result_i 29) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_274)) + )) + (net result_i_275 (joined + (portRef (member result_i 28) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_275)) )) (net result_i_276 (joined (portRef (member result_i 27) (instanceRef FC)) @@ -729279,8 +729385,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_31_0 (joined - (portRef (member channel_debug_01_i_31 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_23_0 (joined + (portRef (member channel_debug_01_i_23 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -729307,9 +729413,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_31_2 (joined - (portRef (member channel_debug_01_i_31 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_31 0) (instanceRef FIFO)) + (net channel_debug_01_i_23_2 (joined + (portRef (member channel_debug_01_i_23 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_23 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -729494,17 +729600,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_5 (joined - (portRef reset_tdc_5) - (portRef reset_tdc_5 (instanceRef FC)) + (net reset_tdc_29 (joined + (portRef reset_tdc_29) + (portRef reset_tdc_29 (instanceRef FC)) )) - (net reset_tdc_4 (joined - (portRef reset_tdc_4) - (portRef reset_tdc_4 (instanceRef FC)) + (net reset_tdc_28 (joined + (portRef reset_tdc_28) + (portRef reset_tdc_28 (instanceRef FC)) )) - (net reset_tdc_3 (joined - (portRef reset_tdc_3) - (portRef reset_tdc_3 (instanceRef FC)) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) + (portRef reset_tdc_27 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -729546,18 +729652,14 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) - (portRef reset_tdc_6 (instanceRef Encoder)) - (portRef reset_tdc_6 (instanceRef FC)) - )) - (net reset_tdc_fast_37_r18 (joined - (portRef reset_tdc_fast_37_r18) - (portRef reset_tdc_fast_37_r18 (instanceRef Encoder)) + (net reset_tdc_30 (joined + (portRef reset_tdc_30) + (portRef reset_tdc_30 (instanceRef Encoder)) + (portRef reset_tdc_30 (instanceRef FC)) )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) + (net reset_tdc_fast_36_r32 (joined + (portRef reset_tdc_fast_36_r32) + (portRef reset_tdc_fast_36_r32 (instanceRef Encoder)) )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) @@ -729570,23 +729672,19 @@ (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI98LU)) + (portRef A (instanceRef result_2_reg_RNIVHNQ)) )) - (net rd_en_i_31 (joined + (net rd_en_i_23 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef reset_i_2 (instanceRef FIFO)) - )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) - (portRef reset_i_1_1 (instanceRef FIFO)) + (net reset_i_7 (joined + (portRef reset_i_7) + (portRef reset_i_7 (instanceRef FIFO)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef reset_i_rep2 (instanceRef FIFO)) + (net reset_i_rep2_1 (joined + (portRef reset_i_rep2_1) + (portRef reset_i_rep2_1 (instanceRef FIFO)) )) (net G_1648_Q (joined (portRef G_1648_Q) @@ -729605,33 +729703,34 @@ ) ) ) - (cell Channel_14 (cellType GENERIC) + (cell Channel_28 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(14:14)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(14:14)") 1) (direction INPUT)) - (port (array (rename channel_data_i_14 "channel_data_i_14(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(46:46)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(28:28)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(28:28)") 1) (direction INPUT)) + (port (array (rename channel_data_i_28 "channel_data_i_28(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(60:60)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1659_Q (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_10 (direction INPUT)) - (port reset_i_11 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r23 (direction INPUT)) - (port reset_tdc_54 (direction INPUT)) - (port reset_tdc_55 (direction INPUT)) - (port reset_tdc_56 (direction INPUT)) - (port reset_tdc_57 (direction INPUT)) + (port reset_i_4 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_37_r15 (direction INPUT)) + (port reset_tdc_12 (direction INPUT)) + (port reset_tdc_13 (direction INPUT)) + (port reset_tdc_14 (direction INPUT)) + (port reset_tdc_15 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_23 (direction INPUT)) - (port reset_tdc_rep1_22 (direction INPUT)) - (port reset_tdc_rep1_21 (direction INPUT)) - (port reset_tdc_rep1_20 (direction INPUT)) - (port reset_tdc_rep1_1 (direction INPUT)) + (port reset_tdc_rep2_11 (direction INPUT)) + (port reset_tdc_rep2_10 (direction INPUT)) + (port reset_tdc_rep2_9 (direction INPUT)) + (port reset_tdc_rep2_8 (direction INPUT)) + (port reset_tdc_rep2_r18 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -729645,7 +729744,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295641_0 (direction OUTPUT)) + (port N_295939_0 (direction OUTPUT)) (port G_1657_Q (direction INPUT)) (port sync_q_and_15 (direction OUTPUT)) (port G_1654_Q (direction INPUT)) @@ -729654,14 +729753,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNISTTV (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIEH2O (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNISTTV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIEH2O_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNISTTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIEH2O_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -731507,7 +731606,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIPMO01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIBATO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -731763,32 +731862,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0)) )) (net tmp1_15_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIPMO01)) + (portRef B (instanceRef result_2_reg_RNIBATO)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNISTTV_1)) - (portRef B (instanceRef result_2_reg_RNISTTV_0)) - (portRef B (instanceRef result_2_reg_RNISTTV)) + (portRef B (instanceRef result_2_reg_RNIEH2O_1)) + (portRef B (instanceRef result_2_reg_RNIEH2O_0)) + (portRef B (instanceRef result_2_reg_RNIEH2O)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIPMO01)) + (portRef C (instanceRef result_2_reg_RNIBATO)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNISTTV_1)) - (portRef C (instanceRef result_2_reg_RNISTTV_0)) - (portRef C (instanceRef result_2_reg_RNISTTV)) + (portRef C (instanceRef result_2_reg_RNIEH2O_1)) + (portRef C (instanceRef result_2_reg_RNIEH2O_0)) + (portRef C (instanceRef result_2_reg_RNIEH2O)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNISTTV)) + (portRef Z (instanceRef result_2_reg_RNIEH2O)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -731867,9 +731966,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNISTTV_1)) - (portRef A (instanceRef result_2_reg_RNISTTV_0)) - (portRef A (instanceRef result_2_reg_RNISTTV)) + (portRef A (instanceRef result_2_reg_RNIEH2O_1)) + (portRef A (instanceRef result_2_reg_RNIEH2O_0)) + (portRef A (instanceRef result_2_reg_RNIEH2O)) )) (net GND (joined (portRef GND) @@ -732024,7 +732123,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNISTTV_0)) + (portRef Z (instanceRef result_2_reg_RNIEH2O_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -732102,7 +732201,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNISTTV_1)) + (portRef Z (instanceRef result_2_reg_RNIEH2O_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -732189,9 +732288,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295641_0 (joined + (net N_295939_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295641_0) + (portRef N_295939_0) )) (net tmp1_15_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -732843,7 +732942,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIPMO01)) + (portRef Z (instanceRef result_2_reg_RNIBATO)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -735756,9 +735855,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_14_1 (joined + (net channel_debug_01_i_28_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_14 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_28 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_15 (joined @@ -735957,7 +736056,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_46 (joined + (net stat_reg_60 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -736038,257 +736137,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_14_0 (joined + (net channel_data_i_28_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_14 31)) + (portRef (member channel_data_i_28 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_14_1 (joined + (net channel_data_i_28_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_14 30)) + (portRef (member channel_data_i_28 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_14_2 (joined + (net channel_data_i_28_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_14 29)) + (portRef (member channel_data_i_28 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_14_3 (joined + (net channel_data_i_28_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_14 28)) + (portRef (member channel_data_i_28 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_14_4 (joined + (net channel_data_i_28_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_14 27)) + (portRef (member channel_data_i_28 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_14_5 (joined + (net channel_data_i_28_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_14 26)) + (portRef (member channel_data_i_28 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_14_6 (joined + (net channel_data_i_28_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_14 25)) + (portRef (member channel_data_i_28 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_14_7 (joined + (net channel_data_i_28_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_14 24)) + (portRef (member channel_data_i_28 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_14_8 (joined + (net channel_data_i_28_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_14 23)) + (portRef (member channel_data_i_28 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_14_9 (joined + (net channel_data_i_28_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_14 22)) + (portRef (member channel_data_i_28 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_14_10 (joined + (net channel_data_i_28_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_14 21)) + (portRef (member channel_data_i_28 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_14_11 (joined + (net channel_data_i_28_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_14 20)) + (portRef (member channel_data_i_28 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_14_12 (joined + (net channel_data_i_28_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_14 19)) + (portRef (member channel_data_i_28 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_14_13 (joined + (net channel_data_i_28_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_14 18)) + (portRef (member channel_data_i_28 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_14_14 (joined + (net channel_data_i_28_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_14 17)) + (portRef (member channel_data_i_28 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_14_15 (joined + (net channel_data_i_28_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_14 16)) + (portRef (member channel_data_i_28 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_14_16 (joined + (net channel_data_i_28_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_14 15)) + (portRef (member channel_data_i_28 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_14_17 (joined + (net channel_data_i_28_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_14 14)) + (portRef (member channel_data_i_28 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_14_18 (joined + (net channel_data_i_28_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_14 13)) + (portRef (member channel_data_i_28 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_14_19 (joined + (net channel_data_i_28_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_14 12)) + (portRef (member channel_data_i_28 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_14_20 (joined + (net channel_data_i_28_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_14 11)) + (portRef (member channel_data_i_28 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_14_21 (joined + (net channel_data_i_28_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_14 10)) + (portRef (member channel_data_i_28 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_14_22 (joined + (net channel_data_i_28_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_14 9)) + (portRef (member channel_data_i_28 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_14_23 (joined + (net channel_data_i_28_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_14 8)) + (portRef (member channel_data_i_28 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_14_24 (joined + (net channel_data_i_28_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_14 7)) + (portRef (member channel_data_i_28 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_14_25 (joined + (net channel_data_i_28_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_14 6)) + (portRef (member channel_data_i_28 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_14_26 (joined + (net channel_data_i_28_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_14 5)) + (portRef (member channel_data_i_28 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_14_27 (joined + (net channel_data_i_28_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_14 4)) + (portRef (member channel_data_i_28 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_14_28 (joined + (net channel_data_i_28_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_14 3)) + (portRef (member channel_data_i_28 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_14_29 (joined + (net channel_data_i_28_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_14 2)) + (portRef (member channel_data_i_28 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_14_30 (joined + (net channel_data_i_28_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_14 1)) + (portRef (member channel_data_i_28 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_14_31 (joined + (net channel_data_i_28_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_14 0)) + (portRef (member channel_data_i_28 0)) )) (net sync_q_CR0_ram_DO1_15 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -736307,8 +736406,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_1 (joined - (portRef reset_tdc_rep1_1) + (net reset_tdc_rep2_r18 (joined + (portRef reset_tdc_rep2_r18) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -736430,8 +736529,56 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_20 (joined - (portRef reset_tdc_rep1_20) + (net reset_tdc_rep2_8 (joined + (portRef reset_tdc_rep2_8) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) (portRef B (instanceRef result_reg_3_0_i_27)) (portRef B (instanceRef result_reg_3_0_i_26)) (portRef B (instanceRef result_reg_3_0_i_25)) @@ -736558,108 +736705,6 @@ (portRef (member result_i 274) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_29)) )) - (net reset_tdc_rep1_21 (joined - (portRef reset_tdc_rep1_21) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - )) (net result_i_30 (joined (portRef (member result_i 273) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_30)) @@ -736852,6 +736897,108 @@ (portRef (member result_i 226) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_77)) )) + (net reset_tdc_rep2_9 (joined + (portRef reset_tdc_rep2_9) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + )) (net result_i_78 (joined (portRef (member result_i 225) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_78)) @@ -737056,108 +737203,6 @@ (portRef (member result_i 175) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_128)) )) - (net reset_tdc_rep1_22 (joined - (portRef reset_tdc_rep1_22) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - )) (net result_i_129 (joined (portRef (member result_i 174) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_129)) @@ -737350,6 +737395,108 @@ (portRef (member result_i 127) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_176)) )) + (net reset_tdc_rep2_10 (joined + (portRef reset_tdc_rep2_10) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + )) (net result_i_177 (joined (portRef (member result_i 126) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_177)) @@ -737554,87 +737701,6 @@ (portRef (member result_i 76) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_227)) )) - (net reset_tdc_rep1_23 (joined - (portRef reset_tdc_rep1_23) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - )) (net result_i_228 (joined (portRef (member result_i 75) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_228)) @@ -737827,6 +737893,39 @@ (portRef (member result_i 28) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_275)) )) + (net reset_tdc_rep2_11 (joined + (portRef reset_tdc_rep2_11) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + )) (net result_i_276 (joined (portRef (member result_i 27) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_276)) @@ -737939,8 +738038,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_14_0 (joined - (portRef (member channel_debug_01_i_14 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_28_0 (joined + (portRef (member channel_debug_01_i_28 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -737967,9 +738066,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_14_2 (joined - (portRef (member channel_debug_01_i_14 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_14 0) (instanceRef FIFO)) + (net channel_debug_01_i_28_2 (joined + (portRef (member channel_debug_01_i_28 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_28 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -738154,17 +738253,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_56 (joined - (portRef reset_tdc_56) - (portRef reset_tdc_56 (instanceRef FC)) + (net reset_tdc_14 (joined + (portRef reset_tdc_14) + (portRef reset_tdc_14 (instanceRef FC)) )) - (net reset_tdc_55 (joined - (portRef reset_tdc_55) - (portRef reset_tdc_55 (instanceRef FC)) + (net reset_tdc_13 (joined + (portRef reset_tdc_13) + (portRef reset_tdc_13 (instanceRef FC)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) - (portRef reset_tdc_54 (instanceRef FC)) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) + (portRef reset_tdc_12 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -738206,44 +738305,48 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) - (portRef reset_tdc_57 (instanceRef Encoder)) - (portRef reset_tdc_57 (instanceRef FC)) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) + (portRef reset_tdc_15 (instanceRef Encoder)) + (portRef reset_tdc_15 (instanceRef FC)) )) - (net reset_tdc_fast_36_r23 (joined - (portRef reset_tdc_fast_36_r23) - (portRef reset_tdc_fast_36_r23 (instanceRef Encoder)) + (net reset_tdc_fast_37_r15 (joined + (portRef reset_tdc_fast_37_r15) + (portRef reset_tdc_fast_37_r15 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + )) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef reset_tdc_rep2_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIPMO01)) + (portRef A (instanceRef result_2_reg_RNIBATO)) )) - (net rd_en_i_14 (joined + (net rd_en_i_28 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef reset_i_11 (instanceRef FIFO)) - )) - (net reset_i_10 (joined - (portRef reset_i_10) - (portRef reset_i_10 (instanceRef FIFO)) + (net reset_i_4 (joined + (portRef reset_i_4) + (portRef reset_i_4 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef reset_i_rep2_1 (instanceRef FIFO)) )) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef FIFO)) + )) (net G_1657_Q (joined (portRef G_1657_Q) (portRef G_1657_Q (instanceRef Edge_To_Pulse_Hit)) @@ -738261,34 +738364,33 @@ ) ) ) - (cell Channel_30 (cellType GENERIC) + (cell Channel_26 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(30:30)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(30:30)") 1) (direction INPUT)) - (port (array (rename channel_data_i_30 "channel_data_i_30(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(62:62)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(26:26)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(26:26)") 1) (direction INPUT)) + (port (array (rename channel_data_i_26 "channel_data_i_26(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(58:58)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1668_Q (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_2 (direction INPUT)) + (port reset_i_5 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_fast_37_r17 (direction INPUT)) - (port reset_tdc_6 (direction INPUT)) - (port reset_tdc_7 (direction INPUT)) - (port reset_tdc_8 (direction INPUT)) - (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_37_r13 (direction INPUT)) + (port reset_tdc_18 (direction INPUT)) + (port reset_tdc_19 (direction INPUT)) + (port reset_tdc_20 (direction INPUT)) + (port reset_tdc_21 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_rep2_8 (direction INPUT)) (port reset_tdc_rep2_7 (direction INPUT)) (port reset_tdc_rep2_6 (direction INPUT)) (port reset_tdc_rep2_5 (direction INPUT)) - (port reset_tdc_rep2_r19 (direction INPUT)) + (port reset_tdc_rep2_r17 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -738302,7 +738404,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295654_0 (direction OUTPUT)) + (port N_295952_0 (direction OUTPUT)) (port G_1666_Q (direction INPUT)) (port sync_q_and_14 (direction OUTPUT)) (port G_1663_Q (direction INPUT)) @@ -738311,14 +738413,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIGAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIM70S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIGAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIM70S_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIGAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIM70S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -740164,7 +740266,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNID3KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIJ0RS (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -740420,32 +740522,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0)) )) (net tmp1_14_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNID3KO)) + (portRef B (instanceRef result_2_reg_RNIJ0RS)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIGAPN_1)) - (portRef B (instanceRef result_2_reg_RNIGAPN_0)) - (portRef B (instanceRef result_2_reg_RNIGAPN)) + (portRef B (instanceRef result_2_reg_RNIM70S_1)) + (portRef B (instanceRef result_2_reg_RNIM70S_0)) + (portRef B (instanceRef result_2_reg_RNIM70S)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNID3KO)) + (portRef C (instanceRef result_2_reg_RNIJ0RS)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIGAPN_1)) - (portRef C (instanceRef result_2_reg_RNIGAPN_0)) - (portRef C (instanceRef result_2_reg_RNIGAPN)) + (portRef C (instanceRef result_2_reg_RNIM70S_1)) + (portRef C (instanceRef result_2_reg_RNIM70S_0)) + (portRef C (instanceRef result_2_reg_RNIM70S)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIGAPN)) + (portRef Z (instanceRef result_2_reg_RNIM70S)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -740524,9 +740626,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIGAPN_1)) - (portRef A (instanceRef result_2_reg_RNIGAPN_0)) - (portRef A (instanceRef result_2_reg_RNIGAPN)) + (portRef A (instanceRef result_2_reg_RNIM70S_1)) + (portRef A (instanceRef result_2_reg_RNIM70S_0)) + (portRef A (instanceRef result_2_reg_RNIM70S)) )) (net GND (joined (portRef GND) @@ -740681,7 +740783,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIGAPN_0)) + (portRef Z (instanceRef result_2_reg_RNIM70S_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -740759,7 +740861,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIGAPN_1)) + (portRef Z (instanceRef result_2_reg_RNIM70S_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -740846,9 +740948,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295654_0 (joined + (net N_295952_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295654_0) + (portRef N_295952_0) )) (net tmp1_14_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -741500,7 +741602,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNID3KO)) + (portRef Z (instanceRef result_2_reg_RNIJ0RS)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -744413,9 +744515,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_30_1 (joined + (net channel_debug_01_i_26_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_30 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_26 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_14 (joined @@ -744614,7 +744716,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_62 (joined + (net stat_reg_58 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -744695,257 +744797,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_30_0 (joined + (net channel_data_i_26_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_30 31)) + (portRef (member channel_data_i_26 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_30_1 (joined + (net channel_data_i_26_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_30 30)) + (portRef (member channel_data_i_26 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_30_2 (joined + (net channel_data_i_26_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_30 29)) + (portRef (member channel_data_i_26 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_30_3 (joined + (net channel_data_i_26_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_30 28)) + (portRef (member channel_data_i_26 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_30_4 (joined + (net channel_data_i_26_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_30 27)) + (portRef (member channel_data_i_26 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_30_5 (joined + (net channel_data_i_26_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_30 26)) + (portRef (member channel_data_i_26 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_30_6 (joined + (net channel_data_i_26_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_30 25)) + (portRef (member channel_data_i_26 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_30_7 (joined + (net channel_data_i_26_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_30 24)) + (portRef (member channel_data_i_26 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_30_8 (joined + (net channel_data_i_26_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_30 23)) + (portRef (member channel_data_i_26 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_30_9 (joined + (net channel_data_i_26_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_30 22)) + (portRef (member channel_data_i_26 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_30_10 (joined + (net channel_data_i_26_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_30 21)) + (portRef (member channel_data_i_26 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_30_11 (joined + (net channel_data_i_26_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_30 20)) + (portRef (member channel_data_i_26 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_30_12 (joined + (net channel_data_i_26_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_30 19)) + (portRef (member channel_data_i_26 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_30_13 (joined + (net channel_data_i_26_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_30 18)) + (portRef (member channel_data_i_26 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_30_14 (joined + (net channel_data_i_26_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_30 17)) + (portRef (member channel_data_i_26 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_30_15 (joined + (net channel_data_i_26_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_30 16)) + (portRef (member channel_data_i_26 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_30_16 (joined + (net channel_data_i_26_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_30 15)) + (portRef (member channel_data_i_26 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_30_17 (joined + (net channel_data_i_26_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_30 14)) + (portRef (member channel_data_i_26 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_30_18 (joined + (net channel_data_i_26_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_30 13)) + (portRef (member channel_data_i_26 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_30_19 (joined + (net channel_data_i_26_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_30 12)) + (portRef (member channel_data_i_26 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_30_20 (joined + (net channel_data_i_26_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_30 11)) + (portRef (member channel_data_i_26 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_30_21 (joined + (net channel_data_i_26_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_30 10)) + (portRef (member channel_data_i_26 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_30_22 (joined + (net channel_data_i_26_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_30 9)) + (portRef (member channel_data_i_26 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_30_23 (joined + (net channel_data_i_26_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_30 8)) + (portRef (member channel_data_i_26 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_30_24 (joined + (net channel_data_i_26_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_30 7)) + (portRef (member channel_data_i_26 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_30_25 (joined + (net channel_data_i_26_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_30 6)) + (portRef (member channel_data_i_26 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_30_26 (joined + (net channel_data_i_26_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_30 5)) + (portRef (member channel_data_i_26 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_30_27 (joined + (net channel_data_i_26_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_30 4)) + (portRef (member channel_data_i_26 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_30_28 (joined + (net channel_data_i_26_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_30 3)) + (portRef (member channel_data_i_26 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_30_29 (joined + (net channel_data_i_26_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_30 2)) + (portRef (member channel_data_i_26 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_30_30 (joined + (net channel_data_i_26_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_30 1)) + (portRef (member channel_data_i_26 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_30_31 (joined + (net channel_data_i_26_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_30 0)) + (portRef (member channel_data_i_26 0)) )) (net sync_q_CR0_ram_DO1_14 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -744964,8 +745066,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_r19 (joined - (portRef reset_tdc_rep2_r19) + (net reset_tdc_rep2_r17 (joined + (portRef reset_tdc_rep2_r17) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -746596,8 +746698,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_30_0 (joined - (portRef (member channel_debug_01_i_30 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_26_0 (joined + (portRef (member channel_debug_01_i_26 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -746624,9 +746726,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_30_2 (joined - (portRef (member channel_debug_01_i_30 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_30 0) (instanceRef FIFO)) + (net channel_debug_01_i_26_2 (joined + (portRef (member channel_debug_01_i_26 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_26 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -746811,17 +746913,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_8 (joined - (portRef reset_tdc_8) - (portRef reset_tdc_8 (instanceRef FC)) + (net reset_tdc_20 (joined + (portRef reset_tdc_20) + (portRef reset_tdc_20 (instanceRef FC)) )) - (net reset_tdc_7 (joined - (portRef reset_tdc_7) - (portRef reset_tdc_7 (instanceRef FC)) + (net reset_tdc_19 (joined + (portRef reset_tdc_19) + (portRef reset_tdc_19 (instanceRef FC)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) - (portRef reset_tdc_6 (instanceRef FC)) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) + (portRef reset_tdc_18 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -746863,18 +746965,18 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) - (portRef reset_tdc_9 (instanceRef Encoder)) - (portRef reset_tdc_9 (instanceRef FC)) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) + (portRef reset_tdc_21 (instanceRef Encoder)) + (portRef reset_tdc_21 (instanceRef FC)) )) - (net reset_tdc_fast_37_r17 (joined - (portRef reset_tdc_fast_37_r17) - (portRef reset_tdc_fast_37_r17 (instanceRef Encoder)) + (net reset_tdc_fast_37_r13 (joined + (portRef reset_tdc_fast_37_r13) + (portRef reset_tdc_fast_37_r13 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) @@ -746887,24 +746989,20 @@ (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNID3KO)) + (portRef A (instanceRef result_2_reg_RNIJ0RS)) )) - (net rd_en_i_30 (joined + (net rd_en_i_26 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef reset_i_2 (instanceRef FIFO)) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef reset_i_5 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef reset_i_rep2_1 (instanceRef FIFO)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef reset_i_rep2 (instanceRef FIFO)) - )) (net G_1666_Q (joined (portRef G_1666_Q) (portRef G_1666_Q (instanceRef Edge_To_Pulse_Hit)) @@ -746922,32 +747020,37 @@ ) ) ) - (cell Channel_15 (cellType GENERIC) + (cell Channel_11 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(15:15)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(15:15)") 1) (direction INPUT)) - (port (array (rename channel_data_i_15 "channel_data_i_15(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(47:47)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(11:11)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(11:11)") 1) (direction INPUT)) + (port (array (rename channel_data_i_11 "channel_data_i_11(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(43:43)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(11:11)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1677_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_10 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r24 (direction INPUT)) - (port reset_tdc_51 (direction INPUT)) - (port reset_tdc_52 (direction INPUT)) - (port reset_tdc_53 (direction INPUT)) - (port reset_tdc_54 (direction INPUT)) + (port reset_i_13 (direction INPUT)) + (port reset_i_14 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) + (port reset_tdc_fast_36_r1 (direction INPUT)) + (port reset_tdc_fast_37_r11 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_fast_36_r19 (direction INPUT)) + (port reset_tdc_64 (direction INPUT)) + (port reset_tdc_65 (direction INPUT)) + (port reset_tdc_66 (direction INPUT)) + (port reset_tdc_67 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_20 (direction INPUT)) (port reset_tdc_rep1_19 (direction INPUT)) (port reset_tdc_rep1_18 (direction INPUT)) (port reset_tdc_rep1_17 (direction INPUT)) - (port reset_tdc_rep1_1 (direction INPUT)) + (port reset_tdc_fast_32 (direction INPUT)) + (port reset_tdc_fast_31 (direction INPUT)) + (port reset_tdc_fast_3 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -746961,7 +747064,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295667_0 (direction OUTPUT)) + (port N_295965_0 (direction OUTPUT)) (port G_1675_Q (direction INPUT)) (port sync_q_and_13 (direction OUTPUT)) (port G_1672_Q (direction INPUT)) @@ -746970,14 +747073,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIO2VL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI8FQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIO2VL_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI8FQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIO2VL_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI8FQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -746986,10 +747089,10 @@ (instance GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) (instance result_reg_0 (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT))) @@ -747774,6 +747877,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -748823,7 +748928,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNILRPM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI58LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -749077,34 +749182,34 @@ (net tmp1_13_0 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0)) )) (net tmp1_13_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNILRPM)) + (portRef B (instanceRef result_2_reg_RNI58LU)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIO2VL_1)) - (portRef B (instanceRef result_2_reg_RNIO2VL_0)) - (portRef B (instanceRef result_2_reg_RNIO2VL)) + (portRef B (instanceRef result_2_reg_RNI8FQT_1)) + (portRef B (instanceRef result_2_reg_RNI8FQT_0)) + (portRef B (instanceRef result_2_reg_RNI8FQT)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNILRPM)) + (portRef C (instanceRef result_2_reg_RNI58LU)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIO2VL_1)) - (portRef C (instanceRef result_2_reg_RNIO2VL_0)) - (portRef C (instanceRef result_2_reg_RNIO2VL)) + (portRef C (instanceRef result_2_reg_RNI8FQT_1)) + (portRef C (instanceRef result_2_reg_RNI8FQT_0)) + (portRef C (instanceRef result_2_reg_RNI8FQT)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIO2VL)) + (portRef Z (instanceRef result_2_reg_RNI8FQT)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -749183,9 +749288,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIO2VL_1)) - (portRef A (instanceRef result_2_reg_RNIO2VL_0)) - (portRef A (instanceRef result_2_reg_RNIO2VL)) + (portRef A (instanceRef result_2_reg_RNI8FQT_1)) + (portRef A (instanceRef result_2_reg_RNI8FQT_0)) + (portRef A (instanceRef result_2_reg_RNI8FQT)) )) (net GND (joined (portRef GND) @@ -749340,7 +749445,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIO2VL_0)) + (portRef Z (instanceRef result_2_reg_RNI8FQT_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -749418,7 +749523,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIO2VL_1)) + (portRef Z (instanceRef result_2_reg_RNI8FQT_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -749505,24 +749610,24 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295667_0 (joined + (net N_295965_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295667_0) + (portRef N_295965_0) )) (net tmp1_13_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) (portRef WAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - )) - (net tmp2_13_1 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) + (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) )) (net tmp3_13_1 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) + (net tmp2_13_1 (joined + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) + (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) + )) (net result_i_0 (joined (portRef (member result_i 303) (instanceRef FC)) (portRef D (instanceRef result_reg_0)) @@ -750159,7 +750264,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNILRPM)) + (portRef Z (instanceRef result_2_reg_RNI58LU)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -753072,9 +753177,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_15_1 (joined + (net channel_debug_01_i_11_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_15 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_11 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_13 (joined @@ -753269,18 +753374,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_11 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_47 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -753317,6 +753422,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -753353,258 +753459,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_15_0 (joined + (net stat_reg_43 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_11_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_15 31)) + (portRef (member channel_data_i_11 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_15_1 (joined + (net channel_data_i_11_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_15 30)) + (portRef (member channel_data_i_11 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_15_2 (joined + (net channel_data_i_11_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_15 29)) + (portRef (member channel_data_i_11 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_15_3 (joined + (net channel_data_i_11_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_15 28)) + (portRef (member channel_data_i_11 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_15_4 (joined + (net channel_data_i_11_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_15 27)) + (portRef (member channel_data_i_11 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_15_5 (joined + (net channel_data_i_11_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_15 26)) + (portRef (member channel_data_i_11 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_15_6 (joined + (net channel_data_i_11_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_15 25)) + (portRef (member channel_data_i_11 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_15_7 (joined + (net channel_data_i_11_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_15 24)) + (portRef (member channel_data_i_11 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_15_8 (joined + (net channel_data_i_11_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_15 23)) + (portRef (member channel_data_i_11 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_15_9 (joined + (net channel_data_i_11_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_15 22)) + (portRef (member channel_data_i_11 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_15_10 (joined + (net channel_data_i_11_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_15 21)) + (portRef (member channel_data_i_11 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_15_11 (joined + (net channel_data_i_11_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_15 20)) + (portRef (member channel_data_i_11 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_15_12 (joined + (net channel_data_i_11_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_15 19)) + (portRef (member channel_data_i_11 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_15_13 (joined + (net channel_data_i_11_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_15 18)) + (portRef (member channel_data_i_11 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_15_14 (joined + (net channel_data_i_11_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_15 17)) + (portRef (member channel_data_i_11 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_15_15 (joined + (net channel_data_i_11_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_15 16)) + (portRef (member channel_data_i_11 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_15_16 (joined + (net channel_data_i_11_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_15 15)) + (portRef (member channel_data_i_11 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_15_17 (joined + (net channel_data_i_11_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_15 14)) + (portRef (member channel_data_i_11 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_15_18 (joined + (net channel_data_i_11_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_15 13)) + (portRef (member channel_data_i_11 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_15_19 (joined + (net channel_data_i_11_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_15 12)) + (portRef (member channel_data_i_11 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_15_20 (joined + (net channel_data_i_11_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_15 11)) + (portRef (member channel_data_i_11 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_15_21 (joined + (net channel_data_i_11_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_15 10)) + (portRef (member channel_data_i_11 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_15_22 (joined + (net channel_data_i_11_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_15 9)) + (portRef (member channel_data_i_11 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_15_23 (joined + (net channel_data_i_11_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_15 8)) + (portRef (member channel_data_i_11 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_15_24 (joined + (net channel_data_i_11_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_15 7)) + (portRef (member channel_data_i_11 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_15_25 (joined + (net channel_data_i_11_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_15 6)) + (portRef (member channel_data_i_11 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_15_26 (joined + (net channel_data_i_11_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_15 5)) + (portRef (member channel_data_i_11 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_15_27 (joined + (net channel_data_i_11_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_15 4)) + (portRef (member channel_data_i_11 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_15_28 (joined + (net channel_data_i_11_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_15 3)) + (portRef (member channel_data_i_11 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_15_29 (joined + (net channel_data_i_11_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_15 2)) + (portRef (member channel_data_i_11 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_15_30 (joined + (net channel_data_i_11_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_15 1)) + (portRef (member channel_data_i_11 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_15_31 (joined + (net channel_data_i_11_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_15 0)) + (portRef (member channel_data_i_11 0)) )) (net sync_q_CR0_ram_DO1_13 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -753623,8 +753738,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_1 (joined - (portRef reset_tdc_rep1_1) + (net reset_tdc_fast_3 (joined + (portRef reset_tdc_fast_3) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -753746,8 +753861,75 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_17 (joined - (portRef reset_tdc_rep1_17) + (net reset_tdc_fast_31 (joined + (portRef reset_tdc_fast_31) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) (portRef B (instanceRef result_reg_3_0_i_31)) (portRef B (instanceRef result_reg_3_0_i_30)) (portRef B (instanceRef result_reg_3_0_i_29)) @@ -753894,108 +754076,6 @@ (portRef (member result_i 270) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_33)) )) - (net reset_tdc_rep1_18 (joined - (portRef reset_tdc_rep1_18) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - )) (net result_i_34 (joined (portRef (member result_i 269) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_34)) @@ -754264,6 +754344,24 @@ (portRef (member result_i 203) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_100)) )) + (net reset_tdc_fast_32 (joined + (portRef reset_tdc_fast_32) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + )) (net result_i_101 (joined (portRef (member result_i 202) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_101)) @@ -754324,6 +754422,38 @@ (portRef (member result_i 188) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_115)) )) + (net reset_tdc_rep1_17 (joined + (portRef reset_tdc_rep1_17) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + )) (net result_i_116 (joined (portRef (member result_i 187) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_116)) @@ -754392,8 +754522,68 @@ (portRef (member result_i 171) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_132)) )) - (net reset_tdc_rep1_19 (joined - (portRef reset_tdc_rep1_19) + (net result_i_133 (joined + (portRef (member result_i 170) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_133)) + )) + (net result_i_134 (joined + (portRef (member result_i 169) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_134)) + )) + (net result_i_135 (joined + (portRef (member result_i 168) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_135)) + )) + (net result_i_136 (joined + (portRef (member result_i 167) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_136)) + )) + (net result_i_137 (joined + (portRef (member result_i 166) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_137)) + )) + (net result_i_138 (joined + (portRef (member result_i 165) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_138)) + )) + (net result_i_139 (joined + (portRef (member result_i 164) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_139)) + )) + (net result_i_140 (joined + (portRef (member result_i 163) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_140)) + )) + (net result_i_141 (joined + (portRef (member result_i 162) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_141)) + )) + (net result_i_142 (joined + (portRef (member result_i 161) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_142)) + )) + (net result_i_143 (joined + (portRef (member result_i 160) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_143)) + )) + (net result_i_144 (joined + (portRef (member result_i 159) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_144)) + )) + (net reset_tdc_rep1_18 (joined + (portRef reset_tdc_rep1_18) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) (portRef B (instanceRef result_reg_3_0_i_229)) (portRef B (instanceRef result_reg_3_0_i_228)) (portRef B (instanceRef result_reg_3_0_i_227)) @@ -754481,66 +754671,6 @@ (portRef B (instanceRef result_reg_3_0_i_145)) (portRef B (instanceRef result_reg_3_0_i_144)) (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - )) - (net result_i_133 (joined - (portRef (member result_i 170) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_133)) - )) - (net result_i_134 (joined - (portRef (member result_i 169) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_134)) - )) - (net result_i_135 (joined - (portRef (member result_i 168) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_135)) - )) - (net result_i_136 (joined - (portRef (member result_i 167) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_136)) - )) - (net result_i_137 (joined - (portRef (member result_i 166) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_137)) - )) - (net result_i_138 (joined - (portRef (member result_i 165) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_138)) - )) - (net result_i_139 (joined - (portRef (member result_i 164) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_139)) - )) - (net result_i_140 (joined - (portRef (member result_i 163) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_140)) - )) - (net result_i_141 (joined - (portRef (member result_i 162) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_141)) - )) - (net result_i_142 (joined - (portRef (member result_i 161) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_142)) - )) - (net result_i_143 (joined - (portRef (member result_i 160) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_143)) - )) - (net result_i_144 (joined - (portRef (member result_i 159) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_144)) )) (net result_i_145 (joined (portRef (member result_i 158) (instanceRef FC)) @@ -754890,8 +755020,56 @@ (portRef (member result_i 72) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_231)) )) - (net reset_tdc_rep1_20 (joined - (portRef reset_tdc_rep1_20) + (net result_i_232 (joined + (portRef (member result_i 71) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_232)) + )) + (net result_i_233 (joined + (portRef (member result_i 70) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_233)) + )) + (net result_i_234 (joined + (portRef (member result_i 69) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_234)) + )) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) + )) + (net result_i_238 (joined + (portRef (member result_i 65) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_238)) + )) + (net result_i_239 (joined + (portRef (member result_i 64) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_239)) + )) + (net result_i_240 (joined + (portRef (member result_i 63) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_240)) + )) + (net result_i_241 (joined + (portRef (member result_i 62) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_241)) + )) + (net result_i_242 (joined + (portRef (member result_i 61) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_242)) + )) + (net result_i_243 (joined + (portRef (member result_i 60) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_243)) + )) + (net reset_tdc_rep1_19 (joined + (portRef reset_tdc_rep1_19) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -754954,66 +755132,6 @@ (portRef B (instanceRef result_reg_3_0_i_244)) (portRef B (instanceRef result_reg_3_0_i_243)) (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - )) - (net result_i_232 (joined - (portRef (member result_i 71) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_232)) - )) - (net result_i_233 (joined - (portRef (member result_i 70) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_233)) - )) - (net result_i_234 (joined - (portRef (member result_i 69) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_234)) - )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_238 (joined - (portRef (member result_i 65) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_238)) - )) - (net result_i_239 (joined - (portRef (member result_i 64) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_239)) - )) - (net result_i_240 (joined - (portRef (member result_i 63) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_240)) - )) - (net result_i_241 (joined - (portRef (member result_i 62) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_241)) - )) - (net result_i_242 (joined - (portRef (member result_i 61) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_242)) - )) - (net result_i_243 (joined - (portRef (member result_i 60) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_243)) )) (net result_i_244 (joined (portRef (member result_i 59) (instanceRef FC)) @@ -755255,8 +755373,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_15_0 (joined - (portRef (member channel_debug_01_i_15 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_11_0 (joined + (portRef (member channel_debug_01_i_11 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -755283,9 +755401,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_15_2 (joined - (portRef (member channel_debug_01_i_15 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_15 0) (instanceRef FIFO)) + (net channel_debug_01_i_11_2 (joined + (portRef (member channel_debug_01_i_11 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_11 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -755470,17 +755588,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_53 (joined - (portRef reset_tdc_53) - (portRef reset_tdc_53 (instanceRef FC)) + (net reset_tdc_66 (joined + (portRef reset_tdc_66) + (portRef reset_tdc_66 (instanceRef FC)) )) - (net reset_tdc_52 (joined - (portRef reset_tdc_52) - (portRef reset_tdc_52 (instanceRef FC)) + (net reset_tdc_65 (joined + (portRef reset_tdc_65) + (portRef reset_tdc_65 (instanceRef FC)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) - (portRef reset_tdc_51 (instanceRef FC)) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) + (portRef reset_tdc_64 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -755522,35 +755640,47 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) - (portRef reset_tdc_54 (instanceRef Encoder)) - (portRef reset_tdc_54 (instanceRef FC)) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) + (portRef reset_tdc_67 (instanceRef Encoder)) + (portRef reset_tdc_67 (instanceRef FC)) )) - (net reset_tdc_fast_36_r24 (joined - (portRef reset_tdc_fast_36_r24) - (portRef reset_tdc_fast_36_r24 (instanceRef Encoder)) + (net reset_tdc_fast_36_r19 (joined + (portRef reset_tdc_fast_36_r19) + (portRef reset_tdc_fast_36_r19 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_fast_37_r11 (joined + (portRef reset_tdc_fast_37_r11) + (portRef reset_tdc_fast_37_r11 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r1 (joined + (portRef reset_tdc_fast_36_r1) + (portRef reset_tdc_fast_36_r1 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) + (portRef reset_tdc_fast_36_r20 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNILRPM)) + (portRef A (instanceRef result_2_reg_RNI58LU)) )) - (net rd_en_i_15 (joined + (net rd_en_i_11 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_10 (joined - (portRef reset_i_10) - (portRef reset_i_10 (instanceRef FIFO)) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef reset_i_14 (instanceRef FIFO)) + )) + (net reset_i_13 (joined + (portRef reset_i_13) + (portRef reset_i_13 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -755573,37 +755703,33 @@ ) ) ) - (cell Channel_7 (cellType GENERIC) + (cell Channel_21 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(7:7)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(7:7)") 1) (direction INPUT)) - (port (array (rename channel_data_i_7 "channel_data_i_7(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(39:39)") 1) (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(7:7)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(21:21)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(21:21)") 1) (direction INPUT)) + (port (array (rename channel_data_i_21 "channel_data_i_21(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(53:53)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1686_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_14 (direction INPUT)) - (port reset_i_15 (direction INPUT)) - (port reset_tdc_fast_36_r13 (direction INPUT)) - (port reset_tdc_fast_37_r7 (direction INPUT)) - (port reset_tdc_fast_37_r8 (direction INPUT)) - (port reset_tdc_fast_36_r12 (direction INPUT)) - (port reset_tdc_76 (direction INPUT)) - (port reset_tdc_77 (direction INPUT)) - (port reset_tdc_78 (direction INPUT)) - (port reset_tdc_79 (direction INPUT)) + (port reset_i_8 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r30 (direction INPUT)) + (port reset_tdc_33 (direction INPUT)) + (port reset_tdc_34 (direction INPUT)) + (port reset_tdc_35 (direction INPUT)) + (port reset_tdc_36 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_31 (direction INPUT)) - (port reset_tdc_fast_30 (direction INPUT)) - (port reset_tdc_fast_29 (direction INPUT)) - (port reset_tdc_fast_28 (direction INPUT)) - (port reset_tdc_fast_27 (direction INPUT)) - (port reset_tdc_fast_3 (direction INPUT)) - (port reset_tdc_fast_2 (direction INPUT)) + (port reset_tdc_rep1_17 (direction INPUT)) + (port reset_tdc_rep1_16 (direction INPUT)) + (port reset_tdc_rep1_15 (direction INPUT)) + (port reset_tdc_rep1_14 (direction INPUT)) + (port reset_tdc_rep1_1 (direction INPUT)) + (port reset_tdc_rep1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -755617,7 +755743,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295680_0 (direction OUTPUT)) + (port N_295978_0 (direction OUTPUT)) (port G_1684_Q (direction INPUT)) (port sync_q_and_12 (direction OUTPUT)) (port G_1681_Q (direction INPUT)) @@ -755626,14 +755752,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI2MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIAFQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI2MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIAFQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI2MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIAFQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -756430,8 +756556,6 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -757481,7 +757605,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIVE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI78LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -757562,157 +757686,157 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) @@ -757737,32 +757861,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0)) )) (net tmp1_12_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIVE6H)) + (portRef B (instanceRef result_2_reg_RNI78LU)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI2MBG_1)) - (portRef B (instanceRef result_2_reg_RNI2MBG_0)) - (portRef B (instanceRef result_2_reg_RNI2MBG)) + (portRef B (instanceRef result_2_reg_RNIAFQT_1)) + (portRef B (instanceRef result_2_reg_RNIAFQT_0)) + (portRef B (instanceRef result_2_reg_RNIAFQT)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIVE6H)) + (portRef C (instanceRef result_2_reg_RNI78LU)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI2MBG_1)) - (portRef C (instanceRef result_2_reg_RNI2MBG_0)) - (portRef C (instanceRef result_2_reg_RNI2MBG)) + (portRef C (instanceRef result_2_reg_RNIAFQT_1)) + (portRef C (instanceRef result_2_reg_RNIAFQT_0)) + (portRef C (instanceRef result_2_reg_RNIAFQT)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI2MBG)) + (portRef Z (instanceRef result_2_reg_RNIAFQT)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -757841,9 +757965,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI2MBG_1)) - (portRef A (instanceRef result_2_reg_RNI2MBG_0)) - (portRef A (instanceRef result_2_reg_RNI2MBG)) + (portRef A (instanceRef result_2_reg_RNIAFQT_1)) + (portRef A (instanceRef result_2_reg_RNIAFQT_0)) + (portRef A (instanceRef result_2_reg_RNIAFQT)) )) (net GND (joined (portRef GND) @@ -757851,60 +757975,6 @@ (portRef GND (instanceRef FIFO)) (portRef GND (instanceRef Encoder)) (portRef GND (instanceRef FC)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) @@ -757959,6 +758029,60 @@ (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C1 (instanceRef lost_hit_cntr_s_0_23)) (portRef B1 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_s_0_23)) @@ -757998,7 +758122,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI2MBG_0)) + (portRef Z (instanceRef result_2_reg_RNIAFQT_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -758076,7 +758200,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI2MBG_1)) + (portRef Z (instanceRef result_2_reg_RNIAFQT_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -758163,9 +758287,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295680_0 (joined + (net N_295978_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295680_0) + (portRef N_295978_0) )) (net tmp1_12_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -758676,32 +758800,6 @@ (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) (portRef VCC (instanceRef FC)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) @@ -758728,6 +758826,32 @@ (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D1 (instanceRef lost_hit_cntr_s_0_23)) (portRef D0 (instanceRef lost_hit_cntr_s_0_23)) (portRef D1 (instanceRef lost_hit_cntr_cry_0_21)) @@ -758817,7 +758941,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIVE6H)) + (portRef Z (instanceRef result_2_reg_RNI78LU)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -761730,9 +761854,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_7_1 (joined + (net channel_debug_01_i_21_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_7 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_21 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_12 (joined @@ -761927,18 +762051,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) - (net fifo_full_i (joined - (portRef fifo_full_i (instanceRef FIFO)) - (portRef D (instanceRef FIFO_FULL_OUT)) - )) - (net channel_full_i_7 (joined - (portRef Q (instanceRef FIFO_FULL_OUT)) - (portRef (member channel_full_i 0)) - )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) + (net stat_reg_53 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -761975,7 +762099,6 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) - (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -762012,267 +762135,258 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) - (portRef CD (instanceRef FIFO_FULL_OUT)) - )) - (net stat_reg_39 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) )) - (net channel_data_i_7_0 (joined + (net channel_data_i_21_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_7 31)) + (portRef (member channel_data_i_21 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_7_1 (joined + (net channel_data_i_21_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_7 30)) + (portRef (member channel_data_i_21 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_7_2 (joined + (net channel_data_i_21_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_7 29)) + (portRef (member channel_data_i_21 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_7_3 (joined + (net channel_data_i_21_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_7 28)) + (portRef (member channel_data_i_21 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_7_4 (joined + (net channel_data_i_21_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_7 27)) + (portRef (member channel_data_i_21 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_7_5 (joined + (net channel_data_i_21_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_7 26)) + (portRef (member channel_data_i_21 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_7_6 (joined + (net channel_data_i_21_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_7 25)) + (portRef (member channel_data_i_21 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_7_7 (joined + (net channel_data_i_21_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_7 24)) + (portRef (member channel_data_i_21 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_7_8 (joined + (net channel_data_i_21_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_7 23)) + (portRef (member channel_data_i_21 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_7_9 (joined + (net channel_data_i_21_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_7 22)) + (portRef (member channel_data_i_21 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_7_10 (joined + (net channel_data_i_21_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_7 21)) + (portRef (member channel_data_i_21 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_7_11 (joined + (net channel_data_i_21_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_7 20)) + (portRef (member channel_data_i_21 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_7_12 (joined + (net channel_data_i_21_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_7 19)) + (portRef (member channel_data_i_21 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_7_13 (joined + (net channel_data_i_21_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_7 18)) + (portRef (member channel_data_i_21 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_7_14 (joined + (net channel_data_i_21_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_7 17)) + (portRef (member channel_data_i_21 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_7_15 (joined + (net channel_data_i_21_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_7 16)) + (portRef (member channel_data_i_21 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_7_16 (joined + (net channel_data_i_21_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_7 15)) + (portRef (member channel_data_i_21 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_7_17 (joined + (net channel_data_i_21_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_7 14)) + (portRef (member channel_data_i_21 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_7_18 (joined + (net channel_data_i_21_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_7 13)) + (portRef (member channel_data_i_21 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_7_19 (joined + (net channel_data_i_21_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_7 12)) + (portRef (member channel_data_i_21 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_7_20 (joined + (net channel_data_i_21_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_7 11)) + (portRef (member channel_data_i_21 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_7_21 (joined + (net channel_data_i_21_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_7 10)) + (portRef (member channel_data_i_21 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_7_22 (joined + (net channel_data_i_21_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_7 9)) + (portRef (member channel_data_i_21 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_7_23 (joined + (net channel_data_i_21_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_7 8)) + (portRef (member channel_data_i_21 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_7_24 (joined + (net channel_data_i_21_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_7 7)) + (portRef (member channel_data_i_21 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_7_25 (joined + (net channel_data_i_21_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_7 6)) + (portRef (member channel_data_i_21 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_7_26 (joined + (net channel_data_i_21_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_7 5)) + (portRef (member channel_data_i_21 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_7_27 (joined + (net channel_data_i_21_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_7 4)) + (portRef (member channel_data_i_21 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_7_28 (joined + (net channel_data_i_21_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_7 3)) + (portRef (member channel_data_i_21 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_7_29 (joined + (net channel_data_i_21_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_7 2)) + (portRef (member channel_data_i_21 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_7_30 (joined + (net channel_data_i_21_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_7 1)) + (portRef (member channel_data_i_21 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_7_31 (joined + (net channel_data_i_21_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_7 0)) + (portRef (member channel_data_i_21 0)) )) (net sync_q_CR0_ram_DO1_12 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -762291,13 +762405,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_fast_2 (joined - (portRef reset_tdc_fast_2) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) - (portRef B (instanceRef lost_hit_cntr_lm_0_7)) - (portRef B (instanceRef lost_hit_cntr_lm_0_6)) - (portRef B (instanceRef lost_hit_cntr_lm_0_5)) - (portRef B (instanceRef lost_hit_cntr_lm_0_4)) + (net reset_tdc_rep1 (joined + (portRef reset_tdc_rep1) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) (portRef B (instanceRef lost_hit_cntr_lm_0_2)) (portRef B (instanceRef lost_hit_cntr_lm_0_1)) @@ -762319,6 +762428,29 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) (portRef A (instanceRef lost_hit_cntr_lm_0_5)) )) + (net reset_tdc_rep1_1 (joined + (portRef reset_tdc_rep1_1) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + (portRef B (instanceRef lost_hit_cntr_lm_0_7)) + (portRef B (instanceRef lost_hit_cntr_lm_0_6)) + (portRef B (instanceRef lost_hit_cntr_lm_0_5)) + (portRef B (instanceRef lost_hit_cntr_lm_0_4)) + )) (net lost_hit_cntr_s_6 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_5)) (portRef A (instanceRef lost_hit_cntr_lm_0_6)) @@ -762339,24 +762471,6 @@ (portRef S1 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_10)) )) - (net reset_tdc_fast_3 (joined - (portRef reset_tdc_fast_3) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - )) (net lost_hit_cntr_s_11 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_11)) (portRef A (instanceRef lost_hit_cntr_lm_0_11)) @@ -762417,102 +762531,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_27 (joined - (portRef reset_tdc_fast_27) - (portRef B (instanceRef result_reg_3_0_i_6)) - (portRef B (instanceRef result_reg_3_0_i_5)) - (portRef B (instanceRef result_reg_3_0_i_4)) - (portRef B (instanceRef result_reg_3_0_i_3)) - )) - (net result_i_5 (joined - (portRef (member result_i 298) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_5)) - )) - (net result_i_6 (joined - (portRef (member result_i 297) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_6)) - )) - (net result_i_7 (joined - (portRef (member result_i 296) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_7)) - )) - (net result_i_8 (joined - (portRef (member result_i 295) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_8)) - )) - (net reset_tdc_fast_28 (joined - (portRef reset_tdc_fast_28) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) + (net reset_tdc_rep1_14 (joined + (portRef reset_tdc_rep1_14) (portRef B (instanceRef result_reg_3_0_i_34)) (portRef B (instanceRef result_reg_3_0_i_33)) (portRef B (instanceRef result_reg_3_0_i_32)) @@ -762541,6 +762561,26 @@ (portRef B (instanceRef result_reg_3_0_i_9)) (portRef B (instanceRef result_reg_3_0_i_8)) (portRef B (instanceRef result_reg_3_0_i_7)) + (portRef B (instanceRef result_reg_3_0_i_6)) + (portRef B (instanceRef result_reg_3_0_i_5)) + (portRef B (instanceRef result_reg_3_0_i_4)) + (portRef B (instanceRef result_reg_3_0_i_3)) + )) + (net result_i_5 (joined + (portRef (member result_i 298) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_5)) + )) + (net result_i_6 (joined + (portRef (member result_i 297) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_6)) + )) + (net result_i_7 (joined + (portRef (member result_i 296) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_7)) + )) + (net result_i_8 (joined + (portRef (member result_i 295) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_8)) )) (net result_i_9 (joined (portRef (member result_i 294) (instanceRef FC)) @@ -762654,6 +762694,109 @@ (portRef (member result_i 267) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_36)) )) + (net reset_tdc_rep1_15 (joined + (portRef reset_tdc_rep1_15) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + )) (net result_i_37 (joined (portRef (member result_i 266) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_37)) @@ -762938,107 +763081,6 @@ (portRef (member result_i 196) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_107)) )) - (net reset_tdc_fast_29 (joined - (portRef reset_tdc_fast_29) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - )) (net result_i_108 (joined (portRef (member result_i 195) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_108)) @@ -763155,6 +763197,108 @@ (portRef (member result_i 167) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_136)) )) + (net reset_tdc_rep1_16 (joined + (portRef reset_tdc_rep1_16) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + )) (net result_i_137 (joined (portRef (member result_i 166) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_137)) @@ -763431,107 +763575,6 @@ (portRef (member result_i 98) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_205)) )) - (net reset_tdc_fast_30 (joined - (portRef reset_tdc_fast_30) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - )) (net result_i_206 (joined (portRef (member result_i 97) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_206)) @@ -763652,6 +763695,79 @@ (portRef (member result_i 68) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_235)) )) + (net reset_tdc_rep1_17 (joined + (portRef reset_tdc_rep1_17) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + )) (net result_i_236 (joined (portRef (member result_i 67) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_236)) @@ -763924,13 +764040,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net reset_tdc_fast_31 (joined - (portRef reset_tdc_fast_31) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - )) - (net channel_debug_01_i_7_0 (joined - (portRef (member channel_debug_01_i_7 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_21_0 (joined + (portRef (member channel_debug_01_i_21 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -763957,9 +764068,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_7_2 (joined - (portRef (member channel_debug_01_i_7 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_7 0) (instanceRef FIFO)) + (net channel_debug_01_i_21_2 (joined + (portRef (member channel_debug_01_i_21 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_21 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -764024,63 +764135,6 @@ (net lost_hit_cntr_s_0_S1_12_23 (joined (portRef S1 (instanceRef lost_hit_cntr_s_0_23)) )) - (net un1_encoder_start_i_cry_0 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) - )) - (net un1_encoder_start_i_cry_0_0_S0_16 (joined - (portRef S0 (instanceRef un1_encoder_start_i_cry_0_0)) - )) - (net un1_encoder_start_i_cry_2 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_3_0)) - )) - (net un1_encoder_start_i_cry_4 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_5_0)) - )) - (net un1_encoder_start_i_cry_6 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_7_0)) - )) - (net un1_encoder_start_i_cry_8 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_9_0)) - )) - (net un1_encoder_start_i_cry_10 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_11_0)) - )) - (net un1_encoder_start_i_cry_12 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_13_0)) - )) - (net un1_encoder_start_i_cry_14 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_15_0)) - )) - (net un1_encoder_start_i_cry_16 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_17_0)) - )) - (net un1_encoder_start_i_cry_18 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_19_0)) - )) - (net un1_encoder_start_i_cry_20 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_21_0)) - )) - (net un1_encoder_start_i_cry_22 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef CIN (instanceRef un1_encoder_start_i_s_23_0)) - )) - (net un1_encoder_start_i_s_23_0_COUT_16 (joined - (portRef COUT (instanceRef un1_encoder_start_i_s_23_0)) - )) - (net un1_encoder_start_i_s_23_0_S1_16 (joined - (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) - )) (net un1_fifo_wr_en_i_cry_0 (joined (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) @@ -764138,23 +764192,80 @@ (net un1_fifo_wr_en_i_s_23_0_S1_16 (joined (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) + (net un1_encoder_start_i_cry_0 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) + )) + (net un1_encoder_start_i_cry_0_0_S0_16 (joined + (portRef S0 (instanceRef un1_encoder_start_i_cry_0_0)) + )) + (net un1_encoder_start_i_cry_2 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_3_0)) + )) + (net un1_encoder_start_i_cry_4 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_5_0)) + )) + (net un1_encoder_start_i_cry_6 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_7_0)) + )) + (net un1_encoder_start_i_cry_8 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_9_0)) + )) + (net un1_encoder_start_i_cry_10 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_11_0)) + )) + (net un1_encoder_start_i_cry_12 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_13_0)) + )) + (net un1_encoder_start_i_cry_14 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_15_0)) + )) + (net un1_encoder_start_i_cry_16 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_17_0)) + )) + (net un1_encoder_start_i_cry_18 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_19_0)) + )) + (net un1_encoder_start_i_cry_20 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_21_0)) + )) + (net un1_encoder_start_i_cry_22 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef CIN (instanceRef un1_encoder_start_i_s_23_0)) + )) + (net un1_encoder_start_i_s_23_0_COUT_16 (joined + (portRef COUT (instanceRef un1_encoder_start_i_s_23_0)) + )) + (net un1_encoder_start_i_s_23_0_S1_16 (joined + (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) + )) (net hit_buf (joined (portRef hit_buf (instanceRef FC)) (portRef Z (instanceRef hit_buf_RNO)) ) (property NOMERGE (string "true")) ) - (net reset_tdc_78 (joined - (portRef reset_tdc_78) - (portRef reset_tdc_78 (instanceRef FC)) + (net reset_tdc_35 (joined + (portRef reset_tdc_35) + (portRef reset_tdc_35 (instanceRef FC)) )) - (net reset_tdc_77 (joined - (portRef reset_tdc_77) - (portRef reset_tdc_77 (instanceRef FC)) + (net reset_tdc_34 (joined + (portRef reset_tdc_34) + (portRef reset_tdc_34 (instanceRef FC)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) - (portRef reset_tdc_76 (instanceRef FC)) + (net reset_tdc_33 (joined + (portRef reset_tdc_33) + (portRef reset_tdc_33 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -764196,43 +764307,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_79 (joined - (portRef reset_tdc_79) - (portRef reset_tdc_79 (instanceRef Encoder)) - (portRef reset_tdc_79 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r12 (joined - (portRef reset_tdc_fast_36_r12) - (portRef reset_tdc_fast_36_r12 (instanceRef Encoder)) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) + (portRef reset_tdc_36 (instanceRef Encoder)) + (portRef reset_tdc_36 (instanceRef FC)) )) - (net reset_tdc_fast_37_r8 (joined - (portRef reset_tdc_fast_37_r8) - (portRef reset_tdc_fast_37_r8 (instanceRef Encoder)) + (net reset_tdc_fast_36_r30 (joined + (portRef reset_tdc_fast_36_r30) + (portRef reset_tdc_fast_36_r30 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r7 (joined - (portRef reset_tdc_fast_37_r7) - (portRef reset_tdc_fast_37_r7 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r13 (joined - (portRef reset_tdc_fast_36_r13) - (portRef reset_tdc_fast_36_r13 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIVE6H)) + (portRef A (instanceRef result_2_reg_RNI78LU)) )) - (net rd_en_i_7 (joined + (net rd_en_i_21 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_15 (joined - (portRef reset_i_15) - (portRef reset_i_15 (instanceRef FIFO)) - )) - (net reset_i_14 (joined - (portRef reset_i_14) - (portRef reset_i_14 (instanceRef FIFO)) + (net reset_i_8 (joined + (portRef reset_i_8) + (portRef reset_i_8 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -764255,107 +764358,32 @@ ) ) ) - (cell Channel_2 (cellType GENERIC) + (cell Channel_17 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(2:2)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(2:2)") 1) (direction INPUT)) - (port (array (rename channel_data_i_2 "channel_data_i_2(31:0)") 32) (direction OUTPUT)) - (port stat_reg_597 (direction OUTPUT)) - (port stat_reg_596 (direction OUTPUT)) - (port stat_reg_595 (direction OUTPUT)) - (port stat_reg_594 (direction OUTPUT)) - (port stat_reg_593 (direction OUTPUT)) - (port stat_reg_592 (direction OUTPUT)) - (port stat_reg_591 (direction OUTPUT)) - (port stat_reg_590 (direction OUTPUT)) - (port stat_reg_589 (direction OUTPUT)) - (port stat_reg_588 (direction OUTPUT)) - (port stat_reg_587 (direction OUTPUT)) - (port stat_reg_586 (direction OUTPUT)) - (port stat_reg_585 (direction OUTPUT)) - (port stat_reg_584 (direction OUTPUT)) - (port stat_reg_583 (direction OUTPUT)) - (port stat_reg_582 (direction OUTPUT)) - (port stat_reg_581 (direction OUTPUT)) - (port stat_reg_580 (direction OUTPUT)) - (port stat_reg_579 (direction OUTPUT)) - (port stat_reg_578 (direction OUTPUT)) - (port stat_reg_577 (direction OUTPUT)) - (port stat_reg_576 (direction OUTPUT)) - (port stat_reg_575 (direction OUTPUT)) - (port stat_reg_574 (direction OUTPUT)) - (port stat_reg_629 (direction OUTPUT)) - (port stat_reg_628 (direction OUTPUT)) - (port stat_reg_627 (direction OUTPUT)) - (port stat_reg_626 (direction OUTPUT)) - (port stat_reg_625 (direction OUTPUT)) - (port stat_reg_624 (direction OUTPUT)) - (port stat_reg_623 (direction OUTPUT)) - (port stat_reg_622 (direction OUTPUT)) - (port stat_reg_621 (direction OUTPUT)) - (port stat_reg_620 (direction OUTPUT)) - (port stat_reg_619 (direction OUTPUT)) - (port stat_reg_618 (direction OUTPUT)) - (port stat_reg_617 (direction OUTPUT)) - (port stat_reg_616 (direction OUTPUT)) - (port stat_reg_615 (direction OUTPUT)) - (port stat_reg_614 (direction OUTPUT)) - (port stat_reg_613 (direction OUTPUT)) - (port stat_reg_612 (direction OUTPUT)) - (port stat_reg_611 (direction OUTPUT)) - (port stat_reg_610 (direction OUTPUT)) - (port stat_reg_609 (direction OUTPUT)) - (port stat_reg_608 (direction OUTPUT)) - (port stat_reg_607 (direction OUTPUT)) - (port stat_reg_606 (direction OUTPUT)) - (port stat_reg_565 (direction OUTPUT)) - (port stat_reg_564 (direction OUTPUT)) - (port stat_reg_563 (direction OUTPUT)) - (port stat_reg_562 (direction OUTPUT)) - (port stat_reg_561 (direction OUTPUT)) - (port stat_reg_560 (direction OUTPUT)) - (port stat_reg_559 (direction OUTPUT)) - (port stat_reg_558 (direction OUTPUT)) - (port stat_reg_557 (direction OUTPUT)) - (port stat_reg_556 (direction OUTPUT)) - (port stat_reg_555 (direction OUTPUT)) - (port stat_reg_554 (direction OUTPUT)) - (port stat_reg_553 (direction OUTPUT)) - (port stat_reg_552 (direction OUTPUT)) - (port stat_reg_551 (direction OUTPUT)) - (port stat_reg_550 (direction OUTPUT)) - (port stat_reg_549 (direction OUTPUT)) - (port stat_reg_548 (direction OUTPUT)) - (port stat_reg_547 (direction OUTPUT)) - (port stat_reg_546 (direction OUTPUT)) - (port stat_reg_545 (direction OUTPUT)) - (port stat_reg_544 (direction OUTPUT)) - (port stat_reg_543 (direction OUTPUT)) - (port stat_reg_542 (direction OUTPUT)) - (port stat_reg_0 (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(2:2)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(17:17)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(17:17)") 1) (direction INPUT)) + (port (array (rename channel_data_i_17 "channel_data_i_17(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(49:49)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1695_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_17 (direction INPUT)) - (port reset_tdc_fast_36_r5 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_37_r2 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_36_r3 (direction INPUT)) - (port reset_tdc_91 (direction INPUT)) - (port reset_tdc_92 (direction INPUT)) - (port reset_tdc_93 (direction INPUT)) - (port reset_tdc_94 (direction INPUT)) + (port reset_i_10 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r26 (direction INPUT)) + (port reset_tdc_45 (direction INPUT)) + (port reset_tdc_46 (direction INPUT)) + (port reset_tdc_47 (direction INPUT)) + (port reset_tdc_48 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_27 (direction INPUT)) - (port reset_tdc_fast_26 (direction INPUT)) - (port reset_tdc_fast_25 (direction INPUT)) - (port reset_tdc_fast_24 (direction INPUT)) - (port reset_tdc_fast_2 (direction INPUT)) + (port reset_tdc_rep1_14 (direction INPUT)) + (port reset_tdc_rep1_13 (direction INPUT)) + (port reset_tdc_rep1_12 (direction INPUT)) + (port reset_tdc_rep1_11 (direction INPUT)) + (port reset_tdc_rep1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -764369,7 +764397,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295693_0 (direction OUTPUT)) + (port N_295991_0 (direction OUTPUT)) (port G_1693_Q (direction INPUT)) (port sync_q_and_11 (direction OUTPUT)) (port G_1690_Q (direction INPUT)) @@ -764378,14 +764406,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIOLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIGC121 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIOLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIGC121_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIOLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIGC121_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -765182,8 +765210,6 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -766233,7 +766259,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNILE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNID5S21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -766478,12 +766504,6 @@ ) (instance Edge_To_Pulse_Hit (viewRef netlist (cellRef edge_to_pulse_0_12)) ) - (instance Lost_Hit_Sync (viewRef netlist (cellRef signal_sync_24_3_36)) - ) - (instance Encoder_Start_Sync (viewRef netlist (cellRef signal_sync_24_3_37)) - ) - (instance Measurement_Sync (viewRef netlist (cellRef signal_sync_24_3_38)) - ) (net hit_in_i (joined (portRef A (instanceRef hit_buf_RNO)) (portRef (member hit_in_iZ0 0)) @@ -766495,32 +766515,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0)) )) (net tmp1_11_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNILE6H)) + (portRef B (instanceRef result_2_reg_RNID5S21)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIOLBG_1)) - (portRef B (instanceRef result_2_reg_RNIOLBG_0)) - (portRef B (instanceRef result_2_reg_RNIOLBG)) + (portRef B (instanceRef result_2_reg_RNIGC121_1)) + (portRef B (instanceRef result_2_reg_RNIGC121_0)) + (portRef B (instanceRef result_2_reg_RNIGC121)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNILE6H)) + (portRef C (instanceRef result_2_reg_RNID5S21)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIOLBG_1)) - (portRef C (instanceRef result_2_reg_RNIOLBG_0)) - (portRef C (instanceRef result_2_reg_RNIOLBG)) + (portRef C (instanceRef result_2_reg_RNIGC121_1)) + (portRef C (instanceRef result_2_reg_RNIGC121_0)) + (portRef C (instanceRef result_2_reg_RNIGC121)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIOLBG)) + (portRef Z (instanceRef result_2_reg_RNIGC121)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -766599,9 +766619,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIOLBG_1)) - (portRef A (instanceRef result_2_reg_RNIOLBG_0)) - (portRef A (instanceRef result_2_reg_RNIOLBG)) + (portRef A (instanceRef result_2_reg_RNIGC121_1)) + (portRef A (instanceRef result_2_reg_RNIGC121_0)) + (portRef A (instanceRef result_2_reg_RNIGC121)) )) (net GND (joined (portRef GND) @@ -766756,7 +766776,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIOLBG_0)) + (portRef Z (instanceRef result_2_reg_RNIGC121_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -766834,7 +766854,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIOLBG_1)) + (portRef Z (instanceRef result_2_reg_RNIGC121_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -766921,9 +766941,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295693_0 (joined + (net N_295991_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295693_0) + (portRef N_295991_0) )) (net tmp1_11_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -766959,9 +766979,6 @@ )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) - (portRef CLK_PCLK_LEFT_c (instanceRef Measurement_Sync)) - (portRef CLK_PCLK_LEFT_c (instanceRef Encoder_Start_Sync)) - (portRef CLK_PCLK_LEFT_c (instanceRef Lost_Hit_Sync)) (portRef CLK_PCLK_LEFT_c (instanceRef Edge_To_Pulse_Hit)) (portRef CLK_PCLK_LEFT_c (instanceRef FIFO)) (portRef CLK_PCLK_LEFT_c (instanceRef Encoder)) @@ -767433,9 +767450,6 @@ )) (net VCC (joined (portRef VCC) - (portRef VCC (instanceRef Measurement_Sync)) - (portRef VCC (instanceRef Encoder_Start_Sync)) - (portRef VCC (instanceRef Lost_Hit_Sync)) (portRef VCC (instanceRef Edge_To_Pulse_Hit)) (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) @@ -767581,7 +767595,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNILE6H)) + (portRef Z (instanceRef result_2_reg_RNID5S21)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -770013,7 +770027,6 @@ )) (net measurement_cntr_0 (joined (portRef Q (instanceRef measurement_cntr_0)) - (portRef (member measurement_cntr 23) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S0_11 (joined @@ -770022,7 +770035,6 @@ )) (net measurement_cntr_1 (joined (portRef Q (instanceRef measurement_cntr_1)) - (portRef (member measurement_cntr 22) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S1_11 (joined @@ -770031,7 +770043,6 @@ )) (net measurement_cntr_2 (joined (portRef Q (instanceRef measurement_cntr_2)) - (portRef (member measurement_cntr 21) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S0_11 (joined @@ -770040,7 +770051,6 @@ )) (net measurement_cntr_3 (joined (portRef Q (instanceRef measurement_cntr_3)) - (portRef (member measurement_cntr 20) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S1_11 (joined @@ -770049,7 +770059,6 @@ )) (net measurement_cntr_4 (joined (portRef Q (instanceRef measurement_cntr_4)) - (portRef (member measurement_cntr 19) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S0_11 (joined @@ -770058,7 +770067,6 @@ )) (net measurement_cntr_5 (joined (portRef Q (instanceRef measurement_cntr_5)) - (portRef (member measurement_cntr 18) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S1_11 (joined @@ -770067,7 +770075,6 @@ )) (net measurement_cntr_6 (joined (portRef Q (instanceRef measurement_cntr_6)) - (portRef (member measurement_cntr 17) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S0_11 (joined @@ -770076,7 +770083,6 @@ )) (net measurement_cntr_7 (joined (portRef Q (instanceRef measurement_cntr_7)) - (portRef (member measurement_cntr 16) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S1_11 (joined @@ -770085,7 +770091,6 @@ )) (net measurement_cntr_8 (joined (portRef Q (instanceRef measurement_cntr_8)) - (portRef (member measurement_cntr 15) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S0_11 (joined @@ -770094,7 +770099,6 @@ )) (net measurement_cntr_9 (joined (portRef Q (instanceRef measurement_cntr_9)) - (portRef (member measurement_cntr 14) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S1_11 (joined @@ -770103,7 +770107,6 @@ )) (net measurement_cntr_10 (joined (portRef Q (instanceRef measurement_cntr_10)) - (portRef (member measurement_cntr 13) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S0_11 (joined @@ -770112,7 +770115,6 @@ )) (net measurement_cntr_11 (joined (portRef Q (instanceRef measurement_cntr_11)) - (portRef (member measurement_cntr 12) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S1_11 (joined @@ -770121,7 +770123,6 @@ )) (net measurement_cntr_12 (joined (portRef Q (instanceRef measurement_cntr_12)) - (portRef (member measurement_cntr 11) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S0_11 (joined @@ -770130,7 +770131,6 @@ )) (net measurement_cntr_13 (joined (portRef Q (instanceRef measurement_cntr_13)) - (portRef (member measurement_cntr 10) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S1_11 (joined @@ -770139,7 +770139,6 @@ )) (net measurement_cntr_14 (joined (portRef Q (instanceRef measurement_cntr_14)) - (portRef (member measurement_cntr 9) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S0_11 (joined @@ -770148,7 +770147,6 @@ )) (net measurement_cntr_15 (joined (portRef Q (instanceRef measurement_cntr_15)) - (portRef (member measurement_cntr 8) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S1_11 (joined @@ -770157,7 +770155,6 @@ )) (net measurement_cntr_16 (joined (portRef Q (instanceRef measurement_cntr_16)) - (portRef (member measurement_cntr 7) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S0_11 (joined @@ -770166,7 +770163,6 @@ )) (net measurement_cntr_17 (joined (portRef Q (instanceRef measurement_cntr_17)) - (portRef (member measurement_cntr 6) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S1_11 (joined @@ -770175,7 +770171,6 @@ )) (net measurement_cntr_18 (joined (portRef Q (instanceRef measurement_cntr_18)) - (portRef (member measurement_cntr 5) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S0_11 (joined @@ -770184,7 +770179,6 @@ )) (net measurement_cntr_19 (joined (portRef Q (instanceRef measurement_cntr_19)) - (portRef (member measurement_cntr 4) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S1_11 (joined @@ -770193,7 +770187,6 @@ )) (net measurement_cntr_20 (joined (portRef Q (instanceRef measurement_cntr_20)) - (portRef (member measurement_cntr 3) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S0_11 (joined @@ -770202,7 +770195,6 @@ )) (net measurement_cntr_21 (joined (portRef Q (instanceRef measurement_cntr_21)) - (portRef (member measurement_cntr 2) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S1_11 (joined @@ -770211,7 +770203,6 @@ )) (net measurement_cntr_22 (joined (portRef Q (instanceRef measurement_cntr_22)) - (portRef (member measurement_cntr 1) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_s_23_0_S0_11 (joined @@ -770220,7 +770211,6 @@ )) (net measurement_cntr_23 (joined (portRef Q (instanceRef measurement_cntr_23)) - (portRef (member measurement_cntr 0) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) (net lost_hit_cntr_lm_0 (joined @@ -770229,7 +770219,6 @@ )) (net lost_hit_cntr_0 (joined (portRef Q (instanceRef lost_hit_cntr_0)) - (portRef (member lost_hit_cntr 23) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_0)) )) (net lost_hit_cntr_lm_1 (joined @@ -770238,7 +770227,6 @@ )) (net lost_hit_cntr_1 (joined (portRef Q (instanceRef lost_hit_cntr_1)) - (portRef (member lost_hit_cntr 22) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_2 (joined @@ -770247,7 +770235,6 @@ )) (net lost_hit_cntr_2 (joined (portRef Q (instanceRef lost_hit_cntr_2)) - (portRef (member lost_hit_cntr 21) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_3 (joined @@ -770256,7 +770243,6 @@ )) (net lost_hit_cntr_3 (joined (portRef Q (instanceRef lost_hit_cntr_3)) - (portRef (member lost_hit_cntr 20) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_4 (joined @@ -770265,7 +770251,6 @@ )) (net lost_hit_cntr_4 (joined (portRef Q (instanceRef lost_hit_cntr_4)) - (portRef (member lost_hit_cntr 19) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_5 (joined @@ -770274,7 +770259,6 @@ )) (net lost_hit_cntr_5 (joined (portRef Q (instanceRef lost_hit_cntr_5)) - (portRef (member lost_hit_cntr 18) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_6 (joined @@ -770283,7 +770267,6 @@ )) (net lost_hit_cntr_6 (joined (portRef Q (instanceRef lost_hit_cntr_6)) - (portRef (member lost_hit_cntr 17) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_7 (joined @@ -770292,7 +770275,6 @@ )) (net lost_hit_cntr_7 (joined (portRef Q (instanceRef lost_hit_cntr_7)) - (portRef (member lost_hit_cntr 16) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_8 (joined @@ -770301,7 +770283,6 @@ )) (net lost_hit_cntr_8 (joined (portRef Q (instanceRef lost_hit_cntr_8)) - (portRef (member lost_hit_cntr 15) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_9 (joined @@ -770310,7 +770291,6 @@ )) (net lost_hit_cntr_9 (joined (portRef Q (instanceRef lost_hit_cntr_9)) - (portRef (member lost_hit_cntr 14) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_10 (joined @@ -770319,7 +770299,6 @@ )) (net lost_hit_cntr_10 (joined (portRef Q (instanceRef lost_hit_cntr_10)) - (portRef (member lost_hit_cntr 13) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_11 (joined @@ -770328,7 +770307,6 @@ )) (net lost_hit_cntr_11 (joined (portRef Q (instanceRef lost_hit_cntr_11)) - (portRef (member lost_hit_cntr 12) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_12 (joined @@ -770337,7 +770315,6 @@ )) (net lost_hit_cntr_12 (joined (portRef Q (instanceRef lost_hit_cntr_12)) - (portRef (member lost_hit_cntr 11) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_13 (joined @@ -770346,7 +770323,6 @@ )) (net lost_hit_cntr_13 (joined (portRef Q (instanceRef lost_hit_cntr_13)) - (portRef (member lost_hit_cntr 10) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_14 (joined @@ -770355,7 +770331,6 @@ )) (net lost_hit_cntr_14 (joined (portRef Q (instanceRef lost_hit_cntr_14)) - (portRef (member lost_hit_cntr 9) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_15 (joined @@ -770364,7 +770339,6 @@ )) (net lost_hit_cntr_15 (joined (portRef Q (instanceRef lost_hit_cntr_15)) - (portRef (member lost_hit_cntr 8) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_16 (joined @@ -770373,7 +770347,6 @@ )) (net lost_hit_cntr_16 (joined (portRef Q (instanceRef lost_hit_cntr_16)) - (portRef (member lost_hit_cntr 7) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_17 (joined @@ -770382,7 +770355,6 @@ )) (net lost_hit_cntr_17 (joined (portRef Q (instanceRef lost_hit_cntr_17)) - (portRef (member lost_hit_cntr 6) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_18 (joined @@ -770391,7 +770363,6 @@ )) (net lost_hit_cntr_18 (joined (portRef Q (instanceRef lost_hit_cntr_18)) - (portRef (member lost_hit_cntr 5) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_19 (joined @@ -770400,7 +770371,6 @@ )) (net lost_hit_cntr_19 (joined (portRef Q (instanceRef lost_hit_cntr_19)) - (portRef (member lost_hit_cntr 4) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_20 (joined @@ -770409,7 +770379,6 @@ )) (net lost_hit_cntr_20 (joined (portRef Q (instanceRef lost_hit_cntr_20)) - (portRef (member lost_hit_cntr 3) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_21 (joined @@ -770418,7 +770387,6 @@ )) (net lost_hit_cntr_21 (joined (portRef Q (instanceRef lost_hit_cntr_21)) - (portRef (member lost_hit_cntr 2) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_22 (joined @@ -770427,7 +770395,6 @@ )) (net lost_hit_cntr_22 (joined (portRef Q (instanceRef lost_hit_cntr_22)) - (portRef (member lost_hit_cntr 1) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_23 (joined @@ -770436,7 +770403,6 @@ )) (net lost_hit_cntr_23 (joined (portRef Q (instanceRef lost_hit_cntr_23)) - (portRef (member lost_hit_cntr 0) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined @@ -770542,9 +770508,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_2_1 (joined + (net channel_debug_01_i_17_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_2 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_17 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_11 (joined @@ -770553,7 +770519,6 @@ )) (net encoder_start_cntr_0 (joined (portRef Q (instanceRef encoder_start_cntr_0)) - (portRef (member encoder_start_cntr 23) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_1_0_S0_11 (joined @@ -770562,7 +770527,6 @@ )) (net encoder_start_cntr_1 (joined (portRef Q (instanceRef encoder_start_cntr_1)) - (portRef (member encoder_start_cntr 22) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_1_0_S1_11 (joined @@ -770571,7 +770535,6 @@ )) (net encoder_start_cntr_2 (joined (portRef Q (instanceRef encoder_start_cntr_2)) - (portRef (member encoder_start_cntr 21) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_3_0_S0_11 (joined @@ -770580,7 +770543,6 @@ )) (net encoder_start_cntr_3 (joined (portRef Q (instanceRef encoder_start_cntr_3)) - (portRef (member encoder_start_cntr 20) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_3_0_S1_11 (joined @@ -770589,7 +770551,6 @@ )) (net encoder_start_cntr_4 (joined (portRef Q (instanceRef encoder_start_cntr_4)) - (portRef (member encoder_start_cntr 19) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_5_0_S0_11 (joined @@ -770598,7 +770559,6 @@ )) (net encoder_start_cntr_5 (joined (portRef Q (instanceRef encoder_start_cntr_5)) - (portRef (member encoder_start_cntr 18) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_5_0_S1_11 (joined @@ -770607,7 +770567,6 @@ )) (net encoder_start_cntr_6 (joined (portRef Q (instanceRef encoder_start_cntr_6)) - (portRef (member encoder_start_cntr 17) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_7_0_S0_11 (joined @@ -770616,7 +770575,6 @@ )) (net encoder_start_cntr_7 (joined (portRef Q (instanceRef encoder_start_cntr_7)) - (portRef (member encoder_start_cntr 16) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_7_0_S1_11 (joined @@ -770625,7 +770583,6 @@ )) (net encoder_start_cntr_8 (joined (portRef Q (instanceRef encoder_start_cntr_8)) - (portRef (member encoder_start_cntr 15) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_9_0_S0_11 (joined @@ -770634,7 +770591,6 @@ )) (net encoder_start_cntr_9 (joined (portRef Q (instanceRef encoder_start_cntr_9)) - (portRef (member encoder_start_cntr 14) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_9_0_S1_11 (joined @@ -770643,7 +770599,6 @@ )) (net encoder_start_cntr_10 (joined (portRef Q (instanceRef encoder_start_cntr_10)) - (portRef (member encoder_start_cntr 13) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_11_0_S0_11 (joined @@ -770652,7 +770607,6 @@ )) (net encoder_start_cntr_11 (joined (portRef Q (instanceRef encoder_start_cntr_11)) - (portRef (member encoder_start_cntr 12) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_11_0_S1_11 (joined @@ -770661,7 +770615,6 @@ )) (net encoder_start_cntr_12 (joined (portRef Q (instanceRef encoder_start_cntr_12)) - (portRef (member encoder_start_cntr 11) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_13_0_S0_11 (joined @@ -770670,7 +770623,6 @@ )) (net encoder_start_cntr_13 (joined (portRef Q (instanceRef encoder_start_cntr_13)) - (portRef (member encoder_start_cntr 10) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_13_0_S1_11 (joined @@ -770679,7 +770631,6 @@ )) (net encoder_start_cntr_14 (joined (portRef Q (instanceRef encoder_start_cntr_14)) - (portRef (member encoder_start_cntr 9) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_15_0_S0_11 (joined @@ -770688,7 +770639,6 @@ )) (net encoder_start_cntr_15 (joined (portRef Q (instanceRef encoder_start_cntr_15)) - (portRef (member encoder_start_cntr 8) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_15_0_S1_11 (joined @@ -770697,7 +770647,6 @@ )) (net encoder_start_cntr_16 (joined (portRef Q (instanceRef encoder_start_cntr_16)) - (portRef (member encoder_start_cntr 7) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_17_0_S0_11 (joined @@ -770706,7 +770655,6 @@ )) (net encoder_start_cntr_17 (joined (portRef Q (instanceRef encoder_start_cntr_17)) - (portRef (member encoder_start_cntr 6) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_17_0_S1_11 (joined @@ -770715,7 +770663,6 @@ )) (net encoder_start_cntr_18 (joined (portRef Q (instanceRef encoder_start_cntr_18)) - (portRef (member encoder_start_cntr 5) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_19_0_S0_11 (joined @@ -770724,7 +770671,6 @@ )) (net encoder_start_cntr_19 (joined (portRef Q (instanceRef encoder_start_cntr_19)) - (portRef (member encoder_start_cntr 4) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_19_0_S1_11 (joined @@ -770733,7 +770679,6 @@ )) (net encoder_start_cntr_20 (joined (portRef Q (instanceRef encoder_start_cntr_20)) - (portRef (member encoder_start_cntr 3) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_21_0_S0_11 (joined @@ -770742,7 +770687,6 @@ )) (net encoder_start_cntr_21 (joined (portRef Q (instanceRef encoder_start_cntr_21)) - (portRef (member encoder_start_cntr 2) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_cry_21_0_S1_11 (joined @@ -770751,7 +770695,6 @@ )) (net encoder_start_cntr_22 (joined (portRef Q (instanceRef encoder_start_cntr_22)) - (portRef (member encoder_start_cntr 1) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_s_23_0_S0_11 (joined @@ -770760,26 +770703,22 @@ )) (net encoder_start_cntr_23 (joined (portRef Q (instanceRef encoder_start_cntr_23)) - (portRef (member encoder_start_cntr 0) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) - (net fifo_full_i (joined - (portRef fifo_full_i (instanceRef FIFO)) - (portRef D (instanceRef FIFO_FULL_OUT)) - )) - (net channel_full_i_2 (joined - (portRef Q (instanceRef FIFO_FULL_OUT)) - (portRef (member channel_full_i 0)) - )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) + (net stat_reg_49 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) - (portRef clk_100_i_c (instanceRef Measurement_Sync)) - (portRef clk_100_i_c (instanceRef Encoder_Start_Sync)) - (portRef clk_100_i_c (instanceRef Lost_Hit_Sync)) (portRef clk_100_i_c (instanceRef FIFO)) (portRef CK (instanceRef FIFO_DATA_OUT_31)) (portRef CK (instanceRef FIFO_DATA_OUT_30)) @@ -770814,13 +770753,9 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) - (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) - (portRef (member final_reset_iso 0) (instanceRef Measurement_Sync)) - (portRef (member final_reset_iso 0) (instanceRef Encoder_Start_Sync)) - (portRef (member final_reset_iso 0) (instanceRef Lost_Hit_Sync)) (portRef PD (instanceRef FIFO_DATA_OUT_31)) (portRef PD (instanceRef FIFO_DATA_OUT_30)) (portRef PD (instanceRef FIFO_DATA_OUT_29)) @@ -770854,267 +770789,258 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) - (portRef CD (instanceRef FIFO_FULL_OUT)) - )) - (net stat_reg_0 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef stat_reg_0) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) )) - (net channel_data_i_2_0 (joined + (net channel_data_i_17_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_2 31)) + (portRef (member channel_data_i_17 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_2_1 (joined + (net channel_data_i_17_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_2 30)) + (portRef (member channel_data_i_17 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_2_2 (joined + (net channel_data_i_17_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_2 29)) + (portRef (member channel_data_i_17 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_2_3 (joined + (net channel_data_i_17_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_2 28)) + (portRef (member channel_data_i_17 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_2_4 (joined + (net channel_data_i_17_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_2 27)) + (portRef (member channel_data_i_17 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_2_5 (joined + (net channel_data_i_17_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_2 26)) + (portRef (member channel_data_i_17 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_2_6 (joined + (net channel_data_i_17_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_2 25)) + (portRef (member channel_data_i_17 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_2_7 (joined + (net channel_data_i_17_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_2 24)) + (portRef (member channel_data_i_17 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_2_8 (joined + (net channel_data_i_17_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_2 23)) + (portRef (member channel_data_i_17 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_2_9 (joined + (net channel_data_i_17_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_2 22)) + (portRef (member channel_data_i_17 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_2_10 (joined + (net channel_data_i_17_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_2 21)) + (portRef (member channel_data_i_17 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_2_11 (joined + (net channel_data_i_17_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_2 20)) + (portRef (member channel_data_i_17 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_2_12 (joined + (net channel_data_i_17_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_2 19)) + (portRef (member channel_data_i_17 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_2_13 (joined + (net channel_data_i_17_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_2 18)) + (portRef (member channel_data_i_17 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_2_14 (joined + (net channel_data_i_17_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_2 17)) + (portRef (member channel_data_i_17 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_2_15 (joined + (net channel_data_i_17_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_2 16)) + (portRef (member channel_data_i_17 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_2_16 (joined + (net channel_data_i_17_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_2 15)) + (portRef (member channel_data_i_17 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_2_17 (joined + (net channel_data_i_17_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_2 14)) + (portRef (member channel_data_i_17 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_2_18 (joined + (net channel_data_i_17_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_2 13)) + (portRef (member channel_data_i_17 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_2_19 (joined + (net channel_data_i_17_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_2 12)) + (portRef (member channel_data_i_17 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_2_20 (joined + (net channel_data_i_17_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_2 11)) + (portRef (member channel_data_i_17 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_2_21 (joined + (net channel_data_i_17_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_2 10)) + (portRef (member channel_data_i_17 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_2_22 (joined + (net channel_data_i_17_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_2 9)) + (portRef (member channel_data_i_17 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_2_23 (joined + (net channel_data_i_17_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_2 8)) + (portRef (member channel_data_i_17 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_2_24 (joined + (net channel_data_i_17_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_2 7)) + (portRef (member channel_data_i_17 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_2_25 (joined + (net channel_data_i_17_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_2 6)) + (portRef (member channel_data_i_17 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_2_26 (joined + (net channel_data_i_17_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_2 5)) + (portRef (member channel_data_i_17 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_2_27 (joined + (net channel_data_i_17_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_2 4)) + (portRef (member channel_data_i_17 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_2_28 (joined + (net channel_data_i_17_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_2 3)) + (portRef (member channel_data_i_17 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_2_29 (joined + (net channel_data_i_17_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_2 2)) + (portRef (member channel_data_i_17 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_2_30 (joined + (net channel_data_i_17_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_2 1)) + (portRef (member channel_data_i_17 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_2_31 (joined + (net channel_data_i_17_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_2 0)) + (portRef (member channel_data_i_17 0)) )) (net sync_q_CR0_ram_DO1_11 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -771133,8 +771059,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_fast_2 (joined - (portRef reset_tdc_fast_2) + (net reset_tdc_rep1 (joined + (portRef reset_tdc_rep1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -771256,8 +771182,33 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_24 (joined - (portRef reset_tdc_fast_24) + (net reset_tdc_rep1_11 (joined + (portRef reset_tdc_rep1_11) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + (portRef B (instanceRef result_reg_3_0_i_27)) + (portRef B (instanceRef result_reg_3_0_i_26)) + (portRef B (instanceRef result_reg_3_0_i_25)) + (portRef B (instanceRef result_reg_3_0_i_24)) + (portRef B (instanceRef result_reg_3_0_i_23)) + (portRef B (instanceRef result_reg_3_0_i_22)) + (portRef B (instanceRef result_reg_3_0_i_21)) + (portRef B (instanceRef result_reg_3_0_i_20)) + (portRef B (instanceRef result_reg_3_0_i_19)) + (portRef B (instanceRef result_reg_3_0_i_18)) + (portRef B (instanceRef result_reg_3_0_i_17)) + (portRef B (instanceRef result_reg_3_0_i_16)) + (portRef B (instanceRef result_reg_3_0_i_15)) + (portRef B (instanceRef result_reg_3_0_i_14)) + (portRef B (instanceRef result_reg_3_0_i_13)) + (portRef B (instanceRef result_reg_3_0_i_12)) + (portRef B (instanceRef result_reg_3_0_i_11)) (portRef B (instanceRef result_reg_3_0_i_10)) (portRef B (instanceRef result_reg_3_0_i_9)) (portRef B (instanceRef result_reg_3_0_i_8)) @@ -771299,108 +771250,6 @@ (portRef (member result_i 291) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_12)) )) - (net reset_tdc_fast_25 (joined - (portRef reset_tdc_fast_25) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - (portRef B (instanceRef result_reg_3_0_i_27)) - (portRef B (instanceRef result_reg_3_0_i_26)) - (portRef B (instanceRef result_reg_3_0_i_25)) - (portRef B (instanceRef result_reg_3_0_i_24)) - (portRef B (instanceRef result_reg_3_0_i_23)) - (portRef B (instanceRef result_reg_3_0_i_22)) - (portRef B (instanceRef result_reg_3_0_i_21)) - (portRef B (instanceRef result_reg_3_0_i_20)) - (portRef B (instanceRef result_reg_3_0_i_19)) - (portRef B (instanceRef result_reg_3_0_i_18)) - (portRef B (instanceRef result_reg_3_0_i_17)) - (portRef B (instanceRef result_reg_3_0_i_16)) - (portRef B (instanceRef result_reg_3_0_i_15)) - (portRef B (instanceRef result_reg_3_0_i_14)) - (portRef B (instanceRef result_reg_3_0_i_13)) - (portRef B (instanceRef result_reg_3_0_i_12)) - (portRef B (instanceRef result_reg_3_0_i_11)) - )) (net result_i_13 (joined (portRef (member result_i 290) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_13)) @@ -771501,6 +771350,109 @@ (portRef (member result_i 266) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_37)) )) + (net reset_tdc_rep1_12 (joined + (portRef reset_tdc_rep1_12) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + )) (net result_i_38 (joined (portRef (member result_i 265) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_38)) @@ -771797,108 +771749,6 @@ (portRef (member result_i 192) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_111)) )) - (net reset_tdc_fast_26 (joined - (portRef reset_tdc_fast_26) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - )) (net result_i_112 (joined (portRef (member result_i 191) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_112)) @@ -772003,6 +771853,109 @@ (portRef (member result_i 166) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_137)) )) + (net reset_tdc_rep1_13 (joined + (portRef reset_tdc_rep1_13) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + )) (net result_i_138 (joined (portRef (member result_i 165) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_138)) @@ -772295,104 +772248,6 @@ (portRef (member result_i 93) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_210)) )) - (net reset_tdc_fast_27 (joined - (portRef reset_tdc_fast_27) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - )) (net result_i_211 (joined (portRef (member result_i 92) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_211)) @@ -772501,6 +772356,77 @@ (portRef (member result_i 66) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_237)) )) + (net reset_tdc_rep1_14 (joined + (portRef reset_tdc_rep1_14) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + )) (net result_i_238 (joined (portRef (member result_i 65) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_238)) @@ -772765,8 +772691,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_2_0 (joined - (portRef (member channel_debug_01_i_2 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_17_0 (joined + (portRef (member channel_debug_01_i_17 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -772793,9 +772719,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_2_2 (joined - (portRef (member channel_debug_01_i_2 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_2 0) (instanceRef FIFO)) + (net channel_debug_01_i_17_2 (joined + (portRef (member channel_debug_01_i_17 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_17 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -772980,17 +772906,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_93 (joined - (portRef reset_tdc_93) - (portRef reset_tdc_93 (instanceRef FC)) + (net reset_tdc_47 (joined + (portRef reset_tdc_47) + (portRef reset_tdc_47 (instanceRef FC)) )) - (net reset_tdc_92 (joined - (portRef reset_tdc_92) - (portRef reset_tdc_92 (instanceRef FC)) + (net reset_tdc_46 (joined + (portRef reset_tdc_46) + (portRef reset_tdc_46 (instanceRef FC)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) - (portRef reset_tdc_91 (instanceRef FC)) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) + (portRef reset_tdc_45 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -773032,43 +772958,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) - (portRef reset_tdc_94 (instanceRef Encoder)) - (portRef reset_tdc_94 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r3 (joined - (portRef reset_tdc_fast_36_r3) - (portRef reset_tdc_fast_36_r3 (instanceRef Encoder)) - )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) + (portRef reset_tdc_48 (instanceRef Encoder)) + (portRef reset_tdc_48 (instanceRef FC)) )) - (net reset_tdc_fast_37_r2 (joined - (portRef reset_tdc_fast_37_r2) - (portRef reset_tdc_fast_37_r2 (instanceRef Encoder)) + (net reset_tdc_fast_36_r26 (joined + (portRef reset_tdc_fast_36_r26) + (portRef reset_tdc_fast_36_r26 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) - (portRef reset_tdc_fast_36_r9 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r5 (joined - (portRef reset_tdc_fast_36_r5) - (portRef reset_tdc_fast_36_r5 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNILE6H)) + (portRef A (instanceRef result_2_reg_RNID5S21)) )) - (net rd_en_i_2 (joined + (net rd_en_i_17 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_17 (joined - (portRef reset_i_17) - (portRef reset_i_17 (instanceRef FIFO)) + (net reset_i_10 (joined + (portRef reset_i_10) + (portRef reset_i_10 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -773088,324 +773006,113 @@ (portRef G_1695_Q) (portRef G_1695_Q (instanceRef Edge_To_Pulse_Hit)) )) - (net stat_reg_542 (joined - (portRef (member stat_reg 23) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_542) - )) - (net stat_reg_543 (joined - (portRef (member stat_reg 22) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_543) - )) - (net stat_reg_544 (joined - (portRef (member stat_reg 21) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_544) - )) - (net stat_reg_545 (joined - (portRef (member stat_reg 20) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_545) - )) - (net stat_reg_546 (joined - (portRef (member stat_reg 19) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_546) - )) - (net stat_reg_547 (joined - (portRef (member stat_reg 18) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_547) - )) - (net stat_reg_548 (joined - (portRef (member stat_reg 17) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_548) - )) - (net stat_reg_549 (joined - (portRef (member stat_reg 16) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_549) - )) - (net stat_reg_550 (joined - (portRef (member stat_reg 15) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_550) - )) - (net stat_reg_551 (joined - (portRef (member stat_reg 14) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_551) - )) - (net stat_reg_552 (joined - (portRef (member stat_reg 13) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_552) - )) - (net stat_reg_553 (joined - (portRef (member stat_reg 12) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_553) - )) - (net stat_reg_554 (joined - (portRef (member stat_reg 11) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_554) - )) - (net stat_reg_555 (joined - (portRef (member stat_reg 10) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_555) - )) - (net stat_reg_556 (joined - (portRef (member stat_reg 9) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_556) - )) - (net stat_reg_557 (joined - (portRef (member stat_reg 8) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_557) - )) - (net stat_reg_558 (joined - (portRef (member stat_reg 7) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_558) - )) - (net stat_reg_559 (joined - (portRef (member stat_reg 6) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_559) - )) - (net stat_reg_560 (joined - (portRef (member stat_reg 5) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_560) - )) - (net stat_reg_561 (joined - (portRef (member stat_reg 4) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_561) - )) - (net stat_reg_562 (joined - (portRef (member stat_reg 3) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_562) - )) - (net stat_reg_563 (joined - (portRef (member stat_reg 2) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_563) - )) - (net stat_reg_564 (joined - (portRef (member stat_reg 1) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_564) - )) - (net stat_reg_565 (joined - (portRef (member stat_reg 0) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_565) - )) - (net stat_reg_606 (joined - (portRef (member stat_reg 23) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_606) - )) - (net stat_reg_607 (joined - (portRef (member stat_reg 22) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_607) - )) - (net stat_reg_608 (joined - (portRef (member stat_reg 21) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_608) - )) - (net stat_reg_609 (joined - (portRef (member stat_reg 20) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_609) - )) - (net stat_reg_610 (joined - (portRef (member stat_reg 19) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_610) - )) - (net stat_reg_611 (joined - (portRef (member stat_reg 18) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_611) - )) - (net stat_reg_612 (joined - (portRef (member stat_reg 17) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_612) - )) - (net stat_reg_613 (joined - (portRef (member stat_reg 16) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_613) - )) - (net stat_reg_614 (joined - (portRef (member stat_reg 15) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_614) - )) - (net stat_reg_615 (joined - (portRef (member stat_reg 14) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_615) - )) - (net stat_reg_616 (joined - (portRef (member stat_reg 13) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_616) - )) - (net stat_reg_617 (joined - (portRef (member stat_reg 12) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_617) - )) - (net stat_reg_618 (joined - (portRef (member stat_reg 11) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_618) - )) - (net stat_reg_619 (joined - (portRef (member stat_reg 10) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_619) - )) - (net stat_reg_620 (joined - (portRef (member stat_reg 9) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_620) - )) - (net stat_reg_621 (joined - (portRef (member stat_reg 8) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_621) - )) - (net stat_reg_622 (joined - (portRef (member stat_reg 7) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_622) - )) - (net stat_reg_623 (joined - (portRef (member stat_reg 6) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_623) - )) - (net stat_reg_624 (joined - (portRef (member stat_reg 5) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_624) - )) - (net stat_reg_625 (joined - (portRef (member stat_reg 4) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_625) - )) - (net stat_reg_626 (joined - (portRef (member stat_reg 3) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_626) - )) - (net stat_reg_627 (joined - (portRef (member stat_reg 2) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_627) - )) - (net stat_reg_628 (joined - (portRef (member stat_reg 1) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_628) - )) - (net stat_reg_629 (joined - (portRef (member stat_reg 0) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_629) - )) - (net stat_reg_574 (joined - (portRef (member stat_reg 23) (instanceRef Measurement_Sync)) - (portRef stat_reg_574) - )) - (net stat_reg_575 (joined - (portRef (member stat_reg 22) (instanceRef Measurement_Sync)) - (portRef stat_reg_575) - )) - (net stat_reg_576 (joined - (portRef (member stat_reg 21) (instanceRef Measurement_Sync)) - (portRef stat_reg_576) - )) - (net stat_reg_577 (joined - (portRef (member stat_reg 20) (instanceRef Measurement_Sync)) - (portRef stat_reg_577) - )) - (net stat_reg_578 (joined - (portRef (member stat_reg 19) (instanceRef Measurement_Sync)) - (portRef stat_reg_578) - )) - (net stat_reg_579 (joined - (portRef (member stat_reg 18) (instanceRef Measurement_Sync)) - (portRef stat_reg_579) - )) - (net stat_reg_580 (joined - (portRef (member stat_reg 17) (instanceRef Measurement_Sync)) - (portRef stat_reg_580) - )) - (net stat_reg_581 (joined - (portRef (member stat_reg 16) (instanceRef Measurement_Sync)) - (portRef stat_reg_581) - )) - (net stat_reg_582 (joined - (portRef (member stat_reg 15) (instanceRef Measurement_Sync)) - (portRef stat_reg_582) - )) - (net stat_reg_583 (joined - (portRef (member stat_reg 14) (instanceRef Measurement_Sync)) - (portRef stat_reg_583) - )) - (net stat_reg_584 (joined - (portRef (member stat_reg 13) (instanceRef Measurement_Sync)) - (portRef stat_reg_584) - )) - (net stat_reg_585 (joined - (portRef (member stat_reg 12) (instanceRef Measurement_Sync)) - (portRef stat_reg_585) - )) - (net stat_reg_586 (joined - (portRef (member stat_reg 11) (instanceRef Measurement_Sync)) - (portRef stat_reg_586) - )) - (net stat_reg_587 (joined - (portRef (member stat_reg 10) (instanceRef Measurement_Sync)) - (portRef stat_reg_587) - )) - (net stat_reg_588 (joined - (portRef (member stat_reg 9) (instanceRef Measurement_Sync)) - (portRef stat_reg_588) - )) - (net stat_reg_589 (joined - (portRef (member stat_reg 8) (instanceRef Measurement_Sync)) - (portRef stat_reg_589) - )) - (net stat_reg_590 (joined - (portRef (member stat_reg 7) (instanceRef Measurement_Sync)) - (portRef stat_reg_590) - )) - (net stat_reg_591 (joined - (portRef (member stat_reg 6) (instanceRef Measurement_Sync)) - (portRef stat_reg_591) - )) - (net stat_reg_592 (joined - (portRef (member stat_reg 5) (instanceRef Measurement_Sync)) - (portRef stat_reg_592) - )) - (net stat_reg_593 (joined - (portRef (member stat_reg 4) (instanceRef Measurement_Sync)) - (portRef stat_reg_593) - )) - (net stat_reg_594 (joined - (portRef (member stat_reg 3) (instanceRef Measurement_Sync)) - (portRef stat_reg_594) - )) - (net stat_reg_595 (joined - (portRef (member stat_reg 2) (instanceRef Measurement_Sync)) - (portRef stat_reg_595) - )) - (net stat_reg_596 (joined - (portRef (member stat_reg 1) (instanceRef Measurement_Sync)) - (portRef stat_reg_596) - )) - (net stat_reg_597 (joined - (portRef (member stat_reg 0) (instanceRef Measurement_Sync)) - (portRef stat_reg_597) - )) ) ) ) - (cell Channel_17 (cellType GENERIC) + (cell Channel_2 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(17:17)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(17:17)") 1) (direction INPUT)) - (port (array (rename channel_data_i_17 "channel_data_i_17(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(49:49)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(2:2)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(2:2)") 1) (direction INPUT)) + (port (array (rename channel_data_i_2 "channel_data_i_2(31:0)") 32) (direction OUTPUT)) + (port stat_reg_597 (direction OUTPUT)) + (port stat_reg_596 (direction OUTPUT)) + (port stat_reg_595 (direction OUTPUT)) + (port stat_reg_594 (direction OUTPUT)) + (port stat_reg_593 (direction OUTPUT)) + (port stat_reg_592 (direction OUTPUT)) + (port stat_reg_591 (direction OUTPUT)) + (port stat_reg_590 (direction OUTPUT)) + (port stat_reg_589 (direction OUTPUT)) + (port stat_reg_588 (direction OUTPUT)) + (port stat_reg_587 (direction OUTPUT)) + (port stat_reg_586 (direction OUTPUT)) + (port stat_reg_585 (direction OUTPUT)) + (port stat_reg_584 (direction OUTPUT)) + (port stat_reg_583 (direction OUTPUT)) + (port stat_reg_582 (direction OUTPUT)) + (port stat_reg_581 (direction OUTPUT)) + (port stat_reg_580 (direction OUTPUT)) + (port stat_reg_579 (direction OUTPUT)) + (port stat_reg_578 (direction OUTPUT)) + (port stat_reg_577 (direction OUTPUT)) + (port stat_reg_576 (direction OUTPUT)) + (port stat_reg_575 (direction OUTPUT)) + (port stat_reg_574 (direction OUTPUT)) + (port stat_reg_629 (direction OUTPUT)) + (port stat_reg_628 (direction OUTPUT)) + (port stat_reg_627 (direction OUTPUT)) + (port stat_reg_626 (direction OUTPUT)) + (port stat_reg_625 (direction OUTPUT)) + (port stat_reg_624 (direction OUTPUT)) + (port stat_reg_623 (direction OUTPUT)) + (port stat_reg_622 (direction OUTPUT)) + (port stat_reg_621 (direction OUTPUT)) + (port stat_reg_620 (direction OUTPUT)) + (port stat_reg_619 (direction OUTPUT)) + (port stat_reg_618 (direction OUTPUT)) + (port stat_reg_617 (direction OUTPUT)) + (port stat_reg_616 (direction OUTPUT)) + (port stat_reg_615 (direction OUTPUT)) + (port stat_reg_614 (direction OUTPUT)) + (port stat_reg_613 (direction OUTPUT)) + (port stat_reg_612 (direction OUTPUT)) + (port stat_reg_611 (direction OUTPUT)) + (port stat_reg_610 (direction OUTPUT)) + (port stat_reg_609 (direction OUTPUT)) + (port stat_reg_608 (direction OUTPUT)) + (port stat_reg_607 (direction OUTPUT)) + (port stat_reg_606 (direction OUTPUT)) + (port stat_reg_565 (direction OUTPUT)) + (port stat_reg_564 (direction OUTPUT)) + (port stat_reg_563 (direction OUTPUT)) + (port stat_reg_562 (direction OUTPUT)) + (port stat_reg_561 (direction OUTPUT)) + (port stat_reg_560 (direction OUTPUT)) + (port stat_reg_559 (direction OUTPUT)) + (port stat_reg_558 (direction OUTPUT)) + (port stat_reg_557 (direction OUTPUT)) + (port stat_reg_556 (direction OUTPUT)) + (port stat_reg_555 (direction OUTPUT)) + (port stat_reg_554 (direction OUTPUT)) + (port stat_reg_553 (direction OUTPUT)) + (port stat_reg_552 (direction OUTPUT)) + (port stat_reg_551 (direction OUTPUT)) + (port stat_reg_550 (direction OUTPUT)) + (port stat_reg_549 (direction OUTPUT)) + (port stat_reg_548 (direction OUTPUT)) + (port stat_reg_547 (direction OUTPUT)) + (port stat_reg_546 (direction OUTPUT)) + (port stat_reg_545 (direction OUTPUT)) + (port stat_reg_544 (direction OUTPUT)) + (port stat_reg_543 (direction OUTPUT)) + (port stat_reg_542 (direction OUTPUT)) + (port stat_reg_0 (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(2:2)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1704_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_9 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r26 (direction INPUT)) - (port reset_tdc_45 (direction INPUT)) - (port reset_tdc_46 (direction INPUT)) - (port reset_tdc_47 (direction INPUT)) - (port reset_tdc_48 (direction INPUT)) + (port reset_i_18 (direction INPUT)) + (port reset_tdc_fast_36_r5 (direction INPUT)) + (port reset_tdc_fast_36_r3 (direction INPUT)) + (port reset_tdc_fast_37_r2 (direction INPUT)) + (port reset_tdc_fast_37_r3 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_36_r2 (direction INPUT)) + (port reset_tdc_91 (direction INPUT)) + (port reset_tdc_92 (direction INPUT)) + (port reset_tdc_93 (direction INPUT)) + (port reset_tdc_94 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_17 (direction INPUT)) - (port reset_tdc_rep1_16 (direction INPUT)) - (port reset_tdc_rep1_15 (direction INPUT)) - (port reset_tdc_rep1_14 (direction INPUT)) - (port reset_tdc_rep1_1 (direction INPUT)) - (port reset_tdc_rep1 (direction INPUT)) + (port reset_tdc_fast_31 (direction INPUT)) + (port reset_tdc_fast_30 (direction INPUT)) + (port reset_tdc_fast_29 (direction INPUT)) + (port reset_tdc_fast_28 (direction INPUT)) + (port reset_tdc_fast_27 (direction INPUT)) + (port reset_tdc_fast_3 (direction INPUT)) + (port reset_tdc_fast_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -773419,7 +773126,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295706_0 (direction OUTPUT)) + (port N_296004_0 (direction OUTPUT)) (port G_1702_Q (direction INPUT)) (port sync_q_and_10 (direction OUTPUT)) (port G_1699_Q (direction INPUT)) @@ -773428,14 +773135,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIGC121 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIOLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIGC121_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIOLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIGC121_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIOLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -774232,6 +773939,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -775281,7 +774990,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNID5S21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNILE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -775526,6 +775235,12 @@ ) (instance Edge_To_Pulse_Hit (viewRef netlist (cellRef edge_to_pulse_0_11)) ) + (instance Lost_Hit_Sync (viewRef netlist (cellRef signal_sync_24_3_33)) + ) + (instance Encoder_Start_Sync (viewRef netlist (cellRef signal_sync_24_3_34)) + ) + (instance Measurement_Sync (viewRef netlist (cellRef signal_sync_24_3_35)) + ) (net hit_in_i (joined (portRef A (instanceRef hit_buf_RNO)) (portRef (member hit_in_iZ0 0)) @@ -775537,32 +775252,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0)) )) (net tmp1_10_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNID5S21)) + (portRef B (instanceRef result_2_reg_RNILE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIGC121_1)) - (portRef B (instanceRef result_2_reg_RNIGC121_0)) - (portRef B (instanceRef result_2_reg_RNIGC121)) + (portRef B (instanceRef result_2_reg_RNIOLBG_1)) + (portRef B (instanceRef result_2_reg_RNIOLBG_0)) + (portRef B (instanceRef result_2_reg_RNIOLBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNID5S21)) + (portRef C (instanceRef result_2_reg_RNILE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIGC121_1)) - (portRef C (instanceRef result_2_reg_RNIGC121_0)) - (portRef C (instanceRef result_2_reg_RNIGC121)) + (portRef C (instanceRef result_2_reg_RNIOLBG_1)) + (portRef C (instanceRef result_2_reg_RNIOLBG_0)) + (portRef C (instanceRef result_2_reg_RNIOLBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIGC121)) + (portRef Z (instanceRef result_2_reg_RNIOLBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -775641,9 +775356,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIGC121_1)) - (portRef A (instanceRef result_2_reg_RNIGC121_0)) - (portRef A (instanceRef result_2_reg_RNIGC121)) + (portRef A (instanceRef result_2_reg_RNIOLBG_1)) + (portRef A (instanceRef result_2_reg_RNIOLBG_0)) + (portRef A (instanceRef result_2_reg_RNIOLBG)) )) (net GND (joined (portRef GND) @@ -775798,7 +775513,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIGC121_0)) + (portRef Z (instanceRef result_2_reg_RNIOLBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -775876,7 +775591,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIGC121_1)) + (portRef Z (instanceRef result_2_reg_RNIOLBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -775963,9 +775678,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295706_0 (joined + (net N_296004_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295706_0) + (portRef N_296004_0) )) (net tmp1_10_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -776001,6 +775716,9 @@ )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) + (portRef CLK_PCLK_LEFT_c (instanceRef Measurement_Sync)) + (portRef CLK_PCLK_LEFT_c (instanceRef Encoder_Start_Sync)) + (portRef CLK_PCLK_LEFT_c (instanceRef Lost_Hit_Sync)) (portRef CLK_PCLK_LEFT_c (instanceRef Edge_To_Pulse_Hit)) (portRef CLK_PCLK_LEFT_c (instanceRef FIFO)) (portRef CLK_PCLK_LEFT_c (instanceRef Encoder)) @@ -776472,6 +776190,9 @@ )) (net VCC (joined (portRef VCC) + (portRef VCC (instanceRef Measurement_Sync)) + (portRef VCC (instanceRef Encoder_Start_Sync)) + (portRef VCC (instanceRef Lost_Hit_Sync)) (portRef VCC (instanceRef Edge_To_Pulse_Hit)) (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) @@ -776617,7 +776338,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNID5S21)) + (portRef Z (instanceRef result_2_reg_RNILE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -779049,6 +778770,7 @@ )) (net measurement_cntr_0 (joined (portRef Q (instanceRef measurement_cntr_0)) + (portRef (member measurement_cntr 23) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S0_10 (joined @@ -779057,6 +778779,7 @@ )) (net measurement_cntr_1 (joined (portRef Q (instanceRef measurement_cntr_1)) + (portRef (member measurement_cntr 22) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S1_10 (joined @@ -779065,6 +778788,7 @@ )) (net measurement_cntr_2 (joined (portRef Q (instanceRef measurement_cntr_2)) + (portRef (member measurement_cntr 21) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S0_10 (joined @@ -779073,6 +778797,7 @@ )) (net measurement_cntr_3 (joined (portRef Q (instanceRef measurement_cntr_3)) + (portRef (member measurement_cntr 20) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S1_10 (joined @@ -779081,6 +778806,7 @@ )) (net measurement_cntr_4 (joined (portRef Q (instanceRef measurement_cntr_4)) + (portRef (member measurement_cntr 19) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S0_10 (joined @@ -779089,6 +778815,7 @@ )) (net measurement_cntr_5 (joined (portRef Q (instanceRef measurement_cntr_5)) + (portRef (member measurement_cntr 18) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S1_10 (joined @@ -779097,6 +778824,7 @@ )) (net measurement_cntr_6 (joined (portRef Q (instanceRef measurement_cntr_6)) + (portRef (member measurement_cntr 17) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S0_10 (joined @@ -779105,6 +778833,7 @@ )) (net measurement_cntr_7 (joined (portRef Q (instanceRef measurement_cntr_7)) + (portRef (member measurement_cntr 16) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S1_10 (joined @@ -779113,6 +778842,7 @@ )) (net measurement_cntr_8 (joined (portRef Q (instanceRef measurement_cntr_8)) + (portRef (member measurement_cntr 15) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S0_10 (joined @@ -779121,6 +778851,7 @@ )) (net measurement_cntr_9 (joined (portRef Q (instanceRef measurement_cntr_9)) + (portRef (member measurement_cntr 14) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S1_10 (joined @@ -779129,6 +778860,7 @@ )) (net measurement_cntr_10 (joined (portRef Q (instanceRef measurement_cntr_10)) + (portRef (member measurement_cntr 13) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S0_10 (joined @@ -779137,6 +778869,7 @@ )) (net measurement_cntr_11 (joined (portRef Q (instanceRef measurement_cntr_11)) + (portRef (member measurement_cntr 12) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S1_10 (joined @@ -779145,6 +778878,7 @@ )) (net measurement_cntr_12 (joined (portRef Q (instanceRef measurement_cntr_12)) + (portRef (member measurement_cntr 11) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S0_10 (joined @@ -779153,6 +778887,7 @@ )) (net measurement_cntr_13 (joined (portRef Q (instanceRef measurement_cntr_13)) + (portRef (member measurement_cntr 10) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S1_10 (joined @@ -779161,6 +778896,7 @@ )) (net measurement_cntr_14 (joined (portRef Q (instanceRef measurement_cntr_14)) + (portRef (member measurement_cntr 9) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S0_10 (joined @@ -779169,6 +778905,7 @@ )) (net measurement_cntr_15 (joined (portRef Q (instanceRef measurement_cntr_15)) + (portRef (member measurement_cntr 8) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S1_10 (joined @@ -779177,6 +778914,7 @@ )) (net measurement_cntr_16 (joined (portRef Q (instanceRef measurement_cntr_16)) + (portRef (member measurement_cntr 7) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S0_10 (joined @@ -779185,6 +778923,7 @@ )) (net measurement_cntr_17 (joined (portRef Q (instanceRef measurement_cntr_17)) + (portRef (member measurement_cntr 6) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S1_10 (joined @@ -779193,6 +778932,7 @@ )) (net measurement_cntr_18 (joined (portRef Q (instanceRef measurement_cntr_18)) + (portRef (member measurement_cntr 5) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S0_10 (joined @@ -779201,6 +778941,7 @@ )) (net measurement_cntr_19 (joined (portRef Q (instanceRef measurement_cntr_19)) + (portRef (member measurement_cntr 4) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S1_10 (joined @@ -779209,6 +778950,7 @@ )) (net measurement_cntr_20 (joined (portRef Q (instanceRef measurement_cntr_20)) + (portRef (member measurement_cntr 3) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S0_10 (joined @@ -779217,6 +778959,7 @@ )) (net measurement_cntr_21 (joined (portRef Q (instanceRef measurement_cntr_21)) + (portRef (member measurement_cntr 2) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S1_10 (joined @@ -779225,6 +778968,7 @@ )) (net measurement_cntr_22 (joined (portRef Q (instanceRef measurement_cntr_22)) + (portRef (member measurement_cntr 1) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_s_23_0_S0_10 (joined @@ -779233,6 +778977,7 @@ )) (net measurement_cntr_23 (joined (portRef Q (instanceRef measurement_cntr_23)) + (portRef (member measurement_cntr 0) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) (net lost_hit_cntr_lm_0 (joined @@ -779241,6 +778986,7 @@ )) (net lost_hit_cntr_0 (joined (portRef Q (instanceRef lost_hit_cntr_0)) + (portRef (member lost_hit_cntr 23) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_0)) )) (net lost_hit_cntr_lm_1 (joined @@ -779249,6 +778995,7 @@ )) (net lost_hit_cntr_1 (joined (portRef Q (instanceRef lost_hit_cntr_1)) + (portRef (member lost_hit_cntr 22) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_2 (joined @@ -779257,6 +779004,7 @@ )) (net lost_hit_cntr_2 (joined (portRef Q (instanceRef lost_hit_cntr_2)) + (portRef (member lost_hit_cntr 21) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_3 (joined @@ -779265,6 +779013,7 @@ )) (net lost_hit_cntr_3 (joined (portRef Q (instanceRef lost_hit_cntr_3)) + (portRef (member lost_hit_cntr 20) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_4 (joined @@ -779273,6 +779022,7 @@ )) (net lost_hit_cntr_4 (joined (portRef Q (instanceRef lost_hit_cntr_4)) + (portRef (member lost_hit_cntr 19) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_5 (joined @@ -779281,6 +779031,7 @@ )) (net lost_hit_cntr_5 (joined (portRef Q (instanceRef lost_hit_cntr_5)) + (portRef (member lost_hit_cntr 18) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_6 (joined @@ -779289,6 +779040,7 @@ )) (net lost_hit_cntr_6 (joined (portRef Q (instanceRef lost_hit_cntr_6)) + (portRef (member lost_hit_cntr 17) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_7 (joined @@ -779297,6 +779049,7 @@ )) (net lost_hit_cntr_7 (joined (portRef Q (instanceRef lost_hit_cntr_7)) + (portRef (member lost_hit_cntr 16) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_8 (joined @@ -779305,6 +779058,7 @@ )) (net lost_hit_cntr_8 (joined (portRef Q (instanceRef lost_hit_cntr_8)) + (portRef (member lost_hit_cntr 15) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_9 (joined @@ -779313,6 +779067,7 @@ )) (net lost_hit_cntr_9 (joined (portRef Q (instanceRef lost_hit_cntr_9)) + (portRef (member lost_hit_cntr 14) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_10 (joined @@ -779321,6 +779076,7 @@ )) (net lost_hit_cntr_10 (joined (portRef Q (instanceRef lost_hit_cntr_10)) + (portRef (member lost_hit_cntr 13) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_11 (joined @@ -779329,6 +779085,7 @@ )) (net lost_hit_cntr_11 (joined (portRef Q (instanceRef lost_hit_cntr_11)) + (portRef (member lost_hit_cntr 12) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_12 (joined @@ -779337,6 +779094,7 @@ )) (net lost_hit_cntr_12 (joined (portRef Q (instanceRef lost_hit_cntr_12)) + (portRef (member lost_hit_cntr 11) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_13 (joined @@ -779345,6 +779103,7 @@ )) (net lost_hit_cntr_13 (joined (portRef Q (instanceRef lost_hit_cntr_13)) + (portRef (member lost_hit_cntr 10) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_14 (joined @@ -779353,6 +779112,7 @@ )) (net lost_hit_cntr_14 (joined (portRef Q (instanceRef lost_hit_cntr_14)) + (portRef (member lost_hit_cntr 9) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_15 (joined @@ -779361,6 +779121,7 @@ )) (net lost_hit_cntr_15 (joined (portRef Q (instanceRef lost_hit_cntr_15)) + (portRef (member lost_hit_cntr 8) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_16 (joined @@ -779369,6 +779130,7 @@ )) (net lost_hit_cntr_16 (joined (portRef Q (instanceRef lost_hit_cntr_16)) + (portRef (member lost_hit_cntr 7) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_17 (joined @@ -779377,6 +779139,7 @@ )) (net lost_hit_cntr_17 (joined (portRef Q (instanceRef lost_hit_cntr_17)) + (portRef (member lost_hit_cntr 6) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_18 (joined @@ -779385,6 +779148,7 @@ )) (net lost_hit_cntr_18 (joined (portRef Q (instanceRef lost_hit_cntr_18)) + (portRef (member lost_hit_cntr 5) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_19 (joined @@ -779393,6 +779157,7 @@ )) (net lost_hit_cntr_19 (joined (portRef Q (instanceRef lost_hit_cntr_19)) + (portRef (member lost_hit_cntr 4) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_20 (joined @@ -779401,6 +779166,7 @@ )) (net lost_hit_cntr_20 (joined (portRef Q (instanceRef lost_hit_cntr_20)) + (portRef (member lost_hit_cntr 3) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_21 (joined @@ -779409,6 +779175,7 @@ )) (net lost_hit_cntr_21 (joined (portRef Q (instanceRef lost_hit_cntr_21)) + (portRef (member lost_hit_cntr 2) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_22 (joined @@ -779417,6 +779184,7 @@ )) (net lost_hit_cntr_22 (joined (portRef Q (instanceRef lost_hit_cntr_22)) + (portRef (member lost_hit_cntr 1) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_23 (joined @@ -779425,6 +779193,7 @@ )) (net lost_hit_cntr_23 (joined (portRef Q (instanceRef lost_hit_cntr_23)) + (portRef (member lost_hit_cntr 0) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined @@ -779530,9 +779299,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_17_1 (joined + (net channel_debug_01_i_2_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_17 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_2 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_10 (joined @@ -779541,6 +779310,7 @@ )) (net encoder_start_cntr_0 (joined (portRef Q (instanceRef encoder_start_cntr_0)) + (portRef (member encoder_start_cntr 23) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_1_0_S0_10 (joined @@ -779549,6 +779319,7 @@ )) (net encoder_start_cntr_1 (joined (portRef Q (instanceRef encoder_start_cntr_1)) + (portRef (member encoder_start_cntr 22) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_1_0_S1_10 (joined @@ -779557,6 +779328,7 @@ )) (net encoder_start_cntr_2 (joined (portRef Q (instanceRef encoder_start_cntr_2)) + (portRef (member encoder_start_cntr 21) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_3_0_S0_10 (joined @@ -779565,6 +779337,7 @@ )) (net encoder_start_cntr_3 (joined (portRef Q (instanceRef encoder_start_cntr_3)) + (portRef (member encoder_start_cntr 20) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_3_0_S1_10 (joined @@ -779573,6 +779346,7 @@ )) (net encoder_start_cntr_4 (joined (portRef Q (instanceRef encoder_start_cntr_4)) + (portRef (member encoder_start_cntr 19) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_5_0_S0_10 (joined @@ -779581,6 +779355,7 @@ )) (net encoder_start_cntr_5 (joined (portRef Q (instanceRef encoder_start_cntr_5)) + (portRef (member encoder_start_cntr 18) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_5_0_S1_10 (joined @@ -779589,6 +779364,7 @@ )) (net encoder_start_cntr_6 (joined (portRef Q (instanceRef encoder_start_cntr_6)) + (portRef (member encoder_start_cntr 17) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_7_0_S0_10 (joined @@ -779597,6 +779373,7 @@ )) (net encoder_start_cntr_7 (joined (portRef Q (instanceRef encoder_start_cntr_7)) + (portRef (member encoder_start_cntr 16) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_7_0_S1_10 (joined @@ -779605,6 +779382,7 @@ )) (net encoder_start_cntr_8 (joined (portRef Q (instanceRef encoder_start_cntr_8)) + (portRef (member encoder_start_cntr 15) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_9_0_S0_10 (joined @@ -779613,6 +779391,7 @@ )) (net encoder_start_cntr_9 (joined (portRef Q (instanceRef encoder_start_cntr_9)) + (portRef (member encoder_start_cntr 14) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_9_0_S1_10 (joined @@ -779621,6 +779400,7 @@ )) (net encoder_start_cntr_10 (joined (portRef Q (instanceRef encoder_start_cntr_10)) + (portRef (member encoder_start_cntr 13) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_11_0_S0_10 (joined @@ -779629,6 +779409,7 @@ )) (net encoder_start_cntr_11 (joined (portRef Q (instanceRef encoder_start_cntr_11)) + (portRef (member encoder_start_cntr 12) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_11_0_S1_10 (joined @@ -779637,6 +779418,7 @@ )) (net encoder_start_cntr_12 (joined (portRef Q (instanceRef encoder_start_cntr_12)) + (portRef (member encoder_start_cntr 11) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_13_0_S0_10 (joined @@ -779645,6 +779427,7 @@ )) (net encoder_start_cntr_13 (joined (portRef Q (instanceRef encoder_start_cntr_13)) + (portRef (member encoder_start_cntr 10) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_13_0_S1_10 (joined @@ -779653,6 +779436,7 @@ )) (net encoder_start_cntr_14 (joined (portRef Q (instanceRef encoder_start_cntr_14)) + (portRef (member encoder_start_cntr 9) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_15_0_S0_10 (joined @@ -779661,6 +779445,7 @@ )) (net encoder_start_cntr_15 (joined (portRef Q (instanceRef encoder_start_cntr_15)) + (portRef (member encoder_start_cntr 8) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_15_0_S1_10 (joined @@ -779669,6 +779454,7 @@ )) (net encoder_start_cntr_16 (joined (portRef Q (instanceRef encoder_start_cntr_16)) + (portRef (member encoder_start_cntr 7) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_17_0_S0_10 (joined @@ -779677,6 +779463,7 @@ )) (net encoder_start_cntr_17 (joined (portRef Q (instanceRef encoder_start_cntr_17)) + (portRef (member encoder_start_cntr 6) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_17_0_S1_10 (joined @@ -779685,6 +779472,7 @@ )) (net encoder_start_cntr_18 (joined (portRef Q (instanceRef encoder_start_cntr_18)) + (portRef (member encoder_start_cntr 5) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_19_0_S0_10 (joined @@ -779693,6 +779481,7 @@ )) (net encoder_start_cntr_19 (joined (portRef Q (instanceRef encoder_start_cntr_19)) + (portRef (member encoder_start_cntr 4) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_19_0_S1_10 (joined @@ -779701,6 +779490,7 @@ )) (net encoder_start_cntr_20 (joined (portRef Q (instanceRef encoder_start_cntr_20)) + (portRef (member encoder_start_cntr 3) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_21_0_S0_10 (joined @@ -779709,6 +779499,7 @@ )) (net encoder_start_cntr_21 (joined (portRef Q (instanceRef encoder_start_cntr_21)) + (portRef (member encoder_start_cntr 2) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_cry_21_0_S1_10 (joined @@ -779717,6 +779508,7 @@ )) (net encoder_start_cntr_22 (joined (portRef Q (instanceRef encoder_start_cntr_22)) + (portRef (member encoder_start_cntr 1) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_s_23_0_S0_10 (joined @@ -779725,22 +779517,26 @@ )) (net encoder_start_cntr_23 (joined (portRef Q (instanceRef encoder_start_cntr_23)) + (portRef (member encoder_start_cntr 0) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_2 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_49 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) + (portRef clk_100_i_c (instanceRef Measurement_Sync)) + (portRef clk_100_i_c (instanceRef Encoder_Start_Sync)) + (portRef clk_100_i_c (instanceRef Lost_Hit_Sync)) (portRef clk_100_i_c (instanceRef FIFO)) (portRef CK (instanceRef FIFO_DATA_OUT_31)) (portRef CK (instanceRef FIFO_DATA_OUT_30)) @@ -779775,9 +779571,13 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) + (portRef (member final_reset_iso 0) (instanceRef Measurement_Sync)) + (portRef (member final_reset_iso 0) (instanceRef Encoder_Start_Sync)) + (portRef (member final_reset_iso 0) (instanceRef Lost_Hit_Sync)) (portRef PD (instanceRef FIFO_DATA_OUT_31)) (portRef PD (instanceRef FIFO_DATA_OUT_30)) (portRef PD (instanceRef FIFO_DATA_OUT_29)) @@ -779811,258 +779611,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_17_0 (joined + (net stat_reg_0 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef stat_reg_0) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_2_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_17 31)) + (portRef (member channel_data_i_2 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_17_1 (joined + (net channel_data_i_2_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_17 30)) + (portRef (member channel_data_i_2 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_17_2 (joined + (net channel_data_i_2_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_17 29)) + (portRef (member channel_data_i_2 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_17_3 (joined + (net channel_data_i_2_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_17 28)) + (portRef (member channel_data_i_2 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_17_4 (joined + (net channel_data_i_2_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_17 27)) + (portRef (member channel_data_i_2 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_17_5 (joined + (net channel_data_i_2_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_17 26)) + (portRef (member channel_data_i_2 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_17_6 (joined + (net channel_data_i_2_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_17 25)) + (portRef (member channel_data_i_2 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_17_7 (joined + (net channel_data_i_2_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_17 24)) + (portRef (member channel_data_i_2 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_17_8 (joined + (net channel_data_i_2_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_17 23)) + (portRef (member channel_data_i_2 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_17_9 (joined + (net channel_data_i_2_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_17 22)) + (portRef (member channel_data_i_2 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_17_10 (joined + (net channel_data_i_2_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_17 21)) + (portRef (member channel_data_i_2 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_17_11 (joined + (net channel_data_i_2_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_17 20)) + (portRef (member channel_data_i_2 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_17_12 (joined + (net channel_data_i_2_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_17 19)) + (portRef (member channel_data_i_2 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_17_13 (joined + (net channel_data_i_2_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_17 18)) + (portRef (member channel_data_i_2 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_17_14 (joined + (net channel_data_i_2_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_17 17)) + (portRef (member channel_data_i_2 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_17_15 (joined + (net channel_data_i_2_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_17 16)) + (portRef (member channel_data_i_2 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_17_16 (joined + (net channel_data_i_2_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_17 15)) + (portRef (member channel_data_i_2 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_17_17 (joined + (net channel_data_i_2_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_17 14)) + (portRef (member channel_data_i_2 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_17_18 (joined + (net channel_data_i_2_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_17 13)) + (portRef (member channel_data_i_2 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_17_19 (joined + (net channel_data_i_2_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_17 12)) + (portRef (member channel_data_i_2 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_17_20 (joined + (net channel_data_i_2_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_17 11)) + (portRef (member channel_data_i_2 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_17_21 (joined + (net channel_data_i_2_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_17 10)) + (portRef (member channel_data_i_2 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_17_22 (joined + (net channel_data_i_2_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_17 9)) + (portRef (member channel_data_i_2 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_17_23 (joined + (net channel_data_i_2_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_17 8)) + (portRef (member channel_data_i_2 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_17_24 (joined + (net channel_data_i_2_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_17 7)) + (portRef (member channel_data_i_2 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_17_25 (joined + (net channel_data_i_2_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_17 6)) + (portRef (member channel_data_i_2 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_17_26 (joined + (net channel_data_i_2_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_17 5)) + (portRef (member channel_data_i_2 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_17_27 (joined + (net channel_data_i_2_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_17 4)) + (portRef (member channel_data_i_2 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_17_28 (joined + (net channel_data_i_2_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_17 3)) + (portRef (member channel_data_i_2 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_17_29 (joined + (net channel_data_i_2_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_17 2)) + (portRef (member channel_data_i_2 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_17_30 (joined + (net channel_data_i_2_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_17 1)) + (portRef (member channel_data_i_2 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_17_31 (joined + (net channel_data_i_2_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_17 0)) + (portRef (member channel_data_i_2 0)) )) (net sync_q_CR0_ram_DO1_10 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -780081,8 +779890,13 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1 (joined - (portRef reset_tdc_rep1) + (net reset_tdc_fast_2 (joined + (portRef reset_tdc_fast_2) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + (portRef B (instanceRef lost_hit_cntr_lm_0_7)) + (portRef B (instanceRef lost_hit_cntr_lm_0_6)) + (portRef B (instanceRef lost_hit_cntr_lm_0_5)) + (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) (portRef B (instanceRef lost_hit_cntr_lm_0_2)) (portRef B (instanceRef lost_hit_cntr_lm_0_1)) @@ -780104,29 +779918,6 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) (portRef A (instanceRef lost_hit_cntr_lm_0_5)) )) - (net reset_tdc_rep1_1 (joined - (portRef reset_tdc_rep1_1) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) - (portRef B (instanceRef lost_hit_cntr_lm_0_7)) - (portRef B (instanceRef lost_hit_cntr_lm_0_6)) - (portRef B (instanceRef lost_hit_cntr_lm_0_5)) - (portRef B (instanceRef lost_hit_cntr_lm_0_4)) - )) (net lost_hit_cntr_s_6 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_5)) (portRef A (instanceRef lost_hit_cntr_lm_0_6)) @@ -780147,6 +779938,24 @@ (portRef S1 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_10)) )) + (net reset_tdc_fast_3 (joined + (portRef reset_tdc_fast_3) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + )) (net lost_hit_cntr_s_11 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_11)) (portRef A (instanceRef lost_hit_cntr_lm_0_11)) @@ -780207,8 +780016,102 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_14 (joined - (portRef reset_tdc_rep1_14) + (net reset_tdc_fast_27 (joined + (portRef reset_tdc_fast_27) + (portRef B (instanceRef result_reg_3_0_i_6)) + (portRef B (instanceRef result_reg_3_0_i_5)) + (portRef B (instanceRef result_reg_3_0_i_4)) + (portRef B (instanceRef result_reg_3_0_i_3)) + )) + (net result_i_5 (joined + (portRef (member result_i 298) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_5)) + )) + (net result_i_6 (joined + (portRef (member result_i 297) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_6)) + )) + (net result_i_7 (joined + (portRef (member result_i 296) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_7)) + )) + (net result_i_8 (joined + (portRef (member result_i 295) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_8)) + )) + (net reset_tdc_fast_28 (joined + (portRef reset_tdc_fast_28) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) (portRef B (instanceRef result_reg_3_0_i_34)) (portRef B (instanceRef result_reg_3_0_i_33)) (portRef B (instanceRef result_reg_3_0_i_32)) @@ -780237,26 +780140,6 @@ (portRef B (instanceRef result_reg_3_0_i_9)) (portRef B (instanceRef result_reg_3_0_i_8)) (portRef B (instanceRef result_reg_3_0_i_7)) - (portRef B (instanceRef result_reg_3_0_i_6)) - (portRef B (instanceRef result_reg_3_0_i_5)) - (portRef B (instanceRef result_reg_3_0_i_4)) - (portRef B (instanceRef result_reg_3_0_i_3)) - )) - (net result_i_5 (joined - (portRef (member result_i 298) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_5)) - )) - (net result_i_6 (joined - (portRef (member result_i 297) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_6)) - )) - (net result_i_7 (joined - (portRef (member result_i 296) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_7)) - )) - (net result_i_8 (joined - (portRef (member result_i 295) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_8)) )) (net result_i_9 (joined (portRef (member result_i 294) (instanceRef FC)) @@ -780370,109 +780253,6 @@ (portRef (member result_i 267) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_36)) )) - (net reset_tdc_rep1_15 (joined - (portRef reset_tdc_rep1_15) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - )) (net result_i_37 (joined (portRef (member result_i 266) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_37)) @@ -780757,6 +780537,107 @@ (portRef (member result_i 196) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_107)) )) + (net reset_tdc_fast_29 (joined + (portRef reset_tdc_fast_29) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + )) (net result_i_108 (joined (portRef (member result_i 195) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_108)) @@ -780873,108 +780754,6 @@ (portRef (member result_i 167) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_136)) )) - (net reset_tdc_rep1_16 (joined - (portRef reset_tdc_rep1_16) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - )) (net result_i_137 (joined (portRef (member result_i 166) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_137)) @@ -781251,6 +781030,107 @@ (portRef (member result_i 98) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_205)) )) + (net reset_tdc_fast_30 (joined + (portRef reset_tdc_fast_30) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + )) (net result_i_206 (joined (portRef (member result_i 97) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_206)) @@ -781371,79 +781251,6 @@ (portRef (member result_i 68) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_235)) )) - (net reset_tdc_rep1_17 (joined - (portRef reset_tdc_rep1_17) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - )) (net result_i_236 (joined (portRef (member result_i 67) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_236)) @@ -781716,8 +781523,13 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_17_0 (joined - (portRef (member channel_debug_01_i_17 0) (instanceRef Edge_To_Pulse_Hit)) + (net reset_tdc_fast_31 (joined + (portRef reset_tdc_fast_31) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + )) + (net channel_debug_01_i_2_0 (joined + (portRef (member channel_debug_01_i_2 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -781744,9 +781556,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_17_2 (joined - (portRef (member channel_debug_01_i_17 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_17 0) (instanceRef FIFO)) + (net channel_debug_01_i_2_2 (joined + (portRef (member channel_debug_01_i_2 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_2 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -781931,17 +781743,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_47 (joined - (portRef reset_tdc_47) - (portRef reset_tdc_47 (instanceRef FC)) + (net reset_tdc_93 (joined + (portRef reset_tdc_93) + (portRef reset_tdc_93 (instanceRef FC)) )) - (net reset_tdc_46 (joined - (portRef reset_tdc_46) - (portRef reset_tdc_46 (instanceRef FC)) + (net reset_tdc_92 (joined + (portRef reset_tdc_92) + (portRef reset_tdc_92 (instanceRef FC)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) - (portRef reset_tdc_45 (instanceRef FC)) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) + (portRef reset_tdc_91 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -781983,35 +781795,47 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) - (portRef reset_tdc_48 (instanceRef Encoder)) - (portRef reset_tdc_48 (instanceRef FC)) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) + (portRef reset_tdc_94 (instanceRef Encoder)) + (portRef reset_tdc_94 (instanceRef FC)) )) - (net reset_tdc_fast_36_r26 (joined - (portRef reset_tdc_fast_36_r26) - (portRef reset_tdc_fast_36_r26 (instanceRef Encoder)) + (net reset_tdc_fast_36_r2 (joined + (portRef reset_tdc_fast_36_r2) + (portRef reset_tdc_fast_36_r2 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_fast_37_r3 (joined + (portRef reset_tdc_fast_37_r3) + (portRef reset_tdc_fast_37_r3 (instanceRef Encoder)) + )) + (net reset_tdc_fast_37_r2 (joined + (portRef reset_tdc_fast_37_r2) + (portRef reset_tdc_fast_37_r2 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r3 (joined + (portRef reset_tdc_fast_36_r3) + (portRef reset_tdc_fast_36_r3 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r5 (joined + (portRef reset_tdc_fast_36_r5) + (portRef reset_tdc_fast_36_r5 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNID5S21)) + (portRef A (instanceRef result_2_reg_RNILE6H)) )) - (net rd_en_i_17 (joined + (net rd_en_i_2 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_9 (joined - (portRef reset_i_9) - (portRef reset_i_9 (instanceRef FIFO)) + (net reset_i_18 (joined + (portRef reset_i_18) + (portRef reset_i_18 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -782031,17 +781855,305 @@ (portRef G_1704_Q) (portRef G_1704_Q (instanceRef Edge_To_Pulse_Hit)) )) + (net stat_reg_542 (joined + (portRef (member stat_reg 23) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_542) + )) + (net stat_reg_543 (joined + (portRef (member stat_reg 22) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_543) + )) + (net stat_reg_544 (joined + (portRef (member stat_reg 21) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_544) + )) + (net stat_reg_545 (joined + (portRef (member stat_reg 20) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_545) + )) + (net stat_reg_546 (joined + (portRef (member stat_reg 19) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_546) + )) + (net stat_reg_547 (joined + (portRef (member stat_reg 18) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_547) + )) + (net stat_reg_548 (joined + (portRef (member stat_reg 17) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_548) + )) + (net stat_reg_549 (joined + (portRef (member stat_reg 16) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_549) + )) + (net stat_reg_550 (joined + (portRef (member stat_reg 15) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_550) + )) + (net stat_reg_551 (joined + (portRef (member stat_reg 14) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_551) + )) + (net stat_reg_552 (joined + (portRef (member stat_reg 13) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_552) + )) + (net stat_reg_553 (joined + (portRef (member stat_reg 12) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_553) + )) + (net stat_reg_554 (joined + (portRef (member stat_reg 11) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_554) + )) + (net stat_reg_555 (joined + (portRef (member stat_reg 10) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_555) + )) + (net stat_reg_556 (joined + (portRef (member stat_reg 9) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_556) + )) + (net stat_reg_557 (joined + (portRef (member stat_reg 8) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_557) + )) + (net stat_reg_558 (joined + (portRef (member stat_reg 7) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_558) + )) + (net stat_reg_559 (joined + (portRef (member stat_reg 6) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_559) + )) + (net stat_reg_560 (joined + (portRef (member stat_reg 5) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_560) + )) + (net stat_reg_561 (joined + (portRef (member stat_reg 4) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_561) + )) + (net stat_reg_562 (joined + (portRef (member stat_reg 3) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_562) + )) + (net stat_reg_563 (joined + (portRef (member stat_reg 2) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_563) + )) + (net stat_reg_564 (joined + (portRef (member stat_reg 1) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_564) + )) + (net stat_reg_565 (joined + (portRef (member stat_reg 0) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_565) + )) + (net stat_reg_606 (joined + (portRef (member stat_reg 23) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_606) + )) + (net stat_reg_607 (joined + (portRef (member stat_reg 22) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_607) + )) + (net stat_reg_608 (joined + (portRef (member stat_reg 21) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_608) + )) + (net stat_reg_609 (joined + (portRef (member stat_reg 20) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_609) + )) + (net stat_reg_610 (joined + (portRef (member stat_reg 19) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_610) + )) + (net stat_reg_611 (joined + (portRef (member stat_reg 18) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_611) + )) + (net stat_reg_612 (joined + (portRef (member stat_reg 17) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_612) + )) + (net stat_reg_613 (joined + (portRef (member stat_reg 16) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_613) + )) + (net stat_reg_614 (joined + (portRef (member stat_reg 15) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_614) + )) + (net stat_reg_615 (joined + (portRef (member stat_reg 14) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_615) + )) + (net stat_reg_616 (joined + (portRef (member stat_reg 13) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_616) + )) + (net stat_reg_617 (joined + (portRef (member stat_reg 12) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_617) + )) + (net stat_reg_618 (joined + (portRef (member stat_reg 11) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_618) + )) + (net stat_reg_619 (joined + (portRef (member stat_reg 10) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_619) + )) + (net stat_reg_620 (joined + (portRef (member stat_reg 9) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_620) + )) + (net stat_reg_621 (joined + (portRef (member stat_reg 8) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_621) + )) + (net stat_reg_622 (joined + (portRef (member stat_reg 7) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_622) + )) + (net stat_reg_623 (joined + (portRef (member stat_reg 6) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_623) + )) + (net stat_reg_624 (joined + (portRef (member stat_reg 5) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_624) + )) + (net stat_reg_625 (joined + (portRef (member stat_reg 4) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_625) + )) + (net stat_reg_626 (joined + (portRef (member stat_reg 3) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_626) + )) + (net stat_reg_627 (joined + (portRef (member stat_reg 2) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_627) + )) + (net stat_reg_628 (joined + (portRef (member stat_reg 1) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_628) + )) + (net stat_reg_629 (joined + (portRef (member stat_reg 0) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_629) + )) + (net stat_reg_574 (joined + (portRef (member stat_reg 23) (instanceRef Measurement_Sync)) + (portRef stat_reg_574) + )) + (net stat_reg_575 (joined + (portRef (member stat_reg 22) (instanceRef Measurement_Sync)) + (portRef stat_reg_575) + )) + (net stat_reg_576 (joined + (portRef (member stat_reg 21) (instanceRef Measurement_Sync)) + (portRef stat_reg_576) + )) + (net stat_reg_577 (joined + (portRef (member stat_reg 20) (instanceRef Measurement_Sync)) + (portRef stat_reg_577) + )) + (net stat_reg_578 (joined + (portRef (member stat_reg 19) (instanceRef Measurement_Sync)) + (portRef stat_reg_578) + )) + (net stat_reg_579 (joined + (portRef (member stat_reg 18) (instanceRef Measurement_Sync)) + (portRef stat_reg_579) + )) + (net stat_reg_580 (joined + (portRef (member stat_reg 17) (instanceRef Measurement_Sync)) + (portRef stat_reg_580) + )) + (net stat_reg_581 (joined + (portRef (member stat_reg 16) (instanceRef Measurement_Sync)) + (portRef stat_reg_581) + )) + (net stat_reg_582 (joined + (portRef (member stat_reg 15) (instanceRef Measurement_Sync)) + (portRef stat_reg_582) + )) + (net stat_reg_583 (joined + (portRef (member stat_reg 14) (instanceRef Measurement_Sync)) + (portRef stat_reg_583) + )) + (net stat_reg_584 (joined + (portRef (member stat_reg 13) (instanceRef Measurement_Sync)) + (portRef stat_reg_584) + )) + (net stat_reg_585 (joined + (portRef (member stat_reg 12) (instanceRef Measurement_Sync)) + (portRef stat_reg_585) + )) + (net stat_reg_586 (joined + (portRef (member stat_reg 11) (instanceRef Measurement_Sync)) + (portRef stat_reg_586) + )) + (net stat_reg_587 (joined + (portRef (member stat_reg 10) (instanceRef Measurement_Sync)) + (portRef stat_reg_587) + )) + (net stat_reg_588 (joined + (portRef (member stat_reg 9) (instanceRef Measurement_Sync)) + (portRef stat_reg_588) + )) + (net stat_reg_589 (joined + (portRef (member stat_reg 8) (instanceRef Measurement_Sync)) + (portRef stat_reg_589) + )) + (net stat_reg_590 (joined + (portRef (member stat_reg 7) (instanceRef Measurement_Sync)) + (portRef stat_reg_590) + )) + (net stat_reg_591 (joined + (portRef (member stat_reg 6) (instanceRef Measurement_Sync)) + (portRef stat_reg_591) + )) + (net stat_reg_592 (joined + (portRef (member stat_reg 5) (instanceRef Measurement_Sync)) + (portRef stat_reg_592) + )) + (net stat_reg_593 (joined + (portRef (member stat_reg 4) (instanceRef Measurement_Sync)) + (portRef stat_reg_593) + )) + (net stat_reg_594 (joined + (portRef (member stat_reg 3) (instanceRef Measurement_Sync)) + (portRef stat_reg_594) + )) + (net stat_reg_595 (joined + (portRef (member stat_reg 2) (instanceRef Measurement_Sync)) + (portRef stat_reg_595) + )) + (net stat_reg_596 (joined + (portRef (member stat_reg 1) (instanceRef Measurement_Sync)) + (portRef stat_reg_596) + )) + (net stat_reg_597 (joined + (portRef (member stat_reg 0) (instanceRef Measurement_Sync)) + (portRef stat_reg_597) + )) ) ) ) - (cell Channel_5 (cellType GENERIC) + (cell Channel_7 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(5:5)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(5:5)") 1) (direction INPUT)) - (port (array (rename channel_data_i_5 "channel_data_i_5(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(37:37)") 1) (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(5:5)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(7:7)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(7:7)") 1) (direction INPUT)) + (port (array (rename channel_data_i_7 "channel_data_i_7(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(39:39)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(7:7)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) @@ -782049,20 +782161,19 @@ (port reset_i_rep2_1 (direction INPUT)) (port reset_i_15 (direction INPUT)) (port reset_i_16 (direction INPUT)) - (port reset_tdc_fast_36_r11 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_37_r5 (direction INPUT)) - (port reset_tdc_fast_36_r8 (direction INPUT)) - (port reset_tdc_82 (direction INPUT)) - (port reset_tdc_83 (direction INPUT)) - (port reset_tdc_84 (direction INPUT)) - (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_fast_36_r13 (direction INPUT)) + (port reset_tdc_fast_37_r7 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) + (port reset_tdc_fast_36_r12 (direction INPUT)) + (port reset_tdc_76 (direction INPUT)) + (port reset_tdc_77 (direction INPUT)) + (port reset_tdc_78 (direction INPUT)) + (port reset_tdc_79 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) + (port reset_tdc_fast_27 (direction INPUT)) + (port reset_tdc_fast_26 (direction INPUT)) + (port reset_tdc_fast_25 (direction INPUT)) (port reset_tdc_fast_24 (direction INPUT)) - (port reset_tdc_fast_23 (direction INPUT)) - (port reset_tdc_fast_22 (direction INPUT)) - (port reset_tdc_fast_21 (direction INPUT)) (port reset_tdc_fast_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) @@ -782077,7 +782188,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295719_0 (direction OUTPUT)) + (port N_296017_0 (direction OUTPUT)) (port G_1711_Q (direction INPUT)) (port sync_q_and_9 (direction OUTPUT)) (port G_1708_Q (direction INPUT)) @@ -782086,14 +782197,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIULBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI2MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIULBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI2MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIULBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI2MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -783941,7 +784052,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIRE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIVE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -784197,32 +784308,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0)) )) (net tmp1_9_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIRE6H)) + (portRef B (instanceRef result_2_reg_RNIVE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIULBG_1)) - (portRef B (instanceRef result_2_reg_RNIULBG_0)) - (portRef B (instanceRef result_2_reg_RNIULBG)) + (portRef B (instanceRef result_2_reg_RNI2MBG_1)) + (portRef B (instanceRef result_2_reg_RNI2MBG_0)) + (portRef B (instanceRef result_2_reg_RNI2MBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIRE6H)) + (portRef C (instanceRef result_2_reg_RNIVE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIULBG_1)) - (portRef C (instanceRef result_2_reg_RNIULBG_0)) - (portRef C (instanceRef result_2_reg_RNIULBG)) + (portRef C (instanceRef result_2_reg_RNI2MBG_1)) + (portRef C (instanceRef result_2_reg_RNI2MBG_0)) + (portRef C (instanceRef result_2_reg_RNI2MBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIULBG)) + (portRef Z (instanceRef result_2_reg_RNI2MBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -784301,9 +784412,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIULBG_1)) - (portRef A (instanceRef result_2_reg_RNIULBG_0)) - (portRef A (instanceRef result_2_reg_RNIULBG)) + (portRef A (instanceRef result_2_reg_RNI2MBG_1)) + (portRef A (instanceRef result_2_reg_RNI2MBG_0)) + (portRef A (instanceRef result_2_reg_RNI2MBG)) )) (net GND (joined (portRef GND) @@ -784458,7 +784569,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIULBG_0)) + (portRef Z (instanceRef result_2_reg_RNI2MBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -784536,7 +784647,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIULBG_1)) + (portRef Z (instanceRef result_2_reg_RNI2MBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -784623,9 +784734,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295719_0 (joined + (net N_296017_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295719_0) + (portRef N_296017_0) )) (net tmp1_9_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -785277,7 +785388,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIRE6H)) + (portRef Z (instanceRef result_2_reg_RNIVE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -788190,9 +788301,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_5_1 (joined + (net channel_debug_01_i_7_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_5 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_7 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_9 (joined @@ -788391,7 +788502,7 @@ (portRef fifo_full_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_FULL_OUT)) )) - (net channel_full_i_5 (joined + (net channel_full_i_7 (joined (portRef Q (instanceRef FIFO_FULL_OUT)) (portRef (member channel_full_i 0)) )) @@ -788474,7 +788585,7 @@ (portRef CD (instanceRef FIFO_EMPTY_OUT)) (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net stat_reg_37 (joined + (net stat_reg_39 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -788482,257 +788593,257 @@ (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_0)) )) - (net channel_data_i_5_0 (joined + (net channel_data_i_7_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_5 31)) + (portRef (member channel_data_i_7 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_5_1 (joined + (net channel_data_i_7_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_5 30)) + (portRef (member channel_data_i_7 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_5_2 (joined + (net channel_data_i_7_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_5 29)) + (portRef (member channel_data_i_7 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_5_3 (joined + (net channel_data_i_7_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_5 28)) + (portRef (member channel_data_i_7 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_5_4 (joined + (net channel_data_i_7_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_5 27)) + (portRef (member channel_data_i_7 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_5_5 (joined + (net channel_data_i_7_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_5 26)) + (portRef (member channel_data_i_7 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_5_6 (joined + (net channel_data_i_7_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_5 25)) + (portRef (member channel_data_i_7 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_5_7 (joined + (net channel_data_i_7_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_5 24)) + (portRef (member channel_data_i_7 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_5_8 (joined + (net channel_data_i_7_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_5 23)) + (portRef (member channel_data_i_7 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_5_9 (joined + (net channel_data_i_7_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_5 22)) + (portRef (member channel_data_i_7 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_5_10 (joined + (net channel_data_i_7_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_5 21)) + (portRef (member channel_data_i_7 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_5_11 (joined + (net channel_data_i_7_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_5 20)) + (portRef (member channel_data_i_7 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_5_12 (joined + (net channel_data_i_7_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_5 19)) + (portRef (member channel_data_i_7 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_5_13 (joined + (net channel_data_i_7_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_5 18)) + (portRef (member channel_data_i_7 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_5_14 (joined + (net channel_data_i_7_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_5 17)) + (portRef (member channel_data_i_7 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_5_15 (joined + (net channel_data_i_7_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_5 16)) + (portRef (member channel_data_i_7 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_5_16 (joined + (net channel_data_i_7_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_5 15)) + (portRef (member channel_data_i_7 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_5_17 (joined + (net channel_data_i_7_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_5 14)) + (portRef (member channel_data_i_7 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_5_18 (joined + (net channel_data_i_7_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_5 13)) + (portRef (member channel_data_i_7 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_5_19 (joined + (net channel_data_i_7_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_5 12)) + (portRef (member channel_data_i_7 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_5_20 (joined + (net channel_data_i_7_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_5 11)) + (portRef (member channel_data_i_7 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_5_21 (joined + (net channel_data_i_7_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_5 10)) + (portRef (member channel_data_i_7 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_5_22 (joined + (net channel_data_i_7_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_5 9)) + (portRef (member channel_data_i_7 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_5_23 (joined + (net channel_data_i_7_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_5 8)) + (portRef (member channel_data_i_7 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_5_24 (joined + (net channel_data_i_7_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_5 7)) + (portRef (member channel_data_i_7 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_5_25 (joined + (net channel_data_i_7_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_5 6)) + (portRef (member channel_data_i_7 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_5_26 (joined + (net channel_data_i_7_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_5 5)) + (portRef (member channel_data_i_7 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_5_27 (joined + (net channel_data_i_7_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_5 4)) + (portRef (member channel_data_i_7 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_5_28 (joined + (net channel_data_i_7_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_5 3)) + (portRef (member channel_data_i_7 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_5_29 (joined + (net channel_data_i_7_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_5 2)) + (portRef (member channel_data_i_7 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_5_30 (joined + (net channel_data_i_7_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_5 1)) + (portRef (member channel_data_i_7 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_5_31 (joined + (net channel_data_i_7_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_5 0)) + (portRef (member channel_data_i_7 0)) )) (net sync_q_CR0_ram_DO1_9 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -788874,12 +788985,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_21 (joined - (portRef reset_tdc_fast_21) - (portRef B (instanceRef result_reg_3_0_i_14)) - (portRef B (instanceRef result_reg_3_0_i_13)) - (portRef B (instanceRef result_reg_3_0_i_12)) - (portRef B (instanceRef result_reg_3_0_i_11)) + (net reset_tdc_fast_24 (joined + (portRef reset_tdc_fast_24) (portRef B (instanceRef result_reg_3_0_i_10)) (portRef B (instanceRef result_reg_3_0_i_9)) (portRef B (instanceRef result_reg_3_0_i_8)) @@ -788921,28 +789028,8 @@ (portRef (member result_i 291) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_12)) )) - (net result_i_13 (joined - (portRef (member result_i 290) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_13)) - )) - (net result_i_14 (joined - (portRef (member result_i 289) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_14)) - )) - (net result_i_15 (joined - (portRef (member result_i 288) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_15)) - )) - (net result_i_16 (joined - (portRef (member result_i 287) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_16)) - )) - (net reset_tdc_fast_22 (joined - (portRef reset_tdc_fast_22) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) + (net reset_tdc_fast_25 (joined + (portRef reset_tdc_fast_25) (portRef B (instanceRef result_reg_3_0_i_109)) (portRef B (instanceRef result_reg_3_0_i_108)) (portRef B (instanceRef result_reg_3_0_i_107)) @@ -789038,6 +789125,26 @@ (portRef B (instanceRef result_reg_3_0_i_17)) (portRef B (instanceRef result_reg_3_0_i_16)) (portRef B (instanceRef result_reg_3_0_i_15)) + (portRef B (instanceRef result_reg_3_0_i_14)) + (portRef B (instanceRef result_reg_3_0_i_13)) + (portRef B (instanceRef result_reg_3_0_i_12)) + (portRef B (instanceRef result_reg_3_0_i_11)) + )) + (net result_i_13 (joined + (portRef (member result_i 290) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_13)) + )) + (net result_i_14 (joined + (portRef (member result_i 289) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_14)) + )) + (net result_i_15 (joined + (portRef (member result_i 288) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_15)) + )) + (net result_i_16 (joined + (portRef (member result_i 287) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_16)) )) (net result_i_17 (joined (portRef (member result_i 286) (instanceRef FC)) @@ -789419,28 +789526,8 @@ (portRef (member result_i 192) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_111)) )) - (net result_i_112 (joined - (portRef (member result_i 191) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_112)) - )) - (net result_i_113 (joined - (portRef (member result_i 190) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_113)) - )) - (net result_i_114 (joined - (portRef (member result_i 189) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_114)) - )) - (net result_i_115 (joined - (portRef (member result_i 188) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_115)) - )) - (net reset_tdc_fast_23 (joined - (portRef reset_tdc_fast_23) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) + (net reset_tdc_fast_26 (joined + (portRef reset_tdc_fast_26) (portRef B (instanceRef result_reg_3_0_i_208)) (portRef B (instanceRef result_reg_3_0_i_207)) (portRef B (instanceRef result_reg_3_0_i_206)) @@ -789536,6 +789623,26 @@ (portRef B (instanceRef result_reg_3_0_i_116)) (portRef B (instanceRef result_reg_3_0_i_115)) (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + )) + (net result_i_112 (joined + (portRef (member result_i 191) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_112)) + )) + (net result_i_113 (joined + (portRef (member result_i 190) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_113)) + )) + (net result_i_114 (joined + (portRef (member result_i 189) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_114)) + )) + (net result_i_115 (joined + (portRef (member result_i 188) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_115)) )) (net result_i_116 (joined (portRef (member result_i 187) (instanceRef FC)) @@ -789917,24 +790024,8 @@ (portRef (member result_i 93) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_210)) )) - (net result_i_211 (joined - (portRef (member result_i 92) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_211)) - )) - (net result_i_212 (joined - (portRef (member result_i 91) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_212)) - )) - (net result_i_213 (joined - (portRef (member result_i 90) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_213)) - )) - (net result_i_214 (joined - (portRef (member result_i 89) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_214)) - )) - (net reset_tdc_fast_24 (joined - (portRef reset_tdc_fast_24) + (net reset_tdc_fast_27 (joined + (portRef reset_tdc_fast_27) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -790026,6 +790117,26 @@ (portRef B (instanceRef result_reg_3_0_i_215)) (portRef B (instanceRef result_reg_3_0_i_214)) (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + )) + (net result_i_211 (joined + (portRef (member result_i 92) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_211)) + )) + (net result_i_212 (joined + (portRef (member result_i 91) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_212)) + )) + (net result_i_213 (joined + (portRef (member result_i 90) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_213)) + )) + (net result_i_214 (joined + (portRef (member result_i 89) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_214)) )) (net result_i_215 (joined (portRef (member result_i 88) (instanceRef FC)) @@ -790383,8 +790494,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_5_0 (joined - (portRef (member channel_debug_01_i_5 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_7_0 (joined + (portRef (member channel_debug_01_i_7 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -790411,9 +790522,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_5_2 (joined - (portRef (member channel_debug_01_i_5 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_5 0) (instanceRef FIFO)) + (net channel_debug_01_i_7_2 (joined + (portRef (member channel_debug_01_i_7 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_7 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -790598,17 +790709,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_84 (joined - (portRef reset_tdc_84) - (portRef reset_tdc_84 (instanceRef FC)) + (net reset_tdc_78 (joined + (portRef reset_tdc_78) + (portRef reset_tdc_78 (instanceRef FC)) )) - (net reset_tdc_83 (joined - (portRef reset_tdc_83) - (portRef reset_tdc_83 (instanceRef FC)) + (net reset_tdc_77 (joined + (portRef reset_tdc_77) + (portRef reset_tdc_77 (instanceRef FC)) )) - (net reset_tdc_82 (joined - (portRef reset_tdc_82) - (portRef reset_tdc_82 (instanceRef FC)) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) + (portRef reset_tdc_76 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -790650,37 +790761,33 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) - (portRef reset_tdc_85 (instanceRef Encoder)) - (portRef reset_tdc_85 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r8 (joined - (portRef reset_tdc_fast_36_r8) - (portRef reset_tdc_fast_36_r8 (instanceRef Encoder)) + (net reset_tdc_79 (joined + (portRef reset_tdc_79) + (portRef reset_tdc_79 (instanceRef Encoder)) + (portRef reset_tdc_79 (instanceRef FC)) )) - (net reset_tdc_fast_37_r5 (joined - (portRef reset_tdc_fast_37_r5) - (portRef reset_tdc_fast_37_r5 (instanceRef Encoder)) + (net reset_tdc_fast_36_r12 (joined + (portRef reset_tdc_fast_36_r12) + (portRef reset_tdc_fast_36_r12 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef reset_tdc_fast_37_r8 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) - (portRef reset_tdc_fast_36_r9 (instanceRef Encoder)) + (net reset_tdc_fast_37_r7 (joined + (portRef reset_tdc_fast_37_r7) + (portRef reset_tdc_fast_37_r7 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r11 (joined - (portRef reset_tdc_fast_36_r11) - (portRef reset_tdc_fast_36_r11 (instanceRef Encoder)) + (net reset_tdc_fast_36_r13 (joined + (portRef reset_tdc_fast_36_r13) + (portRef reset_tdc_fast_36_r13 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIRE6H)) + (portRef A (instanceRef result_2_reg_RNIVE6H)) )) - (net rd_en_i_5 (joined + (net rd_en_i_7 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) @@ -790713,33 +790820,36 @@ ) ) ) - (cell Channel_20 (cellType GENERIC) + (cell Channel_5 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(20:20)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(20:20)") 1) (direction INPUT)) - (port (array (rename channel_data_i_20 "channel_data_i_20(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(52:52)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(5:5)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(5:5)") 1) (direction INPUT)) + (port (array (rename channel_data_i_5 "channel_data_i_5(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(37:37)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(5:5)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1722_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_7 (direction INPUT)) - (port reset_i_8 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r29 (direction INPUT)) - (port reset_tdc_36 (direction INPUT)) - (port reset_tdc_37 (direction INPUT)) - (port reset_tdc_38 (direction INPUT)) - (port reset_tdc_39 (direction INPUT)) + (port reset_i_16 (direction INPUT)) + (port reset_i_17 (direction INPUT)) + (port reset_tdc_fast_36_r11 (direction INPUT)) + (port reset_tdc_fast_36_r10 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_37_r6 (direction INPUT)) + (port reset_tdc_fast_36_r8 (direction INPUT)) + (port reset_tdc_82 (direction INPUT)) + (port reset_tdc_83 (direction INPUT)) + (port reset_tdc_84 (direction INPUT)) + (port reset_tdc_85 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_14 (direction INPUT)) - (port reset_tdc_rep1_13 (direction INPUT)) - (port reset_tdc_rep1_12 (direction INPUT)) - (port reset_tdc_rep1_11 (direction INPUT)) - (port reset_tdc_rep1 (direction INPUT)) + (port reset_tdc_fast_24 (direction INPUT)) + (port reset_tdc_fast_23 (direction INPUT)) + (port reset_tdc_fast_22 (direction INPUT)) + (port reset_tdc_fast_21 (direction INPUT)) + (port reset_tdc_fast_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -790753,7 +790863,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295732_0 (direction OUTPUT)) + (port N_296030_0 (direction OUTPUT)) (port G_1720_Q (direction INPUT)) (port sync_q_and_8 (direction OUTPUT)) (port G_1717_Q (direction INPUT)) @@ -790762,14 +790872,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIEAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIULBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIEAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIULBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIEAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIULBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -791566,6 +791676,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -792615,7 +792727,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIB3KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIRE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -792871,32 +792983,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0)) )) (net tmp1_8_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIB3KO)) + (portRef B (instanceRef result_2_reg_RNIRE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIEAPN_1)) - (portRef B (instanceRef result_2_reg_RNIEAPN_0)) - (portRef B (instanceRef result_2_reg_RNIEAPN)) + (portRef B (instanceRef result_2_reg_RNIULBG_1)) + (portRef B (instanceRef result_2_reg_RNIULBG_0)) + (portRef B (instanceRef result_2_reg_RNIULBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIB3KO)) + (portRef C (instanceRef result_2_reg_RNIRE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIEAPN_1)) - (portRef C (instanceRef result_2_reg_RNIEAPN_0)) - (portRef C (instanceRef result_2_reg_RNIEAPN)) + (portRef C (instanceRef result_2_reg_RNIULBG_1)) + (portRef C (instanceRef result_2_reg_RNIULBG_0)) + (portRef C (instanceRef result_2_reg_RNIULBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIEAPN)) + (portRef Z (instanceRef result_2_reg_RNIULBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -792975,9 +793087,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIEAPN_1)) - (portRef A (instanceRef result_2_reg_RNIEAPN_0)) - (portRef A (instanceRef result_2_reg_RNIEAPN)) + (portRef A (instanceRef result_2_reg_RNIULBG_1)) + (portRef A (instanceRef result_2_reg_RNIULBG_0)) + (portRef A (instanceRef result_2_reg_RNIULBG)) )) (net GND (joined (portRef GND) @@ -793132,7 +793244,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIEAPN_0)) + (portRef Z (instanceRef result_2_reg_RNIULBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -793210,7 +793322,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIEAPN_1)) + (portRef Z (instanceRef result_2_reg_RNIULBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -793297,9 +793409,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295732_0 (joined + (net N_296030_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295732_0) + (portRef N_296030_0) )) (net tmp1_8_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -793951,7 +794063,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIB3KO)) + (portRef Z (instanceRef result_2_reg_RNIRE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -796864,9 +796976,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_20_1 (joined + (net channel_debug_01_i_5_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_20 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_5 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_8 (joined @@ -797061,18 +797173,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_5 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_52 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -797109,6 +797221,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -797145,258 +797258,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_20_0 (joined + (net stat_reg_37 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_5_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_20 31)) + (portRef (member channel_data_i_5 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_20_1 (joined + (net channel_data_i_5_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_20 30)) + (portRef (member channel_data_i_5 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_20_2 (joined + (net channel_data_i_5_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_20 29)) + (portRef (member channel_data_i_5 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_20_3 (joined + (net channel_data_i_5_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_20 28)) + (portRef (member channel_data_i_5 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_20_4 (joined + (net channel_data_i_5_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_20 27)) + (portRef (member channel_data_i_5 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_20_5 (joined + (net channel_data_i_5_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_20 26)) + (portRef (member channel_data_i_5 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_20_6 (joined + (net channel_data_i_5_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_20 25)) + (portRef (member channel_data_i_5 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_20_7 (joined + (net channel_data_i_5_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_20 24)) + (portRef (member channel_data_i_5 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_20_8 (joined + (net channel_data_i_5_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_20 23)) + (portRef (member channel_data_i_5 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_20_9 (joined + (net channel_data_i_5_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_20 22)) + (portRef (member channel_data_i_5 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_20_10 (joined + (net channel_data_i_5_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_20 21)) + (portRef (member channel_data_i_5 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_20_11 (joined + (net channel_data_i_5_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_20 20)) + (portRef (member channel_data_i_5 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_20_12 (joined + (net channel_data_i_5_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_20 19)) + (portRef (member channel_data_i_5 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_20_13 (joined + (net channel_data_i_5_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_20 18)) + (portRef (member channel_data_i_5 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_20_14 (joined + (net channel_data_i_5_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_20 17)) + (portRef (member channel_data_i_5 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_20_15 (joined + (net channel_data_i_5_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_20 16)) + (portRef (member channel_data_i_5 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_20_16 (joined + (net channel_data_i_5_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_20 15)) + (portRef (member channel_data_i_5 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_20_17 (joined + (net channel_data_i_5_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_20 14)) + (portRef (member channel_data_i_5 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_20_18 (joined + (net channel_data_i_5_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_20 13)) + (portRef (member channel_data_i_5 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_20_19 (joined + (net channel_data_i_5_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_20 12)) + (portRef (member channel_data_i_5 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_20_20 (joined + (net channel_data_i_5_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_20 11)) + (portRef (member channel_data_i_5 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_20_21 (joined + (net channel_data_i_5_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_20 10)) + (portRef (member channel_data_i_5 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_20_22 (joined + (net channel_data_i_5_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_20 9)) + (portRef (member channel_data_i_5 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_20_23 (joined + (net channel_data_i_5_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_20 8)) + (portRef (member channel_data_i_5 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_20_24 (joined + (net channel_data_i_5_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_20 7)) + (portRef (member channel_data_i_5 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_20_25 (joined + (net channel_data_i_5_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_20 6)) + (portRef (member channel_data_i_5 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_20_26 (joined + (net channel_data_i_5_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_20 5)) + (portRef (member channel_data_i_5 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_20_27 (joined + (net channel_data_i_5_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_20 4)) + (portRef (member channel_data_i_5 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_20_28 (joined + (net channel_data_i_5_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_20 3)) + (portRef (member channel_data_i_5 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_20_29 (joined + (net channel_data_i_5_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_20 2)) + (portRef (member channel_data_i_5 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_20_30 (joined + (net channel_data_i_5_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_20 1)) + (portRef (member channel_data_i_5 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_20_31 (joined + (net channel_data_i_5_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_20 0)) + (portRef (member channel_data_i_5 0)) )) (net sync_q_CR0_ram_DO1_8 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -797415,8 +797537,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1 (joined - (portRef reset_tdc_rep1) + (net reset_tdc_fast_2 (joined + (portRef reset_tdc_fast_2) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -797538,29 +797660,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_11 (joined - (portRef reset_tdc_rep1_11) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - (portRef B (instanceRef result_reg_3_0_i_27)) - (portRef B (instanceRef result_reg_3_0_i_26)) - (portRef B (instanceRef result_reg_3_0_i_25)) - (portRef B (instanceRef result_reg_3_0_i_24)) - (portRef B (instanceRef result_reg_3_0_i_23)) - (portRef B (instanceRef result_reg_3_0_i_22)) - (portRef B (instanceRef result_reg_3_0_i_21)) - (portRef B (instanceRef result_reg_3_0_i_20)) - (portRef B (instanceRef result_reg_3_0_i_19)) - (portRef B (instanceRef result_reg_3_0_i_18)) - (portRef B (instanceRef result_reg_3_0_i_17)) - (portRef B (instanceRef result_reg_3_0_i_16)) - (portRef B (instanceRef result_reg_3_0_i_15)) + (net reset_tdc_fast_21 (joined + (portRef reset_tdc_fast_21) (portRef B (instanceRef result_reg_3_0_i_14)) (portRef B (instanceRef result_reg_3_0_i_13)) (portRef B (instanceRef result_reg_3_0_i_12)) @@ -797622,6 +797723,108 @@ (portRef (member result_i 287) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_16)) )) + (net reset_tdc_fast_22 (joined + (portRef reset_tdc_fast_22) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + (portRef B (instanceRef result_reg_3_0_i_27)) + (portRef B (instanceRef result_reg_3_0_i_26)) + (portRef B (instanceRef result_reg_3_0_i_25)) + (portRef B (instanceRef result_reg_3_0_i_24)) + (portRef B (instanceRef result_reg_3_0_i_23)) + (portRef B (instanceRef result_reg_3_0_i_22)) + (portRef B (instanceRef result_reg_3_0_i_21)) + (portRef B (instanceRef result_reg_3_0_i_20)) + (portRef B (instanceRef result_reg_3_0_i_19)) + (portRef B (instanceRef result_reg_3_0_i_18)) + (portRef B (instanceRef result_reg_3_0_i_17)) + (portRef B (instanceRef result_reg_3_0_i_16)) + (portRef B (instanceRef result_reg_3_0_i_15)) + )) (net result_i_17 (joined (portRef (member result_i 286) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_17)) @@ -797706,109 +797909,6 @@ (portRef (member result_i 266) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_37)) )) - (net reset_tdc_rep1_12 (joined - (portRef reset_tdc_rep1_12) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - )) (net result_i_38 (joined (portRef (member result_i 265) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_38)) @@ -798121,6 +798221,108 @@ (portRef (member result_i 188) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_115)) )) + (net reset_tdc_fast_23 (joined + (portRef reset_tdc_fast_23) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + )) (net result_i_116 (joined (portRef (member result_i 187) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_116)) @@ -798209,109 +798411,6 @@ (portRef (member result_i 166) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_137)) )) - (net reset_tdc_rep1_13 (joined - (portRef reset_tdc_rep1_13) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - )) (net result_i_138 (joined (portRef (member result_i 165) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_138)) @@ -798620,100 +798719,8 @@ (portRef (member result_i 89) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_214)) )) - (net result_i_215 (joined - (portRef (member result_i 88) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_215)) - )) - (net result_i_216 (joined - (portRef (member result_i 87) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_216)) - )) - (net result_i_217 (joined - (portRef (member result_i 86) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_217)) - )) - (net result_i_218 (joined - (portRef (member result_i 85) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_218)) - )) - (net result_i_219 (joined - (portRef (member result_i 84) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_219)) - )) - (net result_i_220 (joined - (portRef (member result_i 83) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_220)) - )) - (net result_i_221 (joined - (portRef (member result_i 82) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_221)) - )) - (net result_i_222 (joined - (portRef (member result_i 81) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_222)) - )) - (net result_i_223 (joined - (portRef (member result_i 80) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_223)) - )) - (net result_i_224 (joined - (portRef (member result_i 79) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_224)) - )) - (net result_i_225 (joined - (portRef (member result_i 78) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_225)) - )) - (net result_i_226 (joined - (portRef (member result_i 77) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_226)) - )) - (net result_i_227 (joined - (portRef (member result_i 76) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_227)) - )) - (net result_i_228 (joined - (portRef (member result_i 75) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_228)) - )) - (net result_i_229 (joined - (portRef (member result_i 74) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_229)) - )) - (net result_i_230 (joined - (portRef (member result_i 73) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_230)) - )) - (net result_i_231 (joined - (portRef (member result_i 72) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_231)) - )) - (net result_i_232 (joined - (portRef (member result_i 71) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_232)) - )) - (net result_i_233 (joined - (portRef (member result_i 70) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_233)) - )) - (net result_i_234 (joined - (portRef (member result_i 69) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_234)) - )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net reset_tdc_rep1_14 (joined - (portRef reset_tdc_rep1_14) + (net reset_tdc_fast_24 (joined + (portRef reset_tdc_fast_24) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -798782,6 +798789,121 @@ (portRef B (instanceRef result_reg_3_0_i_238)) (portRef B (instanceRef result_reg_3_0_i_237)) (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + )) + (net result_i_215 (joined + (portRef (member result_i 88) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_215)) + )) + (net result_i_216 (joined + (portRef (member result_i 87) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_216)) + )) + (net result_i_217 (joined + (portRef (member result_i 86) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_217)) + )) + (net result_i_218 (joined + (portRef (member result_i 85) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_218)) + )) + (net result_i_219 (joined + (portRef (member result_i 84) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_219)) + )) + (net result_i_220 (joined + (portRef (member result_i 83) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_220)) + )) + (net result_i_221 (joined + (portRef (member result_i 82) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_221)) + )) + (net result_i_222 (joined + (portRef (member result_i 81) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_222)) + )) + (net result_i_223 (joined + (portRef (member result_i 80) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_223)) + )) + (net result_i_224 (joined + (portRef (member result_i 79) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_224)) + )) + (net result_i_225 (joined + (portRef (member result_i 78) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_225)) + )) + (net result_i_226 (joined + (portRef (member result_i 77) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_226)) + )) + (net result_i_227 (joined + (portRef (member result_i 76) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_227)) + )) + (net result_i_228 (joined + (portRef (member result_i 75) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_228)) + )) + (net result_i_229 (joined + (portRef (member result_i 74) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_229)) + )) + (net result_i_230 (joined + (portRef (member result_i 73) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_230)) + )) + (net result_i_231 (joined + (portRef (member result_i 72) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_231)) + )) + (net result_i_232 (joined + (portRef (member result_i 71) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_232)) + )) + (net result_i_233 (joined + (portRef (member result_i 70) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_233)) + )) + (net result_i_234 (joined + (portRef (member result_i 69) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_234)) + )) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) )) (net result_i_238 (joined (portRef (member result_i 65) (instanceRef FC)) @@ -799047,8 +799169,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_20_0 (joined - (portRef (member channel_debug_01_i_20 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_5_0 (joined + (portRef (member channel_debug_01_i_5 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -799075,9 +799197,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_20_2 (joined - (portRef (member channel_debug_01_i_20 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_20 0) (instanceRef FIFO)) + (net channel_debug_01_i_5_2 (joined + (portRef (member channel_debug_01_i_5 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_5 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -799262,17 +799384,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_38 (joined - (portRef reset_tdc_38) - (portRef reset_tdc_38 (instanceRef FC)) + (net reset_tdc_84 (joined + (portRef reset_tdc_84) + (portRef reset_tdc_84 (instanceRef FC)) )) - (net reset_tdc_37 (joined - (portRef reset_tdc_37) - (portRef reset_tdc_37 (instanceRef FC)) + (net reset_tdc_83 (joined + (portRef reset_tdc_83) + (portRef reset_tdc_83 (instanceRef FC)) )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) - (portRef reset_tdc_36 (instanceRef FC)) + (net reset_tdc_82 (joined + (portRef reset_tdc_82) + (portRef reset_tdc_82 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -799314,39 +799436,47 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) - (portRef reset_tdc_39 (instanceRef Encoder)) - (portRef reset_tdc_39 (instanceRef FC)) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) + (portRef reset_tdc_85 (instanceRef Encoder)) + (portRef reset_tdc_85 (instanceRef FC)) )) - (net reset_tdc_fast_36_r29 (joined - (portRef reset_tdc_fast_36_r29) - (portRef reset_tdc_fast_36_r29 (instanceRef Encoder)) + (net reset_tdc_fast_36_r8 (joined + (portRef reset_tdc_fast_36_r8) + (portRef reset_tdc_fast_36_r8 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r6 (joined + (portRef reset_tdc_fast_37_r6) + (portRef reset_tdc_fast_37_r6 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) + (portRef reset_tdc_fast_37_r5 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) + (portRef reset_tdc_fast_36_r10 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r11 (joined + (portRef reset_tdc_fast_36_r11) + (portRef reset_tdc_fast_36_r11 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIB3KO)) + (portRef A (instanceRef result_2_reg_RNIRE6H)) )) - (net rd_en_i_20 (joined + (net rd_en_i_5 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_8 (joined - (portRef reset_i_8) - (portRef reset_i_8 (instanceRef FIFO)) + (net reset_i_17 (joined + (portRef reset_i_17) + (portRef reset_i_17 (instanceRef FIFO)) )) - (net reset_i_7 (joined - (portRef reset_i_7) - (portRef reset_i_7 (instanceRef FIFO)) + (net reset_i_16 (joined + (portRef reset_i_16) + (portRef reset_i_16 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -799369,28 +799499,29 @@ ) ) ) - (cell Channel_8 (cellType GENERIC) + (cell Channel_4 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(8:8)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(8:8)") 1) (direction INPUT)) - (port (array (rename channel_data_i_8 "channel_data_i_8(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(40:40)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(4:4)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(4:4)") 1) (direction INPUT)) + (port (array (rename channel_data_i_4 "channel_data_i_4(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(36:36)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(4:4)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1731_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_14 (direction INPUT)) - (port reset_tdc_fast_36_r16 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_37_r8 (direction INPUT)) - (port reset_tdc_fast_37_r9 (direction INPUT)) - (port reset_tdc_fast_36_r14 (direction INPUT)) - (port reset_tdc_73 (direction INPUT)) - (port reset_tdc_74 (direction INPUT)) - (port reset_tdc_75 (direction INPUT)) - (port reset_tdc_76 (direction INPUT)) + (port reset_i_17 (direction INPUT)) + (port reset_tdc_fast_36_r7 (direction INPUT)) + (port reset_tdc_fast_36_r10 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_36_r6 (direction INPUT)) + (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_86 (direction INPUT)) + (port reset_tdc_87 (direction INPUT)) + (port reset_tdc_88 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_fast_21 (direction INPUT)) (port reset_tdc_fast_20 (direction INPUT)) @@ -799410,7 +799541,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295745_0 (direction OUTPUT)) + (port N_296043_0 (direction OUTPUT)) (port G_1729_Q (direction INPUT)) (port sync_q_and_7 (direction OUTPUT)) (port G_1726_Q (direction INPUT)) @@ -799419,14 +799550,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI4MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNISLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI4MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNISLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI4MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNISLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -800223,6 +800354,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -801272,7 +801405,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI1F6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIPE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -801528,32 +801661,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0)) )) (net tmp1_7_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI1F6H)) + (portRef B (instanceRef result_2_reg_RNIPE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI4MBG_1)) - (portRef B (instanceRef result_2_reg_RNI4MBG_0)) - (portRef B (instanceRef result_2_reg_RNI4MBG)) + (portRef B (instanceRef result_2_reg_RNISLBG_1)) + (portRef B (instanceRef result_2_reg_RNISLBG_0)) + (portRef B (instanceRef result_2_reg_RNISLBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI1F6H)) + (portRef C (instanceRef result_2_reg_RNIPE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI4MBG_1)) - (portRef C (instanceRef result_2_reg_RNI4MBG_0)) - (portRef C (instanceRef result_2_reg_RNI4MBG)) + (portRef C (instanceRef result_2_reg_RNISLBG_1)) + (portRef C (instanceRef result_2_reg_RNISLBG_0)) + (portRef C (instanceRef result_2_reg_RNISLBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI4MBG)) + (portRef Z (instanceRef result_2_reg_RNISLBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -801632,9 +801765,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI4MBG_1)) - (portRef A (instanceRef result_2_reg_RNI4MBG_0)) - (portRef A (instanceRef result_2_reg_RNI4MBG)) + (portRef A (instanceRef result_2_reg_RNISLBG_1)) + (portRef A (instanceRef result_2_reg_RNISLBG_0)) + (portRef A (instanceRef result_2_reg_RNISLBG)) )) (net GND (joined (portRef GND) @@ -801789,7 +801922,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI4MBG_0)) + (portRef Z (instanceRef result_2_reg_RNISLBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -801867,7 +802000,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI4MBG_1)) + (portRef Z (instanceRef result_2_reg_RNISLBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -801954,9 +802087,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295745_0 (joined + (net N_296043_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295745_0) + (portRef N_296043_0) )) (net tmp1_7_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -802608,7 +802741,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI1F6H)) + (portRef Z (instanceRef result_2_reg_RNIPE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -805521,9 +805654,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_8_1 (joined + (net channel_debug_01_i_4_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_8 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_4 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_7 (joined @@ -805718,18 +805851,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_4 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_40 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -805766,6 +805899,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -805802,258 +805936,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_8_0 (joined + (net stat_reg_36 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_4_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_8 31)) + (portRef (member channel_data_i_4 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_8_1 (joined + (net channel_data_i_4_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_8 30)) + (portRef (member channel_data_i_4 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_8_2 (joined + (net channel_data_i_4_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_8 29)) + (portRef (member channel_data_i_4 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_8_3 (joined + (net channel_data_i_4_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_8 28)) + (portRef (member channel_data_i_4 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_8_4 (joined + (net channel_data_i_4_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_8 27)) + (portRef (member channel_data_i_4 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_8_5 (joined + (net channel_data_i_4_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_8 26)) + (portRef (member channel_data_i_4 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_8_6 (joined + (net channel_data_i_4_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_8 25)) + (portRef (member channel_data_i_4 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_8_7 (joined + (net channel_data_i_4_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_8 24)) + (portRef (member channel_data_i_4 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_8_8 (joined + (net channel_data_i_4_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_8 23)) + (portRef (member channel_data_i_4 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_8_9 (joined + (net channel_data_i_4_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_8 22)) + (portRef (member channel_data_i_4 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_8_10 (joined + (net channel_data_i_4_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_8 21)) + (portRef (member channel_data_i_4 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_8_11 (joined + (net channel_data_i_4_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_8 20)) + (portRef (member channel_data_i_4 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_8_12 (joined + (net channel_data_i_4_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_8 19)) + (portRef (member channel_data_i_4 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_8_13 (joined + (net channel_data_i_4_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_8 18)) + (portRef (member channel_data_i_4 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_8_14 (joined + (net channel_data_i_4_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_8 17)) + (portRef (member channel_data_i_4 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_8_15 (joined + (net channel_data_i_4_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_8 16)) + (portRef (member channel_data_i_4 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_8_16 (joined + (net channel_data_i_4_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_8 15)) + (portRef (member channel_data_i_4 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_8_17 (joined + (net channel_data_i_4_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_8 14)) + (portRef (member channel_data_i_4 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_8_18 (joined + (net channel_data_i_4_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_8 13)) + (portRef (member channel_data_i_4 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_8_19 (joined + (net channel_data_i_4_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_8 12)) + (portRef (member channel_data_i_4 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_8_20 (joined + (net channel_data_i_4_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_8 11)) + (portRef (member channel_data_i_4 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_8_21 (joined + (net channel_data_i_4_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_8 10)) + (portRef (member channel_data_i_4 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_8_22 (joined + (net channel_data_i_4_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_8 9)) + (portRef (member channel_data_i_4 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_8_23 (joined + (net channel_data_i_4_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_8 8)) + (portRef (member channel_data_i_4 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_8_24 (joined + (net channel_data_i_4_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_8 7)) + (portRef (member channel_data_i_4 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_8_25 (joined + (net channel_data_i_4_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_8 6)) + (portRef (member channel_data_i_4 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_8_26 (joined + (net channel_data_i_4_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_8 5)) + (portRef (member channel_data_i_4 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_8_27 (joined + (net channel_data_i_4_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_8 4)) + (portRef (member channel_data_i_4 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_8_28 (joined + (net channel_data_i_4_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_8 3)) + (portRef (member channel_data_i_4 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_8_29 (joined + (net channel_data_i_4_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_8 2)) + (portRef (member channel_data_i_4 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_8_30 (joined + (net channel_data_i_4_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_8 1)) + (portRef (member channel_data_i_4 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_8_31 (joined + (net channel_data_i_4_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_8 0)) + (portRef (member channel_data_i_4 0)) )) (net sync_q_CR0_ram_DO1_7 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -807704,8 +807847,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_8_0 (joined - (portRef (member channel_debug_01_i_8 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_4_0 (joined + (portRef (member channel_debug_01_i_4 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -807732,9 +807875,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_8_2 (joined - (portRef (member channel_debug_01_i_8 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_8 0) (instanceRef FIFO)) + (net channel_debug_01_i_4_2 (joined + (portRef (member channel_debug_01_i_4 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_4 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -807919,17 +808062,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_75 (joined - (portRef reset_tdc_75) - (portRef reset_tdc_75 (instanceRef FC)) + (net reset_tdc_87 (joined + (portRef reset_tdc_87) + (portRef reset_tdc_87 (instanceRef FC)) )) - (net reset_tdc_74 (joined - (portRef reset_tdc_74) - (portRef reset_tdc_74 (instanceRef FC)) + (net reset_tdc_86 (joined + (portRef reset_tdc_86) + (portRef reset_tdc_86 (instanceRef FC)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) - (portRef reset_tdc_73 (instanceRef FC)) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) + (portRef reset_tdc_85 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -807971,43 +808114,43 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) - (portRef reset_tdc_76 (instanceRef Encoder)) - (portRef reset_tdc_76 (instanceRef FC)) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) + (portRef reset_tdc_88 (instanceRef Encoder)) + (portRef reset_tdc_88 (instanceRef FC)) )) - (net reset_tdc_fast_36_r14 (joined - (portRef reset_tdc_fast_36_r14) - (portRef reset_tdc_fast_36_r14 (instanceRef Encoder)) + (net reset_tdc_fast_36_r6 (joined + (portRef reset_tdc_fast_36_r6) + (portRef reset_tdc_fast_36_r6 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r9 (joined - (portRef reset_tdc_fast_37_r9) - (portRef reset_tdc_fast_37_r9 (instanceRef Encoder)) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) + (portRef reset_tdc_fast_37_r5 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r8 (joined - (portRef reset_tdc_fast_37_r8) - (portRef reset_tdc_fast_37_r8 (instanceRef Encoder)) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) - (portRef reset_tdc_fast_36_r18 (instanceRef Encoder)) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) + (portRef reset_tdc_fast_36_r10 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r16 (joined - (portRef reset_tdc_fast_36_r16) - (portRef reset_tdc_fast_36_r16 (instanceRef Encoder)) + (net reset_tdc_fast_36_r7 (joined + (portRef reset_tdc_fast_36_r7) + (portRef reset_tdc_fast_36_r7 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI1F6H)) + (portRef A (instanceRef result_2_reg_RNIPE6H)) )) - (net rd_en_i_8 (joined + (net rd_en_i_4 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_14 (joined - (portRef reset_i_14) - (portRef reset_i_14 (instanceRef FIFO)) + (net reset_i_17 (joined + (portRef reset_i_17) + (portRef reset_i_17 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -808030,101 +808173,30 @@ ) ) ) - (cell Channel_3 (cellType GENERIC) + (cell Channel_9 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(3:3)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(3:3)") 1) (direction INPUT)) - (port (array (rename channel_data_i_3 "channel_data_i_3(31:0)") 32) (direction OUTPUT)) - (port stat_reg_500 (direction OUTPUT)) - (port stat_reg_499 (direction OUTPUT)) - (port stat_reg_498 (direction OUTPUT)) - (port stat_reg_497 (direction OUTPUT)) - (port stat_reg_496 (direction OUTPUT)) - (port stat_reg_495 (direction OUTPUT)) - (port stat_reg_494 (direction OUTPUT)) - (port stat_reg_493 (direction OUTPUT)) - (port stat_reg_492 (direction OUTPUT)) - (port stat_reg_491 (direction OUTPUT)) - (port stat_reg_490 (direction OUTPUT)) - (port stat_reg_489 (direction OUTPUT)) - (port stat_reg_488 (direction OUTPUT)) - (port stat_reg_487 (direction OUTPUT)) - (port stat_reg_486 (direction OUTPUT)) - (port stat_reg_485 (direction OUTPUT)) - (port stat_reg_484 (direction OUTPUT)) - (port stat_reg_483 (direction OUTPUT)) - (port stat_reg_482 (direction OUTPUT)) - (port stat_reg_481 (direction OUTPUT)) - (port stat_reg_480 (direction OUTPUT)) - (port stat_reg_479 (direction OUTPUT)) - (port stat_reg_478 (direction OUTPUT)) - (port stat_reg_477 (direction OUTPUT)) - (port stat_reg_532 (direction OUTPUT)) - (port stat_reg_531 (direction OUTPUT)) - (port stat_reg_530 (direction OUTPUT)) - (port stat_reg_529 (direction OUTPUT)) - (port stat_reg_528 (direction OUTPUT)) - (port stat_reg_527 (direction OUTPUT)) - (port stat_reg_526 (direction OUTPUT)) - (port stat_reg_525 (direction OUTPUT)) - (port stat_reg_524 (direction OUTPUT)) - (port stat_reg_523 (direction OUTPUT)) - (port stat_reg_522 (direction OUTPUT)) - (port stat_reg_521 (direction OUTPUT)) - (port stat_reg_520 (direction OUTPUT)) - (port stat_reg_519 (direction OUTPUT)) - (port stat_reg_518 (direction OUTPUT)) - (port stat_reg_517 (direction OUTPUT)) - (port stat_reg_516 (direction OUTPUT)) - (port stat_reg_515 (direction OUTPUT)) - (port stat_reg_514 (direction OUTPUT)) - (port stat_reg_513 (direction OUTPUT)) - (port stat_reg_512 (direction OUTPUT)) - (port stat_reg_511 (direction OUTPUT)) - (port stat_reg_510 (direction OUTPUT)) - (port stat_reg_509 (direction OUTPUT)) - (port stat_reg_468 (direction OUTPUT)) - (port stat_reg_467 (direction OUTPUT)) - (port stat_reg_466 (direction OUTPUT)) - (port stat_reg_465 (direction OUTPUT)) - (port stat_reg_464 (direction OUTPUT)) - (port stat_reg_463 (direction OUTPUT)) - (port stat_reg_462 (direction OUTPUT)) - (port stat_reg_461 (direction OUTPUT)) - (port stat_reg_460 (direction OUTPUT)) - (port stat_reg_459 (direction OUTPUT)) - (port stat_reg_458 (direction OUTPUT)) - (port stat_reg_457 (direction OUTPUT)) - (port stat_reg_456 (direction OUTPUT)) - (port stat_reg_455 (direction OUTPUT)) - (port stat_reg_454 (direction OUTPUT)) - (port stat_reg_453 (direction OUTPUT)) - (port stat_reg_452 (direction OUTPUT)) - (port stat_reg_451 (direction OUTPUT)) - (port stat_reg_450 (direction OUTPUT)) - (port stat_reg_449 (direction OUTPUT)) - (port stat_reg_448 (direction OUTPUT)) - (port stat_reg_447 (direction OUTPUT)) - (port stat_reg_446 (direction OUTPUT)) - (port stat_reg_445 (direction OUTPUT)) - (port stat_reg_0 (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(3:3)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(9:9)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(9:9)") 1) (direction INPUT)) + (port (array (rename channel_data_i_9 "channel_data_i_9(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(41:41)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(9:9)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1740_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_16 (direction INPUT)) - (port reset_i_17 (direction INPUT)) - (port reset_tdc_fast_36_r7 (direction INPUT)) - (port reset_tdc_fast_36_r5 (direction INPUT)) - (port reset_tdc_fast_37_r3 (direction INPUT)) - (port reset_tdc_fast_36_r4 (direction INPUT)) - (port reset_tdc_88 (direction INPUT)) - (port reset_tdc_89 (direction INPUT)) - (port reset_tdc_90 (direction INPUT)) - (port reset_tdc_91 (direction INPUT)) + (port reset_i_14 (direction INPUT)) + (port reset_i_15 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) + (port reset_tdc_fast_36_r18 (direction INPUT)) + (port reset_tdc_fast_37_r9 (direction INPUT)) + (port reset_tdc_fast_37_r10 (direction INPUT)) + (port reset_tdc_fast_36_r16 (direction INPUT)) + (port reset_tdc_70 (direction INPUT)) + (port reset_tdc_71 (direction INPUT)) + (port reset_tdc_72 (direction INPUT)) + (port reset_tdc_73 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_fast_18 (direction INPUT)) (port reset_tdc_fast_17 (direction INPUT)) @@ -808145,7 +808217,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295758_0 (direction OUTPUT)) + (port N_296056_0 (direction OUTPUT)) (port G_1738_Q (direction INPUT)) (port sync_q_and_6 (direction OUTPUT)) (port G_1735_Q (direction INPUT)) @@ -808154,14 +808226,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIQLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI6MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIQLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI6MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIQLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI6MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -808170,10 +808242,10 @@ (instance GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) (instance result_reg_0 (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT))) @@ -810009,7 +810081,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNINE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI3F6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -810254,12 +810326,6 @@ ) (instance Edge_To_Pulse_Hit (viewRef netlist (cellRef edge_to_pulse_0_7)) ) - (instance Lost_Hit_Sync (viewRef netlist (cellRef signal_sync_24_3_21)) - ) - (instance Encoder_Start_Sync (viewRef netlist (cellRef signal_sync_24_3_22)) - ) - (instance Measurement_Sync (viewRef netlist (cellRef signal_sync_24_3_23)) - ) (net hit_in_i (joined (portRef A (instanceRef hit_buf_RNO)) (portRef (member hit_in_iZ0 0)) @@ -810269,34 +810335,34 @@ (net tmp1_6_0 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0)) )) (net tmp1_6_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNINE6H)) + (portRef B (instanceRef result_2_reg_RNI3F6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIQLBG_1)) - (portRef B (instanceRef result_2_reg_RNIQLBG_0)) - (portRef B (instanceRef result_2_reg_RNIQLBG)) + (portRef B (instanceRef result_2_reg_RNI6MBG_1)) + (portRef B (instanceRef result_2_reg_RNI6MBG_0)) + (portRef B (instanceRef result_2_reg_RNI6MBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNINE6H)) + (portRef C (instanceRef result_2_reg_RNI3F6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIQLBG_1)) - (portRef C (instanceRef result_2_reg_RNIQLBG_0)) - (portRef C (instanceRef result_2_reg_RNIQLBG)) + (portRef C (instanceRef result_2_reg_RNI6MBG_1)) + (portRef C (instanceRef result_2_reg_RNI6MBG_0)) + (portRef C (instanceRef result_2_reg_RNI6MBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIQLBG)) + (portRef Z (instanceRef result_2_reg_RNI6MBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -810375,9 +810441,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIQLBG_1)) - (portRef A (instanceRef result_2_reg_RNIQLBG_0)) - (portRef A (instanceRef result_2_reg_RNIQLBG)) + (portRef A (instanceRef result_2_reg_RNI6MBG_1)) + (portRef A (instanceRef result_2_reg_RNI6MBG_0)) + (portRef A (instanceRef result_2_reg_RNI6MBG)) )) (net GND (joined (portRef GND) @@ -810532,7 +810598,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIQLBG_0)) + (portRef Z (instanceRef result_2_reg_RNI6MBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -810610,7 +810676,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIQLBG_1)) + (portRef Z (instanceRef result_2_reg_RNI6MBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -810697,24 +810763,24 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295758_0 (joined + (net N_296056_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295758_0) + (portRef N_296056_0) )) (net tmp1_6_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) (portRef WAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - )) - (net tmp3_6_1 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) + (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) )) (net tmp2_6_1 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) )) + (net tmp3_6_1 (joined + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) + (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) + )) (net result_i_0 (joined (portRef (member result_i 303) (instanceRef FC)) (portRef D (instanceRef result_reg_0)) @@ -810735,9 +810801,6 @@ )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) - (portRef CLK_PCLK_LEFT_c (instanceRef Measurement_Sync)) - (portRef CLK_PCLK_LEFT_c (instanceRef Encoder_Start_Sync)) - (portRef CLK_PCLK_LEFT_c (instanceRef Lost_Hit_Sync)) (portRef CLK_PCLK_LEFT_c (instanceRef Edge_To_Pulse_Hit)) (portRef CLK_PCLK_LEFT_c (instanceRef FIFO)) (portRef CLK_PCLK_LEFT_c (instanceRef Encoder)) @@ -811209,9 +811272,6 @@ )) (net VCC (joined (portRef VCC) - (portRef VCC (instanceRef Measurement_Sync)) - (portRef VCC (instanceRef Encoder_Start_Sync)) - (portRef VCC (instanceRef Lost_Hit_Sync)) (portRef VCC (instanceRef Edge_To_Pulse_Hit)) (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) @@ -811357,7 +811417,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNINE6H)) + (portRef Z (instanceRef result_2_reg_RNI3F6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -813789,7 +813849,6 @@ )) (net measurement_cntr_0 (joined (portRef Q (instanceRef measurement_cntr_0)) - (portRef (member measurement_cntr 23) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S0_6 (joined @@ -813798,7 +813857,6 @@ )) (net measurement_cntr_1 (joined (portRef Q (instanceRef measurement_cntr_1)) - (portRef (member measurement_cntr 22) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S1_6 (joined @@ -813807,7 +813865,6 @@ )) (net measurement_cntr_2 (joined (portRef Q (instanceRef measurement_cntr_2)) - (portRef (member measurement_cntr 21) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S0_6 (joined @@ -813816,7 +813873,6 @@ )) (net measurement_cntr_3 (joined (portRef Q (instanceRef measurement_cntr_3)) - (portRef (member measurement_cntr 20) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S1_6 (joined @@ -813825,7 +813881,6 @@ )) (net measurement_cntr_4 (joined (portRef Q (instanceRef measurement_cntr_4)) - (portRef (member measurement_cntr 19) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S0_6 (joined @@ -813834,7 +813889,6 @@ )) (net measurement_cntr_5 (joined (portRef Q (instanceRef measurement_cntr_5)) - (portRef (member measurement_cntr 18) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S1_6 (joined @@ -813843,7 +813897,6 @@ )) (net measurement_cntr_6 (joined (portRef Q (instanceRef measurement_cntr_6)) - (portRef (member measurement_cntr 17) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S0_6 (joined @@ -813852,7 +813905,6 @@ )) (net measurement_cntr_7 (joined (portRef Q (instanceRef measurement_cntr_7)) - (portRef (member measurement_cntr 16) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S1_6 (joined @@ -813861,7 +813913,6 @@ )) (net measurement_cntr_8 (joined (portRef Q (instanceRef measurement_cntr_8)) - (portRef (member measurement_cntr 15) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S0_6 (joined @@ -813870,7 +813921,6 @@ )) (net measurement_cntr_9 (joined (portRef Q (instanceRef measurement_cntr_9)) - (portRef (member measurement_cntr 14) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S1_6 (joined @@ -813879,7 +813929,6 @@ )) (net measurement_cntr_10 (joined (portRef Q (instanceRef measurement_cntr_10)) - (portRef (member measurement_cntr 13) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S0_6 (joined @@ -813888,7 +813937,6 @@ )) (net measurement_cntr_11 (joined (portRef Q (instanceRef measurement_cntr_11)) - (portRef (member measurement_cntr 12) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S1_6 (joined @@ -813897,7 +813945,6 @@ )) (net measurement_cntr_12 (joined (portRef Q (instanceRef measurement_cntr_12)) - (portRef (member measurement_cntr 11) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S0_6 (joined @@ -813906,7 +813953,6 @@ )) (net measurement_cntr_13 (joined (portRef Q (instanceRef measurement_cntr_13)) - (portRef (member measurement_cntr 10) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S1_6 (joined @@ -813915,7 +813961,6 @@ )) (net measurement_cntr_14 (joined (portRef Q (instanceRef measurement_cntr_14)) - (portRef (member measurement_cntr 9) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S0_6 (joined @@ -813924,7 +813969,6 @@ )) (net measurement_cntr_15 (joined (portRef Q (instanceRef measurement_cntr_15)) - (portRef (member measurement_cntr 8) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S1_6 (joined @@ -813933,7 +813977,6 @@ )) (net measurement_cntr_16 (joined (portRef Q (instanceRef measurement_cntr_16)) - (portRef (member measurement_cntr 7) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S0_6 (joined @@ -813942,7 +813985,6 @@ )) (net measurement_cntr_17 (joined (portRef Q (instanceRef measurement_cntr_17)) - (portRef (member measurement_cntr 6) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S1_6 (joined @@ -813951,7 +813993,6 @@ )) (net measurement_cntr_18 (joined (portRef Q (instanceRef measurement_cntr_18)) - (portRef (member measurement_cntr 5) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S0_6 (joined @@ -813960,7 +814001,6 @@ )) (net measurement_cntr_19 (joined (portRef Q (instanceRef measurement_cntr_19)) - (portRef (member measurement_cntr 4) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S1_6 (joined @@ -813969,7 +814009,6 @@ )) (net measurement_cntr_20 (joined (portRef Q (instanceRef measurement_cntr_20)) - (portRef (member measurement_cntr 3) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S0_6 (joined @@ -813978,7 +814017,6 @@ )) (net measurement_cntr_21 (joined (portRef Q (instanceRef measurement_cntr_21)) - (portRef (member measurement_cntr 2) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S1_6 (joined @@ -813987,7 +814025,6 @@ )) (net measurement_cntr_22 (joined (portRef Q (instanceRef measurement_cntr_22)) - (portRef (member measurement_cntr 1) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_s_23_0_S0_6 (joined @@ -813996,7 +814033,6 @@ )) (net measurement_cntr_23 (joined (portRef Q (instanceRef measurement_cntr_23)) - (portRef (member measurement_cntr 0) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) (net lost_hit_cntr_lm_0 (joined @@ -814005,7 +814041,6 @@ )) (net lost_hit_cntr_0 (joined (portRef Q (instanceRef lost_hit_cntr_0)) - (portRef (member lost_hit_cntr 23) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_0)) )) (net lost_hit_cntr_lm_1 (joined @@ -814014,7 +814049,6 @@ )) (net lost_hit_cntr_1 (joined (portRef Q (instanceRef lost_hit_cntr_1)) - (portRef (member lost_hit_cntr 22) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_2 (joined @@ -814023,7 +814057,6 @@ )) (net lost_hit_cntr_2 (joined (portRef Q (instanceRef lost_hit_cntr_2)) - (portRef (member lost_hit_cntr 21) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_3 (joined @@ -814032,7 +814065,6 @@ )) (net lost_hit_cntr_3 (joined (portRef Q (instanceRef lost_hit_cntr_3)) - (portRef (member lost_hit_cntr 20) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_4 (joined @@ -814041,7 +814073,6 @@ )) (net lost_hit_cntr_4 (joined (portRef Q (instanceRef lost_hit_cntr_4)) - (portRef (member lost_hit_cntr 19) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_5 (joined @@ -814050,7 +814081,6 @@ )) (net lost_hit_cntr_5 (joined (portRef Q (instanceRef lost_hit_cntr_5)) - (portRef (member lost_hit_cntr 18) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_6 (joined @@ -814059,7 +814089,6 @@ )) (net lost_hit_cntr_6 (joined (portRef Q (instanceRef lost_hit_cntr_6)) - (portRef (member lost_hit_cntr 17) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_7 (joined @@ -814068,7 +814097,6 @@ )) (net lost_hit_cntr_7 (joined (portRef Q (instanceRef lost_hit_cntr_7)) - (portRef (member lost_hit_cntr 16) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_8 (joined @@ -814077,7 +814105,6 @@ )) (net lost_hit_cntr_8 (joined (portRef Q (instanceRef lost_hit_cntr_8)) - (portRef (member lost_hit_cntr 15) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_9 (joined @@ -814086,7 +814113,6 @@ )) (net lost_hit_cntr_9 (joined (portRef Q (instanceRef lost_hit_cntr_9)) - (portRef (member lost_hit_cntr 14) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_10 (joined @@ -814095,7 +814121,6 @@ )) (net lost_hit_cntr_10 (joined (portRef Q (instanceRef lost_hit_cntr_10)) - (portRef (member lost_hit_cntr 13) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_11 (joined @@ -814104,7 +814129,6 @@ )) (net lost_hit_cntr_11 (joined (portRef Q (instanceRef lost_hit_cntr_11)) - (portRef (member lost_hit_cntr 12) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_12 (joined @@ -814113,7 +814137,6 @@ )) (net lost_hit_cntr_12 (joined (portRef Q (instanceRef lost_hit_cntr_12)) - (portRef (member lost_hit_cntr 11) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_13 (joined @@ -814122,7 +814145,6 @@ )) (net lost_hit_cntr_13 (joined (portRef Q (instanceRef lost_hit_cntr_13)) - (portRef (member lost_hit_cntr 10) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_14 (joined @@ -814131,7 +814153,6 @@ )) (net lost_hit_cntr_14 (joined (portRef Q (instanceRef lost_hit_cntr_14)) - (portRef (member lost_hit_cntr 9) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_15 (joined @@ -814140,7 +814161,6 @@ )) (net lost_hit_cntr_15 (joined (portRef Q (instanceRef lost_hit_cntr_15)) - (portRef (member lost_hit_cntr 8) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_16 (joined @@ -814149,7 +814169,6 @@ )) (net lost_hit_cntr_16 (joined (portRef Q (instanceRef lost_hit_cntr_16)) - (portRef (member lost_hit_cntr 7) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_17 (joined @@ -814158,7 +814177,6 @@ )) (net lost_hit_cntr_17 (joined (portRef Q (instanceRef lost_hit_cntr_17)) - (portRef (member lost_hit_cntr 6) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_18 (joined @@ -814167,7 +814185,6 @@ )) (net lost_hit_cntr_18 (joined (portRef Q (instanceRef lost_hit_cntr_18)) - (portRef (member lost_hit_cntr 5) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_19 (joined @@ -814176,7 +814193,6 @@ )) (net lost_hit_cntr_19 (joined (portRef Q (instanceRef lost_hit_cntr_19)) - (portRef (member lost_hit_cntr 4) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_20 (joined @@ -814185,7 +814201,6 @@ )) (net lost_hit_cntr_20 (joined (portRef Q (instanceRef lost_hit_cntr_20)) - (portRef (member lost_hit_cntr 3) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_21 (joined @@ -814194,7 +814209,6 @@ )) (net lost_hit_cntr_21 (joined (portRef Q (instanceRef lost_hit_cntr_21)) - (portRef (member lost_hit_cntr 2) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_22 (joined @@ -814203,7 +814217,6 @@ )) (net lost_hit_cntr_22 (joined (portRef Q (instanceRef lost_hit_cntr_22)) - (portRef (member lost_hit_cntr 1) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_23 (joined @@ -814212,7 +814225,6 @@ )) (net lost_hit_cntr_23 (joined (portRef Q (instanceRef lost_hit_cntr_23)) - (portRef (member lost_hit_cntr 0) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined @@ -814318,9 +814330,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_3_1 (joined + (net channel_debug_01_i_9_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_3 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_9 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_6 (joined @@ -814329,7 +814341,6 @@ )) (net encoder_start_cntr_0 (joined (portRef Q (instanceRef encoder_start_cntr_0)) - (portRef (member encoder_start_cntr 23) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_1_0_S0_6 (joined @@ -814338,7 +814349,6 @@ )) (net encoder_start_cntr_1 (joined (portRef Q (instanceRef encoder_start_cntr_1)) - (portRef (member encoder_start_cntr 22) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_1_0_S1_6 (joined @@ -814347,7 +814357,6 @@ )) (net encoder_start_cntr_2 (joined (portRef Q (instanceRef encoder_start_cntr_2)) - (portRef (member encoder_start_cntr 21) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_3_0_S0_6 (joined @@ -814356,7 +814365,6 @@ )) (net encoder_start_cntr_3 (joined (portRef Q (instanceRef encoder_start_cntr_3)) - (portRef (member encoder_start_cntr 20) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_3_0_S1_6 (joined @@ -814365,7 +814373,6 @@ )) (net encoder_start_cntr_4 (joined (portRef Q (instanceRef encoder_start_cntr_4)) - (portRef (member encoder_start_cntr 19) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_5_0_S0_6 (joined @@ -814374,7 +814381,6 @@ )) (net encoder_start_cntr_5 (joined (portRef Q (instanceRef encoder_start_cntr_5)) - (portRef (member encoder_start_cntr 18) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_5_0_S1_6 (joined @@ -814383,7 +814389,6 @@ )) (net encoder_start_cntr_6 (joined (portRef Q (instanceRef encoder_start_cntr_6)) - (portRef (member encoder_start_cntr 17) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_7_0_S0_6 (joined @@ -814392,7 +814397,6 @@ )) (net encoder_start_cntr_7 (joined (portRef Q (instanceRef encoder_start_cntr_7)) - (portRef (member encoder_start_cntr 16) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_7_0_S1_6 (joined @@ -814401,7 +814405,6 @@ )) (net encoder_start_cntr_8 (joined (portRef Q (instanceRef encoder_start_cntr_8)) - (portRef (member encoder_start_cntr 15) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_9_0_S0_6 (joined @@ -814410,7 +814413,6 @@ )) (net encoder_start_cntr_9 (joined (portRef Q (instanceRef encoder_start_cntr_9)) - (portRef (member encoder_start_cntr 14) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_9_0_S1_6 (joined @@ -814419,7 +814421,6 @@ )) (net encoder_start_cntr_10 (joined (portRef Q (instanceRef encoder_start_cntr_10)) - (portRef (member encoder_start_cntr 13) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_11_0_S0_6 (joined @@ -814428,7 +814429,6 @@ )) (net encoder_start_cntr_11 (joined (portRef Q (instanceRef encoder_start_cntr_11)) - (portRef (member encoder_start_cntr 12) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_11_0_S1_6 (joined @@ -814437,7 +814437,6 @@ )) (net encoder_start_cntr_12 (joined (portRef Q (instanceRef encoder_start_cntr_12)) - (portRef (member encoder_start_cntr 11) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_13_0_S0_6 (joined @@ -814446,7 +814445,6 @@ )) (net encoder_start_cntr_13 (joined (portRef Q (instanceRef encoder_start_cntr_13)) - (portRef (member encoder_start_cntr 10) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_13_0_S1_6 (joined @@ -814455,7 +814453,6 @@ )) (net encoder_start_cntr_14 (joined (portRef Q (instanceRef encoder_start_cntr_14)) - (portRef (member encoder_start_cntr 9) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_15_0_S0_6 (joined @@ -814464,7 +814461,6 @@ )) (net encoder_start_cntr_15 (joined (portRef Q (instanceRef encoder_start_cntr_15)) - (portRef (member encoder_start_cntr 8) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_15_0_S1_6 (joined @@ -814473,7 +814469,6 @@ )) (net encoder_start_cntr_16 (joined (portRef Q (instanceRef encoder_start_cntr_16)) - (portRef (member encoder_start_cntr 7) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_17_0_S0_6 (joined @@ -814482,7 +814477,6 @@ )) (net encoder_start_cntr_17 (joined (portRef Q (instanceRef encoder_start_cntr_17)) - (portRef (member encoder_start_cntr 6) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_17_0_S1_6 (joined @@ -814491,7 +814485,6 @@ )) (net encoder_start_cntr_18 (joined (portRef Q (instanceRef encoder_start_cntr_18)) - (portRef (member encoder_start_cntr 5) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_19_0_S0_6 (joined @@ -814500,7 +814493,6 @@ )) (net encoder_start_cntr_19 (joined (portRef Q (instanceRef encoder_start_cntr_19)) - (portRef (member encoder_start_cntr 4) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_19_0_S1_6 (joined @@ -814509,7 +814501,6 @@ )) (net encoder_start_cntr_20 (joined (portRef Q (instanceRef encoder_start_cntr_20)) - (portRef (member encoder_start_cntr 3) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_21_0_S0_6 (joined @@ -814518,7 +814509,6 @@ )) (net encoder_start_cntr_21 (joined (portRef Q (instanceRef encoder_start_cntr_21)) - (portRef (member encoder_start_cntr 2) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_cry_21_0_S1_6 (joined @@ -814527,7 +814517,6 @@ )) (net encoder_start_cntr_22 (joined (portRef Q (instanceRef encoder_start_cntr_22)) - (portRef (member encoder_start_cntr 1) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_s_23_0_S0_6 (joined @@ -814536,14 +814525,13 @@ )) (net encoder_start_cntr_23 (joined (portRef Q (instanceRef encoder_start_cntr_23)) - (portRef (member encoder_start_cntr 0) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) (net fifo_full_i (joined (portRef fifo_full_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_FULL_OUT)) )) - (net channel_full_i_3 (joined + (net channel_full_i_9 (joined (portRef Q (instanceRef FIFO_FULL_OUT)) (portRef (member channel_full_i 0)) )) @@ -814553,9 +814541,6 @@ )) (net clk_100_i_c (joined (portRef clk_100_i_c) - (portRef clk_100_i_c (instanceRef Measurement_Sync)) - (portRef clk_100_i_c (instanceRef Encoder_Start_Sync)) - (portRef clk_100_i_c (instanceRef Lost_Hit_Sync)) (portRef clk_100_i_c (instanceRef FIFO)) (portRef CK (instanceRef FIFO_DATA_OUT_31)) (portRef CK (instanceRef FIFO_DATA_OUT_30)) @@ -814594,9 +814579,6 @@ )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) - (portRef (member final_reset_iso 0) (instanceRef Measurement_Sync)) - (portRef (member final_reset_iso 0) (instanceRef Encoder_Start_Sync)) - (portRef (member final_reset_iso 0) (instanceRef Lost_Hit_Sync)) (portRef PD (instanceRef FIFO_DATA_OUT_31)) (portRef PD (instanceRef FIFO_DATA_OUT_30)) (portRef PD (instanceRef FIFO_DATA_OUT_29)) @@ -814632,265 +814614,265 @@ (portRef CD (instanceRef FIFO_EMPTY_OUT)) (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net stat_reg_0 (joined + (net stat_reg_41 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef stat_reg_0) + (portRef (member stat_reg 0)) )) (net fifo_data_out_i_0 (joined (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_0)) )) - (net channel_data_i_3_0 (joined + (net channel_data_i_9_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_3 31)) + (portRef (member channel_data_i_9 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_3_1 (joined + (net channel_data_i_9_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_3 30)) + (portRef (member channel_data_i_9 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_3_2 (joined + (net channel_data_i_9_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_3 29)) + (portRef (member channel_data_i_9 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_3_3 (joined + (net channel_data_i_9_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_3 28)) + (portRef (member channel_data_i_9 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_3_4 (joined + (net channel_data_i_9_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_3 27)) + (portRef (member channel_data_i_9 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_3_5 (joined + (net channel_data_i_9_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_3 26)) + (portRef (member channel_data_i_9 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_3_6 (joined + (net channel_data_i_9_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_3 25)) + (portRef (member channel_data_i_9 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_3_7 (joined + (net channel_data_i_9_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_3 24)) + (portRef (member channel_data_i_9 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_3_8 (joined + (net channel_data_i_9_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_3 23)) + (portRef (member channel_data_i_9 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_3_9 (joined + (net channel_data_i_9_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_3 22)) + (portRef (member channel_data_i_9 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_3_10 (joined + (net channel_data_i_9_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_3 21)) + (portRef (member channel_data_i_9 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_3_11 (joined + (net channel_data_i_9_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_3 20)) + (portRef (member channel_data_i_9 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_3_12 (joined + (net channel_data_i_9_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_3 19)) + (portRef (member channel_data_i_9 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_3_13 (joined + (net channel_data_i_9_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_3 18)) + (portRef (member channel_data_i_9 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_3_14 (joined + (net channel_data_i_9_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_3 17)) + (portRef (member channel_data_i_9 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_3_15 (joined + (net channel_data_i_9_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_3 16)) + (portRef (member channel_data_i_9 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_3_16 (joined + (net channel_data_i_9_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_3 15)) + (portRef (member channel_data_i_9 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_3_17 (joined + (net channel_data_i_9_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_3 14)) + (portRef (member channel_data_i_9 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_3_18 (joined + (net channel_data_i_9_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_3 13)) + (portRef (member channel_data_i_9 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_3_19 (joined + (net channel_data_i_9_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_3 12)) + (portRef (member channel_data_i_9 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_3_20 (joined + (net channel_data_i_9_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_3 11)) + (portRef (member channel_data_i_9 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_3_21 (joined + (net channel_data_i_9_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_3 10)) + (portRef (member channel_data_i_9 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_3_22 (joined + (net channel_data_i_9_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_3 9)) + (portRef (member channel_data_i_9 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_3_23 (joined + (net channel_data_i_9_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_3 8)) + (portRef (member channel_data_i_9 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_3_24 (joined + (net channel_data_i_9_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_3 7)) + (portRef (member channel_data_i_9 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_3_25 (joined + (net channel_data_i_9_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_3 6)) + (portRef (member channel_data_i_9 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_3_26 (joined + (net channel_data_i_9_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_3 5)) + (portRef (member channel_data_i_9 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_3_27 (joined + (net channel_data_i_9_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_3 4)) + (portRef (member channel_data_i_9 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_3_28 (joined + (net channel_data_i_9_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_3 3)) + (portRef (member channel_data_i_9 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_3_29 (joined + (net channel_data_i_9_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_3 2)) + (portRef (member channel_data_i_9 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_3_30 (joined + (net channel_data_i_9_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_3 1)) + (portRef (member channel_data_i_9 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_3_31 (joined + (net channel_data_i_9_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_3 0)) + (portRef (member channel_data_i_9 0)) )) (net sync_q_CR0_ram_DO1_6 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -816544,8 +816526,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_3_0 (joined - (portRef (member channel_debug_01_i_3 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_9_0 (joined + (portRef (member channel_debug_01_i_9 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -816572,9 +816554,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_3_2 (joined - (portRef (member channel_debug_01_i_3 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_3 0) (instanceRef FIFO)) + (net channel_debug_01_i_9_2 (joined + (portRef (member channel_debug_01_i_9 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_9 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -816759,17 +816741,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_90 (joined - (portRef reset_tdc_90) - (portRef reset_tdc_90 (instanceRef FC)) + (net reset_tdc_72 (joined + (portRef reset_tdc_72) + (portRef reset_tdc_72 (instanceRef FC)) )) - (net reset_tdc_89 (joined - (portRef reset_tdc_89) - (portRef reset_tdc_89 (instanceRef FC)) + (net reset_tdc_71 (joined + (portRef reset_tdc_71) + (portRef reset_tdc_71 (instanceRef FC)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) - (portRef reset_tdc_88 (instanceRef FC)) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) + (portRef reset_tdc_70 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -816811,43 +816793,47 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) - (portRef reset_tdc_91 (instanceRef Encoder)) - (portRef reset_tdc_91 (instanceRef FC)) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) + (portRef reset_tdc_73 (instanceRef Encoder)) + (portRef reset_tdc_73 (instanceRef FC)) )) - (net reset_tdc_fast_36_r4 (joined - (portRef reset_tdc_fast_36_r4) - (portRef reset_tdc_fast_36_r4 (instanceRef Encoder)) + (net reset_tdc_fast_36_r16 (joined + (portRef reset_tdc_fast_36_r16) + (portRef reset_tdc_fast_36_r16 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r3 (joined - (portRef reset_tdc_fast_37_r3) - (portRef reset_tdc_fast_37_r3 (instanceRef Encoder)) + (net reset_tdc_fast_37_r10 (joined + (portRef reset_tdc_fast_37_r10) + (portRef reset_tdc_fast_37_r10 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r5 (joined - (portRef reset_tdc_fast_36_r5) - (portRef reset_tdc_fast_36_r5 (instanceRef Encoder)) + (net reset_tdc_fast_37_r9 (joined + (portRef reset_tdc_fast_37_r9) + (portRef reset_tdc_fast_37_r9 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r7 (joined - (portRef reset_tdc_fast_36_r7) - (portRef reset_tdc_fast_36_r7 (instanceRef Encoder)) + (net reset_tdc_fast_36_r18 (joined + (portRef reset_tdc_fast_36_r18) + (portRef reset_tdc_fast_36_r18 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) + (portRef reset_tdc_fast_36_r20 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNINE6H)) + (portRef A (instanceRef result_2_reg_RNI3F6H)) )) - (net rd_en_i_3 (joined + (net rd_en_i_9 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_17 (joined - (portRef reset_i_17) - (portRef reset_i_17 (instanceRef FIFO)) + (net reset_i_15 (joined + (portRef reset_i_15) + (portRef reset_i_15 (instanceRef FIFO)) )) - (net reset_i_16 (joined - (portRef reset_i_16) - (portRef reset_i_16 (instanceRef FIFO)) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef reset_i_14 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -816867,324 +816853,40 @@ (portRef G_1740_Q) (portRef G_1740_Q (instanceRef Edge_To_Pulse_Hit)) )) - (net stat_reg_445 (joined - (portRef (member stat_reg 23) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_445) - )) - (net stat_reg_446 (joined - (portRef (member stat_reg 22) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_446) - )) - (net stat_reg_447 (joined - (portRef (member stat_reg 21) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_447) - )) - (net stat_reg_448 (joined - (portRef (member stat_reg 20) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_448) - )) - (net stat_reg_449 (joined - (portRef (member stat_reg 19) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_449) - )) - (net stat_reg_450 (joined - (portRef (member stat_reg 18) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_450) - )) - (net stat_reg_451 (joined - (portRef (member stat_reg 17) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_451) - )) - (net stat_reg_452 (joined - (portRef (member stat_reg 16) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_452) - )) - (net stat_reg_453 (joined - (portRef (member stat_reg 15) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_453) - )) - (net stat_reg_454 (joined - (portRef (member stat_reg 14) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_454) - )) - (net stat_reg_455 (joined - (portRef (member stat_reg 13) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_455) - )) - (net stat_reg_456 (joined - (portRef (member stat_reg 12) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_456) - )) - (net stat_reg_457 (joined - (portRef (member stat_reg 11) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_457) - )) - (net stat_reg_458 (joined - (portRef (member stat_reg 10) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_458) - )) - (net stat_reg_459 (joined - (portRef (member stat_reg 9) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_459) - )) - (net stat_reg_460 (joined - (portRef (member stat_reg 8) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_460) - )) - (net stat_reg_461 (joined - (portRef (member stat_reg 7) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_461) - )) - (net stat_reg_462 (joined - (portRef (member stat_reg 6) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_462) - )) - (net stat_reg_463 (joined - (portRef (member stat_reg 5) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_463) - )) - (net stat_reg_464 (joined - (portRef (member stat_reg 4) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_464) - )) - (net stat_reg_465 (joined - (portRef (member stat_reg 3) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_465) - )) - (net stat_reg_466 (joined - (portRef (member stat_reg 2) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_466) - )) - (net stat_reg_467 (joined - (portRef (member stat_reg 1) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_467) - )) - (net stat_reg_468 (joined - (portRef (member stat_reg 0) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_468) - )) - (net stat_reg_509 (joined - (portRef (member stat_reg 23) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_509) - )) - (net stat_reg_510 (joined - (portRef (member stat_reg 22) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_510) - )) - (net stat_reg_511 (joined - (portRef (member stat_reg 21) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_511) - )) - (net stat_reg_512 (joined - (portRef (member stat_reg 20) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_512) - )) - (net stat_reg_513 (joined - (portRef (member stat_reg 19) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_513) - )) - (net stat_reg_514 (joined - (portRef (member stat_reg 18) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_514) - )) - (net stat_reg_515 (joined - (portRef (member stat_reg 17) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_515) - )) - (net stat_reg_516 (joined - (portRef (member stat_reg 16) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_516) - )) - (net stat_reg_517 (joined - (portRef (member stat_reg 15) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_517) - )) - (net stat_reg_518 (joined - (portRef (member stat_reg 14) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_518) - )) - (net stat_reg_519 (joined - (portRef (member stat_reg 13) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_519) - )) - (net stat_reg_520 (joined - (portRef (member stat_reg 12) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_520) - )) - (net stat_reg_521 (joined - (portRef (member stat_reg 11) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_521) - )) - (net stat_reg_522 (joined - (portRef (member stat_reg 10) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_522) - )) - (net stat_reg_523 (joined - (portRef (member stat_reg 9) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_523) - )) - (net stat_reg_524 (joined - (portRef (member stat_reg 8) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_524) - )) - (net stat_reg_525 (joined - (portRef (member stat_reg 7) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_525) - )) - (net stat_reg_526 (joined - (portRef (member stat_reg 6) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_526) - )) - (net stat_reg_527 (joined - (portRef (member stat_reg 5) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_527) - )) - (net stat_reg_528 (joined - (portRef (member stat_reg 4) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_528) - )) - (net stat_reg_529 (joined - (portRef (member stat_reg 3) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_529) - )) - (net stat_reg_530 (joined - (portRef (member stat_reg 2) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_530) - )) - (net stat_reg_531 (joined - (portRef (member stat_reg 1) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_531) - )) - (net stat_reg_532 (joined - (portRef (member stat_reg 0) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_532) - )) - (net stat_reg_477 (joined - (portRef (member stat_reg 23) (instanceRef Measurement_Sync)) - (portRef stat_reg_477) - )) - (net stat_reg_478 (joined - (portRef (member stat_reg 22) (instanceRef Measurement_Sync)) - (portRef stat_reg_478) - )) - (net stat_reg_479 (joined - (portRef (member stat_reg 21) (instanceRef Measurement_Sync)) - (portRef stat_reg_479) - )) - (net stat_reg_480 (joined - (portRef (member stat_reg 20) (instanceRef Measurement_Sync)) - (portRef stat_reg_480) - )) - (net stat_reg_481 (joined - (portRef (member stat_reg 19) (instanceRef Measurement_Sync)) - (portRef stat_reg_481) - )) - (net stat_reg_482 (joined - (portRef (member stat_reg 18) (instanceRef Measurement_Sync)) - (portRef stat_reg_482) - )) - (net stat_reg_483 (joined - (portRef (member stat_reg 17) (instanceRef Measurement_Sync)) - (portRef stat_reg_483) - )) - (net stat_reg_484 (joined - (portRef (member stat_reg 16) (instanceRef Measurement_Sync)) - (portRef stat_reg_484) - )) - (net stat_reg_485 (joined - (portRef (member stat_reg 15) (instanceRef Measurement_Sync)) - (portRef stat_reg_485) - )) - (net stat_reg_486 (joined - (portRef (member stat_reg 14) (instanceRef Measurement_Sync)) - (portRef stat_reg_486) - )) - (net stat_reg_487 (joined - (portRef (member stat_reg 13) (instanceRef Measurement_Sync)) - (portRef stat_reg_487) - )) - (net stat_reg_488 (joined - (portRef (member stat_reg 12) (instanceRef Measurement_Sync)) - (portRef stat_reg_488) - )) - (net stat_reg_489 (joined - (portRef (member stat_reg 11) (instanceRef Measurement_Sync)) - (portRef stat_reg_489) - )) - (net stat_reg_490 (joined - (portRef (member stat_reg 10) (instanceRef Measurement_Sync)) - (portRef stat_reg_490) - )) - (net stat_reg_491 (joined - (portRef (member stat_reg 9) (instanceRef Measurement_Sync)) - (portRef stat_reg_491) - )) - (net stat_reg_492 (joined - (portRef (member stat_reg 8) (instanceRef Measurement_Sync)) - (portRef stat_reg_492) - )) - (net stat_reg_493 (joined - (portRef (member stat_reg 7) (instanceRef Measurement_Sync)) - (portRef stat_reg_493) - )) - (net stat_reg_494 (joined - (portRef (member stat_reg 6) (instanceRef Measurement_Sync)) - (portRef stat_reg_494) - )) - (net stat_reg_495 (joined - (portRef (member stat_reg 5) (instanceRef Measurement_Sync)) - (portRef stat_reg_495) - )) - (net stat_reg_496 (joined - (portRef (member stat_reg 4) (instanceRef Measurement_Sync)) - (portRef stat_reg_496) - )) - (net stat_reg_497 (joined - (portRef (member stat_reg 3) (instanceRef Measurement_Sync)) - (portRef stat_reg_497) - )) - (net stat_reg_498 (joined - (portRef (member stat_reg 2) (instanceRef Measurement_Sync)) - (portRef stat_reg_498) - )) - (net stat_reg_499 (joined - (portRef (member stat_reg 1) (instanceRef Measurement_Sync)) - (portRef stat_reg_499) - )) - (net stat_reg_500 (joined - (portRef (member stat_reg 0) (instanceRef Measurement_Sync)) - (portRef stat_reg_500) - )) ) ) ) - (cell Channel_18 (cellType GENERIC) + (cell Channel_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(18:18)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(18:18)") 1) (direction INPUT)) - (port (array (rename channel_data_i_18 "channel_data_i_18(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(50:50)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(1:1)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(1:1)") 1) (direction INPUT)) + (port (array (rename channel_data_i_1 "channel_data_i_1(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(33:33)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(1:1)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1749_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_8 (direction INPUT)) - (port reset_i_9 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r27 (direction INPUT)) - (port reset_tdc_42 (direction INPUT)) - (port reset_tdc_43 (direction INPUT)) - (port reset_tdc_44 (direction INPUT)) - (port reset_tdc_45 (direction INPUT)) + (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) + (port reset_tdc_fast_36_r3 (direction INPUT)) + (port reset_tdc_fast_36_r1 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_37_r1 (direction INPUT)) + (port reset_tdc_fast_37_r2 (direction INPUT)) + (port reset_tdc_fast_36_r0 (direction INPUT)) + (port reset_tdc_94 (direction INPUT)) + (port reset_tdc_95 (direction INPUT)) + (port reset_tdc_96 (direction INPUT)) + (port reset_tdc_97 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_11 (direction INPUT)) - (port reset_tdc_rep1_10 (direction INPUT)) - (port reset_tdc_rep1_9 (direction INPUT)) - (port reset_tdc_rep1_8 (direction INPUT)) - (port reset_tdc_rep1 (direction INPUT)) + (port reset_tdc_fast_15 (direction INPUT)) + (port reset_tdc_fast_14 (direction INPUT)) + (port reset_tdc_fast_13 (direction INPUT)) + (port reset_tdc_fast_12 (direction INPUT)) + (port reset_tdc_fast_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -817198,7 +816900,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295771_0 (direction OUTPUT)) + (port N_296069_0 (direction OUTPUT)) (port G_1747_Q (direction INPUT)) (port sync_q_and_5 (direction OUTPUT)) (port G_1744_Q (direction INPUT)) @@ -817207,14 +816909,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNICH2O (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIMLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICH2O_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIMLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICH2O_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIMLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -818011,6 +817713,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -819060,7 +818764,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI9ATO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIJE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -819141,157 +818845,157 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) @@ -819316,32 +819020,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0)) )) (net tmp1_5_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI9ATO)) + (portRef B (instanceRef result_2_reg_RNIJE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNICH2O_1)) - (portRef B (instanceRef result_2_reg_RNICH2O_0)) - (portRef B (instanceRef result_2_reg_RNICH2O)) + (portRef B (instanceRef result_2_reg_RNIMLBG_1)) + (portRef B (instanceRef result_2_reg_RNIMLBG_0)) + (portRef B (instanceRef result_2_reg_RNIMLBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI9ATO)) + (portRef C (instanceRef result_2_reg_RNIJE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNICH2O_1)) - (portRef C (instanceRef result_2_reg_RNICH2O_0)) - (portRef C (instanceRef result_2_reg_RNICH2O)) + (portRef C (instanceRef result_2_reg_RNIMLBG_1)) + (portRef C (instanceRef result_2_reg_RNIMLBG_0)) + (portRef C (instanceRef result_2_reg_RNIMLBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNICH2O)) + (portRef Z (instanceRef result_2_reg_RNIMLBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -819420,9 +819124,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNICH2O_1)) - (portRef A (instanceRef result_2_reg_RNICH2O_0)) - (portRef A (instanceRef result_2_reg_RNICH2O)) + (portRef A (instanceRef result_2_reg_RNIMLBG_1)) + (portRef A (instanceRef result_2_reg_RNIMLBG_0)) + (portRef A (instanceRef result_2_reg_RNIMLBG)) )) (net GND (joined (portRef GND) @@ -819430,60 +819134,6 @@ (portRef GND (instanceRef FIFO)) (portRef GND (instanceRef Encoder)) (portRef GND (instanceRef FC)) - (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef C0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef B0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) @@ -819538,6 +819188,60 @@ (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef C0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef B0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C1 (instanceRef lost_hit_cntr_s_0_23)) (portRef B1 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_s_0_23)) @@ -819577,7 +819281,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNICH2O_0)) + (portRef Z (instanceRef result_2_reg_RNIMLBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -819655,7 +819359,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNICH2O_1)) + (portRef Z (instanceRef result_2_reg_RNIMLBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -819742,9 +819446,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295771_0 (joined + (net N_296069_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295771_0) + (portRef N_296069_0) )) (net tmp1_5_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -820255,32 +819959,6 @@ (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) (portRef VCC (instanceRef FC)) - (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) @@ -820307,6 +819985,32 @@ (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef lost_hit_cntr_s_0_23)) (portRef D0 (instanceRef lost_hit_cntr_s_0_23)) (portRef D1 (instanceRef lost_hit_cntr_cry_0_21)) @@ -820396,7 +820100,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI9ATO)) + (portRef Z (instanceRef result_2_reg_RNIJE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -823309,9 +823013,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_18_1 (joined + (net channel_debug_01_i_1_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_18 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_1 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_5 (joined @@ -823506,18 +823210,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_1 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_50 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -823554,6 +823258,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -823590,258 +823295,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_18_0 (joined + (net stat_reg_33 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_1_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_18 31)) + (portRef (member channel_data_i_1 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_18_1 (joined + (net channel_data_i_1_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_18 30)) + (portRef (member channel_data_i_1 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_18_2 (joined + (net channel_data_i_1_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_18 29)) + (portRef (member channel_data_i_1 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_18_3 (joined + (net channel_data_i_1_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_18 28)) + (portRef (member channel_data_i_1 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_18_4 (joined + (net channel_data_i_1_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_18 27)) + (portRef (member channel_data_i_1 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_18_5 (joined + (net channel_data_i_1_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_18 26)) + (portRef (member channel_data_i_1 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_18_6 (joined + (net channel_data_i_1_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_18 25)) + (portRef (member channel_data_i_1 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_18_7 (joined + (net channel_data_i_1_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_18 24)) + (portRef (member channel_data_i_1 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_18_8 (joined + (net channel_data_i_1_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_18 23)) + (portRef (member channel_data_i_1 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_18_9 (joined + (net channel_data_i_1_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_18 22)) + (portRef (member channel_data_i_1 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_18_10 (joined + (net channel_data_i_1_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_18 21)) + (portRef (member channel_data_i_1 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_18_11 (joined + (net channel_data_i_1_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_18 20)) + (portRef (member channel_data_i_1 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_18_12 (joined + (net channel_data_i_1_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_18 19)) + (portRef (member channel_data_i_1 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_18_13 (joined + (net channel_data_i_1_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_18 18)) + (portRef (member channel_data_i_1 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_18_14 (joined + (net channel_data_i_1_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_18 17)) + (portRef (member channel_data_i_1 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_18_15 (joined + (net channel_data_i_1_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_18 16)) + (portRef (member channel_data_i_1 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_18_16 (joined + (net channel_data_i_1_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_18 15)) + (portRef (member channel_data_i_1 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_18_17 (joined + (net channel_data_i_1_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_18 14)) + (portRef (member channel_data_i_1 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_18_18 (joined + (net channel_data_i_1_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_18 13)) + (portRef (member channel_data_i_1 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_18_19 (joined + (net channel_data_i_1_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_18 12)) + (portRef (member channel_data_i_1 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_18_20 (joined + (net channel_data_i_1_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_18 11)) + (portRef (member channel_data_i_1 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_18_21 (joined + (net channel_data_i_1_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_18 10)) + (portRef (member channel_data_i_1 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_18_22 (joined + (net channel_data_i_1_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_18 9)) + (portRef (member channel_data_i_1 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_18_23 (joined + (net channel_data_i_1_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_18 8)) + (portRef (member channel_data_i_1 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_18_24 (joined + (net channel_data_i_1_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_18 7)) + (portRef (member channel_data_i_1 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_18_25 (joined + (net channel_data_i_1_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_18 6)) + (portRef (member channel_data_i_1 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_18_26 (joined + (net channel_data_i_1_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_18 5)) + (portRef (member channel_data_i_1 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_18_27 (joined + (net channel_data_i_1_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_18 4)) + (portRef (member channel_data_i_1 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_18_28 (joined + (net channel_data_i_1_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_18 3)) + (portRef (member channel_data_i_1 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_18_29 (joined + (net channel_data_i_1_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_18 2)) + (portRef (member channel_data_i_1 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_18_30 (joined + (net channel_data_i_1_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_18 1)) + (portRef (member channel_data_i_1 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_18_31 (joined + (net channel_data_i_1_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_18 0)) + (portRef (member channel_data_i_1 0)) )) (net sync_q_CR0_ram_DO1_5 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -823860,8 +823574,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1 (joined - (portRef reset_tdc_rep1) + (net reset_tdc_fast_1 (joined + (portRef reset_tdc_fast_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -823983,18 +823697,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_8 (joined - (portRef reset_tdc_rep1_8) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - (portRef B (instanceRef result_reg_3_0_i_27)) + (net reset_tdc_fast_12 (joined + (portRef reset_tdc_fast_12) (portRef B (instanceRef result_reg_3_0_i_26)) (portRef B (instanceRef result_reg_3_0_i_25)) (portRef B (instanceRef result_reg_3_0_i_24)) @@ -824116,6 +823820,108 @@ (portRef (member result_i 275) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_28)) )) + (net reset_tdc_fast_13 (joined + (portRef reset_tdc_fast_13) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + (portRef B (instanceRef result_reg_3_0_i_27)) + )) (net result_i_29 (joined (portRef (member result_i 274) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_29)) @@ -824156,109 +823962,6 @@ (portRef (member result_i 265) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_38)) )) - (net reset_tdc_rep1_9 (joined - (portRef reset_tdc_rep1_9) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - )) (net result_i_39 (joined (portRef (member result_i 264) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_39)) @@ -824615,64 +824318,8 @@ (portRef (member result_i 176) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_127)) )) - (net result_i_128 (joined - (portRef (member result_i 175) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_128)) - )) - (net result_i_129 (joined - (portRef (member result_i 174) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_129)) - )) - (net result_i_130 (joined - (portRef (member result_i 173) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_130)) - )) - (net result_i_131 (joined - (portRef (member result_i 172) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_131)) - )) - (net result_i_132 (joined - (portRef (member result_i 171) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_132)) - )) - (net result_i_133 (joined - (portRef (member result_i 170) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_133)) - )) - (net result_i_134 (joined - (portRef (member result_i 169) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_134)) - )) - (net result_i_135 (joined - (portRef (member result_i 168) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_135)) - )) - (net result_i_136 (joined - (portRef (member result_i 167) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_136)) - )) - (net result_i_137 (joined - (portRef (member result_i 166) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_137)) - )) - (net result_i_138 (joined - (portRef (member result_i 165) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_138)) - )) - (net reset_tdc_rep1_10 (joined - (portRef reset_tdc_rep1_10) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) + (net reset_tdc_fast_14 (joined + (portRef reset_tdc_fast_14) (portRef B (instanceRef result_reg_3_0_i_224)) (portRef B (instanceRef result_reg_3_0_i_223)) (portRef B (instanceRef result_reg_3_0_i_222)) @@ -824761,6 +824408,61 @@ (portRef B (instanceRef result_reg_3_0_i_139)) (portRef B (instanceRef result_reg_3_0_i_138)) (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + )) + (net result_i_128 (joined + (portRef (member result_i 175) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_128)) + )) + (net result_i_129 (joined + (portRef (member result_i 174) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_129)) + )) + (net result_i_130 (joined + (portRef (member result_i 173) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_130)) + )) + (net result_i_131 (joined + (portRef (member result_i 172) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_131)) + )) + (net result_i_132 (joined + (portRef (member result_i 171) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_132)) + )) + (net result_i_133 (joined + (portRef (member result_i 170) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_133)) + )) + (net result_i_134 (joined + (portRef (member result_i 169) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_134)) + )) + (net result_i_135 (joined + (portRef (member result_i 168) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_135)) + )) + (net result_i_136 (joined + (portRef (member result_i 167) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_136)) + )) + (net result_i_137 (joined + (portRef (member result_i 166) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_137)) + )) + (net result_i_138 (joined + (portRef (member result_i 165) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_138)) )) (net result_i_139 (joined (portRef (member result_i 164) (instanceRef FC)) @@ -825114,56 +824816,8 @@ (portRef (member result_i 77) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_226)) )) - (net result_i_227 (joined - (portRef (member result_i 76) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_227)) - )) - (net result_i_228 (joined - (portRef (member result_i 75) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_228)) - )) - (net result_i_229 (joined - (portRef (member result_i 74) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_229)) - )) - (net result_i_230 (joined - (portRef (member result_i 73) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_230)) - )) - (net result_i_231 (joined - (portRef (member result_i 72) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_231)) - )) - (net result_i_232 (joined - (portRef (member result_i 71) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_232)) - )) - (net result_i_233 (joined - (portRef (member result_i 70) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_233)) - )) - (net result_i_234 (joined - (portRef (member result_i 69) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_234)) - )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_238 (joined - (portRef (member result_i 65) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_238)) - )) - (net reset_tdc_rep1_11 (joined - (portRef reset_tdc_rep1_11) + (net reset_tdc_fast_15 (joined + (portRef reset_tdc_fast_15) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -825231,6 +824885,66 @@ (portRef B (instanceRef result_reg_3_0_i_239)) (portRef B (instanceRef result_reg_3_0_i_238)) (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + )) + (net result_i_227 (joined + (portRef (member result_i 76) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_227)) + )) + (net result_i_228 (joined + (portRef (member result_i 75) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_228)) + )) + (net result_i_229 (joined + (portRef (member result_i 74) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_229)) + )) + (net result_i_230 (joined + (portRef (member result_i 73) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_230)) + )) + (net result_i_231 (joined + (portRef (member result_i 72) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_231)) + )) + (net result_i_232 (joined + (portRef (member result_i 71) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_232)) + )) + (net result_i_233 (joined + (portRef (member result_i 70) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_233)) + )) + (net result_i_234 (joined + (portRef (member result_i 69) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_234)) + )) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) + )) + (net result_i_238 (joined + (portRef (member result_i 65) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_238)) )) (net result_i_239 (joined (portRef (member result_i 64) (instanceRef FC)) @@ -825492,8 +825206,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_18_0 (joined - (portRef (member channel_debug_01_i_18 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_1_0 (joined + (portRef (member channel_debug_01_i_1 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -825520,9 +825234,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_18_2 (joined - (portRef (member channel_debug_01_i_18 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_18 0) (instanceRef FIFO)) + (net channel_debug_01_i_1_2 (joined + (portRef (member channel_debug_01_i_1 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_1 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -825587,63 +825301,6 @@ (net lost_hit_cntr_s_0_S1_5_23 (joined (portRef S1 (instanceRef lost_hit_cntr_s_0_23)) )) - (net un1_fifo_wr_en_i_cry_0 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) - )) - (net un1_fifo_wr_en_i_cry_0_0_S0_23 (joined - (portRef S0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - )) - (net un1_fifo_wr_en_i_cry_2 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_3_0)) - )) - (net un1_fifo_wr_en_i_cry_4 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_5_0)) - )) - (net un1_fifo_wr_en_i_cry_6 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_7_0)) - )) - (net un1_fifo_wr_en_i_cry_8 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_9_0)) - )) - (net un1_fifo_wr_en_i_cry_10 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_11_0)) - )) - (net un1_fifo_wr_en_i_cry_12 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_13_0)) - )) - (net un1_fifo_wr_en_i_cry_14 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_15_0)) - )) - (net un1_fifo_wr_en_i_cry_16 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_17_0)) - )) - (net un1_fifo_wr_en_i_cry_18 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_19_0)) - )) - (net un1_fifo_wr_en_i_cry_20 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_21_0)) - )) - (net un1_fifo_wr_en_i_cry_22 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) - (net un1_fifo_wr_en_i_s_23_0_COUT_23 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) - (net un1_fifo_wr_en_i_s_23_0_S1_23 (joined - (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) (net un1_encoder_start_i_cry_0 (joined (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) @@ -825701,23 +825358,80 @@ (net un1_encoder_start_i_s_23_0_S1_23 (joined (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net un1_fifo_wr_en_i_cry_0 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) + )) + (net un1_fifo_wr_en_i_cry_0_0_S0_23 (joined + (portRef S0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + )) + (net un1_fifo_wr_en_i_cry_2 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_3_0)) + )) + (net un1_fifo_wr_en_i_cry_4 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_5_0)) + )) + (net un1_fifo_wr_en_i_cry_6 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_7_0)) + )) + (net un1_fifo_wr_en_i_cry_8 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_9_0)) + )) + (net un1_fifo_wr_en_i_cry_10 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_11_0)) + )) + (net un1_fifo_wr_en_i_cry_12 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_13_0)) + )) + (net un1_fifo_wr_en_i_cry_14 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_15_0)) + )) + (net un1_fifo_wr_en_i_cry_16 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_17_0)) + )) + (net un1_fifo_wr_en_i_cry_18 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_19_0)) + )) + (net un1_fifo_wr_en_i_cry_20 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_21_0)) + )) + (net un1_fifo_wr_en_i_cry_22 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) + (net un1_fifo_wr_en_i_s_23_0_COUT_23 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) + (net un1_fifo_wr_en_i_s_23_0_S1_23 (joined + (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) (net hit_buf (joined (portRef hit_buf (instanceRef FC)) (portRef Z (instanceRef hit_buf_RNO)) ) (property NOMERGE (string "true")) ) - (net reset_tdc_44 (joined - (portRef reset_tdc_44) - (portRef reset_tdc_44 (instanceRef FC)) + (net reset_tdc_96 (joined + (portRef reset_tdc_96) + (portRef reset_tdc_96 (instanceRef FC)) )) - (net reset_tdc_43 (joined - (portRef reset_tdc_43) - (portRef reset_tdc_43 (instanceRef FC)) + (net reset_tdc_95 (joined + (portRef reset_tdc_95) + (portRef reset_tdc_95 (instanceRef FC)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) - (portRef reset_tdc_42 (instanceRef FC)) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) + (portRef reset_tdc_94 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -825759,39 +825473,51 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) - (portRef reset_tdc_45 (instanceRef Encoder)) - (portRef reset_tdc_45 (instanceRef FC)) + (net reset_tdc_97 (joined + (portRef reset_tdc_97) + (portRef reset_tdc_97 (instanceRef Encoder)) + (portRef reset_tdc_97 (instanceRef FC)) )) - (net reset_tdc_fast_36_r27 (joined - (portRef reset_tdc_fast_36_r27) - (portRef reset_tdc_fast_36_r27 (instanceRef Encoder)) + (net reset_tdc_fast_36_r0 (joined + (portRef reset_tdc_fast_36_r0) + (portRef reset_tdc_fast_36_r0 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r2 (joined + (portRef reset_tdc_fast_37_r2) + (portRef reset_tdc_fast_37_r2 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_fast_37_r1 (joined + (portRef reset_tdc_fast_37_r1) + (portRef reset_tdc_fast_37_r1 (instanceRef Encoder)) + )) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r1 (joined + (portRef reset_tdc_fast_36_r1) + (portRef reset_tdc_fast_36_r1 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r3 (joined + (portRef reset_tdc_fast_36_r3) + (portRef reset_tdc_fast_36_r3 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI9ATO)) + (portRef A (instanceRef result_2_reg_RNIJE6H)) )) - (net rd_en_i_18 (joined + (net rd_en_i_1 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_9 (joined - (portRef reset_i_9) - (portRef reset_i_9 (instanceRef FIFO)) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef reset_i_19 (instanceRef FIFO)) )) - (net reset_i_8 (joined - (portRef reset_i_8) - (portRef reset_i_8 (instanceRef FIFO)) + (net reset_i_18 (joined + (portRef reset_i_18) + (portRef reset_i_18 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -825827,21 +825553,23 @@ (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1758_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_15 (direction INPUT)) - (port reset_tdc_fast_36_r13 (direction INPUT)) + (port reset_i_16 (direction INPUT)) + (port reset_tdc_fast_36_r15 (direction INPUT)) (port reset_tdc_fast_36_r11 (direction INPUT)) - (port reset_tdc_fast_37_r6 (direction INPUT)) - (port reset_tdc_fast_37_r7 (direction INPUT)) (port reset_tdc_fast_36_r10 (direction INPUT)) + (port reset_tdc_fast_37_r7 (direction INPUT)) + (port reset_tdc_fast_37_r6 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) + (port reset_tdc_fast_36_r9 (direction INPUT)) (port reset_tdc_79 (direction INPUT)) (port reset_tdc_80 (direction INPUT)) (port reset_tdc_81 (direction INPUT)) (port reset_tdc_82 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_15 (direction INPUT)) - (port reset_tdc_fast_14 (direction INPUT)) - (port reset_tdc_fast_13 (direction INPUT)) (port reset_tdc_fast_12 (direction INPUT)) + (port reset_tdc_fast_11 (direction INPUT)) + (port reset_tdc_fast_10 (direction INPUT)) + (port reset_tdc_fast_9 (direction INPUT)) (port reset_tdc_fast_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) @@ -825856,7 +825584,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295784_0 (direction OUTPUT)) + (port N_296082_0 (direction OUTPUT)) (port G_1756_Q (direction INPUT)) (port sync_q_and_4 (direction OUTPUT)) (port G_1753_Q (direction INPUT)) @@ -828402,9 +828130,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295784_0 (joined + (net N_296082_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295784_0) + (portRef N_296082_0) )) (net tmp1_4_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -832653,8 +832381,12 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_12 (joined - (portRef reset_tdc_fast_12) + (net reset_tdc_fast_9 (joined + (portRef reset_tdc_fast_9) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + (portRef B (instanceRef result_reg_3_0_i_27)) (portRef B (instanceRef result_reg_3_0_i_26)) (portRef B (instanceRef result_reg_3_0_i_25)) (portRef B (instanceRef result_reg_3_0_i_24)) @@ -832776,8 +832508,28 @@ (portRef (member result_i 275) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_28)) )) - (net reset_tdc_fast_13 (joined - (portRef reset_tdc_fast_13) + (net result_i_29 (joined + (portRef (member result_i 274) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_29)) + )) + (net result_i_30 (joined + (portRef (member result_i 273) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_30)) + )) + (net result_i_31 (joined + (portRef (member result_i 272) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_31)) + )) + (net result_i_32 (joined + (portRef (member result_i 271) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_32)) + )) + (net reset_tdc_fast_10 (joined + (portRef reset_tdc_fast_10) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) (portRef B (instanceRef result_reg_3_0_i_125)) (portRef B (instanceRef result_reg_3_0_i_124)) (portRef B (instanceRef result_reg_3_0_i_123)) @@ -832873,26 +832625,6 @@ (portRef B (instanceRef result_reg_3_0_i_33)) (portRef B (instanceRef result_reg_3_0_i_32)) (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - (portRef B (instanceRef result_reg_3_0_i_27)) - )) - (net result_i_29 (joined - (portRef (member result_i 274) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_29)) - )) - (net result_i_30 (joined - (portRef (member result_i 273) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_30)) - )) - (net result_i_31 (joined - (portRef (member result_i 272) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_31)) - )) - (net result_i_32 (joined - (portRef (member result_i 271) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_32)) )) (net result_i_33 (joined (portRef (member result_i 270) (instanceRef FC)) @@ -833274,8 +833006,28 @@ (portRef (member result_i 176) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_127)) )) - (net reset_tdc_fast_14 (joined - (portRef reset_tdc_fast_14) + (net result_i_128 (joined + (portRef (member result_i 175) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_128)) + )) + (net result_i_129 (joined + (portRef (member result_i 174) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_129)) + )) + (net result_i_130 (joined + (portRef (member result_i 173) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_130)) + )) + (net result_i_131 (joined + (portRef (member result_i 172) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_131)) + )) + (net reset_tdc_fast_11 (joined + (portRef reset_tdc_fast_11) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) (portRef B (instanceRef result_reg_3_0_i_224)) (portRef B (instanceRef result_reg_3_0_i_223)) (portRef B (instanceRef result_reg_3_0_i_222)) @@ -833371,26 +833123,6 @@ (portRef B (instanceRef result_reg_3_0_i_132)) (portRef B (instanceRef result_reg_3_0_i_131)) (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - )) - (net result_i_128 (joined - (portRef (member result_i 175) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_128)) - )) - (net result_i_129 (joined - (portRef (member result_i 174) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_129)) - )) - (net result_i_130 (joined - (portRef (member result_i 173) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_130)) - )) - (net result_i_131 (joined - (portRef (member result_i 172) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_131)) )) (net result_i_132 (joined (portRef (member result_i 171) (instanceRef FC)) @@ -833772,8 +833504,24 @@ (portRef (member result_i 77) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_226)) )) - (net reset_tdc_fast_15 (joined - (portRef reset_tdc_fast_15) + (net result_i_227 (joined + (portRef (member result_i 76) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_227)) + )) + (net result_i_228 (joined + (portRef (member result_i 75) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_228)) + )) + (net result_i_229 (joined + (portRef (member result_i 74) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_229)) + )) + (net result_i_230 (joined + (portRef (member result_i 73) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_230)) + )) + (net reset_tdc_fast_12 (joined + (portRef reset_tdc_fast_12) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -833849,26 +833597,6 @@ (portRef B (instanceRef result_reg_3_0_i_231)) (portRef B (instanceRef result_reg_3_0_i_230)) (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - )) - (net result_i_227 (joined - (portRef (member result_i 76) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_227)) - )) - (net result_i_228 (joined - (portRef (member result_i 75) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_228)) - )) - (net result_i_229 (joined - (portRef (member result_i 74) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_229)) - )) - (net result_i_230 (joined - (portRef (member result_i 73) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_230)) )) (net result_i_231 (joined (portRef (member result_i 72) (instanceRef FC)) @@ -834434,25 +834162,33 @@ (portRef reset_tdc_82 (instanceRef Encoder)) (portRef reset_tdc_82 (instanceRef FC)) )) - (net reset_tdc_fast_36_r10 (joined - (portRef reset_tdc_fast_36_r10) - (portRef reset_tdc_fast_36_r10 (instanceRef Encoder)) + (net reset_tdc_fast_36_r9 (joined + (portRef reset_tdc_fast_36_r9) + (portRef reset_tdc_fast_36_r9 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r7 (joined - (portRef reset_tdc_fast_37_r7) - (portRef reset_tdc_fast_37_r7 (instanceRef Encoder)) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef reset_tdc_fast_37_r8 (instanceRef Encoder)) )) (net reset_tdc_fast_37_r6 (joined (portRef reset_tdc_fast_37_r6) (portRef reset_tdc_fast_37_r6 (instanceRef Encoder)) )) + (net reset_tdc_fast_37_r7 (joined + (portRef reset_tdc_fast_37_r7) + (portRef reset_tdc_fast_37_r7 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) + (portRef reset_tdc_fast_36_r10 (instanceRef Encoder)) + )) (net reset_tdc_fast_36_r11 (joined (portRef reset_tdc_fast_36_r11) (portRef reset_tdc_fast_36_r11 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r13 (joined - (portRef reset_tdc_fast_36_r13) - (portRef reset_tdc_fast_36_r13 (instanceRef Encoder)) + (net reset_tdc_fast_36_r15 (joined + (portRef reset_tdc_fast_36_r15) + (portRef reset_tdc_fast_36_r15 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) @@ -834463,9 +834199,9 @@ (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_15 (joined - (portRef reset_i_15) - (portRef reset_i_15 (instanceRef FIFO)) + (net reset_i_16 (joined + (portRef reset_i_16) + (portRef reset_i_16 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -834488,36 +834224,33 @@ ) ) ) - (cell Channel_1 (cellType GENERIC) + (cell Channel_18 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(1:1)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(1:1)") 1) (direction INPUT)) - (port (array (rename channel_data_i_1 "channel_data_i_1(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(33:33)") 1) (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(1:1)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(18:18)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(18:18)") 1) (direction INPUT)) + (port (array (rename channel_data_i_18 "channel_data_i_18(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(50:50)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1767_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_17 (direction INPUT)) - (port reset_i_18 (direction INPUT)) - (port reset_tdc_fast_36_r1 (direction INPUT)) - (port reset_tdc_fast_36_r2 (direction INPUT)) - (port reset_tdc_fast_37_r1 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_36_r0 (direction INPUT)) - (port reset_tdc_94 (direction INPUT)) - (port reset_tdc_95 (direction INPUT)) - (port reset_tdc_96 (direction INPUT)) - (port reset_tdc_97 (direction INPUT)) + (port reset_i_9 (direction INPUT)) + (port reset_i_10 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r27 (direction INPUT)) + (port reset_tdc_42 (direction INPUT)) + (port reset_tdc_43 (direction INPUT)) + (port reset_tdc_44 (direction INPUT)) + (port reset_tdc_45 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_12 (direction INPUT)) - (port reset_tdc_fast_11 (direction INPUT)) - (port reset_tdc_fast_10 (direction INPUT)) - (port reset_tdc_fast_9 (direction INPUT)) - (port reset_tdc_fast_1 (direction INPUT)) + (port reset_tdc_rep1_11 (direction INPUT)) + (port reset_tdc_rep1_10 (direction INPUT)) + (port reset_tdc_rep1_9 (direction INPUT)) + (port reset_tdc_rep1_8 (direction INPUT)) + (port reset_tdc_rep1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -834531,7 +834264,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295797_0 (direction OUTPUT)) + (port N_296095_0 (direction OUTPUT)) (port G_1765_Q (direction INPUT)) (port sync_q_and_3 (direction OUTPUT)) (port G_1762_Q (direction INPUT)) @@ -834540,14 +834273,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIMLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNICH2O (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIMLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICH2O_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIMLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICH2O_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -835344,8 +835077,6 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -836395,7 +836126,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIJE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI9ATO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -836651,32 +836382,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0)) )) (net tmp1_3_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIJE6H)) + (portRef B (instanceRef result_2_reg_RNI9ATO)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIMLBG_1)) - (portRef B (instanceRef result_2_reg_RNIMLBG_0)) - (portRef B (instanceRef result_2_reg_RNIMLBG)) + (portRef B (instanceRef result_2_reg_RNICH2O_1)) + (portRef B (instanceRef result_2_reg_RNICH2O_0)) + (portRef B (instanceRef result_2_reg_RNICH2O)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIJE6H)) + (portRef C (instanceRef result_2_reg_RNI9ATO)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIMLBG_1)) - (portRef C (instanceRef result_2_reg_RNIMLBG_0)) - (portRef C (instanceRef result_2_reg_RNIMLBG)) + (portRef C (instanceRef result_2_reg_RNICH2O_1)) + (portRef C (instanceRef result_2_reg_RNICH2O_0)) + (portRef C (instanceRef result_2_reg_RNICH2O)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIMLBG)) + (portRef Z (instanceRef result_2_reg_RNICH2O)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -836755,9 +836486,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIMLBG_1)) - (portRef A (instanceRef result_2_reg_RNIMLBG_0)) - (portRef A (instanceRef result_2_reg_RNIMLBG)) + (portRef A (instanceRef result_2_reg_RNICH2O_1)) + (portRef A (instanceRef result_2_reg_RNICH2O_0)) + (portRef A (instanceRef result_2_reg_RNICH2O)) )) (net GND (joined (portRef GND) @@ -836912,7 +836643,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIMLBG_0)) + (portRef Z (instanceRef result_2_reg_RNICH2O_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -836990,7 +836721,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIMLBG_1)) + (portRef Z (instanceRef result_2_reg_RNICH2O_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -837077,9 +836808,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295797_0 (joined + (net N_296095_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295797_0) + (portRef N_296095_0) )) (net tmp1_3_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -837731,7 +837462,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIJE6H)) + (portRef Z (instanceRef result_2_reg_RNI9ATO)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -840644,9 +840375,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_1_1 (joined + (net channel_debug_01_i_18_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_1 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_18 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_3 (joined @@ -840841,18 +840572,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) - (net fifo_full_i (joined - (portRef fifo_full_i (instanceRef FIFO)) - (portRef D (instanceRef FIFO_FULL_OUT)) - )) - (net channel_full_i_1 (joined - (portRef Q (instanceRef FIFO_FULL_OUT)) - (portRef (member channel_full_i 0)) - )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) + (net stat_reg_50 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -840889,7 +840620,6 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) - (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -840926,267 +840656,258 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) - (portRef CD (instanceRef FIFO_FULL_OUT)) - )) - (net stat_reg_33 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) - (net channel_data_i_1_0 (joined + (net channel_data_i_18_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_1 31)) + (portRef (member channel_data_i_18 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_1_1 (joined + (net channel_data_i_18_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_1 30)) + (portRef (member channel_data_i_18 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_1_2 (joined + (net channel_data_i_18_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_1 29)) + (portRef (member channel_data_i_18 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_1_3 (joined + (net channel_data_i_18_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_1 28)) + (portRef (member channel_data_i_18 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_1_4 (joined + (net channel_data_i_18_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_1 27)) + (portRef (member channel_data_i_18 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_1_5 (joined + (net channel_data_i_18_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_1 26)) + (portRef (member channel_data_i_18 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_1_6 (joined + (net channel_data_i_18_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_1 25)) + (portRef (member channel_data_i_18 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_1_7 (joined + (net channel_data_i_18_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_1 24)) + (portRef (member channel_data_i_18 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_1_8 (joined + (net channel_data_i_18_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_1 23)) + (portRef (member channel_data_i_18 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_1_9 (joined + (net channel_data_i_18_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_1 22)) + (portRef (member channel_data_i_18 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_1_10 (joined + (net channel_data_i_18_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_1 21)) + (portRef (member channel_data_i_18 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_1_11 (joined + (net channel_data_i_18_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_1 20)) + (portRef (member channel_data_i_18 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_1_12 (joined + (net channel_data_i_18_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_1 19)) + (portRef (member channel_data_i_18 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_1_13 (joined + (net channel_data_i_18_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_1 18)) + (portRef (member channel_data_i_18 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_1_14 (joined + (net channel_data_i_18_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_1 17)) + (portRef (member channel_data_i_18 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_1_15 (joined + (net channel_data_i_18_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_1 16)) + (portRef (member channel_data_i_18 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_1_16 (joined + (net channel_data_i_18_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_1 15)) + (portRef (member channel_data_i_18 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_1_17 (joined + (net channel_data_i_18_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_1 14)) + (portRef (member channel_data_i_18 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_1_18 (joined + (net channel_data_i_18_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_1 13)) + (portRef (member channel_data_i_18 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_1_19 (joined + (net channel_data_i_18_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_1 12)) + (portRef (member channel_data_i_18 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_1_20 (joined + (net channel_data_i_18_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_1 11)) + (portRef (member channel_data_i_18 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_1_21 (joined + (net channel_data_i_18_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_1 10)) + (portRef (member channel_data_i_18 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_1_22 (joined + (net channel_data_i_18_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_1 9)) + (portRef (member channel_data_i_18 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_1_23 (joined + (net channel_data_i_18_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_1 8)) + (portRef (member channel_data_i_18 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_1_24 (joined + (net channel_data_i_18_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_1 7)) + (portRef (member channel_data_i_18 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_1_25 (joined + (net channel_data_i_18_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_1 6)) + (portRef (member channel_data_i_18 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_1_26 (joined + (net channel_data_i_18_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_1 5)) + (portRef (member channel_data_i_18 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_1_27 (joined + (net channel_data_i_18_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_1 4)) + (portRef (member channel_data_i_18 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_1_28 (joined + (net channel_data_i_18_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_1 3)) + (portRef (member channel_data_i_18 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_1_29 (joined + (net channel_data_i_18_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_1 2)) + (portRef (member channel_data_i_18 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_1_30 (joined + (net channel_data_i_18_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_1 1)) + (portRef (member channel_data_i_18 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_1_31 (joined + (net channel_data_i_18_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_1 0)) + (portRef (member channel_data_i_18 0)) )) (net sync_q_CR0_ram_DO1_3 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -841205,8 +840926,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_fast_1 (joined - (portRef reset_tdc_fast_1) + (net reset_tdc_rep1 (joined + (portRef reset_tdc_rep1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -841328,8 +841049,14 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_9 (joined - (portRef reset_tdc_fast_9) + (net reset_tdc_rep1_8 (joined + (portRef reset_tdc_rep1_8) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) (portRef B (instanceRef result_reg_3_0_i_30)) (portRef B (instanceRef result_reg_3_0_i_29)) (portRef B (instanceRef result_reg_3_0_i_28)) @@ -841471,8 +841198,39 @@ (portRef (member result_i 271) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_32)) )) - (net reset_tdc_fast_10 (joined - (portRef reset_tdc_fast_10) + (net result_i_33 (joined + (portRef (member result_i 270) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_33)) + )) + (net result_i_34 (joined + (portRef (member result_i 269) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_34)) + )) + (net result_i_35 (joined + (portRef (member result_i 268) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_35)) + )) + (net result_i_36 (joined + (portRef (member result_i 267) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_36)) + )) + (net result_i_37 (joined + (portRef (member result_i 266) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_37)) + )) + (net result_i_38 (joined + (portRef (member result_i 265) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_38)) + )) + (net reset_tdc_rep1_9 (joined + (portRef reset_tdc_rep1_9) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) (portRef B (instanceRef result_reg_3_0_i_129)) (portRef B (instanceRef result_reg_3_0_i_128)) (portRef B (instanceRef result_reg_3_0_i_127)) @@ -841566,36 +841324,6 @@ (portRef B (instanceRef result_reg_3_0_i_39)) (portRef B (instanceRef result_reg_3_0_i_38)) (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - )) - (net result_i_33 (joined - (portRef (member result_i 270) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_33)) - )) - (net result_i_34 (joined - (portRef (member result_i 269) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_34)) - )) - (net result_i_35 (joined - (portRef (member result_i 268) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_35)) - )) - (net result_i_36 (joined - (portRef (member result_i 267) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_36)) - )) - (net result_i_37 (joined - (portRef (member result_i 266) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_37)) - )) - (net result_i_38 (joined - (portRef (member result_i 265) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_38)) )) (net result_i_39 (joined (portRef (member result_i 264) (instanceRef FC)) @@ -841969,108 +841697,6 @@ (portRef (member result_i 172) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_131)) )) - (net reset_tdc_fast_11 (joined - (portRef reset_tdc_fast_11) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - )) (net result_i_132 (joined (portRef (member result_i 171) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_132)) @@ -842099,6 +841725,109 @@ (portRef (member result_i 165) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_138)) )) + (net reset_tdc_rep1_10 (joined + (portRef reset_tdc_rep1_10) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + )) (net result_i_139 (joined (portRef (member result_i 164) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_139)) @@ -842467,8 +842196,40 @@ (portRef (member result_i 73) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_230)) )) - (net reset_tdc_fast_12 (joined - (portRef reset_tdc_fast_12) + (net result_i_231 (joined + (portRef (member result_i 72) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_231)) + )) + (net result_i_232 (joined + (portRef (member result_i 71) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_232)) + )) + (net result_i_233 (joined + (portRef (member result_i 70) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_233)) + )) + (net result_i_234 (joined + (portRef (member result_i 69) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_234)) + )) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) + )) + (net result_i_238 (joined + (portRef (member result_i 65) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_238)) + )) + (net reset_tdc_rep1_11 (joined + (portRef reset_tdc_rep1_11) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -842536,46 +842297,6 @@ (portRef B (instanceRef result_reg_3_0_i_239)) (portRef B (instanceRef result_reg_3_0_i_238)) (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - )) - (net result_i_231 (joined - (portRef (member result_i 72) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_231)) - )) - (net result_i_232 (joined - (portRef (member result_i 71) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_232)) - )) - (net result_i_233 (joined - (portRef (member result_i 70) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_233)) - )) - (net result_i_234 (joined - (portRef (member result_i 69) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_234)) - )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_238 (joined - (portRef (member result_i 65) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_238)) )) (net result_i_239 (joined (portRef (member result_i 64) (instanceRef FC)) @@ -842837,8 +842558,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_1_0 (joined - (portRef (member channel_debug_01_i_1 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_18_0 (joined + (portRef (member channel_debug_01_i_18 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -842865,9 +842586,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_1_2 (joined - (portRef (member channel_debug_01_i_1 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_1 0) (instanceRef FIFO)) + (net channel_debug_01_i_18_2 (joined + (portRef (member channel_debug_01_i_18 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_18 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -843052,17 +842773,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_96 (joined - (portRef reset_tdc_96) - (portRef reset_tdc_96 (instanceRef FC)) + (net reset_tdc_44 (joined + (portRef reset_tdc_44) + (portRef reset_tdc_44 (instanceRef FC)) )) - (net reset_tdc_95 (joined - (portRef reset_tdc_95) - (portRef reset_tdc_95 (instanceRef FC)) + (net reset_tdc_43 (joined + (portRef reset_tdc_43) + (portRef reset_tdc_43 (instanceRef FC)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) - (portRef reset_tdc_94 (instanceRef FC)) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) + (portRef reset_tdc_42 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -843104,47 +842825,39 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_97 (joined - (portRef reset_tdc_97) - (portRef reset_tdc_97 (instanceRef Encoder)) - (portRef reset_tdc_97 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r0 (joined - (portRef reset_tdc_fast_36_r0) - (portRef reset_tdc_fast_36_r0 (instanceRef Encoder)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) + (portRef reset_tdc_45 (instanceRef Encoder)) + (portRef reset_tdc_45 (instanceRef FC)) )) - (net reset_tdc_fast_37_r1 (joined - (portRef reset_tdc_fast_37_r1) - (portRef reset_tdc_fast_37_r1 (instanceRef Encoder)) + (net reset_tdc_fast_36_r27 (joined + (portRef reset_tdc_fast_36_r27) + (portRef reset_tdc_fast_36_r27 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r2 (joined - (portRef reset_tdc_fast_36_r2) - (portRef reset_tdc_fast_36_r2 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r1 (joined - (portRef reset_tdc_fast_36_r1) - (portRef reset_tdc_fast_36_r1 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIJE6H)) + (portRef A (instanceRef result_2_reg_RNI9ATO)) )) - (net rd_en_i_1 (joined + (net rd_en_i_18 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef FIFO)) + (net reset_i_10 (joined + (portRef reset_i_10) + (portRef reset_i_10 (instanceRef FIFO)) )) - (net reset_i_17 (joined - (portRef reset_i_17) - (portRef reset_i_17 (instanceRef FIFO)) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef reset_i_9 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -843167,32 +842880,109 @@ ) ) ) - (cell Channel_21 (cellType GENERIC) + (cell Channel_3 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(21:21)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(21:21)") 1) (direction INPUT)) - (port (array (rename channel_data_i_21 "channel_data_i_21(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(53:53)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(3:3)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(3:3)") 1) (direction INPUT)) + (port (array (rename channel_data_i_3 "channel_data_i_3(31:0)") 32) (direction OUTPUT)) + (port stat_reg_500 (direction OUTPUT)) + (port stat_reg_499 (direction OUTPUT)) + (port stat_reg_498 (direction OUTPUT)) + (port stat_reg_497 (direction OUTPUT)) + (port stat_reg_496 (direction OUTPUT)) + (port stat_reg_495 (direction OUTPUT)) + (port stat_reg_494 (direction OUTPUT)) + (port stat_reg_493 (direction OUTPUT)) + (port stat_reg_492 (direction OUTPUT)) + (port stat_reg_491 (direction OUTPUT)) + (port stat_reg_490 (direction OUTPUT)) + (port stat_reg_489 (direction OUTPUT)) + (port stat_reg_488 (direction OUTPUT)) + (port stat_reg_487 (direction OUTPUT)) + (port stat_reg_486 (direction OUTPUT)) + (port stat_reg_485 (direction OUTPUT)) + (port stat_reg_484 (direction OUTPUT)) + (port stat_reg_483 (direction OUTPUT)) + (port stat_reg_482 (direction OUTPUT)) + (port stat_reg_481 (direction OUTPUT)) + (port stat_reg_480 (direction OUTPUT)) + (port stat_reg_479 (direction OUTPUT)) + (port stat_reg_478 (direction OUTPUT)) + (port stat_reg_477 (direction OUTPUT)) + (port stat_reg_532 (direction OUTPUT)) + (port stat_reg_531 (direction OUTPUT)) + (port stat_reg_530 (direction OUTPUT)) + (port stat_reg_529 (direction OUTPUT)) + (port stat_reg_528 (direction OUTPUT)) + (port stat_reg_527 (direction OUTPUT)) + (port stat_reg_526 (direction OUTPUT)) + (port stat_reg_525 (direction OUTPUT)) + (port stat_reg_524 (direction OUTPUT)) + (port stat_reg_523 (direction OUTPUT)) + (port stat_reg_522 (direction OUTPUT)) + (port stat_reg_521 (direction OUTPUT)) + (port stat_reg_520 (direction OUTPUT)) + (port stat_reg_519 (direction OUTPUT)) + (port stat_reg_518 (direction OUTPUT)) + (port stat_reg_517 (direction OUTPUT)) + (port stat_reg_516 (direction OUTPUT)) + (port stat_reg_515 (direction OUTPUT)) + (port stat_reg_514 (direction OUTPUT)) + (port stat_reg_513 (direction OUTPUT)) + (port stat_reg_512 (direction OUTPUT)) + (port stat_reg_511 (direction OUTPUT)) + (port stat_reg_510 (direction OUTPUT)) + (port stat_reg_509 (direction OUTPUT)) + (port stat_reg_468 (direction OUTPUT)) + (port stat_reg_467 (direction OUTPUT)) + (port stat_reg_466 (direction OUTPUT)) + (port stat_reg_465 (direction OUTPUT)) + (port stat_reg_464 (direction OUTPUT)) + (port stat_reg_463 (direction OUTPUT)) + (port stat_reg_462 (direction OUTPUT)) + (port stat_reg_461 (direction OUTPUT)) + (port stat_reg_460 (direction OUTPUT)) + (port stat_reg_459 (direction OUTPUT)) + (port stat_reg_458 (direction OUTPUT)) + (port stat_reg_457 (direction OUTPUT)) + (port stat_reg_456 (direction OUTPUT)) + (port stat_reg_455 (direction OUTPUT)) + (port stat_reg_454 (direction OUTPUT)) + (port stat_reg_453 (direction OUTPUT)) + (port stat_reg_452 (direction OUTPUT)) + (port stat_reg_451 (direction OUTPUT)) + (port stat_reg_450 (direction OUTPUT)) + (port stat_reg_449 (direction OUTPUT)) + (port stat_reg_448 (direction OUTPUT)) + (port stat_reg_447 (direction OUTPUT)) + (port stat_reg_446 (direction OUTPUT)) + (port stat_reg_445 (direction OUTPUT)) + (port stat_reg_0 (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(3:3)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1776_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_7 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r30 (direction INPUT)) - (port reset_tdc_33 (direction INPUT)) - (port reset_tdc_34 (direction INPUT)) - (port reset_tdc_35 (direction INPUT)) - (port reset_tdc_36 (direction INPUT)) + (port reset_i_17 (direction INPUT)) + (port reset_i_18 (direction INPUT)) + (port reset_tdc_fast_36_r5 (direction INPUT)) + (port reset_tdc_fast_36_r7 (direction INPUT)) + (port reset_tdc_fast_37_r3 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_36_r4 (direction INPUT)) + (port reset_tdc_88 (direction INPUT)) + (port reset_tdc_89 (direction INPUT)) + (port reset_tdc_90 (direction INPUT)) + (port reset_tdc_91 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_8 (direction INPUT)) - (port reset_tdc_rep1_7 (direction INPUT)) - (port reset_tdc_rep1_6 (direction INPUT)) - (port reset_tdc_rep1_5 (direction INPUT)) - (port reset_tdc_rep1 (direction INPUT)) + (port reset_tdc_fast_9 (direction INPUT)) + (port reset_tdc_fast_8 (direction INPUT)) + (port reset_tdc_fast_7 (direction INPUT)) + (port reset_tdc_fast_6 (direction INPUT)) + (port reset_tdc_fast_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -843206,7 +842996,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295810_0 (direction OUTPUT)) + (port N_296108_0 (direction OUTPUT)) (port G_1774_Q (direction INPUT)) (port sync_q_and_2 (direction OUTPUT)) (port G_1771_Q (direction INPUT)) @@ -843215,14 +843005,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIAFQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIQLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIAFQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIQLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIAFQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIQLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -844019,6 +843809,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -845068,7 +844860,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI78LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNINE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -845149,157 +844941,157 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) @@ -845313,6 +845105,12 @@ ) (instance Edge_To_Pulse_Hit (viewRef netlist (cellRef edge_to_pulse_0_3)) ) + (instance Lost_Hit_Sync (viewRef netlist (cellRef signal_sync_24_3_9)) + ) + (instance Encoder_Start_Sync (viewRef netlist (cellRef signal_sync_24_3_10)) + ) + (instance Measurement_Sync (viewRef netlist (cellRef signal_sync_24_3_11)) + ) (net hit_in_i (joined (portRef A (instanceRef hit_buf_RNO)) (portRef (member hit_in_iZ0 0)) @@ -845324,32 +845122,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0)) )) (net tmp1_2_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI78LU)) + (portRef B (instanceRef result_2_reg_RNINE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIAFQT_1)) - (portRef B (instanceRef result_2_reg_RNIAFQT_0)) - (portRef B (instanceRef result_2_reg_RNIAFQT)) + (portRef B (instanceRef result_2_reg_RNIQLBG_1)) + (portRef B (instanceRef result_2_reg_RNIQLBG_0)) + (portRef B (instanceRef result_2_reg_RNIQLBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI78LU)) + (portRef C (instanceRef result_2_reg_RNINE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIAFQT_1)) - (portRef C (instanceRef result_2_reg_RNIAFQT_0)) - (portRef C (instanceRef result_2_reg_RNIAFQT)) + (portRef C (instanceRef result_2_reg_RNIQLBG_1)) + (portRef C (instanceRef result_2_reg_RNIQLBG_0)) + (portRef C (instanceRef result_2_reg_RNIQLBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIAFQT)) + (portRef Z (instanceRef result_2_reg_RNIQLBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -845428,9 +845226,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIAFQT_1)) - (portRef A (instanceRef result_2_reg_RNIAFQT_0)) - (portRef A (instanceRef result_2_reg_RNIAFQT)) + (portRef A (instanceRef result_2_reg_RNIQLBG_1)) + (portRef A (instanceRef result_2_reg_RNIQLBG_0)) + (portRef A (instanceRef result_2_reg_RNIQLBG)) )) (net GND (joined (portRef GND) @@ -845438,60 +845236,6 @@ (portRef GND (instanceRef FIFO)) (portRef GND (instanceRef Encoder)) (portRef GND (instanceRef FC)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) @@ -845546,6 +845290,60 @@ (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C1 (instanceRef lost_hit_cntr_s_0_23)) (portRef B1 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_s_0_23)) @@ -845585,7 +845383,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIAFQT_0)) + (portRef Z (instanceRef result_2_reg_RNIQLBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -845663,7 +845461,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIAFQT_1)) + (portRef Z (instanceRef result_2_reg_RNIQLBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -845750,9 +845548,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295810_0 (joined + (net N_296108_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295810_0) + (portRef N_296108_0) )) (net tmp1_2_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -845788,6 +845586,9 @@ )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) + (portRef CLK_PCLK_LEFT_c (instanceRef Measurement_Sync)) + (portRef CLK_PCLK_LEFT_c (instanceRef Encoder_Start_Sync)) + (portRef CLK_PCLK_LEFT_c (instanceRef Lost_Hit_Sync)) (portRef CLK_PCLK_LEFT_c (instanceRef Edge_To_Pulse_Hit)) (portRef CLK_PCLK_LEFT_c (instanceRef FIFO)) (portRef CLK_PCLK_LEFT_c (instanceRef Encoder)) @@ -846259,10 +846060,39 @@ )) (net VCC (joined (portRef VCC) + (portRef VCC (instanceRef Measurement_Sync)) + (portRef VCC (instanceRef Encoder_Start_Sync)) + (portRef VCC (instanceRef Lost_Hit_Sync)) (portRef VCC (instanceRef Edge_To_Pulse_Hit)) (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) (portRef VCC (instanceRef FC)) + (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) @@ -846289,32 +846119,6 @@ (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef lost_hit_cntr_s_0_23)) (portRef D0 (instanceRef lost_hit_cntr_s_0_23)) (portRef D1 (instanceRef lost_hit_cntr_cry_0_21)) @@ -846404,7 +846208,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI78LU)) + (portRef Z (instanceRef result_2_reg_RNINE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -848836,6 +848640,7 @@ )) (net measurement_cntr_0 (joined (portRef Q (instanceRef measurement_cntr_0)) + (portRef (member measurement_cntr 23) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S0_2 (joined @@ -848844,6 +848649,7 @@ )) (net measurement_cntr_1 (joined (portRef Q (instanceRef measurement_cntr_1)) + (portRef (member measurement_cntr 22) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S1_2 (joined @@ -848852,6 +848658,7 @@ )) (net measurement_cntr_2 (joined (portRef Q (instanceRef measurement_cntr_2)) + (portRef (member measurement_cntr 21) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S0_2 (joined @@ -848860,6 +848667,7 @@ )) (net measurement_cntr_3 (joined (portRef Q (instanceRef measurement_cntr_3)) + (portRef (member measurement_cntr 20) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S1_2 (joined @@ -848868,6 +848676,7 @@ )) (net measurement_cntr_4 (joined (portRef Q (instanceRef measurement_cntr_4)) + (portRef (member measurement_cntr 19) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S0_2 (joined @@ -848876,6 +848685,7 @@ )) (net measurement_cntr_5 (joined (portRef Q (instanceRef measurement_cntr_5)) + (portRef (member measurement_cntr 18) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S1_2 (joined @@ -848884,6 +848694,7 @@ )) (net measurement_cntr_6 (joined (portRef Q (instanceRef measurement_cntr_6)) + (portRef (member measurement_cntr 17) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S0_2 (joined @@ -848892,6 +848703,7 @@ )) (net measurement_cntr_7 (joined (portRef Q (instanceRef measurement_cntr_7)) + (portRef (member measurement_cntr 16) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S1_2 (joined @@ -848900,6 +848712,7 @@ )) (net measurement_cntr_8 (joined (portRef Q (instanceRef measurement_cntr_8)) + (portRef (member measurement_cntr 15) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S0_2 (joined @@ -848908,6 +848721,7 @@ )) (net measurement_cntr_9 (joined (portRef Q (instanceRef measurement_cntr_9)) + (portRef (member measurement_cntr 14) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S1_2 (joined @@ -848916,6 +848730,7 @@ )) (net measurement_cntr_10 (joined (portRef Q (instanceRef measurement_cntr_10)) + (portRef (member measurement_cntr 13) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S0_2 (joined @@ -848924,6 +848739,7 @@ )) (net measurement_cntr_11 (joined (portRef Q (instanceRef measurement_cntr_11)) + (portRef (member measurement_cntr 12) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S1_2 (joined @@ -848932,6 +848748,7 @@ )) (net measurement_cntr_12 (joined (portRef Q (instanceRef measurement_cntr_12)) + (portRef (member measurement_cntr 11) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S0_2 (joined @@ -848940,6 +848757,7 @@ )) (net measurement_cntr_13 (joined (portRef Q (instanceRef measurement_cntr_13)) + (portRef (member measurement_cntr 10) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S1_2 (joined @@ -848948,6 +848766,7 @@ )) (net measurement_cntr_14 (joined (portRef Q (instanceRef measurement_cntr_14)) + (portRef (member measurement_cntr 9) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S0_2 (joined @@ -848956,6 +848775,7 @@ )) (net measurement_cntr_15 (joined (portRef Q (instanceRef measurement_cntr_15)) + (portRef (member measurement_cntr 8) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S1_2 (joined @@ -848964,6 +848784,7 @@ )) (net measurement_cntr_16 (joined (portRef Q (instanceRef measurement_cntr_16)) + (portRef (member measurement_cntr 7) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S0_2 (joined @@ -848972,6 +848793,7 @@ )) (net measurement_cntr_17 (joined (portRef Q (instanceRef measurement_cntr_17)) + (portRef (member measurement_cntr 6) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S1_2 (joined @@ -848980,6 +848802,7 @@ )) (net measurement_cntr_18 (joined (portRef Q (instanceRef measurement_cntr_18)) + (portRef (member measurement_cntr 5) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S0_2 (joined @@ -848988,6 +848811,7 @@ )) (net measurement_cntr_19 (joined (portRef Q (instanceRef measurement_cntr_19)) + (portRef (member measurement_cntr 4) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S1_2 (joined @@ -848996,6 +848820,7 @@ )) (net measurement_cntr_20 (joined (portRef Q (instanceRef measurement_cntr_20)) + (portRef (member measurement_cntr 3) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S0_2 (joined @@ -849004,6 +848829,7 @@ )) (net measurement_cntr_21 (joined (portRef Q (instanceRef measurement_cntr_21)) + (portRef (member measurement_cntr 2) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S1_2 (joined @@ -849012,6 +848838,7 @@ )) (net measurement_cntr_22 (joined (portRef Q (instanceRef measurement_cntr_22)) + (portRef (member measurement_cntr 1) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_s_23_0_S0_2 (joined @@ -849020,6 +848847,7 @@ )) (net measurement_cntr_23 (joined (portRef Q (instanceRef measurement_cntr_23)) + (portRef (member measurement_cntr 0) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) (net lost_hit_cntr_lm_0 (joined @@ -849028,6 +848856,7 @@ )) (net lost_hit_cntr_0 (joined (portRef Q (instanceRef lost_hit_cntr_0)) + (portRef (member lost_hit_cntr 23) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_0)) )) (net lost_hit_cntr_lm_1 (joined @@ -849036,6 +848865,7 @@ )) (net lost_hit_cntr_1 (joined (portRef Q (instanceRef lost_hit_cntr_1)) + (portRef (member lost_hit_cntr 22) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_2 (joined @@ -849044,6 +848874,7 @@ )) (net lost_hit_cntr_2 (joined (portRef Q (instanceRef lost_hit_cntr_2)) + (portRef (member lost_hit_cntr 21) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_3 (joined @@ -849052,6 +848883,7 @@ )) (net lost_hit_cntr_3 (joined (portRef Q (instanceRef lost_hit_cntr_3)) + (portRef (member lost_hit_cntr 20) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_4 (joined @@ -849060,6 +848892,7 @@ )) (net lost_hit_cntr_4 (joined (portRef Q (instanceRef lost_hit_cntr_4)) + (portRef (member lost_hit_cntr 19) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_5 (joined @@ -849068,6 +848901,7 @@ )) (net lost_hit_cntr_5 (joined (portRef Q (instanceRef lost_hit_cntr_5)) + (portRef (member lost_hit_cntr 18) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_6 (joined @@ -849076,6 +848910,7 @@ )) (net lost_hit_cntr_6 (joined (portRef Q (instanceRef lost_hit_cntr_6)) + (portRef (member lost_hit_cntr 17) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_7 (joined @@ -849084,6 +848919,7 @@ )) (net lost_hit_cntr_7 (joined (portRef Q (instanceRef lost_hit_cntr_7)) + (portRef (member lost_hit_cntr 16) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_8 (joined @@ -849092,6 +848928,7 @@ )) (net lost_hit_cntr_8 (joined (portRef Q (instanceRef lost_hit_cntr_8)) + (portRef (member lost_hit_cntr 15) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_9 (joined @@ -849100,6 +848937,7 @@ )) (net lost_hit_cntr_9 (joined (portRef Q (instanceRef lost_hit_cntr_9)) + (portRef (member lost_hit_cntr 14) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_10 (joined @@ -849108,6 +848946,7 @@ )) (net lost_hit_cntr_10 (joined (portRef Q (instanceRef lost_hit_cntr_10)) + (portRef (member lost_hit_cntr 13) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_11 (joined @@ -849116,6 +848955,7 @@ )) (net lost_hit_cntr_11 (joined (portRef Q (instanceRef lost_hit_cntr_11)) + (portRef (member lost_hit_cntr 12) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_12 (joined @@ -849124,6 +848964,7 @@ )) (net lost_hit_cntr_12 (joined (portRef Q (instanceRef lost_hit_cntr_12)) + (portRef (member lost_hit_cntr 11) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_13 (joined @@ -849132,6 +848973,7 @@ )) (net lost_hit_cntr_13 (joined (portRef Q (instanceRef lost_hit_cntr_13)) + (portRef (member lost_hit_cntr 10) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_14 (joined @@ -849140,6 +848982,7 @@ )) (net lost_hit_cntr_14 (joined (portRef Q (instanceRef lost_hit_cntr_14)) + (portRef (member lost_hit_cntr 9) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_15 (joined @@ -849148,6 +848991,7 @@ )) (net lost_hit_cntr_15 (joined (portRef Q (instanceRef lost_hit_cntr_15)) + (portRef (member lost_hit_cntr 8) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_16 (joined @@ -849156,6 +849000,7 @@ )) (net lost_hit_cntr_16 (joined (portRef Q (instanceRef lost_hit_cntr_16)) + (portRef (member lost_hit_cntr 7) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_17 (joined @@ -849164,6 +849009,7 @@ )) (net lost_hit_cntr_17 (joined (portRef Q (instanceRef lost_hit_cntr_17)) + (portRef (member lost_hit_cntr 6) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_18 (joined @@ -849172,6 +849018,7 @@ )) (net lost_hit_cntr_18 (joined (portRef Q (instanceRef lost_hit_cntr_18)) + (portRef (member lost_hit_cntr 5) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_19 (joined @@ -849180,6 +849027,7 @@ )) (net lost_hit_cntr_19 (joined (portRef Q (instanceRef lost_hit_cntr_19)) + (portRef (member lost_hit_cntr 4) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_20 (joined @@ -849188,6 +849036,7 @@ )) (net lost_hit_cntr_20 (joined (portRef Q (instanceRef lost_hit_cntr_20)) + (portRef (member lost_hit_cntr 3) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_21 (joined @@ -849196,6 +849045,7 @@ )) (net lost_hit_cntr_21 (joined (portRef Q (instanceRef lost_hit_cntr_21)) + (portRef (member lost_hit_cntr 2) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_22 (joined @@ -849204,6 +849054,7 @@ )) (net lost_hit_cntr_22 (joined (portRef Q (instanceRef lost_hit_cntr_22)) + (portRef (member lost_hit_cntr 1) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_23 (joined @@ -849212,6 +849063,7 @@ )) (net lost_hit_cntr_23 (joined (portRef Q (instanceRef lost_hit_cntr_23)) + (portRef (member lost_hit_cntr 0) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined @@ -849317,9 +849169,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_21_1 (joined + (net channel_debug_01_i_3_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_21 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_3 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_2 (joined @@ -849328,6 +849180,7 @@ )) (net encoder_start_cntr_0 (joined (portRef Q (instanceRef encoder_start_cntr_0)) + (portRef (member encoder_start_cntr 23) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_1_0_S0_2 (joined @@ -849336,6 +849189,7 @@ )) (net encoder_start_cntr_1 (joined (portRef Q (instanceRef encoder_start_cntr_1)) + (portRef (member encoder_start_cntr 22) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_1_0_S1_2 (joined @@ -849344,6 +849198,7 @@ )) (net encoder_start_cntr_2 (joined (portRef Q (instanceRef encoder_start_cntr_2)) + (portRef (member encoder_start_cntr 21) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_3_0_S0_2 (joined @@ -849352,6 +849207,7 @@ )) (net encoder_start_cntr_3 (joined (portRef Q (instanceRef encoder_start_cntr_3)) + (portRef (member encoder_start_cntr 20) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_3_0_S1_2 (joined @@ -849360,6 +849216,7 @@ )) (net encoder_start_cntr_4 (joined (portRef Q (instanceRef encoder_start_cntr_4)) + (portRef (member encoder_start_cntr 19) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_5_0_S0_2 (joined @@ -849368,6 +849225,7 @@ )) (net encoder_start_cntr_5 (joined (portRef Q (instanceRef encoder_start_cntr_5)) + (portRef (member encoder_start_cntr 18) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_5_0_S1_2 (joined @@ -849376,6 +849234,7 @@ )) (net encoder_start_cntr_6 (joined (portRef Q (instanceRef encoder_start_cntr_6)) + (portRef (member encoder_start_cntr 17) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_7_0_S0_2 (joined @@ -849384,6 +849243,7 @@ )) (net encoder_start_cntr_7 (joined (portRef Q (instanceRef encoder_start_cntr_7)) + (portRef (member encoder_start_cntr 16) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_7_0_S1_2 (joined @@ -849392,6 +849252,7 @@ )) (net encoder_start_cntr_8 (joined (portRef Q (instanceRef encoder_start_cntr_8)) + (portRef (member encoder_start_cntr 15) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_9_0_S0_2 (joined @@ -849400,6 +849261,7 @@ )) (net encoder_start_cntr_9 (joined (portRef Q (instanceRef encoder_start_cntr_9)) + (portRef (member encoder_start_cntr 14) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_9_0_S1_2 (joined @@ -849408,6 +849270,7 @@ )) (net encoder_start_cntr_10 (joined (portRef Q (instanceRef encoder_start_cntr_10)) + (portRef (member encoder_start_cntr 13) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_11_0_S0_2 (joined @@ -849416,6 +849279,7 @@ )) (net encoder_start_cntr_11 (joined (portRef Q (instanceRef encoder_start_cntr_11)) + (portRef (member encoder_start_cntr 12) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_11_0_S1_2 (joined @@ -849424,6 +849288,7 @@ )) (net encoder_start_cntr_12 (joined (portRef Q (instanceRef encoder_start_cntr_12)) + (portRef (member encoder_start_cntr 11) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_13_0_S0_2 (joined @@ -849432,6 +849297,7 @@ )) (net encoder_start_cntr_13 (joined (portRef Q (instanceRef encoder_start_cntr_13)) + (portRef (member encoder_start_cntr 10) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_13_0_S1_2 (joined @@ -849440,6 +849306,7 @@ )) (net encoder_start_cntr_14 (joined (portRef Q (instanceRef encoder_start_cntr_14)) + (portRef (member encoder_start_cntr 9) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_15_0_S0_2 (joined @@ -849448,6 +849315,7 @@ )) (net encoder_start_cntr_15 (joined (portRef Q (instanceRef encoder_start_cntr_15)) + (portRef (member encoder_start_cntr 8) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_15_0_S1_2 (joined @@ -849456,6 +849324,7 @@ )) (net encoder_start_cntr_16 (joined (portRef Q (instanceRef encoder_start_cntr_16)) + (portRef (member encoder_start_cntr 7) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_17_0_S0_2 (joined @@ -849464,6 +849333,7 @@ )) (net encoder_start_cntr_17 (joined (portRef Q (instanceRef encoder_start_cntr_17)) + (portRef (member encoder_start_cntr 6) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_17_0_S1_2 (joined @@ -849472,6 +849342,7 @@ )) (net encoder_start_cntr_18 (joined (portRef Q (instanceRef encoder_start_cntr_18)) + (portRef (member encoder_start_cntr 5) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_19_0_S0_2 (joined @@ -849480,6 +849351,7 @@ )) (net encoder_start_cntr_19 (joined (portRef Q (instanceRef encoder_start_cntr_19)) + (portRef (member encoder_start_cntr 4) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_19_0_S1_2 (joined @@ -849488,6 +849360,7 @@ )) (net encoder_start_cntr_20 (joined (portRef Q (instanceRef encoder_start_cntr_20)) + (portRef (member encoder_start_cntr 3) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_21_0_S0_2 (joined @@ -849496,6 +849369,7 @@ )) (net encoder_start_cntr_21 (joined (portRef Q (instanceRef encoder_start_cntr_21)) + (portRef (member encoder_start_cntr 2) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_cry_21_0_S1_2 (joined @@ -849504,6 +849378,7 @@ )) (net encoder_start_cntr_22 (joined (portRef Q (instanceRef encoder_start_cntr_22)) + (portRef (member encoder_start_cntr 1) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_s_23_0_S0_2 (joined @@ -849512,22 +849387,26 @@ )) (net encoder_start_cntr_23 (joined (portRef Q (instanceRef encoder_start_cntr_23)) + (portRef (member encoder_start_cntr 0) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_3 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_53 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) + (portRef clk_100_i_c (instanceRef Measurement_Sync)) + (portRef clk_100_i_c (instanceRef Encoder_Start_Sync)) + (portRef clk_100_i_c (instanceRef Lost_Hit_Sync)) (portRef clk_100_i_c (instanceRef FIFO)) (portRef CK (instanceRef FIFO_DATA_OUT_31)) (portRef CK (instanceRef FIFO_DATA_OUT_30)) @@ -849562,9 +849441,13 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) + (portRef (member final_reset_iso 0) (instanceRef Measurement_Sync)) + (portRef (member final_reset_iso 0) (instanceRef Encoder_Start_Sync)) + (portRef (member final_reset_iso 0) (instanceRef Lost_Hit_Sync)) (portRef PD (instanceRef FIFO_DATA_OUT_31)) (portRef PD (instanceRef FIFO_DATA_OUT_30)) (portRef PD (instanceRef FIFO_DATA_OUT_29)) @@ -849598,258 +849481,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_21_0 (joined + (net stat_reg_0 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef stat_reg_0) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_3_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_21 31)) + (portRef (member channel_data_i_3 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_21_1 (joined + (net channel_data_i_3_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_21 30)) + (portRef (member channel_data_i_3 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_21_2 (joined + (net channel_data_i_3_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_21 29)) + (portRef (member channel_data_i_3 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_21_3 (joined + (net channel_data_i_3_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_21 28)) + (portRef (member channel_data_i_3 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_21_4 (joined + (net channel_data_i_3_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_21 27)) + (portRef (member channel_data_i_3 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_21_5 (joined + (net channel_data_i_3_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_21 26)) + (portRef (member channel_data_i_3 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_21_6 (joined + (net channel_data_i_3_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_21 25)) + (portRef (member channel_data_i_3 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_21_7 (joined + (net channel_data_i_3_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_21 24)) + (portRef (member channel_data_i_3 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_21_8 (joined + (net channel_data_i_3_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_21 23)) + (portRef (member channel_data_i_3 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_21_9 (joined + (net channel_data_i_3_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_21 22)) + (portRef (member channel_data_i_3 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_21_10 (joined + (net channel_data_i_3_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_21 21)) + (portRef (member channel_data_i_3 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_21_11 (joined + (net channel_data_i_3_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_21 20)) + (portRef (member channel_data_i_3 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_21_12 (joined + (net channel_data_i_3_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_21 19)) + (portRef (member channel_data_i_3 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_21_13 (joined + (net channel_data_i_3_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_21 18)) + (portRef (member channel_data_i_3 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_21_14 (joined + (net channel_data_i_3_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_21 17)) + (portRef (member channel_data_i_3 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_21_15 (joined + (net channel_data_i_3_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_21 16)) + (portRef (member channel_data_i_3 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_21_16 (joined + (net channel_data_i_3_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_21 15)) + (portRef (member channel_data_i_3 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_21_17 (joined + (net channel_data_i_3_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_21 14)) + (portRef (member channel_data_i_3 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_21_18 (joined + (net channel_data_i_3_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_21 13)) + (portRef (member channel_data_i_3 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_21_19 (joined + (net channel_data_i_3_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_21 12)) + (portRef (member channel_data_i_3 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_21_20 (joined + (net channel_data_i_3_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_21 11)) + (portRef (member channel_data_i_3 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_21_21 (joined + (net channel_data_i_3_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_21 10)) + (portRef (member channel_data_i_3 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_21_22 (joined + (net channel_data_i_3_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_21 9)) + (portRef (member channel_data_i_3 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_21_23 (joined + (net channel_data_i_3_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_21 8)) + (portRef (member channel_data_i_3 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_21_24 (joined + (net channel_data_i_3_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_21 7)) + (portRef (member channel_data_i_3 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_21_25 (joined + (net channel_data_i_3_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_21 6)) + (portRef (member channel_data_i_3 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_21_26 (joined + (net channel_data_i_3_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_21 5)) + (portRef (member channel_data_i_3 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_21_27 (joined + (net channel_data_i_3_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_21 4)) + (portRef (member channel_data_i_3 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_21_28 (joined + (net channel_data_i_3_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_21 3)) + (portRef (member channel_data_i_3 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_21_29 (joined + (net channel_data_i_3_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_21 2)) + (portRef (member channel_data_i_3 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_21_30 (joined + (net channel_data_i_3_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_21 1)) + (portRef (member channel_data_i_3 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_21_31 (joined + (net channel_data_i_3_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_21 0)) + (portRef (member channel_data_i_3 0)) )) (net sync_q_CR0_ram_DO1_2 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -849868,8 +849760,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1 (joined - (portRef reset_tdc_rep1) + (net reset_tdc_fast_1 (joined + (portRef reset_tdc_fast_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -849991,11 +849883,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_5 (joined - (portRef reset_tdc_rep1_5) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) + (net reset_tdc_fast_6 (joined + (portRef reset_tdc_fast_6) (portRef B (instanceRef result_reg_3_0_i_34)) (portRef B (instanceRef result_reg_3_0_i_33)) (portRef B (instanceRef result_reg_3_0_i_32)) @@ -850157,24 +850046,8 @@ (portRef (member result_i 267) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_36)) )) - (net result_i_37 (joined - (portRef (member result_i 266) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_37)) - )) - (net result_i_38 (joined - (portRef (member result_i 265) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_38)) - )) - (net result_i_39 (joined - (portRef (member result_i 264) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_39)) - )) - (net reset_tdc_rep1_6 (joined - (portRef reset_tdc_rep1_6) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) + (net reset_tdc_fast_7 (joined + (portRef reset_tdc_fast_7) (portRef B (instanceRef result_reg_3_0_i_133)) (portRef B (instanceRef result_reg_3_0_i_132)) (portRef B (instanceRef result_reg_3_0_i_131)) @@ -850271,6 +850144,21 @@ (portRef B (instanceRef result_reg_3_0_i_40)) (portRef B (instanceRef result_reg_3_0_i_39)) (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + )) + (net result_i_37 (joined + (portRef (member result_i 266) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_37)) + )) + (net result_i_38 (joined + (portRef (member result_i 265) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_38)) + )) + (net result_i_39 (joined + (portRef (member result_i 264) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_39)) )) (net result_i_40 (joined (portRef (member result_i 263) (instanceRef FC)) @@ -850656,29 +850544,8 @@ (portRef (member result_i 168) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_135)) )) - (net result_i_136 (joined - (portRef (member result_i 167) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_136)) - )) - (net result_i_137 (joined - (portRef (member result_i 166) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_137)) - )) - (net result_i_138 (joined - (portRef (member result_i 165) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_138)) - )) - (net result_i_139 (joined - (portRef (member result_i 164) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_139)) - )) - (net reset_tdc_rep1_7 (joined - (portRef reset_tdc_rep1_7) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) + (net reset_tdc_fast_8 (joined + (portRef reset_tdc_fast_8) (portRef B (instanceRef result_reg_3_0_i_232)) (portRef B (instanceRef result_reg_3_0_i_231)) (portRef B (instanceRef result_reg_3_0_i_230)) @@ -850774,6 +850641,26 @@ (portRef B (instanceRef result_reg_3_0_i_140)) (portRef B (instanceRef result_reg_3_0_i_139)) (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + )) + (net result_i_136 (joined + (portRef (member result_i 167) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_136)) + )) + (net result_i_137 (joined + (portRef (member result_i 166) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_137)) + )) + (net result_i_138 (joined + (portRef (member result_i 165) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_138)) + )) + (net result_i_139 (joined + (portRef (member result_i 164) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_139)) )) (net result_i_140 (joined (portRef (member result_i 163) (instanceRef FC)) @@ -851155,28 +851042,8 @@ (portRef (member result_i 69) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_234)) )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_238 (joined - (portRef (member result_i 65) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_238)) - )) - (net result_i_239 (joined - (portRef (member result_i 64) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_239)) - )) - (net reset_tdc_rep1_8 (joined - (portRef reset_tdc_rep1_8) + (net reset_tdc_fast_9 (joined + (portRef reset_tdc_fast_9) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -851243,6 +851110,31 @@ (portRef B (instanceRef result_reg_3_0_i_240)) (portRef B (instanceRef result_reg_3_0_i_239)) (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + )) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) + )) + (net result_i_238 (joined + (portRef (member result_i 65) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_238)) + )) + (net result_i_239 (joined + (portRef (member result_i 64) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_239)) )) (net result_i_240 (joined (portRef (member result_i 63) (instanceRef FC)) @@ -851500,8 +851392,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_21_0 (joined - (portRef (member channel_debug_01_i_21 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_3_0 (joined + (portRef (member channel_debug_01_i_3 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -851528,9 +851420,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_21_2 (joined - (portRef (member channel_debug_01_i_21 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_21 0) (instanceRef FIFO)) + (net channel_debug_01_i_3_2 (joined + (portRef (member channel_debug_01_i_3 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_3 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -851595,63 +851487,6 @@ (net lost_hit_cntr_s_0_S1_2_23 (joined (portRef S1 (instanceRef lost_hit_cntr_s_0_23)) )) - (net un1_encoder_start_i_cry_0 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) - )) - (net un1_encoder_start_i_cry_0_0_S0_26 (joined - (portRef S0 (instanceRef un1_encoder_start_i_cry_0_0)) - )) - (net un1_encoder_start_i_cry_2 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_3_0)) - )) - (net un1_encoder_start_i_cry_4 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_5_0)) - )) - (net un1_encoder_start_i_cry_6 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_7_0)) - )) - (net un1_encoder_start_i_cry_8 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_9_0)) - )) - (net un1_encoder_start_i_cry_10 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_11_0)) - )) - (net un1_encoder_start_i_cry_12 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_13_0)) - )) - (net un1_encoder_start_i_cry_14 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_15_0)) - )) - (net un1_encoder_start_i_cry_16 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_17_0)) - )) - (net un1_encoder_start_i_cry_18 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_19_0)) - )) - (net un1_encoder_start_i_cry_20 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_21_0)) - )) - (net un1_encoder_start_i_cry_22 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef CIN (instanceRef un1_encoder_start_i_s_23_0)) - )) - (net un1_encoder_start_i_s_23_0_COUT_26 (joined - (portRef COUT (instanceRef un1_encoder_start_i_s_23_0)) - )) - (net un1_encoder_start_i_s_23_0_S1_26 (joined - (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) - )) (net un1_fifo_wr_en_i_cry_0 (joined (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) @@ -851709,23 +851544,80 @@ (net un1_fifo_wr_en_i_s_23_0_S1_26 (joined (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) + (net un1_encoder_start_i_cry_0 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) + )) + (net un1_encoder_start_i_cry_0_0_S0_26 (joined + (portRef S0 (instanceRef un1_encoder_start_i_cry_0_0)) + )) + (net un1_encoder_start_i_cry_2 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_3_0)) + )) + (net un1_encoder_start_i_cry_4 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_5_0)) + )) + (net un1_encoder_start_i_cry_6 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_7_0)) + )) + (net un1_encoder_start_i_cry_8 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_9_0)) + )) + (net un1_encoder_start_i_cry_10 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_11_0)) + )) + (net un1_encoder_start_i_cry_12 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_13_0)) + )) + (net un1_encoder_start_i_cry_14 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_15_0)) + )) + (net un1_encoder_start_i_cry_16 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_17_0)) + )) + (net un1_encoder_start_i_cry_18 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_19_0)) + )) + (net un1_encoder_start_i_cry_20 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_21_0)) + )) + (net un1_encoder_start_i_cry_22 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef CIN (instanceRef un1_encoder_start_i_s_23_0)) + )) + (net un1_encoder_start_i_s_23_0_COUT_26 (joined + (portRef COUT (instanceRef un1_encoder_start_i_s_23_0)) + )) + (net un1_encoder_start_i_s_23_0_S1_26 (joined + (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) + )) (net hit_buf (joined (portRef hit_buf (instanceRef FC)) (portRef Z (instanceRef hit_buf_RNO)) ) (property NOMERGE (string "true")) ) - (net reset_tdc_35 (joined - (portRef reset_tdc_35) - (portRef reset_tdc_35 (instanceRef FC)) + (net reset_tdc_90 (joined + (portRef reset_tdc_90) + (portRef reset_tdc_90 (instanceRef FC)) )) - (net reset_tdc_34 (joined - (portRef reset_tdc_34) - (portRef reset_tdc_34 (instanceRef FC)) + (net reset_tdc_89 (joined + (portRef reset_tdc_89) + (portRef reset_tdc_89 (instanceRef FC)) )) - (net reset_tdc_33 (joined - (portRef reset_tdc_33) - (portRef reset_tdc_33 (instanceRef FC)) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) + (portRef reset_tdc_88 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -851767,35 +851659,51 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) - (portRef reset_tdc_36 (instanceRef Encoder)) - (portRef reset_tdc_36 (instanceRef FC)) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) + (portRef reset_tdc_91 (instanceRef Encoder)) + (portRef reset_tdc_91 (instanceRef FC)) )) - (net reset_tdc_fast_36_r30 (joined - (portRef reset_tdc_fast_36_r30) - (portRef reset_tdc_fast_36_r30 (instanceRef Encoder)) + (net reset_tdc_fast_36_r4 (joined + (portRef reset_tdc_fast_36_r4) + (portRef reset_tdc_fast_36_r4 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) + (portRef reset_tdc_fast_37_r5 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) + )) + (net reset_tdc_fast_37_r3 (joined + (portRef reset_tdc_fast_37_r3) + (portRef reset_tdc_fast_37_r3 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r7 (joined + (portRef reset_tdc_fast_36_r7) + (portRef reset_tdc_fast_36_r7 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r5 (joined + (portRef reset_tdc_fast_36_r5) + (portRef reset_tdc_fast_36_r5 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI78LU)) + (portRef A (instanceRef result_2_reg_RNINE6H)) )) - (net rd_en_i_21 (joined + (net rd_en_i_3 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_7 (joined - (portRef reset_i_7) - (portRef reset_i_7 (instanceRef FIFO)) + (net reset_i_18 (joined + (portRef reset_i_18) + (portRef reset_i_18 (instanceRef FIFO)) + )) + (net reset_i_17 (joined + (portRef reset_i_17) + (portRef reset_i_17 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -851815,36 +851723,323 @@ (portRef G_1776_Q) (portRef G_1776_Q (instanceRef Edge_To_Pulse_Hit)) )) + (net stat_reg_445 (joined + (portRef (member stat_reg 23) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_445) + )) + (net stat_reg_446 (joined + (portRef (member stat_reg 22) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_446) + )) + (net stat_reg_447 (joined + (portRef (member stat_reg 21) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_447) + )) + (net stat_reg_448 (joined + (portRef (member stat_reg 20) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_448) + )) + (net stat_reg_449 (joined + (portRef (member stat_reg 19) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_449) + )) + (net stat_reg_450 (joined + (portRef (member stat_reg 18) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_450) + )) + (net stat_reg_451 (joined + (portRef (member stat_reg 17) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_451) + )) + (net stat_reg_452 (joined + (portRef (member stat_reg 16) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_452) + )) + (net stat_reg_453 (joined + (portRef (member stat_reg 15) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_453) + )) + (net stat_reg_454 (joined + (portRef (member stat_reg 14) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_454) + )) + (net stat_reg_455 (joined + (portRef (member stat_reg 13) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_455) + )) + (net stat_reg_456 (joined + (portRef (member stat_reg 12) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_456) + )) + (net stat_reg_457 (joined + (portRef (member stat_reg 11) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_457) + )) + (net stat_reg_458 (joined + (portRef (member stat_reg 10) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_458) + )) + (net stat_reg_459 (joined + (portRef (member stat_reg 9) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_459) + )) + (net stat_reg_460 (joined + (portRef (member stat_reg 8) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_460) + )) + (net stat_reg_461 (joined + (portRef (member stat_reg 7) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_461) + )) + (net stat_reg_462 (joined + (portRef (member stat_reg 6) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_462) + )) + (net stat_reg_463 (joined + (portRef (member stat_reg 5) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_463) + )) + (net stat_reg_464 (joined + (portRef (member stat_reg 4) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_464) + )) + (net stat_reg_465 (joined + (portRef (member stat_reg 3) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_465) + )) + (net stat_reg_466 (joined + (portRef (member stat_reg 2) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_466) + )) + (net stat_reg_467 (joined + (portRef (member stat_reg 1) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_467) + )) + (net stat_reg_468 (joined + (portRef (member stat_reg 0) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_468) + )) + (net stat_reg_509 (joined + (portRef (member stat_reg 23) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_509) + )) + (net stat_reg_510 (joined + (portRef (member stat_reg 22) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_510) + )) + (net stat_reg_511 (joined + (portRef (member stat_reg 21) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_511) + )) + (net stat_reg_512 (joined + (portRef (member stat_reg 20) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_512) + )) + (net stat_reg_513 (joined + (portRef (member stat_reg 19) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_513) + )) + (net stat_reg_514 (joined + (portRef (member stat_reg 18) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_514) + )) + (net stat_reg_515 (joined + (portRef (member stat_reg 17) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_515) + )) + (net stat_reg_516 (joined + (portRef (member stat_reg 16) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_516) + )) + (net stat_reg_517 (joined + (portRef (member stat_reg 15) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_517) + )) + (net stat_reg_518 (joined + (portRef (member stat_reg 14) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_518) + )) + (net stat_reg_519 (joined + (portRef (member stat_reg 13) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_519) + )) + (net stat_reg_520 (joined + (portRef (member stat_reg 12) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_520) + )) + (net stat_reg_521 (joined + (portRef (member stat_reg 11) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_521) + )) + (net stat_reg_522 (joined + (portRef (member stat_reg 10) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_522) + )) + (net stat_reg_523 (joined + (portRef (member stat_reg 9) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_523) + )) + (net stat_reg_524 (joined + (portRef (member stat_reg 8) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_524) + )) + (net stat_reg_525 (joined + (portRef (member stat_reg 7) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_525) + )) + (net stat_reg_526 (joined + (portRef (member stat_reg 6) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_526) + )) + (net stat_reg_527 (joined + (portRef (member stat_reg 5) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_527) + )) + (net stat_reg_528 (joined + (portRef (member stat_reg 4) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_528) + )) + (net stat_reg_529 (joined + (portRef (member stat_reg 3) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_529) + )) + (net stat_reg_530 (joined + (portRef (member stat_reg 2) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_530) + )) + (net stat_reg_531 (joined + (portRef (member stat_reg 1) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_531) + )) + (net stat_reg_532 (joined + (portRef (member stat_reg 0) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_532) + )) + (net stat_reg_477 (joined + (portRef (member stat_reg 23) (instanceRef Measurement_Sync)) + (portRef stat_reg_477) + )) + (net stat_reg_478 (joined + (portRef (member stat_reg 22) (instanceRef Measurement_Sync)) + (portRef stat_reg_478) + )) + (net stat_reg_479 (joined + (portRef (member stat_reg 21) (instanceRef Measurement_Sync)) + (portRef stat_reg_479) + )) + (net stat_reg_480 (joined + (portRef (member stat_reg 20) (instanceRef Measurement_Sync)) + (portRef stat_reg_480) + )) + (net stat_reg_481 (joined + (portRef (member stat_reg 19) (instanceRef Measurement_Sync)) + (portRef stat_reg_481) + )) + (net stat_reg_482 (joined + (portRef (member stat_reg 18) (instanceRef Measurement_Sync)) + (portRef stat_reg_482) + )) + (net stat_reg_483 (joined + (portRef (member stat_reg 17) (instanceRef Measurement_Sync)) + (portRef stat_reg_483) + )) + (net stat_reg_484 (joined + (portRef (member stat_reg 16) (instanceRef Measurement_Sync)) + (portRef stat_reg_484) + )) + (net stat_reg_485 (joined + (portRef (member stat_reg 15) (instanceRef Measurement_Sync)) + (portRef stat_reg_485) + )) + (net stat_reg_486 (joined + (portRef (member stat_reg 14) (instanceRef Measurement_Sync)) + (portRef stat_reg_486) + )) + (net stat_reg_487 (joined + (portRef (member stat_reg 13) (instanceRef Measurement_Sync)) + (portRef stat_reg_487) + )) + (net stat_reg_488 (joined + (portRef (member stat_reg 12) (instanceRef Measurement_Sync)) + (portRef stat_reg_488) + )) + (net stat_reg_489 (joined + (portRef (member stat_reg 11) (instanceRef Measurement_Sync)) + (portRef stat_reg_489) + )) + (net stat_reg_490 (joined + (portRef (member stat_reg 10) (instanceRef Measurement_Sync)) + (portRef stat_reg_490) + )) + (net stat_reg_491 (joined + (portRef (member stat_reg 9) (instanceRef Measurement_Sync)) + (portRef stat_reg_491) + )) + (net stat_reg_492 (joined + (portRef (member stat_reg 8) (instanceRef Measurement_Sync)) + (portRef stat_reg_492) + )) + (net stat_reg_493 (joined + (portRef (member stat_reg 7) (instanceRef Measurement_Sync)) + (portRef stat_reg_493) + )) + (net stat_reg_494 (joined + (portRef (member stat_reg 6) (instanceRef Measurement_Sync)) + (portRef stat_reg_494) + )) + (net stat_reg_495 (joined + (portRef (member stat_reg 5) (instanceRef Measurement_Sync)) + (portRef stat_reg_495) + )) + (net stat_reg_496 (joined + (portRef (member stat_reg 4) (instanceRef Measurement_Sync)) + (portRef stat_reg_496) + )) + (net stat_reg_497 (joined + (portRef (member stat_reg 3) (instanceRef Measurement_Sync)) + (portRef stat_reg_497) + )) + (net stat_reg_498 (joined + (portRef (member stat_reg 2) (instanceRef Measurement_Sync)) + (portRef stat_reg_498) + )) + (net stat_reg_499 (joined + (portRef (member stat_reg 1) (instanceRef Measurement_Sync)) + (portRef stat_reg_499) + )) + (net stat_reg_500 (joined + (portRef (member stat_reg 0) (instanceRef Measurement_Sync)) + (portRef stat_reg_500) + )) ) ) ) - (cell Channel_4 (cellType GENERIC) + (cell Channel_8 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(4:4)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(4:4)") 1) (direction INPUT)) - (port (array (rename channel_data_i_4 "channel_data_i_4(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(36:36)") 1) (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(4:4)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(8:8)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(8:8)") 1) (direction INPUT)) + (port (array (rename channel_data_i_8 "channel_data_i_8(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(40:40)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(8:8)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1785_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_16 (direction INPUT)) - (port reset_tdc_fast_36_r7 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_36_r6 (direction INPUT)) - (port reset_tdc_85 (direction INPUT)) - (port reset_tdc_86 (direction INPUT)) - (port reset_tdc_87 (direction INPUT)) - (port reset_tdc_88 (direction INPUT)) + (port reset_i_15 (direction INPUT)) + (port reset_tdc_fast_36_r15 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) + (port reset_tdc_fast_36_r14 (direction INPUT)) + (port reset_tdc_73 (direction INPUT)) + (port reset_tdc_74 (direction INPUT)) + (port reset_tdc_75 (direction INPUT)) + (port reset_tdc_76 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_9 (direction INPUT)) - (port reset_tdc_fast_8 (direction INPUT)) - (port reset_tdc_fast_7 (direction INPUT)) (port reset_tdc_fast_6 (direction INPUT)) + (port reset_tdc_fast_5 (direction INPUT)) + (port reset_tdc_fast_4 (direction INPUT)) + (port reset_tdc_fast_3 (direction INPUT)) (port reset_tdc_fast_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) @@ -851859,7 +852054,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295823_0 (direction OUTPUT)) + (port N_296121_0 (direction OUTPUT)) (port G_1783_Q (direction INPUT)) (port sync_q_and_1 (direction OUTPUT)) (port G_1780_Q (direction INPUT)) @@ -851868,14 +852063,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNISLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI4MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNISLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI4MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNISLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI4MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -853723,7 +853918,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIPE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI1F6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -853979,32 +854174,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0)) )) (net tmp1_1_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIPE6H)) + (portRef B (instanceRef result_2_reg_RNI1F6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNISLBG_1)) - (portRef B (instanceRef result_2_reg_RNISLBG_0)) - (portRef B (instanceRef result_2_reg_RNISLBG)) + (portRef B (instanceRef result_2_reg_RNI4MBG_1)) + (portRef B (instanceRef result_2_reg_RNI4MBG_0)) + (portRef B (instanceRef result_2_reg_RNI4MBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIPE6H)) + (portRef C (instanceRef result_2_reg_RNI1F6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNISLBG_1)) - (portRef C (instanceRef result_2_reg_RNISLBG_0)) - (portRef C (instanceRef result_2_reg_RNISLBG)) + (portRef C (instanceRef result_2_reg_RNI4MBG_1)) + (portRef C (instanceRef result_2_reg_RNI4MBG_0)) + (portRef C (instanceRef result_2_reg_RNI4MBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNISLBG)) + (portRef Z (instanceRef result_2_reg_RNI4MBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -854083,9 +854278,12 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNISLBG_1)) - (portRef A (instanceRef result_2_reg_RNISLBG_0)) - (portRef A (instanceRef result_2_reg_RNISLBG)) + (portRef B (instanceRef lost_hit_cntr_lm_0_2)) + (portRef B (instanceRef lost_hit_cntr_lm_0_1)) + (portRef B (instanceRef lost_hit_cntr_lm_0_0)) + (portRef A (instanceRef result_2_reg_RNI4MBG_1)) + (portRef A (instanceRef result_2_reg_RNI4MBG_0)) + (portRef A (instanceRef result_2_reg_RNI4MBG)) )) (net GND (joined (portRef GND) @@ -854240,7 +854438,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNISLBG_0)) + (portRef Z (instanceRef result_2_reg_RNI4MBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -854318,7 +854516,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNISLBG_1)) + (portRef Z (instanceRef result_2_reg_RNI4MBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -854405,9 +854603,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295823_0 (joined + (net N_296121_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295823_0) + (portRef N_296121_0) )) (net tmp1_1_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -855059,7 +855257,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIPE6H)) + (portRef Z (instanceRef result_2_reg_RNI1F6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -857972,9 +858170,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_4_1 (joined + (net channel_debug_01_i_8_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_4 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_8 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_1 (joined @@ -858173,7 +858371,7 @@ (portRef fifo_full_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_FULL_OUT)) )) - (net channel_full_i_4 (joined + (net channel_full_i_8 (joined (portRef Q (instanceRef FIFO_FULL_OUT)) (portRef (member channel_full_i 0)) )) @@ -858256,7 +858454,7 @@ (portRef CD (instanceRef FIFO_EMPTY_OUT)) (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net stat_reg_36 (joined + (net stat_reg_40 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -858264,257 +858462,257 @@ (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_0)) )) - (net channel_data_i_4_0 (joined + (net channel_data_i_8_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_4 31)) + (portRef (member channel_data_i_8 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_4_1 (joined + (net channel_data_i_8_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_4 30)) + (portRef (member channel_data_i_8 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_4_2 (joined + (net channel_data_i_8_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_4 29)) + (portRef (member channel_data_i_8 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_4_3 (joined + (net channel_data_i_8_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_4 28)) + (portRef (member channel_data_i_8 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_4_4 (joined + (net channel_data_i_8_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_4 27)) + (portRef (member channel_data_i_8 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_4_5 (joined + (net channel_data_i_8_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_4 26)) + (portRef (member channel_data_i_8 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_4_6 (joined + (net channel_data_i_8_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_4 25)) + (portRef (member channel_data_i_8 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_4_7 (joined + (net channel_data_i_8_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_4 24)) + (portRef (member channel_data_i_8 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_4_8 (joined + (net channel_data_i_8_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_4 23)) + (portRef (member channel_data_i_8 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_4_9 (joined + (net channel_data_i_8_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_4 22)) + (portRef (member channel_data_i_8 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_4_10 (joined + (net channel_data_i_8_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_4 21)) + (portRef (member channel_data_i_8 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_4_11 (joined + (net channel_data_i_8_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_4 20)) + (portRef (member channel_data_i_8 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_4_12 (joined + (net channel_data_i_8_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_4 19)) + (portRef (member channel_data_i_8 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_4_13 (joined + (net channel_data_i_8_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_4 18)) + (portRef (member channel_data_i_8 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_4_14 (joined + (net channel_data_i_8_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_4 17)) + (portRef (member channel_data_i_8 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_4_15 (joined + (net channel_data_i_8_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_4 16)) + (portRef (member channel_data_i_8 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_4_16 (joined + (net channel_data_i_8_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_4 15)) + (portRef (member channel_data_i_8 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_4_17 (joined + (net channel_data_i_8_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_4 14)) + (portRef (member channel_data_i_8 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_4_18 (joined + (net channel_data_i_8_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_4 13)) + (portRef (member channel_data_i_8 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_4_19 (joined + (net channel_data_i_8_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_4 12)) + (portRef (member channel_data_i_8 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_4_20 (joined + (net channel_data_i_8_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_4 11)) + (portRef (member channel_data_i_8 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_4_21 (joined + (net channel_data_i_8_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_4 10)) + (portRef (member channel_data_i_8 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_4_22 (joined + (net channel_data_i_8_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_4 9)) + (portRef (member channel_data_i_8 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_4_23 (joined + (net channel_data_i_8_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_4 8)) + (portRef (member channel_data_i_8 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_4_24 (joined + (net channel_data_i_8_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_4 7)) + (portRef (member channel_data_i_8 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_4_25 (joined + (net channel_data_i_8_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_4 6)) + (portRef (member channel_data_i_8 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_4_26 (joined + (net channel_data_i_8_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_4 5)) + (portRef (member channel_data_i_8 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_4_27 (joined + (net channel_data_i_8_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_4 4)) + (portRef (member channel_data_i_8 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_4_28 (joined + (net channel_data_i_8_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_4 3)) + (portRef (member channel_data_i_8 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_4_29 (joined + (net channel_data_i_8_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_4 2)) + (portRef (member channel_data_i_8 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_4_30 (joined + (net channel_data_i_8_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_4 1)) + (portRef (member channel_data_i_8 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_4_31 (joined + (net channel_data_i_8_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_4 0)) + (portRef (member channel_data_i_8 0)) )) (net sync_q_CR0_ram_DO1_1 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -858533,6 +858731,18 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) + (net lost_hit_cntr_s_2 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) + (portRef A (instanceRef lost_hit_cntr_lm_0_2)) + )) + (net lost_hit_cntr_s_3 (joined + (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_3)) + )) + (net lost_hit_cntr_s_4 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_4)) + )) (net reset_tdc_fast_1 (joined (portRef reset_tdc_fast_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) @@ -858556,21 +858766,6 @@ (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) - (portRef B (instanceRef lost_hit_cntr_lm_0_2)) - (portRef B (instanceRef lost_hit_cntr_lm_0_1)) - (portRef B (instanceRef lost_hit_cntr_lm_0_0)) - )) - (net lost_hit_cntr_s_2 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) - (portRef A (instanceRef lost_hit_cntr_lm_0_2)) - )) - (net lost_hit_cntr_s_3 (joined - (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_3)) - )) - (net lost_hit_cntr_s_4 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_4)) )) (net lost_hit_cntr_s_5 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) @@ -858656,8 +858851,12 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_6 (joined - (portRef reset_tdc_fast_6) + (net reset_tdc_fast_3 (joined + (portRef reset_tdc_fast_3) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) (portRef B (instanceRef result_reg_3_0_i_34)) (portRef B (instanceRef result_reg_3_0_i_33)) (portRef B (instanceRef result_reg_3_0_i_32)) @@ -858819,8 +859018,28 @@ (portRef (member result_i 267) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_36)) )) - (net reset_tdc_fast_7 (joined - (portRef reset_tdc_fast_7) + (net result_i_37 (joined + (portRef (member result_i 266) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_37)) + )) + (net result_i_38 (joined + (portRef (member result_i 265) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_38)) + )) + (net result_i_39 (joined + (portRef (member result_i 264) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_39)) + )) + (net result_i_40 (joined + (portRef (member result_i 263) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_40)) + )) + (net reset_tdc_fast_4 (joined + (portRef reset_tdc_fast_4) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) (portRef B (instanceRef result_reg_3_0_i_133)) (portRef B (instanceRef result_reg_3_0_i_132)) (portRef B (instanceRef result_reg_3_0_i_131)) @@ -858916,26 +859135,6 @@ (portRef B (instanceRef result_reg_3_0_i_41)) (portRef B (instanceRef result_reg_3_0_i_40)) (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - )) - (net result_i_37 (joined - (portRef (member result_i 266) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_37)) - )) - (net result_i_38 (joined - (portRef (member result_i 265) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_38)) - )) - (net result_i_39 (joined - (portRef (member result_i 264) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_39)) - )) - (net result_i_40 (joined - (portRef (member result_i 263) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_40)) )) (net result_i_41 (joined (portRef (member result_i 262) (instanceRef FC)) @@ -859317,8 +859516,28 @@ (portRef (member result_i 168) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_135)) )) - (net reset_tdc_fast_8 (joined - (portRef reset_tdc_fast_8) + (net result_i_136 (joined + (portRef (member result_i 167) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_136)) + )) + (net result_i_137 (joined + (portRef (member result_i 166) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_137)) + )) + (net result_i_138 (joined + (portRef (member result_i 165) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_138)) + )) + (net result_i_139 (joined + (portRef (member result_i 164) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_139)) + )) + (net reset_tdc_fast_5 (joined + (portRef reset_tdc_fast_5) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) (portRef B (instanceRef result_reg_3_0_i_232)) (portRef B (instanceRef result_reg_3_0_i_231)) (portRef B (instanceRef result_reg_3_0_i_230)) @@ -859414,26 +859633,6 @@ (portRef B (instanceRef result_reg_3_0_i_140)) (portRef B (instanceRef result_reg_3_0_i_139)) (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - )) - (net result_i_136 (joined - (portRef (member result_i 167) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_136)) - )) - (net result_i_137 (joined - (portRef (member result_i 166) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_137)) - )) - (net result_i_138 (joined - (portRef (member result_i 165) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_138)) - )) - (net result_i_139 (joined - (portRef (member result_i 164) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_139)) )) (net result_i_140 (joined (portRef (member result_i 163) (instanceRef FC)) @@ -859815,8 +860014,24 @@ (portRef (member result_i 69) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_234)) )) - (net reset_tdc_fast_9 (joined - (portRef reset_tdc_fast_9) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) + )) + (net result_i_238 (joined + (portRef (member result_i 65) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_238)) + )) + (net reset_tdc_fast_6 (joined + (portRef reset_tdc_fast_6) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -859884,26 +860099,6 @@ (portRef B (instanceRef result_reg_3_0_i_239)) (portRef B (instanceRef result_reg_3_0_i_238)) (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_238 (joined - (portRef (member result_i 65) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_238)) )) (net result_i_239 (joined (portRef (member result_i 64) (instanceRef FC)) @@ -860165,8 +860360,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_4_0 (joined - (portRef (member channel_debug_01_i_4 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_8_0 (joined + (portRef (member channel_debug_01_i_8 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -860193,9 +860388,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_4_2 (joined - (portRef (member channel_debug_01_i_4 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_4 0) (instanceRef FIFO)) + (net channel_debug_01_i_8_2 (joined + (portRef (member channel_debug_01_i_8 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_8 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -860380,17 +860575,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_87 (joined - (portRef reset_tdc_87) - (portRef reset_tdc_87 (instanceRef FC)) + (net reset_tdc_75 (joined + (portRef reset_tdc_75) + (portRef reset_tdc_75 (instanceRef FC)) )) - (net reset_tdc_86 (joined - (portRef reset_tdc_86) - (portRef reset_tdc_86 (instanceRef FC)) + (net reset_tdc_74 (joined + (portRef reset_tdc_74) + (portRef reset_tdc_74 (instanceRef FC)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) - (portRef reset_tdc_85 (instanceRef FC)) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) + (portRef reset_tdc_73 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -860432,39 +860627,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) - (portRef reset_tdc_88 (instanceRef Encoder)) - (portRef reset_tdc_88 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r6 (joined - (portRef reset_tdc_fast_36_r6) - (portRef reset_tdc_fast_36_r6 (instanceRef Encoder)) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) + (portRef reset_tdc_76 (instanceRef Encoder)) + (portRef reset_tdc_76 (instanceRef FC)) )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) + (net reset_tdc_fast_36_r14 (joined + (portRef reset_tdc_fast_36_r14) + (portRef reset_tdc_fast_36_r14 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) - (portRef reset_tdc_fast_36_r9 (instanceRef Encoder)) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef reset_tdc_fast_37_r8 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r7 (joined - (portRef reset_tdc_fast_36_r7) - (portRef reset_tdc_fast_36_r7 (instanceRef Encoder)) + (net reset_tdc_fast_36_r15 (joined + (portRef reset_tdc_fast_36_r15) + (portRef reset_tdc_fast_36_r15 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIPE6H)) + (portRef A (instanceRef result_2_reg_RNI1F6H)) )) - (net rd_en_i_4 (joined + (net rd_en_i_8 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_16 (joined - (portRef reset_i_16) - (portRef reset_i_16 (instanceRef FIFO)) + (net reset_i_15 (joined + (portRef reset_i_15) + (portRef reset_i_15 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -860487,31 +860678,32 @@ ) ) ) - (cell Channel_19 (cellType GENERIC) + (cell Channel_20 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(19:19)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(19:19)") 1) (direction INPUT)) - (port (array (rename channel_data_i_19 "channel_data_i_19(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(51:51)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(20:20)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(20:20)") 1) (direction INPUT)) + (port (array (rename channel_data_i_20 "channel_data_i_20(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(52:52)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1794_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port reset_i_8 (direction INPUT)) + (port reset_i_9 (direction INPUT)) (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r28 (direction INPUT)) + (port reset_tdc_fast_36_r29 (direction INPUT)) + (port reset_tdc_36 (direction INPUT)) + (port reset_tdc_37 (direction INPUT)) + (port reset_tdc_38 (direction INPUT)) (port reset_tdc_39 (direction INPUT)) - (port reset_tdc_40 (direction INPUT)) - (port reset_tdc_41 (direction INPUT)) - (port reset_tdc_42 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) + (port reset_tdc_rep1_8 (direction INPUT)) + (port reset_tdc_rep1_7 (direction INPUT)) + (port reset_tdc_rep1_6 (direction INPUT)) (port reset_tdc_rep1_5 (direction INPUT)) - (port reset_tdc_rep1_4 (direction INPUT)) - (port reset_tdc_rep1_3 (direction INPUT)) - (port reset_tdc_rep1_2 (direction INPUT)) (port reset_tdc_rep1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) @@ -860526,7 +860718,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295836_0 (direction OUTPUT)) + (port N_296134_0 (direction OUTPUT)) (port G_1792_Q (direction INPUT)) (port sync_q_and_0 (direction OUTPUT)) (port G_1789_Q (direction INPUT)) @@ -860535,14 +860727,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI8M3U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIEAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI8M3U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIEAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI8M3U_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIEAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -862388,7 +862580,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI5FUU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIB3KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -862644,32 +862836,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0)) )) (net tmp1_0_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI5FUU)) + (portRef B (instanceRef result_2_reg_RNIB3KO)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI8M3U_1)) - (portRef B (instanceRef result_2_reg_RNI8M3U_0)) - (portRef B (instanceRef result_2_reg_RNI8M3U)) + (portRef B (instanceRef result_2_reg_RNIEAPN_1)) + (portRef B (instanceRef result_2_reg_RNIEAPN_0)) + (portRef B (instanceRef result_2_reg_RNIEAPN)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI5FUU)) + (portRef C (instanceRef result_2_reg_RNIB3KO)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI8M3U_1)) - (portRef C (instanceRef result_2_reg_RNI8M3U_0)) - (portRef C (instanceRef result_2_reg_RNI8M3U)) + (portRef C (instanceRef result_2_reg_RNIEAPN_1)) + (portRef C (instanceRef result_2_reg_RNIEAPN_0)) + (portRef C (instanceRef result_2_reg_RNIEAPN)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI8M3U)) + (portRef Z (instanceRef result_2_reg_RNIEAPN)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -862748,9 +862940,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI8M3U_1)) - (portRef A (instanceRef result_2_reg_RNI8M3U_0)) - (portRef A (instanceRef result_2_reg_RNI8M3U)) + (portRef A (instanceRef result_2_reg_RNIEAPN_1)) + (portRef A (instanceRef result_2_reg_RNIEAPN_0)) + (portRef A (instanceRef result_2_reg_RNIEAPN)) )) (net GND (joined (portRef GND) @@ -862905,7 +863097,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI8M3U_0)) + (portRef Z (instanceRef result_2_reg_RNIEAPN_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -862983,7 +863175,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI8M3U_1)) + (portRef Z (instanceRef result_2_reg_RNIEAPN_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -863070,9 +863262,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295836_0 (joined + (net N_296134_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295836_0) + (portRef N_296134_0) )) (net tmp1_0_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -863724,7 +863916,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI5FUU)) + (portRef Z (instanceRef result_2_reg_RNIB3KO)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -866637,9 +866829,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_19_1 (joined + (net channel_debug_01_i_20_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_19 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_20 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_0 (joined @@ -866838,7 +867030,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_51 (joined + (net stat_reg_52 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -866919,257 +867111,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_19_0 (joined + (net channel_data_i_20_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_19 31)) + (portRef (member channel_data_i_20 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_19_1 (joined + (net channel_data_i_20_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_19 30)) + (portRef (member channel_data_i_20 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_19_2 (joined + (net channel_data_i_20_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_19 29)) + (portRef (member channel_data_i_20 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_19_3 (joined + (net channel_data_i_20_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_19 28)) + (portRef (member channel_data_i_20 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_19_4 (joined + (net channel_data_i_20_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_19 27)) + (portRef (member channel_data_i_20 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_19_5 (joined + (net channel_data_i_20_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_19 26)) + (portRef (member channel_data_i_20 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_19_6 (joined + (net channel_data_i_20_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_19 25)) + (portRef (member channel_data_i_20 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_19_7 (joined + (net channel_data_i_20_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_19 24)) + (portRef (member channel_data_i_20 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_19_8 (joined + (net channel_data_i_20_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_19 23)) + (portRef (member channel_data_i_20 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_19_9 (joined + (net channel_data_i_20_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_19 22)) + (portRef (member channel_data_i_20 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_19_10 (joined + (net channel_data_i_20_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_19 21)) + (portRef (member channel_data_i_20 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_19_11 (joined + (net channel_data_i_20_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_19 20)) + (portRef (member channel_data_i_20 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_19_12 (joined + (net channel_data_i_20_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_19 19)) + (portRef (member channel_data_i_20 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_19_13 (joined + (net channel_data_i_20_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_19 18)) + (portRef (member channel_data_i_20 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_19_14 (joined + (net channel_data_i_20_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_19 17)) + (portRef (member channel_data_i_20 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_19_15 (joined + (net channel_data_i_20_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_19 16)) + (portRef (member channel_data_i_20 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_19_16 (joined + (net channel_data_i_20_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_19 15)) + (portRef (member channel_data_i_20 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_19_17 (joined + (net channel_data_i_20_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_19 14)) + (portRef (member channel_data_i_20 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_19_18 (joined + (net channel_data_i_20_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_19 13)) + (portRef (member channel_data_i_20 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_19_19 (joined + (net channel_data_i_20_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_19 12)) + (portRef (member channel_data_i_20 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_19_20 (joined + (net channel_data_i_20_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_19 11)) + (portRef (member channel_data_i_20 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_19_21 (joined + (net channel_data_i_20_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_19 10)) + (portRef (member channel_data_i_20 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_19_22 (joined + (net channel_data_i_20_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_19 9)) + (portRef (member channel_data_i_20 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_19_23 (joined + (net channel_data_i_20_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_19 8)) + (portRef (member channel_data_i_20 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_19_24 (joined + (net channel_data_i_20_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_19 7)) + (portRef (member channel_data_i_20 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_19_25 (joined + (net channel_data_i_20_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_19 6)) + (portRef (member channel_data_i_20 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_19_26 (joined + (net channel_data_i_20_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_19 5)) + (portRef (member channel_data_i_20 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_19_27 (joined + (net channel_data_i_20_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_19 4)) + (portRef (member channel_data_i_20 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_19_28 (joined + (net channel_data_i_20_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_19 3)) + (portRef (member channel_data_i_20 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_19_29 (joined + (net channel_data_i_20_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_19 2)) + (portRef (member channel_data_i_20 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_19_30 (joined + (net channel_data_i_20_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_19 1)) + (portRef (member channel_data_i_20 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_19_31 (joined + (net channel_data_i_20_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_19 0)) + (portRef (member channel_data_i_20 0)) )) (net sync_q_CR0_ram_DO1_0 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -867311,9 +867503,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_2 (joined - (portRef reset_tdc_rep1_2) - (portRef B (instanceRef result_reg_3_0_i_38)) + (net reset_tdc_rep1_5 (joined + (portRef reset_tdc_rep1_5) (portRef B (instanceRef result_reg_3_0_i_37)) (portRef B (instanceRef result_reg_3_0_i_36)) (portRef B (instanceRef result_reg_3_0_i_35)) @@ -867490,13 +867681,8 @@ (portRef (member result_i 264) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_39)) )) - (net result_i_40 (joined - (portRef (member result_i 263) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_40)) - )) - (net reset_tdc_rep1_3 (joined - (portRef reset_tdc_rep1_3) - (portRef B (instanceRef result_reg_3_0_i_138)) + (net reset_tdc_rep1_6 (joined + (portRef reset_tdc_rep1_6) (portRef B (instanceRef result_reg_3_0_i_137)) (portRef B (instanceRef result_reg_3_0_i_136)) (portRef B (instanceRef result_reg_3_0_i_135)) @@ -867596,6 +867782,11 @@ (portRef B (instanceRef result_reg_3_0_i_41)) (portRef B (instanceRef result_reg_3_0_i_40)) (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + )) + (net result_i_40 (joined + (portRef (member result_i 263) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_40)) )) (net result_i_41 (joined (portRef (member result_i 262) (instanceRef FC)) @@ -867993,13 +868184,8 @@ (portRef (member result_i 164) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_139)) )) - (net result_i_140 (joined - (portRef (member result_i 163) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_140)) - )) - (net reset_tdc_rep1_4 (joined - (portRef reset_tdc_rep1_4) - (portRef B (instanceRef result_reg_3_0_i_238)) + (net reset_tdc_rep1_7 (joined + (portRef reset_tdc_rep1_7) (portRef B (instanceRef result_reg_3_0_i_237)) (portRef B (instanceRef result_reg_3_0_i_236)) (portRef B (instanceRef result_reg_3_0_i_235)) @@ -868099,6 +868285,11 @@ (portRef B (instanceRef result_reg_3_0_i_141)) (portRef B (instanceRef result_reg_3_0_i_140)) (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + )) + (net result_i_140 (joined + (portRef (member result_i 163) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_140)) )) (net result_i_141 (joined (portRef (member result_i 162) (instanceRef FC)) @@ -868496,12 +868687,8 @@ (portRef (member result_i 64) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_239)) )) - (net result_i_240 (joined - (portRef (member result_i 63) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_240)) - )) - (net reset_tdc_rep1_5 (joined - (portRef reset_tdc_rep1_5) + (net reset_tdc_rep1_8 (joined + (portRef reset_tdc_rep1_8) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -868567,6 +868754,11 @@ (portRef B (instanceRef result_reg_3_0_i_241)) (portRef B (instanceRef result_reg_3_0_i_240)) (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + )) + (net result_i_240 (joined + (portRef (member result_i 63) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_240)) )) (net result_i_241 (joined (portRef (member result_i 62) (instanceRef FC)) @@ -868820,8 +869012,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_19_0 (joined - (portRef (member channel_debug_01_i_19 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_20_0 (joined + (portRef (member channel_debug_01_i_20 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -868848,9 +869040,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_19_2 (joined - (portRef (member channel_debug_01_i_19 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_19 0) (instanceRef FIFO)) + (net channel_debug_01_i_20_2 (joined + (portRef (member channel_debug_01_i_20 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_20 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -869035,17 +869227,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_41 (joined - (portRef reset_tdc_41) - (portRef reset_tdc_41 (instanceRef FC)) + (net reset_tdc_38 (joined + (portRef reset_tdc_38) + (portRef reset_tdc_38 (instanceRef FC)) )) - (net reset_tdc_40 (joined - (portRef reset_tdc_40) - (portRef reset_tdc_40 (instanceRef FC)) + (net reset_tdc_37 (joined + (portRef reset_tdc_37) + (portRef reset_tdc_37 (instanceRef FC)) )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) - (portRef reset_tdc_39 (instanceRef FC)) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) + (portRef reset_tdc_36 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -869087,14 +869279,14 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) - (portRef reset_tdc_42 (instanceRef Encoder)) - (portRef reset_tdc_42 (instanceRef FC)) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) + (portRef reset_tdc_39 (instanceRef Encoder)) + (portRef reset_tdc_39 (instanceRef FC)) )) - (net reset_tdc_fast_36_r28 (joined - (portRef reset_tdc_fast_36_r28) - (portRef reset_tdc_fast_36_r28 (instanceRef Encoder)) + (net reset_tdc_fast_36_r29 (joined + (portRef reset_tdc_fast_36_r29) + (portRef reset_tdc_fast_36_r29 (instanceRef Encoder)) )) (net reset_tdc_rep1_36 (joined (portRef reset_tdc_rep1_36) @@ -869107,12 +869299,16 @@ (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI5FUU)) + (portRef A (instanceRef result_2_reg_RNIB3KO)) )) - (net rd_en_i_19 (joined + (net rd_en_i_20 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef reset_i_9 (instanceRef FIFO)) + )) (net reset_i_8 (joined (portRef reset_i_8) (portRef reset_i_8 (instanceRef FIFO)) @@ -869138,35 +869334,32 @@ ) ) ) - (cell Channel_9 (cellType GENERIC) + (cell Channel_19 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(9:9)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(9:9)") 1) (direction INPUT)) - (port (array (rename channel_data_i_9 "channel_data_i_9(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(41:41)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(19:19)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(19:19)") 1) (direction INPUT)) + (port (array (rename channel_data_i_19 "channel_data_i_19(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(51:51)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1803_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_13 (direction INPUT)) - (port reset_i_14 (direction INPUT)) - (port reset_tdc_fast_36_r16 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_37_r9 (direction INPUT)) - (port reset_tdc_fast_37_r10 (direction INPUT)) - (port reset_tdc_fast_36_r15 (direction INPUT)) - (port reset_tdc_70 (direction INPUT)) - (port reset_tdc_71 (direction INPUT)) - (port reset_tdc_72 (direction INPUT)) - (port reset_tdc_73 (direction INPUT)) + (port reset_i_9 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r28 (direction INPUT)) + (port reset_tdc_39 (direction INPUT)) + (port reset_tdc_40 (direction INPUT)) + (port reset_tdc_41 (direction INPUT)) + (port reset_tdc_42 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_6 (direction INPUT)) - (port reset_tdc_fast_5 (direction INPUT)) - (port reset_tdc_fast_4 (direction INPUT)) - (port reset_tdc_fast_3 (direction INPUT)) - (port reset_tdc_fast_1 (direction INPUT)) + (port reset_tdc_rep1_5 (direction INPUT)) + (port reset_tdc_rep1_4 (direction INPUT)) + (port reset_tdc_rep1_3 (direction INPUT)) + (port reset_tdc_rep1_2 (direction INPUT)) + (port reset_tdc_rep1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -869180,7 +869373,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295849_0 (direction OUTPUT)) + (port N_296147_0 (direction OUTPUT)) (port G_1801_Q (direction INPUT)) (port sync_q_and (direction OUTPUT)) (port G_1798_Q (direction INPUT)) @@ -869189,14 +869382,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI6MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI8M3U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI6MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI8M3U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI6MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI8M3U_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -871042,7 +871235,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI3F6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI5FUU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -871298,32 +871491,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0)) )) (net tmp1_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI3F6H)) + (portRef B (instanceRef result_2_reg_RNI5FUU)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI6MBG_1)) - (portRef B (instanceRef result_2_reg_RNI6MBG_0)) - (portRef B (instanceRef result_2_reg_RNI6MBG)) + (portRef B (instanceRef result_2_reg_RNI8M3U_1)) + (portRef B (instanceRef result_2_reg_RNI8M3U_0)) + (portRef B (instanceRef result_2_reg_RNI8M3U)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI3F6H)) + (portRef C (instanceRef result_2_reg_RNI5FUU)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI6MBG_1)) - (portRef C (instanceRef result_2_reg_RNI6MBG_0)) - (portRef C (instanceRef result_2_reg_RNI6MBG)) + (portRef C (instanceRef result_2_reg_RNI8M3U_1)) + (portRef C (instanceRef result_2_reg_RNI8M3U_0)) + (portRef C (instanceRef result_2_reg_RNI8M3U)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI6MBG)) + (portRef Z (instanceRef result_2_reg_RNI8M3U)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -871402,12 +871595,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef B (instanceRef lost_hit_cntr_lm_0_2)) - (portRef B (instanceRef lost_hit_cntr_lm_0_1)) - (portRef B (instanceRef lost_hit_cntr_lm_0_0)) - (portRef A (instanceRef result_2_reg_RNI6MBG_1)) - (portRef A (instanceRef result_2_reg_RNI6MBG_0)) - (portRef A (instanceRef result_2_reg_RNI6MBG)) + (portRef A (instanceRef result_2_reg_RNI8M3U_1)) + (portRef A (instanceRef result_2_reg_RNI8M3U_0)) + (portRef A (instanceRef result_2_reg_RNI8M3U)) )) (net GND (joined (portRef GND) @@ -871562,7 +871752,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI6MBG_0)) + (portRef Z (instanceRef result_2_reg_RNI8M3U_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -871640,7 +871830,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI6MBG_1)) + (portRef Z (instanceRef result_2_reg_RNI8M3U_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -871727,9 +871917,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295849_0 (joined + (net N_296147_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295849_0) + (portRef N_296147_0) )) (net tmp1_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -872381,7 +872571,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI3F6H)) + (portRef Z (instanceRef result_2_reg_RNI5FUU)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -875294,9 +875484,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_9_1 (joined + (net channel_debug_01_i_19_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_9 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_19 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1 (joined @@ -875495,7 +875685,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_41 (joined + (net stat_reg_51 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -875576,257 +875766,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_9_0 (joined + (net channel_data_i_19_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_9 31)) + (portRef (member channel_data_i_19 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_9_1 (joined + (net channel_data_i_19_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_9 30)) + (portRef (member channel_data_i_19 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_9_2 (joined + (net channel_data_i_19_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_9 29)) + (portRef (member channel_data_i_19 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_9_3 (joined + (net channel_data_i_19_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_9 28)) + (portRef (member channel_data_i_19 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_9_4 (joined + (net channel_data_i_19_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_9 27)) + (portRef (member channel_data_i_19 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_9_5 (joined + (net channel_data_i_19_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_9 26)) + (portRef (member channel_data_i_19 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_9_6 (joined + (net channel_data_i_19_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_9 25)) + (portRef (member channel_data_i_19 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_9_7 (joined + (net channel_data_i_19_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_9 24)) + (portRef (member channel_data_i_19 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_9_8 (joined + (net channel_data_i_19_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_9 23)) + (portRef (member channel_data_i_19 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_9_9 (joined + (net channel_data_i_19_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_9 22)) + (portRef (member channel_data_i_19 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_9_10 (joined + (net channel_data_i_19_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_9 21)) + (portRef (member channel_data_i_19 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_9_11 (joined + (net channel_data_i_19_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_9 20)) + (portRef (member channel_data_i_19 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_9_12 (joined + (net channel_data_i_19_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_9 19)) + (portRef (member channel_data_i_19 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_9_13 (joined + (net channel_data_i_19_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_9 18)) + (portRef (member channel_data_i_19 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_9_14 (joined + (net channel_data_i_19_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_9 17)) + (portRef (member channel_data_i_19 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_9_15 (joined + (net channel_data_i_19_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_9 16)) + (portRef (member channel_data_i_19 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_9_16 (joined + (net channel_data_i_19_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_9 15)) + (portRef (member channel_data_i_19 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_9_17 (joined + (net channel_data_i_19_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_9 14)) + (portRef (member channel_data_i_19 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_9_18 (joined + (net channel_data_i_19_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_9 13)) + (portRef (member channel_data_i_19 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_9_19 (joined + (net channel_data_i_19_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_9 12)) + (portRef (member channel_data_i_19 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_9_20 (joined + (net channel_data_i_19_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_9 11)) + (portRef (member channel_data_i_19 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_9_21 (joined + (net channel_data_i_19_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_9 10)) + (portRef (member channel_data_i_19 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_9_22 (joined + (net channel_data_i_19_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_9 9)) + (portRef (member channel_data_i_19 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_9_23 (joined + (net channel_data_i_19_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_9 8)) + (portRef (member channel_data_i_19 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_9_24 (joined + (net channel_data_i_19_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_9 7)) + (portRef (member channel_data_i_19 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_9_25 (joined + (net channel_data_i_19_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_9 6)) + (portRef (member channel_data_i_19 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_9_26 (joined + (net channel_data_i_19_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_9 5)) + (portRef (member channel_data_i_19 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_9_27 (joined + (net channel_data_i_19_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_9 4)) + (portRef (member channel_data_i_19 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_9_28 (joined + (net channel_data_i_19_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_9 3)) + (portRef (member channel_data_i_19 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_9_29 (joined + (net channel_data_i_19_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_9 2)) + (portRef (member channel_data_i_19 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_9_30 (joined + (net channel_data_i_19_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_9 1)) + (portRef (member channel_data_i_19 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_9_31 (joined + (net channel_data_i_19_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_9 0)) + (portRef (member channel_data_i_19 0)) )) (net sync_q_CR0_ram_DO1 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -875845,20 +876035,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net lost_hit_cntr_s_2 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) - (portRef A (instanceRef lost_hit_cntr_lm_0_2)) - )) - (net lost_hit_cntr_s_3 (joined - (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_3)) - )) - (net lost_hit_cntr_s_4 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_4)) - )) - (net reset_tdc_fast_1 (joined - (portRef reset_tdc_fast_1) + (net reset_tdc_rep1 (joined + (portRef reset_tdc_rep1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -875880,6 +876058,21 @@ (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) + (portRef B (instanceRef lost_hit_cntr_lm_0_2)) + (portRef B (instanceRef lost_hit_cntr_lm_0_1)) + (portRef B (instanceRef lost_hit_cntr_lm_0_0)) + )) + (net lost_hit_cntr_s_2 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) + (portRef A (instanceRef lost_hit_cntr_lm_0_2)) + )) + (net lost_hit_cntr_s_3 (joined + (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_3)) + )) + (net lost_hit_cntr_s_4 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_4)) )) (net lost_hit_cntr_s_5 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) @@ -875965,8 +876158,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_3 (joined - (portRef reset_tdc_fast_3) + (net reset_tdc_rep1_2 (joined + (portRef reset_tdc_rep1_2) (portRef B (instanceRef result_reg_3_0_i_38)) (portRef B (instanceRef result_reg_3_0_i_37)) (portRef B (instanceRef result_reg_3_0_i_36)) @@ -876148,8 +876341,9 @@ (portRef (member result_i 263) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_40)) )) - (net reset_tdc_fast_4 (joined - (portRef reset_tdc_fast_4) + (net reset_tdc_rep1_3 (joined + (portRef reset_tdc_rep1_3) + (portRef B (instanceRef result_reg_3_0_i_138)) (portRef B (instanceRef result_reg_3_0_i_137)) (portRef B (instanceRef result_reg_3_0_i_136)) (portRef B (instanceRef result_reg_3_0_i_135)) @@ -876646,8 +876840,14 @@ (portRef (member result_i 164) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_139)) )) - (net reset_tdc_fast_5 (joined - (portRef reset_tdc_fast_5) + (net result_i_140 (joined + (portRef (member result_i 163) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_140)) + )) + (net reset_tdc_rep1_4 (joined + (portRef reset_tdc_rep1_4) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) (portRef B (instanceRef result_reg_3_0_i_236)) (portRef B (instanceRef result_reg_3_0_i_235)) (portRef B (instanceRef result_reg_3_0_i_234)) @@ -876746,11 +876946,6 @@ (portRef B (instanceRef result_reg_3_0_i_141)) (portRef B (instanceRef result_reg_3_0_i_140)) (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - )) - (net result_i_140 (joined - (portRef (member result_i 163) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_140)) )) (net result_i_141 (joined (portRef (member result_i 162) (instanceRef FC)) @@ -877144,8 +877339,16 @@ (portRef (member result_i 65) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_238)) )) - (net reset_tdc_fast_6 (joined - (portRef reset_tdc_fast_6) + (net result_i_239 (joined + (portRef (member result_i 64) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_239)) + )) + (net result_i_240 (joined + (portRef (member result_i 63) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_240)) + )) + (net reset_tdc_rep1_5 (joined + (portRef reset_tdc_rep1_5) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -877211,16 +877414,6 @@ (portRef B (instanceRef result_reg_3_0_i_241)) (portRef B (instanceRef result_reg_3_0_i_240)) (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_239 (joined - (portRef (member result_i 64) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_239)) - )) - (net result_i_240 (joined - (portRef (member result_i 63) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_240)) )) (net result_i_241 (joined (portRef (member result_i 62) (instanceRef FC)) @@ -877474,8 +877667,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_9_0 (joined - (portRef (member channel_debug_01_i_9 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_19_0 (joined + (portRef (member channel_debug_01_i_19 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -877502,9 +877695,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_9_2 (joined - (portRef (member channel_debug_01_i_9 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_9 0) (instanceRef FIFO)) + (net channel_debug_01_i_19_2 (joined + (portRef (member channel_debug_01_i_19 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_19 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -877689,17 +877882,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_72 (joined - (portRef reset_tdc_72) - (portRef reset_tdc_72 (instanceRef FC)) + (net reset_tdc_41 (joined + (portRef reset_tdc_41) + (portRef reset_tdc_41 (instanceRef FC)) )) - (net reset_tdc_71 (joined - (portRef reset_tdc_71) - (portRef reset_tdc_71 (instanceRef FC)) + (net reset_tdc_40 (joined + (portRef reset_tdc_40) + (portRef reset_tdc_40 (instanceRef FC)) )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) - (portRef reset_tdc_70 (instanceRef FC)) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) + (portRef reset_tdc_39 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -877741,47 +877934,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) - (portRef reset_tdc_73 (instanceRef Encoder)) - (portRef reset_tdc_73 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r15 (joined - (portRef reset_tdc_fast_36_r15) - (portRef reset_tdc_fast_36_r15 (instanceRef Encoder)) - )) - (net reset_tdc_fast_37_r10 (joined - (portRef reset_tdc_fast_37_r10) - (portRef reset_tdc_fast_37_r10 (instanceRef Encoder)) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) + (portRef reset_tdc_42 (instanceRef Encoder)) + (portRef reset_tdc_42 (instanceRef FC)) )) - (net reset_tdc_fast_37_r9 (joined - (portRef reset_tdc_fast_37_r9) - (portRef reset_tdc_fast_37_r9 (instanceRef Encoder)) + (net reset_tdc_fast_36_r28 (joined + (portRef reset_tdc_fast_36_r28) + (portRef reset_tdc_fast_36_r28 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) - (portRef reset_tdc_fast_36_r18 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r16 (joined - (portRef reset_tdc_fast_36_r16) - (portRef reset_tdc_fast_36_r16 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI3F6H)) + (portRef A (instanceRef result_2_reg_RNI5FUU)) )) - (net rd_en_i_9 (joined + (net rd_en_i_19 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_14 (joined - (portRef reset_i_14) - (portRef reset_i_14 (instanceRef FIFO)) - )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef reset_i_13 (instanceRef FIFO)) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef reset_i_9 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -877819,7 +878000,7 @@ (port trg_spike_detected_i (direction INPUT)) (port trg_timing_valid_i (direction INPUT)) (port reset_i_rep2 (direction INPUT)) - (port reset_i_1_1 (direction INPUT)) + (port reset_i_2 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) (port reset_tdc_fast_37_r20 (direction INPUT)) @@ -884880,9 +885061,9 @@ (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) - (portRef reset_i_1_1 (instanceRef FIFO)) + (net reset_i_2 (joined + (portRef reset_i_2) + (portRef reset_i_2 (instanceRef FIFO)) )) (net reset_i_rep2 (joined (portRef reset_i_rep2) @@ -884913,7 +885094,7 @@ (port (array (rename spimem_addr "spimem_addr(5:1)") 5) (direction INPUT)) (port (array (rename spimem_data_in "spimem_data_in(31:0)") 32) (direction INPUT)) (port spi_bram_we (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port store_wr (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port spictrl_addr (direction INPUT)) @@ -885122,8 +885303,8 @@ (portRef CLKB (instanceRef spi_dpram_32_to_8_0_0_1)) (portRef CLKA (instanceRef spi_dpram_32_to_8_0_0_1)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef RSTB (instanceRef spi_dpram_32_to_8_0_1_0)) (portRef RSTA (instanceRef spi_dpram_32_to_8_0_1_0)) (portRef RSTB (instanceRef spi_dpram_32_to_8_0_0_1)) @@ -885551,35 +885732,35 @@ (cell spi_slim (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename reg_bus_data_out_6_i_0_a2_1 "reg_bus_data_out_6_i_0_a2_1(0:0)") 1) (direction INPUT)) (port (array (rename spi_bram_wr_d "spi_bram_wr_d(7:0)") 8) (direction INPUT)) (port (array (rename spi_bram_addr "spi_bram_addr(7:0)") 8) (direction OUTPUT)) (port (array (rename reg_ctrl_data "reg_ctrl_data(31:0)") 32) (direction INPUT)) (port (array (rename reg_status_data "reg_status_data(31:24)") 8) (direction INPUT)) (port (array (rename spi_bram_rd_d "spi_bram_rd_d(7:0)") 8) (direction OUTPUT)) (port rx_sreg_0 (direction INPUT)) - (port (array (rename tx_sreg_rni6cro3 "tx_sreg_RNI6CRO3(6:6)") 1) (direction OUTPUT)) (port STATE_14 (direction OUTPUT)) - (port STATE_13 (direction OUTPUT)) (port STATE_12 (direction OUTPUT)) (port STATE_11 (direction OUTPUT)) (port STATE_10 (direction OUTPUT)) (port STATE_9 (direction OUTPUT)) (port STATE_6 (direction OUTPUT)) (port STATE_5 (direction OUTPUT)) + (port STATE_4 (direction OUTPUT)) (port STATE_3 (direction OUTPUT)) - (port STATE_2 (direction OUTPUT)) (port STATE_1 (direction OUTPUT)) + (port STATE_2 (direction OUTPUT)) (port STATE_8 (direction OUTPUT)) (port STATE_0 (direction OUTPUT)) - (port STATE_4 (direction OUTPUT)) (port STATE_7 (direction OUTPUT)) - (port N_446_i (direction OUTPUT)) + (port STATE_13 (direction OUTPUT)) + (port N_389_i (direction OUTPUT)) + (port N_557 (direction OUTPUT)) (port un1_clk_en_0_i (direction OUTPUT)) (port spi_start_Q (direction INPUT)) (port busy_Q (direction OUTPUT)) (port spi_bram_we (direction OUTPUT)) - (port N_727_i (direction OUTPUT)) + (port N_633_i (direction OUTPUT)) + (port N_393 (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port tx_ena_RNIH02J (direction OUTPUT)) @@ -885589,11 +885770,8 @@ ) (contents (instance div_counter_RNO_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance tx_sel_x_i_0_0_o2_RNIVVI71_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance STATE_ns_i_i_o2_5_RNI5BSC1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance tx_sel_x_i_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) (instance STATE_ns_i_i_o2_5_RNIRUB01_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) @@ -885604,30 +885782,21 @@ (instance rx_bit_cnt_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A+B A)")) ) + (instance STATE_ns_0_i_s_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) (instance tx_bit_cnt_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)))")) ) - (instance tx_ena_x_1_iv_0_a2_3_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance cmd_int_RNI0T272_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance tx_load_x_iv_i_a2_2_RNI8B921 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C A)+D A)")) + (instance tx_sel_x_i_0_a2_2_RNI1KCV_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C B)+D B)")) ) (instance STATE_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (!B+A))")) ) - (instance STATE_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) - ) (instance last_tx_bit_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B !A)))")) ) - (instance tx_load_x_iv_i_a2_5_RNIH6G61 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance tx_bit_cnt_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)))")) ) @@ -885896,10 +886065,10 @@ (instance THE_STATE_TRANSITIONS_rx_bit_cnt_p4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) - (instance THE_ADDR_COUNTER_un8_reset_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_a2_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance tx_bit_cnt_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_ADDR_COUNTER_un8_reset_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance rx_ena_RNINE87_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -885908,38 +886077,26 @@ (instance rx_bit_cnt_4_i_o2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+!A)")) ) - (instance tx_sel_x_i_0_a2_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance STATE_ns_i_0_o2_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance rx_ena_x_1_iv_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance tx_load_x_iv_i_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance start_RNI11OL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance tx_load_x_iv_i_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance THE_START_PROC_un1_start_in_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance THE_START_PROC_un1_start_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_a2_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance STATE_ns_i_i_a2_15_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_i_i_a2_12_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance tx_sel_x_i_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance start_RNI11OL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance tx_bit_cnt_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) (instance tx_sel_RNI0U97_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance tx_load_x_iv_i_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance rx_ena_x_1_iv_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance tx_bit_cntc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) @@ -885947,16 +886104,16 @@ (instance inc_addr_txc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+!A)")) ) - (instance tx_sregc_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) (instance busy_x_u_0_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance tx_sregc_5_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_3_2_bm_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance tx_sregc_3_2_bm_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance tx_sregc_5_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance start_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -885971,23 +886128,23 @@ (instance ce_addr_x_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance or_all_tmp_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance or_all_tmp_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance tx_sel_x_i_0_a2_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance STATE_ns_i_i_a2_15_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) - (instance rx_ena_x_1_iv_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+!A))")) + (instance STATE_ns_i_i_a2_16_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance last_tx_bit_x_0_a2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance rx_ena_x_1_iv_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) - (instance rx_ena_x_1_iv_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance rx_ena_x_1_iv_i_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) - (instance STATE_ns_i_i_a2_14_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance tx_sel_x_i_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A))")) ) (instance tx_sel_RNIN72D_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) @@ -885995,17 +886152,29 @@ (instance tx_sel_RNIS26A_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance tx_sreg_RNO_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance last_tx_bit_x_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B+!A))")) + ) + (instance rx_ena_x_1_iv_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+!A))")) + ) + (instance STATE_ns_0_i_a2_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance STATE_ns_i_i_a2_25_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance tx_sreg_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C B+C (B A)))")) ) - (instance tx_load_x_iv_i_a2_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance tx_sreg_RNO_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance STATE_ns_i_i_a2_6_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) + (instance tx_ena_x_1_iv_0_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance tx_sel_x_i_0_a2_2_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance STATE_ns_i_i_a2_9_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) @@ -886013,50 +886182,47 @@ (instance rx_ena_x_1_iv_i_3_tz_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (!B+!A)+C (B+!A)))")) ) + (instance tx_sel_x_i_0_a2_0_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) + ) (instance tx_sel_RNIVIEH_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B !A)))")) ) - (instance tx_sreg_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (C !B))")) - ) (instance adh_int_RNID0GR_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C A+C B))")) ) + (instance tx_sreg_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (C !B))")) + ) (instance adm_int_RNIB4D01_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (B A))")) ) (instance tx_ena_RNIVDST (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A+B A))+D (!B !A+B A))")) ) - (instance tx_sregc_2_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C A))")) + (instance tx_ena_RNIH02J (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) ) - (instance tx_sreg_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C (B+A)))")) + (instance STATE_ns_0_i_o2_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C (!B A)))")) ) - (instance tx_sel_x_i_0_a2_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_a2_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance STATE_ns_i_i_a2_13_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance tx_ena_RNIH02J (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) + (instance tx_sregc_4_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C A))")) ) - (instance STATE_ns_i_i_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_i_i_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance tx_sel_x_i_0_0_o2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C (!B A)))")) - ) - (instance tx_sregc_0_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_2_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B A)+D (!C A))")) ) - (instance tx_sregc_4_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C A))")) + (instance tx_sreg_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C (B+A)))")) ) - (instance rx_ena_x_1_iv_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C+(!B+!A)))")) + (instance tx_sregc_0_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C A))")) ) (instance div_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+!A))")) @@ -886064,17 +886230,26 @@ (instance div_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance tx_sel_x_i_0_0_a2_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_a2_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) + (instance STATE_ns_0_i_a2_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance tx_load_x_iv_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance STATE_ns_i_i_a2_6_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance tx_load_x_iv_i_a2_7_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_i_i_a2_8_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance tx_sel_x_i_0_a2_3_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance is_data_x_1_iv_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C !A)+D (B !A))")) + (instance STATE_ns_i_i_a2_9_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) + ) + (instance tx_sel_x_i_0_a2_0_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A)))")) ) (instance rx_ena_x_1_iv_i_3_tz_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B A)))")) @@ -886088,86 +886263,80 @@ (instance tx_sel_RNIFE2A1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance tx_sregc_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (C !A))")) ) - (instance tx_sregc_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (C !A))")) ) (instance tx_bit_cnt_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C A+C (!B A)))")) ) - (instance tx_sel_x_i_0_o2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_i_i_a2_17_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance STATE_ns_0_i_o2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (C (B A)))")) ) (instance tx_ena_x_1_iv_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C (!B !A)))")) - ) - (instance STATE_ns_i_0_a2_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) + (property lut_function (string "(!D !C+D (!C+(!B !A)))")) ) - (instance tx_sel_x_i_0_0_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance is_data_x_1_iv_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance STATE_ns_i_i_a2_8_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_i_i_a2_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) - (instance STATE_ns_i_i_a2_6_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) + (instance tx_ena_x_1_iv_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance tx_load_x_iv_i_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)+C (B !A)))")) ) (instance rx_ena_x_1_iv_i_3_tz_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C !A+C (!B !A)))")) ) + (instance rx_ena_x_1_iv_i_3_tz_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (B+A)+C B))")) + ) + (instance tx_sregc_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) + ) (instance tx_sregc_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance tx_sregc_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance tx_sregc_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) (instance tx_sregc_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C B)+D (!C A))")) - ) - (instance tx_sregc_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C B)+D (!C A))")) + (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance tx_sregc_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) - (instance tx_sregc_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) (instance tx_sel_RNIO7KK2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D A)")) ) - (instance tx_sel_x_i_0_a2_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_0_i_a2_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C (B+!A)))")) ) - (instance tx_sel_x_i_0_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_o2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) ) - (instance STATE_ns_i_i_o2_5_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) - ) - (instance is_data_x_1_iv_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance STATE_ns_0_i_a2_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance STATE_ns_i_i_a2_13_RNI5EBQ_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) (instance STATE_ns_0_i_a2_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance tx_load_x_iv_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance STATE_ns_0_i_a2_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance STATE_ns_i_i_o2_5_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (B+A)+C A))")) ) (instance STATE_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) @@ -886175,32 +886344,38 @@ (instance STATE_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance STATE_ns_i_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance STATE_ns_i_i_a2_9_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance tx_sel_x_i_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_load_x_iv_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) + (instance STATE_ns_i_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (B !A))")) + ) (instance rx_ena_x_1_iv_i_3_tz_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B A)))")) ) - (instance tx_sregc_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_ena_x_1_iv_0_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance tx_sregc_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+A))+D (B+A))")) ) - (instance tx_sregc_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+A))+D (B+A))")) ) - (instance tx_sregc_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+A))+D (B+A))")) ) - (instance tx_ena_x_1_iv_0_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance STATE_ns_0_i_a2_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C A+C (B+A)))")) ) - (instance STATE_ns_i_i_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (B+A)))")) + (instance tx_sel_x_i_0_a2_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance is_data_x_1_iv_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance STATE_ns_0_i_a2_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) (instance STATE_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) @@ -886211,29 +886386,26 @@ (instance STATE_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) ) + (instance tx_load_x_iv_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) (instance rx_bit_cnt_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C (!B !A))+D (!C A+C (B+A)))")) ) - (instance rx_ena_x_1_iv_i_3_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B A)))")) + (instance tx_sel_x_i_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) (instance rx_ena_x_1_iv_i_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance STATE_ns_0_i_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance tx_sel_x_i_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance STATE_ns_i_i_o2_4_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C A)")) + (instance tx_sel_x_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A)))")) ) - (instance STATE_ns_i_i_o2_1_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C B)+D (B+A))")) + (instance tx_load_x_iv_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+A)))")) ) (instance STATE_ns_i_i_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + (property lut_function (string "(!D C+D (C+(!B A)))")) ) (instance tx_sreg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) @@ -886241,38 +886413,47 @@ (instance tx_sreg_RNI6CRO3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance tx_sel_x_i_0_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (C+(B+A)))")) - ) (instance STATE_ns_i_i_o2_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B+A))+D (C B))")) ) + (instance rx_ena_x_1_iv_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (B A))")) + ) (instance STATE_ns_i_i_a2_4_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance STATE_ns_0_a3_0_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance STATE_ns_0_a3_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) + ) + (instance STATE_ns_0_i_s_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) (instance is_data_x_1_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance STATE_ns_0_i_s_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance STATE_ns_0_i_s_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) (instance tx_ena_x_1_iv_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B !A))")) ) + (instance STATE_ns_i_i_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + ) (instance tx_sreg_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) (instance tx_sreg_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C !A))")) + (property lut_function (string "(!D (!C (!B !A)+C !B))")) ) (instance tx_sreg_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) + (instance rx_ena_x_1_iv_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) (instance STATE_ns_i_i_6_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D (!C (B+A)))")) + (property lut_function (string "(!D (!C B)+D (!C (B+A)))")) ) (instance tx_sreg_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) @@ -886286,77 +886467,68 @@ (instance STATE_ns_0_a3_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance STATE_ns_i_i_a2_10_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance tx_sel_x_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) (instance STATE_ns_i_i_a2_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) (instance tx_sel_x_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B A))")) - ) - (instance tx_sel_x_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (B+A))")) - ) - (instance rx_ena_x_1_iv_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (B+A)))")) + (property lut_function (string "(D+(!C (B A)))")) ) - (instance STATE_ns_i_i_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B A)))")) + (instance STATE_ns_0_i_a2_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)+C B))")) ) - (instance tx_load_x_iv_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+A)+C A))")) + (instance STATE_ns_i_i_o2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C !B)+D !B)")) ) - (instance tx_sel_x_i_0_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance tx_load_x_iv_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D B)")) ) (instance STATE_ns_0_i_s_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (property lut_function (string "(D+(!C A+C (B+A)))")) ) - (instance STATE_ns_i_i_a2_19_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance STATE_ns_0_i_s_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance rx_ena_x_1_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)+C A))")) + (instance tx_sel_x_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(B+A)))")) ) - (instance STATE_ns_0_i_s_0_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) + (instance tx_ena_x_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) ) - (instance tx_sel_x_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (B+A))")) + (instance STATE_ns_i_i_a2_23_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance STATE_ns_0_i_s_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance rx_ena_x_1_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance tx_ena_x_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(B+A))")) + (instance STATE_ns_0_i_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance STATE_ns_0_i_s_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (B+A)+C A))")) + (instance STATE_ns_0_i_s_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) ) - (instance STATE_ns_i_i_o2_1_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B A))+D C)")) + (instance tx_load_x_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance STATE_ns_i_i_6_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance STATE_ns_i_i_a2_17_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A))+D !C)")) + (instance tx_sel_x_i_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance STATE_ns_i_i_7_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B A)))")) + (instance STATE_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) (instance STATE_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C (!B !A))+D (!C !B))")) - ) - (instance tx_load_x_iv_i_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A)))")) + (property lut_function (string "(!D (!C (!B+!A)))")) ) - (instance tx_load_x_iv_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D !B)")) + (instance STATE_ns_i_i_o2_1_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C !A)+D (!C (B+!A)+C !A))")) ) - (instance tx_load_x_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(B A)))")) + (instance STATE_ns_i_i_o2_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) ) (instance addr_ctre_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) @@ -886367,20 +886539,23 @@ (instance rx_bit_cnt_4_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) - (instance STATE_ns_i_i_a2_9_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance tx_sel_RNIS26A_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) + (instance is_data_x_1_iv_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C !B+C (!B A)))")) + ) + (instance tx_load_x_iv_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) (instance STATE_ns_0_i_a2_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (!B A)))")) ) (instance tx_sel_RNIN72D_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance tx_sel_x_i_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C+(B !A)))")) + (instance STATE_ns_i_i_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) ) (instance addr_ctr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -886434,76 +886609,78 @@ (portRef Q (instanceRef div_counter_0)) (portRef A (instanceRef div_counter_RNO_1)) (portRef A (instanceRef div_counter_RNO_2)) - (portRef A (instanceRef or_all_tmp_0_a2)) + (portRef A (instanceRef or_all_tmp_0_a2_0_a2)) (portRef A (instanceRef div_counter_RNO_0)) )) (net div_counter_i_0 (joined (portRef Z (instanceRef div_counter_RNO_0)) (portRef D (instanceRef div_counter_0)) )) - (net tx_sel_x_i_0_0_o2_0 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_o2_0)) - (portRef A (instanceRef tx_sel_x_i_0_0_a2_0)) - (portRef B (instanceRef tx_load_x_iv_i_o2_0)) - (portRef B (instanceRef tx_sel_x_i_0_0_o2_RNIVVI71_0)) + (net un1_tx_bit_cnt (joined + (portRef Z (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) + (portRef C (instanceRef tx_load_x_iv_i_a2)) + (portRef C (instanceRef is_data_x_1_iv_i_a2_0)) + (portRef C (instanceRef tx_sel_x_i_0_1)) + (portRef D (instanceRef tx_sel_x_i_0_0_0)) + (portRef C (instanceRef tx_sel_x_i_0_a2_1_2)) + (portRef D (instanceRef tx_load_x_iv_i_a2_0)) + (portRef C (instanceRef tx_ena_x_1_iv_0_o2)) + (portRef D (instanceRef tx_sel_x_i_0_a2_0_1_2)) + (portRef C (instanceRef tx_sel_x_i_0_a2_1)) + (portRef B (instanceRef tx_sel_x_i_0_a2_2_0)) + (portRef B (instanceRef tx_sel_x_i_0_RNO_0)) )) (net cmd_int_7 (joined (portRef Q (instanceRef cmd_int_7)) - (portRef C (instanceRef tx_load_x_iv_i_o2_0)) + (portRef D (instanceRef tx_load_x_iv_i_o2)) (portRef D (instanceRef STATE_ns_i_i_o2_0_15)) - (portRef C (instanceRef tx_load_x_iv_i_a2_7)) - (portRef D (instanceRef tx_sel_x_i_0_o2_0_1)) - (portRef D (instanceRef rx_ena_x_1_iv_i_a2_0_0)) - (portRef D (instanceRef tx_sel_x_i_0_a2_1_2)) + (portRef D (instanceRef rx_ena_x_1_iv_i_3_tz_3)) + (portRef C (instanceRef tx_sel_x_i_0_a2_3_0)) + (portRef D (instanceRef STATE_ns_0_i_o2_7)) + (portRef D (instanceRef tx_sel_x_i_0_a2_3_2)) (portRef C (instanceRef adm_int_RNIB4D01_7)) - (portRef D (instanceRef STATE_ns_i_i_a2_6_1_15)) + (portRef D (instanceRef STATE_ns_i_i_a2_25_15)) (portRef C (instanceRef rx_ena_x_1_iv_i_o2_2)) - (portRef B (instanceRef tx_load_x_iv_i_a2_5)) + (portRef B (instanceRef STATE_ns_i_i_a2_12_15)) (portRef B (instanceRef STATE_ns_i_i_o2_5_RNIRUB01_15)) - (portRef C (instanceRef STATE_ns_i_i_o2_5_RNI5BSC1_15)) - (portRef C (instanceRef tx_sel_x_i_0_0_o2_RNIVVI71_0)) + (portRef C (instanceRef tx_sel_x_i_0_RNO_0)) )) (net cmd_int_6 (joined (portRef Q (instanceRef cmd_int_6)) - (portRef D (instanceRef STATE_ns_i_i_o2_1_1_15)) - (portRef D (instanceRef STATE_ns_0_i_s_0_a2_8)) - (portRef C (instanceRef STATE_ns_i_i_a2_19_15)) + (portRef A (instanceRef tx_load_x_iv_i_a2)) + (portRef D (instanceRef tx_sel_x_i_0_0_1)) + (portRef D (instanceRef STATE_ns_0_i_a2_8)) + (portRef C (instanceRef STATE_ns_i_i_a2_23_15)) + (portRef C (instanceRef STATE_ns_i_i_o2_15)) (portRef C (instanceRef STATE_ns_i_i_a2_2_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_10_15)) (portRef D (instanceRef STATE_ns_0_a3_0_a2_13)) - (portRef C (instanceRef STATE_ns_0_i_a2_7)) + (portRef D (instanceRef STATE_ns_0_i_s_0_7)) (portRef D (instanceRef rx_ena_x_1_iv_i_a2_5)) + (portRef C (instanceRef tx_sel_x_i_0_a2_2)) (portRef D (instanceRef tx_sregc_5_2)) - (portRef D (instanceRef tx_load_x_iv_i_a2_7_2)) + (portRef D (instanceRef tx_sel_x_i_0_a2_3_2_0)) (portRef D (instanceRef rx_ena_x_1_iv_i_3_tz_0)) (portRef D (instanceRef STATE_ns_i_i_a2_9_0_15)) - (portRef C (instanceRef STATE_ns_i_i_a2_6_1_15)) - (portRef C (instanceRef tx_sel_x_i_0_a2_4_1)) - (portRef A (instanceRef tx_load_x_iv_i_a2_5)) + (portRef C (instanceRef STATE_ns_i_i_a2_25_15)) + (portRef A (instanceRef STATE_ns_i_i_a2_12_15)) (portRef C (instanceRef STATE_ns_i_i_o2_5_RNIRUB01_15)) - (portRef D (instanceRef STATE_ns_i_i_o2_5_RNI5BSC1_15)) - (portRef D (instanceRef tx_sel_x_i_0_0_o2_RNIVVI71_0)) + (portRef D (instanceRef tx_sel_x_i_0_RNO_0)) )) - (net N_362 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_o2_RNIVVI71_0)) - (portRef A (instanceRef tx_ena_x_1_0_i)) - (portRef A (instanceRef STATE_ns_0_i_s_3)) + (net tx_sel_x_i_0_a2_0_0 (joined + (portRef Z (instanceRef tx_sel_x_i_0_RNO_0)) + (portRef D (instanceRef tx_sel_x_i_0_0)) )) - (net N_713 (joined + (net STATE_ns_i_i_o2_5_15 (joined (portRef Z (instanceRef STATE_ns_i_i_o2_5_15)) + (portRef B (instanceRef rx_ena_x_1_iv_i_a2_6)) (portRef A (instanceRef STATE_ns_i_i_o2_0_15)) (portRef A (instanceRef STATE_ns_i_i_o2_5_RNIRUB01_15)) - (portRef B (instanceRef STATE_ns_i_i_o2_5_RNI5BSC1_15)) - )) - (net STATE_ns_i_i_o2_5_RNI5BSC1_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_o2_5_RNI5BSC1_15)) - (portRef B (instanceRef rx_ena_x_1_iv_i)) - (portRef C (instanceRef STATE_ns_0_i_s_7)) )) (net STATE_ns_i_i_o2_5_RNIRUB01_15 (joined (portRef Z (instanceRef STATE_ns_i_i_o2_5_RNIRUB01_15)) - (portRef B (instanceRef tx_sel_x_i_0_1)) - (portRef A (instanceRef STATE_ns_0_a3_0_0_a2_11)) + (portRef B (instanceRef STATE_ns_0_i_s_7)) + (portRef A (instanceRef tx_sel_x_i_0_1)) + (portRef B (instanceRef STATE_ns_0_a3_0_a2_11)) (portRef A (instanceRef STATE_ns_i_i_a2_4_15)) )) (net rx_bit_cnt_Q_1 (joined @@ -886527,7 +886704,7 @@ )) (net rx_ena_RNINE87_0 (joined (portRef Z (instanceRef rx_ena_RNINE87_0)) - (portRef B (instanceRef rx_bit_cnt_RNO_2)) + (portRef A (instanceRef rx_bit_cnt_RNO_2)) (portRef B (instanceRef rx_bit_cnt_RNO_0)) (portRef C (instanceRef rx_bit_cnt_RNO_1)) )) @@ -886562,28 +886739,67 @@ (portRef Z (instanceRef rx_bit_cnt_RNO_0)) (portRef D (instanceRef rx_bit_cnt_0)) )) + (net cmd_int_0 (joined + (portRef Q (instanceRef cmd_int_0)) + (portRef B (instanceRef tx_load_x_iv_i_a2_1)) + (portRef D (instanceRef STATE_ns_i_i_o2_5_15)) + (portRef C (instanceRef STATE_ns_0_i_a2_4_6)) + (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_2)) + (portRef D (instanceRef tx_ena_x_1_iv_0_a2_1)) + (portRef C (instanceRef is_data_x_1_iv_i_a2_2)) + (portRef D (instanceRef STATE_ns_i_i_a2_17_15)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_1)) + (portRef B (instanceRef tx_sel_x_i_0_a2_3_2_0)) + (portRef B (instanceRef STATE_ns_0_i_a2_3_3)) + (portRef A (instanceRef STATE_ns_0_i_o2_1_3)) + (portRef C (instanceRef tx_sel_x_i_0_a2_0_2_2)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_0)) + (portRef A (instanceRef tx_sel_x_i_0_a2_2_1_2)) + (portRef A (instanceRef tx_sreg_RNO_2_0)) + (portRef A (instanceRef rx_ena_x_1_iv_i_o2_3)) + (portRef A (instanceRef STATE_ns_i_i_a2_16_15)) + (portRef B (instanceRef STATE_ns_0_i_s_RNO_6)) + )) + (net STATE_ns_0_i_a2_2_6 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_2_6)) + (portRef B (instanceRef STATE_ns_i_i_2_15)) + (portRef B (instanceRef is_data_x_1_iv_i_a2_2)) + (portRef C (instanceRef STATE_ns_0_i_s_RNO_6)) + )) + (net N_548 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_25_15)) + (portRef A (instanceRef STATE_ns_i_i_1_15)) + (portRef A (instanceRef STATE_ns_0_i_a2_4_6)) + (portRef A (instanceRef tx_ena_x_1_iv_0_a2_1)) + (portRef A (instanceRef is_data_x_1_iv_i_a2_2)) + (portRef D (instanceRef STATE_ns_0_i_s_RNO_6)) + )) + (net N_684 (joined + (portRef Z (instanceRef STATE_ns_0_i_s_RNO_6)) + (portRef B (instanceRef STATE_ns_0_i_s_6)) + )) (net tx_bit_cnt_Q_3 (joined (portRef Q (instanceRef tx_bit_cnt_3)) - (portRef D (instanceRef STATE_ns_i_i_0_a2_9)) + (portRef D (instanceRef STATE_ns_i_i_a2_9)) (portRef D (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) (portRef A (instanceRef last_tx_bit_RNO)) (portRef A (instanceRef tx_bit_cnt_RNO_3)) )) - (net N_723 (joined - (portRef Z (instanceRef last_tx_bit_x_0_a2_0_o2)) + (net N_629 (joined + (portRef Z (instanceRef last_tx_bit_x_0_o2)) (portRef B (instanceRef tx_bit_cnt_RNO_3)) )) (net tx_load_Q (joined (portRef Q (instanceRef tx_load)) (portRef C (instanceRef tx_sel_RNIN72D_2)) (portRef B (instanceRef tx_sel_RNIS26A_0_2)) - (portRef D (instanceRef tx_sregc_2_2)) (portRef D (instanceRef tx_sregc_4_2)) (portRef D (instanceRef tx_sregc_0_2)) - (portRef D (instanceRef tx_sregc_5_1)) + (portRef D (instanceRef tx_sregc_2_2)) (portRef D (instanceRef tx_sregc_1_1)) - (portRef D (instanceRef tx_sregc_5_0)) + (portRef D (instanceRef tx_sregc_5_1)) (portRef D (instanceRef tx_sregc_1_0)) + (portRef D (instanceRef tx_sregc_5_0)) (portRef D (instanceRef tx_sel_RNIFE2A1_0)) (portRef D (instanceRef tx_sregc_0_4)) (portRef D (instanceRef tx_sreg_RNI3EHG_6)) @@ -886602,132 +886818,59 @@ (portRef C (instanceRef tx_bit_cnt_RNO_1)) (portRef C (instanceRef tx_bit_cnt_RNO_3)) )) - (net N_400_i (joined + (net N_614_i (joined (portRef Z (instanceRef tx_bit_cnt_RNO_3)) (portRef D (instanceRef tx_bit_cnt_3)) )) - (net STATE_7 (joined - (portRef Q (instanceRef STATE_7)) - (portRef D (instanceRef STATE_ns_i_i_o2_1_0_15)) - (portRef C (instanceRef STATE_ns_i_i_o2_4_0_15)) - (portRef B (instanceRef STATE_ns_0_i_a2_7)) - (portRef C (instanceRef rx_ena_x_1_iv_i_a2_5)) - (portRef B (instanceRef STATE_ns_0_i_a2_1_3)) - (portRef B (instanceRef STATE_ns_0_i_a2_0_6)) - (portRef B (instanceRef is_data_x_1_iv_i_a2_0_0)) - (portRef B (instanceRef STATE_ns_i_i_a2_15_15)) - (portRef A (instanceRef tx_ena_x_1_iv_0_a2_3_RNO)) - (portRef A (instanceRef tx_sel_x_i_0_0_o2_RNIVVI71_0)) - (portRef STATE_7) - )) - (net tx_load_x_iv_i_a2_5_RNIH6G61 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_5_RNIH6G61)) - (portRef B (instanceRef cmd_int_RNI0T272_3)) - (portRef B (instanceRef tx_ena_x_1_iv_0_a2_3_RNO)) - )) - (net cmd_int_4 (joined - (portRef Q (instanceRef cmd_int_4)) - (portRef C (instanceRef tx_sreg_RNO_4)) - (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_2)) - (portRef B (instanceRef tx_sel_x_i_0_0_a2_3_0)) - (portRef C (instanceRef tx_sel_x_i_0_o2_0_1)) - (portRef C (instanceRef tx_load_x_iv_i_a2_7_2)) - (portRef B (instanceRef tx_load_x_iv_i_a2_2)) - (portRef C (instanceRef tx_sel_x_i_0_a2_1_2)) - (portRef B (instanceRef rx_ena_x_1_iv_i_o2_2)) - (portRef C (instanceRef rx_ena_x_1_iv_i_o2_1)) - (portRef B (instanceRef rx_ena_x_1_iv_i_o2)) - (portRef C (instanceRef cmd_int_RNI0T272_3)) - (portRef C (instanceRef tx_ena_x_1_iv_0_a2_3_RNO)) - )) - (net cmd_int_3 (joined - (portRef Q (instanceRef cmd_int_3)) - (portRef D (instanceRef tx_sregc_2_1)) - (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_2)) - (portRef B (instanceRef tx_load_x_iv_i_a2_7)) - (portRef B (instanceRef tx_sel_x_i_0_o2_0_1)) - (portRef A (instanceRef tx_load_x_iv_i_a2_2)) - (portRef D (instanceRef tx_sel_x_i_0_0_o2_1_0)) - (portRef B (instanceRef tx_sel_x_i_0_a2_1_2)) - (portRef A (instanceRef rx_ena_x_1_iv_i_o2_2)) - (portRef B (instanceRef rx_ena_x_1_iv_i_o2_1)) - (portRef A (instanceRef rx_ena_x_1_iv_i_o2)) - (portRef D (instanceRef cmd_int_RNI0T272_3)) - (portRef D (instanceRef tx_ena_x_1_iv_0_a2_3_RNO)) - )) - (net tx_ena_x_1_iv_0_a2_3_RNO (joined - (portRef Z (instanceRef tx_ena_x_1_iv_0_a2_3_RNO)) - (portRef A (instanceRef tx_ena_x_1_iv_0_a2_3)) - )) - (net cmd_int_1 (joined - (portRef Q (instanceRef cmd_int_1)) - (portRef D (instanceRef STATE_ns_i_i_1_15)) - (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_4)) - (portRef C (instanceRef tx_sel_x_i_0_o2_2)) - (portRef D (instanceRef tx_sel_x_i_0_a2_2_1)) - (portRef D (instanceRef tx_sregc_0_1)) - (portRef A (instanceRef tx_load_x_iv_i_a2_7)) - (portRef D (instanceRef STATE_ns_i_i_a2_6_15)) - (portRef A (instanceRef tx_sel_x_i_0_o2_0_1)) - (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_1)) - (portRef C (instanceRef tx_sel_x_i_0_0_a2_2_0)) - (portRef B (instanceRef rx_ena_x_1_iv_i_a2_0_0)) - (portRef B (instanceRef tx_sel_x_i_0_0_o2_1_0)) - (portRef D (instanceRef STATE_ns_i_i_a2_13_15)) - (portRef A (instanceRef tx_sel_x_i_0_a2_1_2)) - (portRef B (instanceRef tx_load_x_iv_i_a2_2_1)) - (portRef A (instanceRef rx_ena_x_1_iv_i_o2_1)) - (portRef A (instanceRef cmd_int_RNI0T272_3)) - )) - (net N_321_2 (joined - (portRef Z (instanceRef cmd_int_RNI0T272_3)) - (portRef A (instanceRef is_data_x_1_iv_i)) - (portRef A (instanceRef STATE_ns_0_i_a2_0_6)) - )) - (net tx_load_x_iv_i_a2_2 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_2)) - (portRef B (instanceRef STATE_ns_i_i_o2_5_15)) - (portRef A (instanceRef tx_load_x_iv_i_a2_2_RNI8B921)) - )) - (net N_206 (joined + (net rx_ena_x_1_iv_i_o2_1 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_o2_1)) - (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz)) + (portRef B (instanceRef STATE_ns_i_i_1_15)) + (portRef C (instanceRef STATE_ns_i_i_o2_5_15)) + (portRef B (instanceRef STATE_ns_0_i_a2_4_6)) + (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_3)) + (portRef C (instanceRef STATE_ns_i_i_a2_17_15)) + (portRef A (instanceRef tx_sel_x_i_0_a2_2_RNI1KCV_2)) + )) + (net N_530 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_2_2)) (portRef A (instanceRef STATE_ns_i_i_o2_5_15)) - (portRef A (instanceRef STATE_ns_i_0_a2_RNO_12)) - (portRef B (instanceRef tx_load_x_iv_i_a2_2_RNI8B921)) + (portRef B (instanceRef tx_sel_x_i_0_a2_2_RNI1KCV_2)) )) (net cmd_int_5 (joined (portRef Q (instanceRef cmd_int_5)) - (portRef D (instanceRef tx_sel_x_i_0_0_o2_0)) + (portRef C (instanceRef tx_load_x_iv_i_a2_1)) + (portRef D (instanceRef STATE_ns_0_i_a2_2_3)) (portRef D (instanceRef tx_sregc_4_1)) + (portRef D (instanceRef tx_sel_x_i_0_a2_0_2_2)) (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_0)) - (portRef D (instanceRef tx_load_x_iv_i_a2_2_1)) - (portRef C (instanceRef STATE_ns_i_i_a2_14_15)) - (portRef B (instanceRef tx_load_x_iv_i_a2_3_0)) - (portRef B (instanceRef tx_load_x_iv_i_a2_11)) - (portRef C (instanceRef tx_load_x_iv_i_a2_5_RNIH6G61)) - (portRef C (instanceRef tx_load_x_iv_i_a2_2_RNI8B921)) + (portRef D (instanceRef tx_sel_x_i_0_a2_2_1_2)) + (portRef B (instanceRef STATE_ns_i_i_a2_25_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_16_15)) + (portRef B (instanceRef tx_sel_x_i_0_a2_6_2)) + (portRef C (instanceRef tx_sel_x_i_0_a2_2_RNI1KCV_2)) )) (net cmd_int_2 (joined (portRef Q (instanceRef cmd_int_2)) - (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz)) (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_4)) - (portRef D (instanceRef tx_sel_x_i_0_o2_2)) + (portRef D (instanceRef tx_sel_x_i_0_o2_0_2)) (portRef D (instanceRef tx_sregc_1_2)) - (portRef D (instanceRef tx_sel_x_i_0_0_a2_2_0)) - (portRef C (instanceRef rx_ena_x_1_iv_i_a2_0_0)) - (portRef C (instanceRef tx_sel_x_i_0_0_o2_1_0)) - (portRef C (instanceRef tx_load_x_iv_i_a2_2_1)) - (portRef B (instanceRef STATE_ns_i_i_a2_14_15)) - (portRef A (instanceRef tx_load_x_iv_i_a2_11)) - (portRef D (instanceRef tx_load_x_iv_i_a2_5_RNIH6G61)) - (portRef D (instanceRef tx_load_x_iv_i_a2_2_RNI8B921)) - )) - (net tx_load_x_iv_i_a2_2_RNI8B921 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_2_RNI8B921)) - (portRef B (instanceRef tx_load_x_iv_i_2_1)) - (portRef B (instanceRef tx_sel_x_i_0_0_o2_0)) - (portRef B (instanceRef tx_sel_x_i_0_a2_0_2)) + (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_3)) + (portRef D (instanceRef STATE_ns_0_i_a2_3_3)) + (portRef C (instanceRef STATE_ns_0_i_o2_1_3)) + (portRef C (instanceRef tx_sel_x_i_0_a2_2_1_2)) + (portRef A (instanceRef STATE_ns_i_i_a2_25_15)) + (portRef C (instanceRef rx_ena_x_1_iv_i_o2_3)) + (portRef B (instanceRef STATE_ns_i_i_a2_16_15)) + (portRef A (instanceRef tx_sel_x_i_0_a2_6_2)) + (portRef D (instanceRef tx_sel_x_i_0_a2_2_RNI1KCV_2)) + )) + (net tx_sel_x_i_0_a2_2_RNI1KCV_2 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_2_RNI1KCV_2)) + (portRef B (instanceRef tx_sel_x_i_0_0)) + (portRef C (instanceRef tx_load_x_iv_i_o2)) + (portRef C (instanceRef STATE_ns_0_i_a2_0_3)) + (portRef B (instanceRef tx_sel_x_i_0_2)) + (portRef B (instanceRef tx_load_x_iv_i_a2_0)) )) (net start_Q (joined (portRef Q (instanceRef start)) @@ -886747,37 +886890,19 @@ (portRef Z (instanceRef STATE_RNO_15)) (portRef D (instanceRef STATE_15)) )) - (net N_209 (joined - (portRef Z (instanceRef STATE_ns_i_0_o2_0_12)) - (portRef A (instanceRef tx_sel_x_i_0_a2_2)) - (portRef A (instanceRef STATE_ns_i_0_a2_12)) - (portRef A (instanceRef tx_ena_x_1_iv_0_o2)) - (portRef A (instanceRef STATE_RNO_0_0)) - )) - (net STATE_4 (joined - (portRef Q (instanceRef STATE_4)) - (portRef C (instanceRef STATE_ns_i_i_a2_9_0_15)) - (portRef C (instanceRef STATE_RNO_0_0)) - (portRef A (instanceRef STATE_ns_i_i_o2_5_RNI5BSC1_15)) - (portRef STATE_4) - )) - (net STATE_ns_i_i_a2_0_15 (joined - (portRef Z (instanceRef STATE_RNO_0_0)) - (portRef C (instanceRef STATE_RNO_0)) - )) (net tx_bit_cnt_Q_2 (joined (portRef Q (instanceRef tx_bit_cnt_2)) (portRef D (instanceRef tx_bit_cnt_RNO_2)) - (portRef C (instanceRef STATE_ns_i_i_0_a2_9)) - (portRef C (instanceRef last_tx_bit_x_0_a2_0_o2)) + (portRef C (instanceRef STATE_ns_i_i_a2_9)) + (portRef C (instanceRef last_tx_bit_x_0_o2)) (portRef C (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) (portRef B (instanceRef last_tx_bit_RNO)) )) (net tx_bit_cnt_Q_1 (joined (portRef Q (instanceRef tx_bit_cnt_1)) (portRef C (instanceRef tx_bit_cnt_RNO_2)) - (portRef B (instanceRef STATE_ns_i_i_0_a2_9)) - (portRef B (instanceRef last_tx_bit_x_0_a2_0_o2)) + (portRef B (instanceRef STATE_ns_i_i_a2_9)) + (portRef B (instanceRef last_tx_bit_x_0_o2)) (portRef B (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) (portRef A (instanceRef tx_bit_cnt_RNO_1)) (portRef C (instanceRef last_tx_bit_RNO)) @@ -886785,8 +886910,8 @@ (net tx_bit_cnt_Q_0 (joined (portRef Q (instanceRef tx_bit_cnt_0)) (portRef B (instanceRef tx_bit_cnt_RNO_2)) - (portRef A (instanceRef STATE_ns_i_i_0_a2_9)) - (portRef A (instanceRef last_tx_bit_x_0_a2_0_o2)) + (portRef A (instanceRef STATE_ns_i_i_a2_9)) + (portRef A (instanceRef last_tx_bit_x_0_o2)) (portRef B (instanceRef tx_bit_cntc)) (portRef A (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) (portRef B (instanceRef tx_bit_cnt_RNO_1)) @@ -886796,35 +886921,6 @@ (portRef Z (instanceRef last_tx_bit_RNO)) (portRef D (instanceRef last_tx_bit)) )) - (net cmd_int_0 (joined - (portRef Q (instanceRef cmd_int_0)) - (portRef C (instanceRef is_data_x_1_iv_i_a2_0)) - (portRef C (instanceRef STATE_ns_i_i_a2_13_RNI5EBQ_15)) - (portRef B (instanceRef is_data_x_1_iv_i_a2_3)) - (portRef D (instanceRef STATE_ns_i_i_o2_5_15)) - (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_2)) - (portRef D (instanceRef STATE_ns_i_0_a2_RNO_12)) - (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_1)) - (portRef B (instanceRef tx_load_x_iv_i_a2_7_2)) - (portRef B (instanceRef tx_sel_x_i_0_0_a2_2_0)) - (portRef A (instanceRef rx_ena_x_1_iv_i_a2_0_0)) - (portRef A (instanceRef tx_sel_x_i_0_0_o2_1_0)) - (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_0)) - (portRef A (instanceRef tx_load_x_iv_i_a2_2_1)) - (portRef A (instanceRef tx_sreg_RNO_2_0)) - (portRef A (instanceRef STATE_ns_i_i_a2_14_15)) - (portRef A (instanceRef tx_load_x_iv_i_a2_3_0)) - (portRef A (instanceRef tx_load_x_iv_i_a2_5_RNIH6G61)) - )) - (net tx_load_x_iv_i_a2_5 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_5)) - (portRef C (instanceRef tx_load_x_iv_i_2_1)) - (portRef B (instanceRef tx_sel_x_i_0_0_a2_0)) - (portRef C (instanceRef tx_sel_x_i_0_a2_0_2)) - (portRef B (instanceRef STATE_ns_i_0_a2_RNO_12)) - (portRef B (instanceRef STATE_ns_i_i_a2_13_15)) - (portRef B (instanceRef tx_load_x_iv_i_a2_5_RNIH6G61)) - )) (net reset_i_1 (joined (portRef reset_i_1) (portRef C (instanceRef addr_ctre_0_i)) @@ -886937,7 +887033,7 @@ (portRef D (instanceRef tx_bit_cnt_RNO_1)) (portRef D (instanceRef tx_bit_cnt_RNO_3)) )) - (net N_398_i (joined + (net N_612_i (joined (portRef Z (instanceRef tx_bit_cnt_RNO_1)) (portRef D (instanceRef tx_bit_cnt_1)) )) @@ -886946,13 +887042,13 @@ (portRef D (instanceRef tx_sel_RNIN72D_2)) (portRef A (instanceRef tx_sel_RNIS26A_0_2)) (portRef D (instanceRef tx_sel_RNIO7KK2_2)) - (portRef C (instanceRef tx_sregc_5_1)) (portRef C (instanceRef tx_sregc_1_1)) + (portRef C (instanceRef tx_sregc_5_1)) (portRef C (instanceRef tx_sreg_RNO_0_0)) (portRef D (instanceRef adh_int_RNID0GR_7)) (portRef C (instanceRef tx_sel_RNIVIEH_2)) - (portRef B (instanceRef tx_sreg_RNO_0_4)) (portRef D (instanceRef tx_sreg_RNO_2_0)) + (portRef B (instanceRef tx_sreg_RNO_0_4)) (portRef B (instanceRef tx_sel_RNIS26A_2)) (portRef C (instanceRef tx_sel_RNIN72D_0_2)) (portRef C (instanceRef tx_sregc_3_2_bm)) @@ -886961,18 +887057,18 @@ (net spi_debug_1 (joined (portRef Q (instanceRef tx_sel_1)) (portRef A (instanceRef tx_sel_RNIN72D_2)) - (portRef B (instanceRef tx_sregc_5_1)) (portRef B (instanceRef tx_sregc_1_1)) - (portRef C (instanceRef tx_sregc_5_0)) + (portRef B (instanceRef tx_sregc_5_1)) (portRef C (instanceRef tx_sregc_1_0)) + (portRef C (instanceRef tx_sregc_5_0)) (portRef C (instanceRef tx_sregc_0_4)) - (portRef D (instanceRef tx_sregc_4_2_RNO)) (portRef D (instanceRef tx_sregc_0_2_RNO)) (portRef B (instanceRef tx_sreg_RNO_0_0)) (portRef D (instanceRef tx_sregc_2_2_RNO)) + (portRef D (instanceRef tx_sregc_4_2_RNO)) (portRef D (instanceRef adm_int_RNIB4D01_7)) - (portRef C (instanceRef adh_int_RNID0GR_7)) (portRef D (instanceRef tx_sreg_RNO_1_0)) + (portRef C (instanceRef adh_int_RNID0GR_7)) (portRef B (instanceRef tx_sel_RNIVIEH_2)) (portRef C (instanceRef tx_sreg_RNO_2_0)) (portRef B (instanceRef tx_sel_RNIN72D_0_2)) @@ -886988,42 +887084,32 @@ (portRef B (instanceRef tx_sregc_0_4)) (portRef A (instanceRef tx_sreg_RNO_0_0)) (portRef C (instanceRef tx_sreg_RNO_1_0)) - (portRef A (instanceRef tx_sreg_RNO_0_4)) (portRef B (instanceRef tx_sreg_RNO_2_0)) + (portRef A (instanceRef tx_sreg_RNO_0_4)) (portRef A (instanceRef tx_sel_RNIS26A_2)) (portRef A (instanceRef tx_sel_RNIN72D_0_2)) - (portRef C (instanceRef tx_sregc_3_2_bm_RNO)) (portRef C (instanceRef tx_sregc_5_0_RNO)) (portRef C (instanceRef tx_sregc_1_0_RNO)) + (portRef C (instanceRef tx_sregc_3_2_bm_RNO)) (portRef A (instanceRef tx_sel_RNI0U97_0)) (portRef C (instanceRef tx_sregc_3_2_am)) (portRef C (instanceRef tx_sel_RNIR26A_0)) (portRef D (instanceRef tx_sel_RNIN72D_1_2)) )) - (net tx_sel_RNIN72D_1_2 (joined + (net N_555 (joined (portRef Z (instanceRef tx_sel_RNIN72D_1_2)) - (portRef B (instanceRef tx_sreg_RNO_4)) - (portRef B (instanceRef tx_sregc_5_2)) - (portRef B (instanceRef tx_sregc_1_2)) - (portRef A (instanceRef tx_sregc_2_1)) + (portRef A (instanceRef tx_sreg_RNO_4)) + (portRef A (instanceRef tx_sregc_1_2)) (portRef A (instanceRef tx_sregc_4_1)) + (portRef A (instanceRef tx_sregc_5_2)) (portRef A (instanceRef tx_sregc_0_1)) + (portRef A (instanceRef tx_sregc_2_1)) )) - (net tx_sel_RNIR26A_0 (joined + (net N_579 (joined (portRef Z (instanceRef tx_sel_RNIR26A_0)) - (portRef B (instanceRef tx_sregc_2_1)) (portRef B (instanceRef tx_sregc_4_1)) (portRef B (instanceRef tx_sregc_0_1)) - )) - (net STATE_8 (joined - (portRef Q (instanceRef STATE_8)) - (portRef A (instanceRef STATE_ns_0_i_a2_1_7)) - (portRef B (instanceRef rx_ena_x_1_iv_i_0)) - (portRef C (instanceRef STATE_ns_0_a3_0_a2_13)) - (portRef B (instanceRef STATE_ns_i_i_a2_6_1_15)) - (portRef A (instanceRef rx_store_RNO)) - (portRef B (instanceRef STATE_RNO_0_0)) - (portRef STATE_8) + (portRef B (instanceRef tx_sregc_2_1)) )) (net rx_bit_cnt_Q_2 (joined (portRef Q (instanceRef rx_bit_cnt_2)) @@ -887065,7 +887151,7 @@ (portRef B (instanceRef tx_sregc_3_2_am)) (portRef B (instanceRef tx_sregc_3_2_bm)) )) - (net N_981 (joined + (net N_326 (joined (portRef Z (instanceRef tx_sregc_3_2_bm_RNO)) (portRef D (instanceRef tx_sregc_3_2_bm)) )) @@ -887285,7 +887371,7 @@ (portRef A (instanceRef tx_sreg_RNI3EHG_6)) )) (net N_786_0 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_0)) + (portRef Z (instanceRef tx_sel_x_i_0_0)) (portRef D (instanceRef tx_sel_0)) )) (net div_done_Q (joined @@ -887331,7 +887417,7 @@ (portRef Z (instanceRef tx_sel_x_i_0_2)) (portRef D (instanceRef tx_sel_2)) )) - (net tx_load_x_iv_i (joined + (net N_156 (joined (portRef Z (instanceRef tx_load_x_iv_i)) (portRef D (instanceRef tx_load)) )) @@ -887348,12 +887434,12 @@ (portRef Z (instanceRef tx_bit_cntc)) (portRef D (instanceRef tx_bit_cnt_0)) )) - (net N_399_i (joined + (net N_613_i (joined (portRef Z (instanceRef tx_bit_cnt_RNO_2)) (portRef D (instanceRef tx_bit_cnt_2)) )) (net un1_start_in (joined - (portRef Z (instanceRef THE_START_PROC_un1_start_in)) + (portRef Z (instanceRef THE_START_PROC_un1_start_in_0_a2)) (portRef SP (instanceRef adh_int_7)) (portRef SP (instanceRef adh_int_6)) (portRef SP (instanceRef adh_int_5)) @@ -887409,7 +887495,7 @@ (portRef D (instanceRef rx_data_1)) (portRef D (instanceRef rx_sreg_2)) )) - (net N_727_i (joined + (net N_633_i (joined (portRef Z (instanceRef rx_ena_RNINE87)) (portRef SP (instanceRef rx_sreg_7)) (portRef SP (instanceRef rx_sreg_6)) @@ -887418,7 +887504,7 @@ (portRef SP (instanceRef rx_sreg_3)) (portRef SP (instanceRef rx_sreg_2)) (portRef SP (instanceRef rx_sreg_1)) - (portRef N_727_i) + (portRef N_633_i) )) (net rx_sreg_2 (joined (portRef Q (instanceRef rx_sreg_2)) @@ -887449,7 +887535,7 @@ (portRef Q (instanceRef rx_sreg_7)) (portRef D (instanceRef rx_data_7)) )) - (net rx_ena_x_1_iv_i (joined + (net N_158 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i)) (portRef D (instanceRef rx_ena)) )) @@ -887464,7 +887550,7 @@ (portRef D (instanceRef rx_data_0)) (portRef D (instanceRef rx_sreg_1)) )) - (net N_445_i (joined + (net N_388_i (joined (portRef Z (instanceRef rx_store_RNIC4G6)) (portRef D (instanceRef rx_complete)) (portRef SP (instanceRef rx_data_7)) @@ -887514,7 +887600,7 @@ )) (net N_650_0 (joined (portRef Z (instanceRef start_RNI11OL)) - (portRef D (instanceRef tx_load_x_iv_i_2_1)) + (portRef D (instanceRef tx_load_x_iv_i_1)) (portRef D (instanceRef STATE_14)) (portRef D (instanceRef rst_addr)) )) @@ -887617,7 +887703,7 @@ (portRef A (instanceRef ce_addr_x_0_i)) )) (net div_done_x (joined - (portRef Z (instanceRef or_all_tmp_0_a2)) + (portRef Z (instanceRef or_all_tmp_0_a2_0_a2)) (portRef D (instanceRef div_done)) )) (net div_counterc_i (joined @@ -887629,9 +887715,9 @@ (portRef B (instanceRef div_counter_RNO_1)) (portRef B (instanceRef div_counter_RNO_2)) (portRef A (instanceRef tx_ena_RNIVDST)) - (portRef B (instanceRef or_all_tmp_0_a2)) + (portRef B (instanceRef or_all_tmp_0_a2_0_a2)) )) - (net N_52_i_i (joined + (net N_302_i_i (joined (portRef Z (instanceRef div_counter_RNO_2)) (portRef D (instanceRef div_counter_2)) )) @@ -887639,7 +887725,7 @@ (portRef Q (instanceRef div_counter_2)) (portRef C (instanceRef div_counter_RNO_2)) (portRef B (instanceRef tx_ena_RNIVDST)) - (portRef C (instanceRef or_all_tmp_0_a2)) + (portRef C (instanceRef or_all_tmp_0_a2_0_a2)) )) (net data_done_x (joined (portRef S1 (instanceRef data_done_x_0_I_21_0)) @@ -887667,10 +887753,10 @@ )) (net data_done_5 (joined (portRef Q (instanceRef data_done_0_5)) + (portRef D (instanceRef STATE_ns_i_i_o2_15)) (portRef D (instanceRef STATE_ns_i_i_a2_2_15)) - (portRef C (instanceRef STATE_ns_i_i_a2_10_15)) - (portRef D (instanceRef is_data_x_1_iv_i_a2_0)) - (portRef C (instanceRef is_data_x_1_iv_i_a2_3)) + (portRef C (instanceRef STATE_ns_0_i_a2_1_6)) + (portRef D (instanceRef STATE_ns_0_i_a2_4_6)) )) (net reg_ctrl_data_24 (joined (portRef (member reg_ctrl_data 7)) @@ -887680,6 +887766,24 @@ (portRef (member reg_ctrl_data 6)) (portRef D (instanceRef cmd_int_1)) )) + (net cmd_int_1 (joined + (portRef Q (instanceRef cmd_int_1)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_4)) + (portRef D (instanceRef STATE_ns_i_i_a2_9_15)) + (portRef C (instanceRef tx_sel_x_i_0_o2_0_2)) + (portRef D (instanceRef STATE_ns_0_i_a2_2_7)) + (portRef D (instanceRef tx_sregc_0_1)) + (portRef A (instanceRef tx_sel_x_i_0_a2_3_0)) + (portRef A (instanceRef STATE_ns_0_i_o2_7)) + (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_1)) + (portRef C (instanceRef STATE_ns_0_i_a2_3_3)) + (portRef A (instanceRef tx_sel_x_i_0_a2_3_2)) + (portRef B (instanceRef STATE_ns_0_i_o2_1_3)) + (portRef B (instanceRef tx_sel_x_i_0_a2_2_1_2)) + (portRef A (instanceRef STATE_ns_0_i_a2_2_6)) + (portRef A (instanceRef rx_ena_x_1_iv_i_o2_1)) + (portRef B (instanceRef rx_ena_x_1_iv_i_o2_3)) + )) (net reg_ctrl_data_26 (joined (portRef (member reg_ctrl_data 5)) (portRef D (instanceRef cmd_int_2)) @@ -887688,10 +887792,38 @@ (portRef (member reg_ctrl_data 4)) (portRef D (instanceRef cmd_int_3)) )) + (net cmd_int_3 (joined + (portRef Q (instanceRef cmd_int_3)) + (portRef D (instanceRef tx_sregc_2_1)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_2)) + (portRef B (instanceRef tx_sel_x_i_0_a2_3_0)) + (portRef B (instanceRef STATE_ns_0_i_o2_7)) + (portRef A (instanceRef tx_sel_x_i_0_a2_2_2)) + (portRef B (instanceRef tx_sel_x_i_0_a2_3_2)) + (portRef D (instanceRef STATE_ns_0_i_o2_1_3)) + (portRef B (instanceRef STATE_ns_0_i_a2_2_6)) + (portRef B (instanceRef rx_ena_x_1_iv_i_o2_1)) + (portRef A (instanceRef rx_ena_x_1_iv_i_o2_2)) + (portRef A (instanceRef rx_ena_x_1_iv_i_o2)) + )) (net reg_ctrl_data_28 (joined (portRef (member reg_ctrl_data 3)) (portRef D (instanceRef cmd_int_4)) )) + (net cmd_int_4 (joined + (portRef Q (instanceRef cmd_int_4)) + (portRef C (instanceRef tx_sreg_RNO_4)) + (portRef C (instanceRef STATE_ns_0_i_a2_2_3)) + (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_2)) + (portRef C (instanceRef STATE_ns_0_i_o2_7)) + (portRef C (instanceRef tx_sel_x_i_0_a2_3_2_0)) + (portRef B (instanceRef tx_sel_x_i_0_a2_2_2)) + (portRef C (instanceRef tx_sel_x_i_0_a2_3_2)) + (portRef C (instanceRef STATE_ns_0_i_a2_2_6)) + (portRef C (instanceRef rx_ena_x_1_iv_i_o2_1)) + (portRef B (instanceRef rx_ena_x_1_iv_i_o2_2)) + (portRef B (instanceRef rx_ena_x_1_iv_i_o2)) + )) (net reg_ctrl_data_29 (joined (portRef (member reg_ctrl_data 2)) (portRef D (instanceRef cmd_int_5)) @@ -887947,25 +888079,10 @@ (portRef S0 (instanceRef addr_ctr_s_0_7)) (portRef D (instanceRef addr_ctr_7)) )) - (net N_697_i (joined + (net N_600_i (joined (portRef Z (instanceRef STATE_RNO_0)) (portRef D (instanceRef STATE_0)) )) - (net STATE_1 (joined - (portRef Q (instanceRef STATE_1)) - (portRef C (instanceRef STATE_ns_i_i_7_15)) - (portRef C (instanceRef rx_ena_x_1_iv_i)) - (portRef B (instanceRef STATE_ns_i_i_3_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_2_15)) - (portRef C (instanceRef STATE_ns_i_i_6_RNO_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_4_15)) - (portRef B (instanceRef rx_ena_x_1_iv_i_a2_5)) - (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_0)) - (portRef A (instanceRef STATE_ns_i_i_a2_9_0_15)) - (portRef A (instanceRef STATE_ns_i_i_a2_6_1_15)) - (portRef D (instanceRef STATE_RNO_0_0)) - (portRef STATE_1) - )) (net STATE_ns_13 (joined (portRef Z (instanceRef STATE_ns_0_a3_0_a2_13)) (portRef D (instanceRef STATE_2)) @@ -887980,63 +888097,94 @@ (portRef D (instanceRef STATE_3)) )) (net STATE_ns_11 (joined - (portRef Z (instanceRef STATE_ns_0_a3_0_0_a2_11)) + (portRef Z (instanceRef STATE_ns_0_a3_0_a2_11)) (portRef D (instanceRef STATE_4)) )) - (net N_393_i (joined + (net N_609_i (joined (portRef Z (instanceRef STATE_RNO_5)) (portRef D (instanceRef STATE_5)) )) - (net N_392_i (joined + (net N_608_i (joined (portRef Z (instanceRef STATE_RNO_6)) (portRef D (instanceRef STATE_6)) )) - (net STATE_ns_0_i_s_0_8 (joined - (portRef Z (instanceRef STATE_ns_0_i_s_0_8)) + (net N_160 (joined + (portRef Z (instanceRef STATE_ns_0_i_s_8)) (portRef D (instanceRef STATE_7)) )) + (net STATE_7 (joined + (portRef Q (instanceRef STATE_7)) + (portRef C (instanceRef STATE_ns_i_i_3_15)) + (portRef A (instanceRef is_data_x_1_iv_i_a2_0)) + (portRef C (instanceRef STATE_ns_i_i_o2_1_1_15)) + (portRef D (instanceRef STATE_ns_0_i_a2_0_3)) + (portRef C (instanceRef STATE_ns_0_i_s_0_7)) + (portRef C (instanceRef rx_ena_x_1_iv_i_a2_5)) + (portRef B (instanceRef STATE_ns_0_i_a2_1_3)) + (portRef C (instanceRef tx_ena_x_1_iv_0_a2_1)) + (portRef D (instanceRef STATE_ns_i_i_a2_1_15)) + (portRef A (instanceRef STATE_ns_0_i_s_RNO_6)) + (portRef STATE_7) + )) (net STATE_ns_0_i_s_7 (joined (portRef Z (instanceRef STATE_ns_0_i_s_7)) (portRef D (instanceRef STATE_8)) )) - (net N_696 (joined + (net STATE_8 (joined + (portRef Q (instanceRef STATE_8)) + (portRef A (instanceRef STATE_ns_0_i_a2_1_7)) + (portRef C (instanceRef STATE_ns_0_a3_0_a2_13)) + (portRef A (instanceRef rx_ena_x_1_iv_i_3)) + (portRef D (instanceRef STATE_ns_i_i_a2_6_2_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_15_15)) + (portRef A (instanceRef rx_store_RNO)) + (portRef STATE_8) + )) + (net N_599 (joined (portRef Z (instanceRef STATE_ns_0_i_s_6)) (portRef D (instanceRef STATE_9)) )) - (net N_776_i (joined + (net N_726_i (joined (portRef Z (instanceRef STATE_RNO_10)) (portRef D (instanceRef STATE_10)) )) - (net N_774_i (joined + (net N_725_i (joined (portRef Z (instanceRef STATE_RNO_11)) (portRef D (instanceRef STATE_11)) )) - (net N_699 (joined + (net STATE_ns_0_i_s_3 (joined (portRef Z (instanceRef STATE_ns_0_i_s_3)) (portRef D (instanceRef STATE_12)) )) - (net N_772_i (joined + (net N_721_i (joined (portRef Z (instanceRef STATE_RNO_13)) (portRef D (instanceRef STATE_13)) )) - (net un1_tx_bit_cnt (joined - (portRef Z (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) - (portRef A (instanceRef tx_sel_x_i_0_0_1)) - (portRef D (instanceRef tx_load_x_iv_i_1)) - (portRef D (instanceRef tx_sel_x_i_0_0_0)) - (portRef D (instanceRef tx_sel_x_i_0_0_a2_0)) - (portRef D (instanceRef tx_sel_x_i_0_2)) - (portRef C (instanceRef tx_load_x_iv_i_a2_0)) - (portRef D (instanceRef tx_ena_x_1_iv_0_o2)) - (portRef D (instanceRef is_data_x_1_iv_i_a2_0_0)) - (portRef B (instanceRef tx_sel_x_i_0_a2_4_1)) - (portRef B (instanceRef tx_sel_x_i_0_a2_5_1)) + (net STATE_13 (joined + (portRef Q (instanceRef STATE_13)) + (portRef D (instanceRef tx_load_x_iv_i_a2)) + (portRef D (instanceRef is_data_x_1_iv_i_a2_0)) + (portRef D (instanceRef STATE_ns_i_i_o2_1_1_15)) + (portRef D (instanceRef STATE_ns_0_i_s_8)) + (portRef C (instanceRef STATE_ns_0_i_a2_8)) + (portRef C (instanceRef tx_sel_x_i_0_0_0)) + (portRef B (instanceRef STATE_RNO_13)) + (portRef C (instanceRef tx_ena_x_1_iv_0_a2_0_0)) + (portRef A (instanceRef tx_sel_x_i_0_a2_2_0)) + (portRef A (instanceRef tx_sel_x_i_0_RNO_0)) + (portRef STATE_13) )) (net rx_bit_cnt (joined (portRef Z (instanceRef THE_STATE_TRANSITIONS_rx_bit_cnt_p4)) - (portRef C (instanceRef rx_ena_x_1_iv_i_0)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3)) (portRef C (instanceRef rx_bit_cnt_RNO_2)) )) + (net N_545 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_6_2)) + (portRef B (instanceRef STATE_ns_i_i_o2_5_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_17_15)) + (portRef A (instanceRef tx_sel_x_i_0_a2_3_2_0)) + )) (net addr_ctr (joined (portRef Z (instanceRef THE_ADDR_COUNTER_un8_reset_0_a2)) (portRef A0 (instanceRef addr_ctr_s_0_7)) @@ -888049,220 +888197,259 @@ (portRef A1 (instanceRef addr_ctr_cry_0_0)) (portRef B0 (instanceRef addr_ctr_cry_0_0)) )) - (net tx_bit_cnt_RNO_0_2 (joined - (portRef Z (instanceRef tx_bit_cnt_RNO_0_2)) - (portRef A (instanceRef tx_bit_cnt_RNO_2)) - )) - (net N_207 (joined + (net rx_bit_cnt_4_i_o2_1_1 (joined (portRef Z (instanceRef rx_bit_cnt_4_i_o2_1_1)) - (portRef A (instanceRef rx_bit_cnt_RNO_2)) - )) - (net STATE_10 (joined - (portRef Q (instanceRef STATE_10)) - (portRef C (instanceRef STATE_ns_i_i_a2_9_2_15)) - (portRef C (instanceRef STATE_ns_0_a3_0_0_a2_11)) - (portRef C (instanceRef STATE_RNO_10)) - (portRef B (instanceRef STATE_ns_i_0_o2_0_12)) - (portRef A (instanceRef tx_sel_x_i_0_a2_5_1)) - (portRef STATE_10) - )) - (net N_585 (joined - (portRef Z (instanceRef tx_sel_x_i_0_a2_5_1)) - (portRef A (instanceRef tx_load_x_iv_i_2_1)) - (portRef A (instanceRef tx_sel_x_i_0_1)) - (portRef A (instanceRef tx_sel_x_i_0_a2_0_2)) - )) - (net STATE_9 (joined - (portRef Q (instanceRef STATE_9)) - (portRef D (instanceRef STATE_ns_i_i_a2_9_2_15)) - (portRef D (instanceRef STATE_ns_0_i_s_6)) - (portRef C (instanceRef is_data_x_1_iv_i)) - (portRef D (instanceRef STATE_ns_i_i_a2_4_15)) - (portRef D (instanceRef tx_sel_x_i_0_a2_0_2)) - (portRef B (instanceRef STATE_ns_i_i_a2_13_RNI5EBQ_15)) - (portRef D (instanceRef STATE_ns_i_i_a2_8_15)) - (portRef A (instanceRef STATE_ns_i_0_o2_0_12)) - (portRef STATE_9) - )) - (net rx_ena_x_1_iv_i_o2 (joined - (portRef Z (instanceRef rx_ena_x_1_iv_i_o2)) - (portRef A (instanceRef STATE_ns_i_i_a2_6_15)) - (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_1)) - (portRef A (instanceRef tx_sel_x_i_0_0_a2_2_0)) - (portRef A (instanceRef STATE_ns_i_i_a2_13_15)) - )) - (net tx_load_x_iv_i_a2_11 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_11)) - (portRef C (instanceRef STATE_ns_i_i_o2_5_15)) - (portRef C (instanceRef STATE_ns_i_0_a2_RNO_12)) - (portRef A (instanceRef tx_load_x_iv_i_a2_7_2)) - (portRef C (instanceRef STATE_ns_i_i_a2_13_15)) + (portRef B (instanceRef rx_bit_cnt_RNO_2)) )) (net busy_Q (joined (portRef Q (instanceRef busy)) (portRef B (instanceRef start_RNO)) - (portRef B (instanceRef THE_START_PROC_un1_start_in)) + (portRef B (instanceRef THE_START_PROC_un1_start_in_0_a2)) (portRef busy_Q) )) - (net STATE_3 (joined - (portRef Q (instanceRef STATE_3)) - (portRef B (instanceRef STATE_ns_i_i_o2_1_1_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_19_15)) - (portRef D (instanceRef STATE_ns_i_i_6_RNO_15)) - (portRef C (instanceRef STATE_ns_i_i_a2_4_15)) - (portRef C (instanceRef STATE_ns_i_i_o2_1_0_15)) - (portRef B (instanceRef STATE_ns_i_i_o2_4_0_15)) - (portRef B (instanceRef is_data_x_1_iv_i_a2_0)) - (portRef C (instanceRef STATE_ns_i_i_a2_8_15)) - (portRef A (instanceRef is_data_x_1_iv_i_a2_0_0)) - (portRef B (instanceRef STATE_ns_i_i_a2_9_0_15)) - (portRef A (instanceRef STATE_ns_i_i_a2_15_15)) - (portRef STATE_3) - )) - (net STATE_ns_i_i_a2_15_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_15_15)) - (portRef D (instanceRef STATE_ns_i_i_0_15)) - )) - (net STATE_11 (joined - (portRef Q (instanceRef STATE_11)) - (portRef C (instanceRef tx_sel_x_i_0_0_0)) - (portRef C (instanceRef STATE_RNO_11)) - (portRef B (instanceRef STATE_RNO_5)) - (portRef A (instanceRef tx_sel_x_i_0_o2_1)) - (portRef STATE_11) + (net N_556 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_2_0)) + (portRef A (instanceRef tx_sel_x_i_0_0_1)) + (portRef A (instanceRef tx_load_x_iv_i_1)) + (portRef A (instanceRef tx_sel_x_i_0_a2_2)) )) - (net STATE_12 (joined - (portRef Q (instanceRef STATE_12)) - (portRef D (instanceRef STATE_ns_0_i_s_3)) - (portRef B (instanceRef STATE_RNO_6)) - (portRef B (instanceRef tx_sel_x_i_0_o2_1)) - (portRef STATE_12) + (net N_544 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_12_15)) + (portRef B (instanceRef STATE_ns_0_i_a2_0_3)) + (portRef A (instanceRef rx_ena_x_1_iv_i_a2_6)) + (portRef A (instanceRef tx_load_x_iv_i_a2_0)) + (portRef A (instanceRef STATE_ns_i_i_a2_17_15)) + (portRef A (instanceRef tx_sel_x_i_0_a2_0_1_2)) + (portRef A (instanceRef STATE_ns_i_i_a2_6_2_15)) )) - (net N_220 (joined - (portRef Z (instanceRef tx_sel_x_i_0_o2_1)) - (portRef B (instanceRef tx_sel_x_i_0_0_1)) - (portRef A (instanceRef tx_load_x_iv_i_1)) - (portRef B (instanceRef tx_ena_x_1_iv_0_o2)) + (net tx_bit_cnt_RNO_0_2 (joined + (portRef Z (instanceRef tx_bit_cnt_RNO_0_2)) + (portRef A (instanceRef tx_bit_cnt_RNO_2)) )) - (net tx_sel_RNI0U97_0 (joined + (net N_546 (joined (portRef Z (instanceRef tx_sel_RNI0U97_0)) (portRef A (instanceRef adm_int_RNIB4D01_7)) )) - (net tx_sel_x_i_0_a2_0_2 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_3_0)) - (portRef D (instanceRef tx_load_x_iv_i_o2_0)) - (portRef D (instanceRef tx_sel_x_i_0_a2_2)) + (net rx_ena_x_1_iv_i_o2 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_o2)) + (portRef B (instanceRef tx_ena_x_1_iv_0_a2_1)) + (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_1)) + (portRef A (instanceRef STATE_ns_0_i_a2_3_3)) )) - (net tx_sregc_1_0_RNO (joined + (net N_912 (joined (portRef Z (instanceRef tx_sregc_1_0_RNO)) (portRef A (instanceRef tx_sregc_1_0)) )) - (net N_983 (joined + (net N_328 (joined (portRef Z (instanceRef tx_sregc_5_0_RNO)) (portRef A (instanceRef tx_sregc_5_0)) )) (net spi_start_Q (joined (portRef spi_start_Q) (portRef A (instanceRef start_RNO)) - (portRef A (instanceRef THE_START_PROC_un1_start_in)) + (portRef A (instanceRef THE_START_PROC_un1_start_in_0_a2)) )) (net un1_clk_en_0_i (joined (portRef Z (instanceRef div_done_RNI7SR9)) (portRef un1_clk_en_0_i) )) - (net STATE_13 (joined - (portRef Q (instanceRef STATE_13)) - (portRef C (instanceRef STATE_ns_i_i_a2_17_15)) - (portRef D (instanceRef STATE_ns_0_i_s_0_8)) - (portRef C (instanceRef STATE_ns_0_i_s_0_a2_8)) - (portRef C (instanceRef tx_sel_x_i_0_0_a2_0)) - (portRef B (instanceRef STATE_RNO_13)) - (portRef B (instanceRef tx_load_x_iv_i_a2_0)) - (portRef C (instanceRef tx_ena_x_1_iv_0_o2)) - (portRef C (instanceRef is_data_x_1_iv_i_a2_0_0)) - (portRef A (instanceRef tx_sel_x_i_0_a2_4_1)) - (portRef STATE_13) + (net STATE_1 (joined + (portRef Q (instanceRef STATE_1)) + (portRef B (instanceRef STATE_ns_i_i_3_15)) + (portRef B (instanceRef STATE_ns_i_i_o2_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_2_15)) + (portRef C (instanceRef STATE_ns_i_i_6_RNO_15)) + (portRef C (instanceRef rx_ena_x_1_iv_i_a2_6)) + (portRef B (instanceRef STATE_ns_i_i_a2_4_15)) + (portRef B (instanceRef rx_ena_x_1_iv_i_a2_5)) + (portRef C (instanceRef STATE_ns_i_i_a2_6_2_15)) + (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_0)) + (portRef A (instanceRef STATE_ns_i_i_a2_9_0_15)) + (portRef A (instanceRef STATE_ns_i_i_a2_15_15)) + (portRef STATE_1) )) - (net tx_sel_x_i_0_a2_4_1 (joined - (portRef Z (instanceRef tx_sel_x_i_0_a2_4_1)) - (portRef C (instanceRef tx_sel_x_i_0_0_1)) - (portRef B (instanceRef tx_load_x_iv_i)) - (portRef C (instanceRef tx_sel_x_i_0_a2_2)) + (net STATE_4 (joined + (portRef Q (instanceRef STATE_4)) + (portRef C (instanceRef STATE_ns_0_i_s_7)) + (portRef D (instanceRef rx_ena_x_1_iv_i_a2_6)) + (portRef C (instanceRef STATE_ns_i_i_a2_9_0_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_15_15)) + (portRef STATE_4) )) - (net N_218 (joined + (net STATE_ns_i_i_a2_16_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_16_15)) + (portRef C (instanceRef STATE_ns_i_i_o2_0_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_9_15)) + (portRef C (instanceRef STATE_ns_0_i_a2_2_7)) + (portRef B (instanceRef STATE_ns_i_i_a2_6_2_15)) + )) + (net N_277 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_o2_2)) - (portRef A (instanceRef STATE_ns_i_i_1_15)) (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_4)) - (portRef A (instanceRef tx_sel_x_i_0_o2_2)) - (portRef A (instanceRef tx_sel_x_i_0_a2_2_1)) + (portRef A (instanceRef STATE_ns_i_i_a2_9_15)) + (portRef A (instanceRef tx_sel_x_i_0_o2_0_2)) + (portRef A (instanceRef STATE_ns_0_i_a2_2_7)) )) - (net STATE_ns_i_i_a2_14_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_14_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_9_2_15)) - (portRef C (instanceRef STATE_ns_i_i_o2_0_15)) - (portRef C (instanceRef tx_sel_x_i_0_a2_2_1)) - (portRef B (instanceRef STATE_ns_i_i_a2_6_15)) + (net rx_ena_x_1_iv_i_o2_3 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_o2_3)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_3)) + )) + (net STATE_11 (joined + (portRef Q (instanceRef STATE_11)) + (portRef B (instanceRef tx_sel_x_i_0_0_0)) + (portRef C (instanceRef STATE_RNO_11)) + (portRef B (instanceRef STATE_RNO_5)) + (portRef A (instanceRef tx_ena_x_1_iv_0_a2_0_0)) + (portRef A (instanceRef tx_sel_x_i_0_a2_1)) + (portRef STATE_11) + )) + (net STATE_12 (joined + (portRef Q (instanceRef STATE_12)) + (portRef D (instanceRef STATE_ns_0_i_s_3)) + (portRef B (instanceRef STATE_RNO_6)) + (portRef B (instanceRef tx_ena_x_1_iv_0_a2_0_0)) + (portRef B (instanceRef tx_sel_x_i_0_a2_1)) + (portRef STATE_12) + )) + (net tx_sel_x_i_0_a2_1 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_1)) + (portRef B (instanceRef tx_sel_x_i_0_0_1)) + (portRef B (instanceRef tx_load_x_iv_i_1)) )) - (net N_816_1 (joined + (net N_780_1 (joined (portRef Z (instanceRef tx_sel_RNIN72D_0_2)) - (portRef A (instanceRef tx_sregc_5_2)) - (portRef A (instanceRef tx_sregc_1_2)) + (portRef B (instanceRef tx_sregc_1_2)) + (portRef B (instanceRef tx_sregc_5_2)) )) (net tx_sel_RNIS26A_2 (joined (portRef Z (instanceRef tx_sel_RNIS26A_2)) - (portRef A (instanceRef tx_sregc_4_2_RNO)) (portRef A (instanceRef tx_sregc_0_2_RNO)) (portRef A (instanceRef tx_sregc_2_2_RNO)) - )) - (net tx_sreg_RNO_2_0 (joined - (portRef Z (instanceRef tx_sreg_RNO_2_0)) - (portRef C (instanceRef tx_sreg_RNO_0)) + (portRef A (instanceRef tx_sregc_4_2_RNO)) )) (net spi_bram_wr_d_4 (joined (portRef (member spi_bram_wr_d 3)) (portRef C (instanceRef tx_sreg_RNO_0_4)) )) - (net N_824 (joined + (net N_749 (joined (portRef Z (instanceRef tx_sreg_RNO_0_4)) - (portRef A (instanceRef tx_sreg_RNO_4)) + (portRef B (instanceRef tx_sreg_RNO_4)) )) - (net tx_load_x_iv_i_a2_2_1 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_2_1)) - (portRef C (instanceRef tx_load_x_iv_i_a2_2)) + (net tx_sreg_RNO_2_0 (joined + (portRef Z (instanceRef tx_sreg_RNO_2_0)) + (portRef C (instanceRef tx_sreg_RNO_0)) )) - (net STATE_ns_i_i_a2_6_1_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_6_1_15)) - (portRef C (instanceRef STATE_ns_i_i_a2_6_15)) + (net tx_ena_x_1_iv_0_a2_0_0 (joined + (portRef Z (instanceRef tx_ena_x_1_iv_0_a2_0_0)) + (portRef D (instanceRef tx_ena_x_1_iv_0_o2)) + )) + (net tx_sel_x_i_0_a2_2_1_2 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_2_1_2)) + (portRef C (instanceRef tx_sel_x_i_0_a2_2_2)) + )) + (net STATE_3 (joined + (portRef Q (instanceRef STATE_3)) + (portRef B (instanceRef is_data_x_1_iv_i_a2_0)) + (portRef B (instanceRef STATE_ns_i_i_o2_1_1_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_23_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_4_15)) + (portRef B (instanceRef STATE_ns_0_i_a2_1_6)) + (portRef C (instanceRef STATE_ns_i_i_a2_1_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_8_0_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_9_0_15)) + (portRef STATE_3) )) (net STATE_ns_i_i_a2_9_0_0_15 (joined (portRef Z (instanceRef STATE_ns_i_i_a2_9_0_15)) - (portRef A (instanceRef STATE_ns_i_i_a2_9_2_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_9_1_15)) )) (net rx_ena_x_1_iv_i_3_tz_0 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz_0)) (portRef D (instanceRef rx_ena_x_1_iv_i_3_tz_1)) )) - (net tx_sreg_4_548_i_0_a2_3_2 (joined + (net STATE_9 (joined + (portRef Q (instanceRef STATE_9)) + (portRef C (instanceRef STATE_RNO_0_0)) + (portRef D (instanceRef STATE_ns_i_i_6_RNO_15)) + (portRef C (instanceRef is_data_x_1_iv_i)) + (portRef D (instanceRef STATE_ns_0_i_s_6)) + (portRef D (instanceRef STATE_ns_i_i_a2_4_15)) + (portRef B (instanceRef tx_sel_x_i_0_a2_1_2)) + (portRef C (instanceRef STATE_ns_i_0_a2_12)) + (portRef A (instanceRef tx_ena_x_1_iv_0_o2)) + (portRef B (instanceRef tx_sel_x_i_0_a2_0_1_2)) + (portRef A (instanceRef STATE_ns_i_i_a2_9_1_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_8_0_15)) + (portRef A (instanceRef tx_sel_x_i_0_a2_0_2_2)) + (portRef STATE_9) + )) + (net STATE_10 (joined + (portRef Q (instanceRef STATE_10)) + (portRef D (instanceRef STATE_RNO_0_0)) + (portRef B (instanceRef tx_sel_x_i_0_1)) + (portRef C (instanceRef STATE_ns_0_a3_0_a2_11)) + (portRef C (instanceRef STATE_RNO_10)) + (portRef D (instanceRef STATE_ns_i_0_a2_12)) + (portRef C (instanceRef tx_load_x_iv_i_a2_0)) + (portRef B (instanceRef tx_ena_x_1_iv_0_o2)) + (portRef C (instanceRef tx_sel_x_i_0_a2_0_1_2)) + (portRef B (instanceRef STATE_ns_i_i_a2_9_1_15)) + (portRef B (instanceRef tx_sel_x_i_0_a2_0_2_2)) + (portRef STATE_10) + )) + (net tx_sel_x_i_0_a2_0_2_2 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_0_2_2)) + (portRef D (instanceRef tx_sel_x_i_0_a2_2)) + )) + (net tx_sreg_4_548_i_0_a2_3_1 (joined (portRef Z (instanceRef tx_sel_RNIVIEH_2)) (portRef C (instanceRef tx_sreg_RNI6CRO3_6)) )) - (net tx_sreg_RNO_1_0 (joined - (portRef Z (instanceRef tx_sreg_RNO_1_0)) - (portRef B (instanceRef tx_sreg_RNO_0)) - )) - (net N_246 (joined + (net N_649 (joined (portRef Z (instanceRef adh_int_RNID0GR_7)) (portRef A (instanceRef tx_sel_RNIFE2A1_0)) )) + (net N_762 (joined + (portRef Z (instanceRef tx_sreg_RNO_1_0)) + (portRef B (instanceRef tx_sreg_RNO_0)) + )) (net tx_sreg_4_548_i_0_a2_1 (joined (portRef Z (instanceRef adm_int_RNIB4D01_7)) (portRef B (instanceRef tx_sel_RNIO7KK2_2)) )) - (net N_446_i (joined + (net N_389_i (joined (portRef Z (instanceRef tx_ena_RNIVDST)) - (portRef N_446_i) + (portRef N_389_i) )) - (net tx_sregc_2_2_RNO (joined + (net STATE_ns_0_i_o2_1_3 (joined + (portRef Z (instanceRef STATE_ns_0_i_o2_1_3)) + (portRef B (instanceRef STATE_ns_0_i_a2_2_3)) + )) + (net N_534 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_3_2)) + (portRef B (instanceRef tx_sel_x_i_0_o2_0_2)) + (portRef B (instanceRef STATE_ns_0_i_a2_2_7)) + )) + (net N_775 (joined + (portRef Z (instanceRef tx_sregc_4_2_RNO)) + (portRef B (instanceRef tx_sregc_4_2)) + )) + (net N_575 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_9)) + (portRef A (instanceRef STATE_ns_0_i_s_8)) + (portRef A (instanceRef STATE_ns_0_i_a2_8)) + (portRef A (instanceRef STATE_ns_0_i_s_3)) + (portRef A (instanceRef STATE_ns_0_i_s_6)) + (portRef A (instanceRef STATE_ns_0_a3_0_a2_11)) + (portRef A (instanceRef STATE_RNO_11)) + (portRef A (instanceRef STATE_RNO_13)) + (portRef A (instanceRef STATE_RNO_10)) + (portRef A (instanceRef STATE_ns_i_0_a2_12)) + (portRef A (instanceRef STATE_RNO_6)) + (portRef A (instanceRef STATE_RNO_5)) + (portRef B (instanceRef STATE_ns_i_i_a2_1_15)) + )) + (net N_507 (joined (portRef Z (instanceRef tx_sregc_2_2_RNO)) (portRef A (instanceRef tx_sregc_2_2)) )) @@ -888270,64 +888457,44 @@ (portRef (member spi_bram_wr_d 7)) (portRef D (instanceRef tx_sreg_RNO_0_0)) )) - (net tx_sreg_RNO_0_0 (joined + (net N_497 (joined (portRef Z (instanceRef tx_sreg_RNO_0_0)) (portRef A (instanceRef tx_sreg_RNO_0)) )) - (net N_505 (joined - (portRef Z (instanceRef tx_sel_x_i_0_a2_1_2)) - (portRef B (instanceRef tx_sel_x_i_0_o2_2)) - (portRef B (instanceRef tx_sel_x_i_0_a2_2_1)) - )) - (net STATE_ns_i_i_a2_13_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_13_15)) - (portRef A (instanceRef is_data_x_1_iv_i_a2_0)) - (portRef A (instanceRef STATE_ns_i_i_a2_13_RNI5EBQ_15)) - (portRef A (instanceRef is_data_x_1_iv_i_a2_3)) - (portRef A (instanceRef STATE_ns_i_i_a2_8_15)) - )) - (net STATE_ns_i_i_0_a2_9 (joined - (portRef Z (instanceRef STATE_ns_i_i_0_a2_9)) - (portRef C (instanceRef STATE_ns_0_i_s_0_8)) - (portRef B (instanceRef STATE_ns_0_i_s_3)) - (portRef B (instanceRef STATE_ns_0_i_s_0_a2_8)) - (portRef B (instanceRef STATE_ns_0_i_s_6)) - (portRef B (instanceRef STATE_ns_0_a3_0_0_a2_11)) - (portRef A (instanceRef STATE_RNO_11)) - (portRef A (instanceRef STATE_RNO_13)) - (portRef A (instanceRef STATE_RNO_10)) - (portRef C (instanceRef STATE_ns_i_i_0_15)) - (portRef C (instanceRef STATE_ns_i_0_a2_12)) - (portRef A (instanceRef STATE_RNO_6)) - (portRef A (instanceRef STATE_RNO_5)) + (net N_757 (joined + (portRef Z (instanceRef tx_sregc_0_2_RNO)) + (portRef B (instanceRef tx_sregc_0_2)) )) - (net N_717 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_o2_1_0)) - (portRef A (instanceRef tx_sel_x_i_0_0_a2_3_0)) + (net N_537 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_3_3)) + (portRef A (instanceRef STATE_ns_0_i_a2_2_3)) )) - (net N_832 (joined - (portRef Z (instanceRef tx_sregc_0_2_RNO)) - (portRef A (instanceRef tx_sregc_0_2)) + (net STATE_ns_i_i_a2_6_2_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_6_2_15)) + (portRef D (instanceRef STATE_ns_i_i_2_15)) )) - (net N_309 (joined - (portRef Z (instanceRef tx_sregc_4_2_RNO)) - (portRef A (instanceRef tx_sregc_4_2)) + (net N_557 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_15_15)) + (portRef A (instanceRef STATE_RNO_0_0)) + (portRef A (instanceRef STATE_ns_i_i_a2_1_15)) + (portRef A (instanceRef STATE_ns_i_i_a2_8_0_15)) + (portRef N_557) )) - (net rx_ena_x_1_iv_i_a2_0_0 (joined - (portRef Z (instanceRef rx_ena_x_1_iv_i_a2_0_0)) - (portRef D (instanceRef rx_ena_x_1_iv_i_3_tz)) + (net STATE_ns_i_i_a2_8_0_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_8_0_15)) + (portRef D (instanceRef STATE_ns_i_i_1_15)) )) - (net N_853 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_a2_2_0)) - (portRef C (instanceRef tx_sel_x_i_0_0_o2_0)) + (net tx_sel_x_i_0_a2_3_2_0 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_3_2_0)) + (portRef D (instanceRef tx_sel_x_i_0_a2_3_0)) )) - (net tx_load_x_iv_i_a2_7_2 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_7_2)) - (portRef D (instanceRef tx_load_x_iv_i_a2_7)) + (net STATE_ns_i_i_a2_9_1_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_9_1_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_9_15)) )) - (net is_data_x_1_iv_i_a2_0 (joined - (portRef Z (instanceRef is_data_x_1_iv_i_a2_0_0)) - (portRef D (instanceRef is_data_x_1_iv_i)) + (net tx_sel_x_i_0_a2_0_1_2 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_0_1_2)) + (portRef D (instanceRef tx_sel_x_i_0_2)) )) (net rx_ena_x_1_iv_i_3_tz_1 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz_1)) @@ -888341,149 +888508,125 @@ (portRef Z (instanceRef tx_sregc_0_4)) (portRef D (instanceRef tx_sreg_RNO_0)) )) - (net tx_sel_RNIFE2A1_0 (joined + (net N_783 (joined (portRef Z (instanceRef tx_sel_RNIFE2A1_0)) (portRef A (instanceRef tx_sel_RNIO7KK2_2)) )) + (net tx_sregc_5_0 (joined + (portRef Z (instanceRef tx_sregc_5_0)) + (portRef A (instanceRef tx_sregc_5_1)) + )) (net tx_sregc_1_0 (joined (portRef Z (instanceRef tx_sregc_1_0)) (portRef A (instanceRef tx_sregc_1_1)) )) - (net tx_sregc_5_0 (joined - (portRef Z (instanceRef tx_sregc_5_0)) - (portRef A (instanceRef tx_sregc_5_1)) + (net STATE_ns_i_i_a2_17_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_17_15)) + (portRef A (instanceRef STATE_ns_i_i_6_RNO_15)) + (portRef A (instanceRef STATE_ns_0_i_a2_1_6)) + (portRef A (instanceRef tx_sel_x_i_0_a2_1_2)) + (portRef B (instanceRef STATE_ns_i_0_a2_12)) )) - (net tx_sel_x_i_0_o2_0_1 (joined - (portRef Z (instanceRef tx_sel_x_i_0_o2_0_1)) + (net STATE_ns_0_i_o2_7 (joined + (portRef Z (instanceRef STATE_ns_0_i_o2_7)) (portRef B (instanceRef STATE_ns_i_i_o2_0_15)) )) - (net N_243 (joined + (net N_647 (joined (portRef Z (instanceRef tx_ena_x_1_iv_0_o2)) (portRef A (instanceRef tx_ena_x_1_iv_0_a2_1_1)) )) - (net STATE_ns_i_0_a2_RNO_12 (joined - (portRef Z (instanceRef STATE_ns_i_0_a2_RNO_12)) - (portRef B (instanceRef STATE_ns_i_0_a2_12)) - )) - (net N_509 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_a2_3_0)) - (portRef A (instanceRef tx_sel_x_i_0_0_o2_0)) + (net N_699_2 (joined + (portRef Z (instanceRef is_data_x_1_iv_i_a2_2)) + (portRef A (instanceRef is_data_x_1_iv_i)) )) - (net STATE_ns_i_i_a2_8_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_8_15)) - (portRef B (instanceRef STATE_ns_i_i_1_15)) + (net N_386 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_1_15)) + (portRef A (instanceRef STATE_ns_i_i_2_15)) )) - (net N_800 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_6_15)) - (portRef A (instanceRef STATE_ns_i_i_0_15)) + (net N_787 (joined + (portRef Z (instanceRef tx_ena_x_1_iv_0_a2_1)) + (portRef A (instanceRef tx_ena_x_1_iv_0_a2_3)) )) - (net tx_load_x_iv_i_a2_7 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_7)) - (portRef B (instanceRef STATE_ns_0_i_s_0_8)) - (portRef A (instanceRef STATE_ns_i_i_o2_1_0_15)) - (portRef A (instanceRef STATE_ns_i_i_o2_4_0_15)) - (portRef A (instanceRef tx_load_x_iv_i_a2_0)) + (net tx_sel_x_i_0_a2_3_0 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_3_0)) + (portRef D (instanceRef STATE_ns_i_i_3_15)) + (portRef A (instanceRef STATE_ns_i_i_o2_1_1_15)) + (portRef C (instanceRef STATE_ns_0_i_s_8)) + (portRef C (instanceRef tx_load_x_iv_i_1)) + (portRef A (instanceRef tx_sel_x_i_0_0_0)) (portRef A (instanceRef STATE_ns_0_i_a2_1_3)) )) (net rx_ena_x_1_iv_i_3_tz_2 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz_2)) (portRef D (instanceRef rx_ena_x_1_iv_i_3_tz_4)) )) + (net rx_ena_x_1_iv_i_3_tz_3 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz_3)) + (portRef C (instanceRef rx_ena_x_1_iv_i_3)) + )) + (net tx_sregc_2_1 (joined + (portRef Z (instanceRef tx_sregc_2_1)) + (portRef B (instanceRef tx_sreg_RNO_3)) + )) (net tx_sregc_0_1 (joined (portRef Z (instanceRef tx_sregc_0_1)) (portRef B (instanceRef tx_sreg_RNO_1)) )) + (net tx_sregc_5_2 (joined + (portRef Z (instanceRef tx_sregc_5_2)) + (portRef C (instanceRef tx_sreg_RNO_6)) + )) (net tx_sregc_4_1 (joined (portRef Z (instanceRef tx_sregc_4_1)) (portRef B (instanceRef tx_sreg_RNO_5)) )) - (net tx_sregc_2_1 (joined - (portRef Z (instanceRef tx_sregc_2_1)) - (portRef B (instanceRef tx_sreg_RNO_3)) - )) (net tx_sregc_1_2 (joined (portRef Z (instanceRef tx_sregc_1_2)) (portRef C (instanceRef tx_sreg_RNO_2)) )) - (net tx_sregc_5_2 (joined - (portRef Z (instanceRef tx_sregc_5_2)) - (portRef C (instanceRef tx_sreg_RNO_6)) + (net tx_sregc_5_1 (joined + (portRef Z (instanceRef tx_sregc_5_1)) + (portRef B (instanceRef tx_sreg_RNO_6)) )) (net tx_sregc_1_1 (joined (portRef Z (instanceRef tx_sregc_1_1)) (portRef B (instanceRef tx_sreg_RNO_2)) )) - (net tx_sregc_5_1 (joined - (portRef Z (instanceRef tx_sregc_5_1)) - (portRef B (instanceRef tx_sreg_RNO_6)) - )) (net tx_sreg_4_548_i_0_1 (joined (portRef Z (instanceRef tx_sel_RNIO7KK2_2)) (portRef B (instanceRef tx_sreg_RNI6CRO3_6)) )) - (net tx_sel_x_i_0_a2_2_1 (joined - (portRef Z (instanceRef tx_sel_x_i_0_a2_2_1)) - (portRef D (instanceRef tx_sel_x_i_0_0_1)) - (portRef A (instanceRef STATE_ns_0_i_a2_7)) + (net STATE_ns_0_i_a2_2_7 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_2_7)) + (portRef C (instanceRef tx_sel_x_i_0_0_1)) + (portRef A (instanceRef STATE_ns_0_i_s_0_7)) (portRef A (instanceRef rx_ena_x_1_iv_i_a2_5)) )) - (net tx_sel_x_i_0_o2_2 (joined - (portRef Z (instanceRef tx_sel_x_i_0_o2_2)) - (portRef A (instanceRef tx_load_x_iv_i_o2_0)) + (net tx_sel_x_i_0_o2_0_2 (joined + (portRef Z (instanceRef tx_sel_x_i_0_o2_0_2)) (portRef B (instanceRef tx_sel_x_i_0_a2_2)) + (portRef A (instanceRef tx_load_x_iv_i_a2_1)) )) - (net is_data_x_1_iv_i_a2_3 (joined - (portRef Z (instanceRef is_data_x_1_iv_i_a2_3)) - (portRef B (instanceRef STATE_ns_i_i_a2_17_15)) - (portRef B (instanceRef STATE_ns_i_i_6_RNO_15)) - (portRef B (instanceRef STATE_ns_i_i_o2_1_0_15)) - )) - (net N_791 (joined - (portRef Z (instanceRef STATE_ns_0_i_a2_0_6)) - (portRef A (instanceRef STATE_ns_0_i_s_6)) - )) - (net N_799_1 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_13_RNI5EBQ_15)) - (portRef C (instanceRef tx_load_x_iv_i_1)) - (portRef C (instanceRef tx_sel_x_i_0_2)) - (portRef A (instanceRef STATE_ns_i_i_6_RNO_15)) - )) - (net N_899 (joined + (net STATE_ns_0_i_a2_1_3 (joined (portRef Z (instanceRef STATE_ns_0_i_a2_1_3)) (portRef C (instanceRef STATE_ns_0_i_s_3)) - (portRef A (instanceRef STATE_ns_i_i_3_15)) (portRef B (instanceRef tx_ena_x_1_iv_0_a2_3)) )) - (net N_319 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_0)) - (portRef B (instanceRef tx_load_x_iv_i_1)) - (portRef A (instanceRef tx_sel_x_i_0_0_0)) + (net STATE_ns_0_i_a2_4_6 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_4_6)) + (portRef B (instanceRef STATE_ns_i_i_o2_1_15)) )) - (net N_323 (joined - (portRef Z (instanceRef tx_sel_x_i_0_a2_0_2)) - (portRef B (instanceRef tx_sel_x_i_0_2)) + (net STATE_ns_i_i_a2_9_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_9_15)) + (portRef C (instanceRef STATE_ns_i_i_1_15)) + )) + (net tx_load_x_iv_i_a2_0 (joined + (portRef Z (instanceRef tx_load_x_iv_i_a2_0)) + (portRef B (instanceRef tx_load_x_iv_i)) )) (net rx_ena_x_1_iv_i_3_tz_4 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz_4)) - (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz)) - )) - (net N_867 (joined - (portRef Z (instanceRef tx_sel_RNIN72D_2)) - (portRef B (instanceRef tx_sregc_2_2)) - (portRef B (instanceRef tx_sregc_4_2)) - (portRef B (instanceRef tx_sregc_0_2)) - )) - (net tx_sregc_0_2 (joined - (portRef Z (instanceRef tx_sregc_0_2)) - (portRef C (instanceRef tx_sreg_RNO_1)) - )) - (net tx_sregc_4_2 (joined - (portRef Z (instanceRef tx_sregc_4_2)) - (portRef C (instanceRef tx_sreg_RNO_5)) - )) - (net tx_sregc_2_2 (joined - (portRef Z (instanceRef tx_sregc_2_2)) - (portRef C (instanceRef tx_sreg_RNO_3)) + (portRef D (instanceRef rx_ena_x_1_iv_i_3)) )) (net STATE_5 (joined (portRef Q (instanceRef STATE_5)) @@ -888507,76 +888650,110 @@ (portRef Z (instanceRef tx_ena_x_1_iv_0_a2_1_1)) (portRef C (instanceRef tx_ena_x_1_iv_0_a2_3)) )) - (net reg_bus_data_out_6_i_0_a2_1_0 (joined - (portRef (member reg_bus_data_out_6_i_0_a2_1 0)) - (portRef B (instanceRef STATE_ns_i_i_0_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_8_15)) + (net N_552 (joined + (portRef Z (instanceRef tx_sel_RNIN72D_2)) + (portRef A (instanceRef tx_sregc_4_2)) + (portRef A (instanceRef tx_sregc_0_2)) + (portRef B (instanceRef tx_sregc_2_2)) + )) + (net tx_sregc_2_2 (joined + (portRef Z (instanceRef tx_sregc_2_2)) + (portRef C (instanceRef tx_sreg_RNO_3)) )) - (net STATE_ns_i_i_0_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_0_15)) - (portRef C (instanceRef STATE_ns_i_i_3_15)) + (net tx_sregc_0_2 (joined + (portRef Z (instanceRef tx_sregc_0_2)) + (portRef C (instanceRef tx_sreg_RNO_1)) )) - (net is_data_x_1_iv_i_a2_0_0 (joined - (portRef Z (instanceRef is_data_x_1_iv_i_a2_0)) - (portRef B (instanceRef tx_ena_x_1_0_i)) - (portRef C (instanceRef STATE_ns_0_i_s_6)) - (portRef B (instanceRef is_data_x_1_iv_i)) + (net tx_sregc_4_2 (joined + (portRef Z (instanceRef tx_sregc_4_2)) + (portRef C (instanceRef tx_sreg_RNO_5)) )) - (net rx_ena_x_1_iv_i_3_tz (joined - (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz)) - (portRef D (instanceRef rx_ena_x_1_iv_i_0)) + (net N_540 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_2_3)) + (portRef A (instanceRef tx_sel_x_i_0_0)) + (portRef A (instanceRef tx_load_x_iv_i_o2)) + (portRef A (instanceRef STATE_ns_0_i_a2_0_3)) )) - (net N_359 (joined - (portRef Z (instanceRef rx_ena_x_1_iv_i_a2_5)) - (portRef A (instanceRef rx_ena_x_1_iv_i_0)) + (net tx_sel_x_0_sqmuxa_3 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_1_2)) + (portRef D (instanceRef tx_load_x_iv_i)) + (portRef C (instanceRef tx_sel_x_i_0_2)) )) - (net N_363 (joined - (portRef Z (instanceRef STATE_ns_0_i_a2_7)) - (portRef A (instanceRef STATE_ns_0_i_s_7)) + (net STATE_ns_0_i_a2_1_6 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_1_6)) + (portRef B (instanceRef tx_ena_x_1_0_i)) + (portRef B (instanceRef STATE_ns_i_i_6_RNO_15)) + (portRef B (instanceRef is_data_x_1_iv_i)) + (portRef C (instanceRef STATE_ns_0_i_s_6)) )) - (net N_322 (joined + (net N_542 (joined + (portRef Z (instanceRef tx_load_x_iv_i_a2_1)) + (portRef B (instanceRef tx_load_x_iv_i_o2)) + )) + (net N_432 (joined (portRef Z (instanceRef tx_sel_x_i_0_a2_2)) (portRef A (instanceRef tx_sel_x_i_0_2)) )) - (net STATE_ns_i_i_o2_4_0_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_o2_4_0_15)) - (portRef D (instanceRef STATE_ns_i_i_a2_17_15)) + (net N_734 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_a2_5)) + (portRef B (instanceRef rx_ena_x_1_iv_i)) )) - (net STATE_ns_i_i_o2_1_0_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_o2_1_0_15)) - (portRef C (instanceRef STATE_ns_i_i_o2_1_1_15)) + (net tx_sel_x_i_0_0_0 (joined + (portRef Z (instanceRef tx_sel_x_i_0_0_0)) + (portRef C (instanceRef tx_sel_x_i_0_0)) )) - (net STATE_ns_i_i_a2_9_2_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_9_2_15)) - (portRef C (instanceRef STATE_ns_i_i_1_15)) + (net tx_load_x_iv_i_1 (joined + (portRef Z (instanceRef tx_load_x_iv_i_1)) + (portRef C (instanceRef tx_load_x_iv_i)) )) (net STATE_ns_i_i_1_15 (joined (portRef Z (instanceRef STATE_ns_i_i_1_15)) - (portRef D (instanceRef STATE_ns_i_i_3_15)) + (portRef C (instanceRef STATE_ns_i_i_2_15)) )) (net spi_bram_wr_d_7 (joined (portRef (member spi_bram_wr_d 0)) (portRef D (instanceRef tx_sreg_RNI6CRO3_6)) )) - (net tx_sreg_RNI6CRO3_6 (joined + (net N_393 (joined (portRef Z (instanceRef tx_sreg_RNI6CRO3_6)) (portRef D (instanceRef tx_sreg_7)) - (portRef (member tx_sreg_rni6cro3 0)) + (portRef N_393) )) (net STATE_ns_i_i_o2_0_15 (joined (portRef Z (instanceRef STATE_ns_i_i_o2_0_15)) + (portRef A (instanceRef STATE_ns_i_i_o2_15)) (portRef A (instanceRef STATE_ns_i_i_a2_2_15)) - (portRef A (instanceRef STATE_ns_i_i_a2_10_15)) (portRef B (instanceRef STATE_ns_0_a3_0_a2_13)) )) + (net rx_ena_x_1_iv_i_3 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_3)) + (portRef D (instanceRef rx_ena_x_1_iv_i)) + )) (net STATE_ns_i_i_a2_4_15 (joined (portRef Z (instanceRef STATE_ns_i_i_a2_4_15)) (portRef B (instanceRef STATE_ns_i_i_6_15)) )) + (net is_data_x_1_iv_i_a2_0 (joined + (portRef Z (instanceRef is_data_x_1_iv_i_a2_0)) + (portRef D (instanceRef is_data_x_1_iv_i)) + )) + (net STATE_ns_0_i_a2_1_7 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_1_7)) + (portRef B (instanceRef STATE_RNO_0)) + (portRef B (instanceRef STATE_ns_0_i_s_0_7)) + )) + (net STATE_ns_0_i_s_0_7 (joined + (portRef Z (instanceRef STATE_ns_0_i_s_0_7)) + (portRef D (instanceRef STATE_ns_0_i_s_7)) + )) (net tx_ena_x_1_iv_0_a2_3 (joined (portRef Z (instanceRef tx_ena_x_1_iv_0_a2_3)) (portRef C (instanceRef tx_ena_x_1_0_i)) )) + (net STATE_ns_i_i_2_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_2_15)) + (portRef A (instanceRef STATE_ns_i_i_3_15)) + )) (net tx_sel_RNIS26A_0_2 (joined (portRef Z (instanceRef tx_sel_RNIS26A_0_2)) (portRef A (instanceRef tx_sreg_RNO_1)) @@ -888593,6 +888770,10 @@ (portRef (member spi_bram_wr_d 5)) (portRef D (instanceRef tx_sreg_RNO_2)) )) + (net N_735 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_a2_6)) + (portRef C (instanceRef rx_ena_x_1_iv_i)) + )) (net STATE_ns_i_i_6_RNO_15 (joined (portRef Z (instanceRef STATE_ns_i_i_6_RNO_15)) (portRef C (instanceRef STATE_ns_i_i_6_15)) @@ -888609,79 +888790,66 @@ (portRef (member spi_bram_wr_d 6)) (portRef D (instanceRef tx_sreg_RNO_1)) )) - (net N_214 (joined + (net N_272 (joined (portRef Z (instanceRef rx_bit_cnt_4_i_o2_2)) (portRef A (instanceRef STATE_ns_0_a3_0_a2_13)) )) - (net STATE_ns_i_i_a2_10_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_10_15)) - (portRef A (instanceRef STATE_ns_i_i_7_15)) - )) (net STATE_ns_i_i_a2_2_15 (joined (portRef Z (instanceRef STATE_ns_i_i_a2_2_15)) (portRef A (instanceRef STATE_ns_i_i_6_15)) (portRef A (instanceRef rx_ena_x_1_iv_i)) - (portRef B (instanceRef STATE_ns_0_i_s_7)) + (portRef A (instanceRef STATE_ns_0_i_s_7)) )) (net tx_sel_x_i_0_0_1 (joined (portRef Z (instanceRef tx_sel_x_i_0_0_1)) - (portRef C (instanceRef tx_sel_x_i_0_1)) + (portRef D (instanceRef tx_sel_x_i_0_1)) )) - (net rx_ena_x_1_iv_i_0 (joined - (portRef Z (instanceRef rx_ena_x_1_iv_i_0)) - (portRef D (instanceRef rx_ena_x_1_iv_i)) + (net N_701 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_0_3)) + (portRef A (instanceRef tx_ena_x_1_0_i)) + (portRef B (instanceRef STATE_ns_0_i_s_3)) )) - (net STATE_ns_i_i_3_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_3_15)) - (portRef D (instanceRef STATE_ns_i_i_6_15)) + (net N_243 (joined + (portRef Z (instanceRef STATE_ns_i_i_o2_15)) + (portRef A (instanceRef STATE_RNO_0)) )) - (net tx_load_x_iv_i_o2_0 (joined - (portRef Z (instanceRef tx_load_x_iv_i_o2_0)) - (portRef A (instanceRef tx_load_x_iv_i)) - (portRef A (instanceRef STATE_ns_i_i_o2_1_1_15)) - (portRef A (instanceRef STATE_ns_0_i_s_0_a2_8)) - (portRef A (instanceRef STATE_ns_i_i_a2_19_15)) + (net tx_load_x_iv_i_o2 (joined + (portRef Z (instanceRef tx_load_x_iv_i_o2)) + (portRef B (instanceRef tx_load_x_iv_i_a2)) + (portRef B (instanceRef STATE_ns_0_i_a2_8)) + (portRef A (instanceRef STATE_ns_i_i_a2_23_15)) )) - (net N_780 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_a2_0)) - (portRef B (instanceRef tx_sel_x_i_0_0_0)) + (net N_528 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_23_15)) + (portRef A (instanceRef STATE_ns_i_i_o2_1_15)) )) - (net STATE_ns_0_i_a2_1_7 (joined - (portRef Z (instanceRef STATE_ns_0_i_a2_1_7)) - (portRef B (instanceRef STATE_ns_i_i_7_15)) - (portRef D (instanceRef STATE_ns_0_i_s_7)) + (net N_736 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_8)) + (portRef B (instanceRef STATE_ns_0_i_s_8)) )) - (net STATE_ns_i_i_a2_19_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_19_15)) - (portRef A (instanceRef STATE_ns_i_i_a2_17_15)) - )) - (net N_495 (joined - (portRef Z (instanceRef STATE_ns_0_i_s_0_a2_8)) - (portRef A (instanceRef STATE_ns_0_i_s_0_8)) + (net tx_load_x_iv_i_a2 (joined + (portRef Z (instanceRef tx_load_x_iv_i_a2)) + (portRef A (instanceRef tx_load_x_iv_i)) )) - (net STATE_ns_i_i_o2_1_1_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_o2_1_1_15)) - (portRef D (instanceRef STATE_RNO_0)) + (net STATE_ns_i_i_3_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_3_15)) + (portRef D (instanceRef STATE_ns_i_i_6_15)) )) (net STATE_ns_i_i_6_15 (joined (portRef Z (instanceRef STATE_ns_i_i_6_15)) - (portRef D (instanceRef STATE_ns_i_i_7_15)) - )) - (net STATE_ns_i_i_a2_17_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_17_15)) - (portRef A (instanceRef STATE_RNO_0)) + (portRef D (instanceRef STATE_RNO_0)) )) - (net STATE_ns_i_i_7_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_7_15)) - (portRef B (instanceRef STATE_RNO_0)) + (net STATE_ns_i_i_o2_1_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_o2_1_15)) + (portRef B (instanceRef STATE_RNO_0_0)) )) - (net tx_load_x_iv_i_2_1 (joined - (portRef Z (instanceRef tx_load_x_iv_i_2_1)) - (portRef D (instanceRef tx_load_x_iv_i)) + (net N_600_i_1 (joined + (portRef Z (instanceRef STATE_RNO_0_0)) + (portRef C (instanceRef STATE_RNO_0)) )) - (net tx_load_x_iv_i_1 (joined - (portRef Z (instanceRef tx_load_x_iv_i_1)) - (portRef C (instanceRef tx_load_x_iv_i)) + (net STATE_ns_i_i_o2_1_1_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_o2_1_1_15)) + (portRef C (instanceRef STATE_ns_i_i_o2_1_15)) )) (net spi_bram_addr_0 (joined (portRef Q (instanceRef addr_ctr_0)) @@ -888795,7 +888963,7 @@ (port tx_allow_q (direction INPUT)) (port med_dataready_out (direction INPUT)) (port GND (direction INPUT)) - (port N_538_i (direction OUTPUT)) + (port N_465_i (direction OUTPUT)) (port tx_allow_qtx (direction INPUT)) (port fifo_tx_empty (direction OUTPUT)) (port fifo_tx_reset_i (direction INPUT)) @@ -888966,9 +889134,9 @@ (portRef tx_allow_qtx) (portRef tx_allow_qtx (instanceRef FIFO_DP_BRAM)) )) - (net N_538_i (joined - (portRef N_538_i (instanceRef FIFO_DP_BRAM)) - (portRef N_538_i) + (net N_465_i (joined + (portRef N_465_i (instanceRef FIFO_DP_BRAM)) + (portRef N_465_i) )) (net GND (joined (portRef GND) @@ -890308,17 +890476,16 @@ (cell trb_net16_lsm_sfp (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename link_error "link_error(7:4)") 4) (direction INPUT)) - (port CURRENT_STATE_2 (direction OUTPUT)) - (port CURRENT_STATE_1 (direction OUTPUT)) - (port CURRENT_STATE_0 (direction OUTPUT)) (port (array (rename rx_k_q "rx_k_q(1:0)") 2) (direction INPUT)) (port med_stat_op_13 (direction OUTPUT)) + (port CURRENT_STATE_1 (direction OUTPUT)) + (port CURRENT_STATE_0 (direction OUTPUT)) + (port CURRENT_STATE_2 (direction OUTPUT)) + (port (array (rename link_error "link_error(7:4)") 4) (direction INPUT)) (port (array (rename med_stat_op_i "med_stat_op_i(1:1)") 1) (direction OUTPUT)) - (port N_527_i (direction OUTPUT)) + (port N_454_i (direction OUTPUT)) (port N_300 (direction OUTPUT)) (port N_299 (direction OUTPUT)) - (port N_560 (direction OUTPUT)) (port sfp_prsnt_n (direction INPUT)) (port sfp_los (direction INPUT)) (port reset_i (direction INPUT)) @@ -890329,14 +890496,21 @@ (port pll_lock_i (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port quad_rst (direction OUTPUT)) + (port N_484 (direction OUTPUT)) ) (contents (instance med_error_RNIOJ94_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance timing_ctr_RNIRQEI_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(!B+A)))")) + ) + (instance ce_cctr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A))+D (B+A))")) + ) (instance CURRENT_STATE_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B !A))")) ) - (instance align_me_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (!C (B A)+C B))")) + (instance CURRENT_STATE_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!B A))")) ) (instance timing_ctr_0 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) ) @@ -890438,14 +890612,20 @@ ) (instance CURRENT_STATE_9 (viewRef PRIM (cellRef FD1S3BX (libraryRef LUCENT))) ) + (instance ce_tctr_RNI3UR9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) (instance next_lane_rst_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance CURRENT_STATE_ns_i_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_ns_i_i_o2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance ce_tctr_RNI3UR9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance link_status_led_iv_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance CURRENT_STATE_ns_i_o2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) (instance cv_ctr_3_i_a2_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) @@ -890456,26 +890636,20 @@ (instance CURRENT_STATE_ns_i_i_o3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) - (instance next_med_error_i_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) - (instance next_med_error_i_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B+A)))")) - ) - (instance CURRENT_STATE_ns_i_i_o2_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+A))+D (C+(B+A)))")) + (instance next_reset_me_i_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+!A))")) ) (instance state_bits_i_o3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) - (instance link_status_led_iv_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) + (instance next_med_error_i_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) ) - (instance link_status_led_iv_i_a3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance CURRENT_STATE_ns_i_a3_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (B A))")) ) - (instance CURRENT_STATE_ns_i_i_a3_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (C (!B !A)))")) + (instance link_status_led_iv_i_2_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (B+A))")) ) (instance state_bits_i_a2_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) @@ -890483,7 +890657,7 @@ (instance state_bits_i_a2_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance link_status_led_iv_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance link_status_led_iv_i_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) (instance cv_ctr_3_i_a2_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -890501,59 +890675,53 @@ (instance rst_cctr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance CURRENT_STATE_ns_i_0_a3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (B !A))")) + (instance next_rst_tctr_0_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C !A)")) + ) + (instance CURRENT_STATE_ns_i_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C (B !A)))")) + ) + (instance CURRENT_STATE_ns_i_i_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+!A))+D (C+(!B+!A)))")) + ) + (instance link_status_led_iv_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B+A)))")) ) (instance CURRENT_STATE_ns_i_i_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (!B+A))+D (!B+A))")) + (property lut_function (string "(!D !B+D (!C (!B A)))")) ) (instance CURRENT_STATE_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (!C (B !A)+C !A))")) ) - (instance CURRENT_STATE_ns_i_i_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C (!B A))")) - ) - (instance CURRENT_STATE_ns_i_i_o3_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!B)+D (!C A))")) - ) (instance CURRENT_STATE_ns_a2_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A))+D !A)")) ) (instance CURRENT_STATE_ns_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) + (property lut_function (string "(!D (C (!B !A))+D !A)")) ) (instance next_rx_allow_1_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) ) - (instance link_status_led_iv_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) - ) - (instance next_rst_tctr_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (C+B))")) + (instance link_status_led_iv_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) (instance med_error_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C (B !A))")) ) - (instance ce_cctr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A))+D (B+A))")) - ) (instance reset_me_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + (property lut_function (string "(!C (B !A))")) + ) + (instance align_me_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A)+C !B)")) ) (instance cv_ctr_3_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B A)))")) ) (instance next_rst_tctr_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B !A)+C !A))")) - ) - (instance link_status_led_iv_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) - ) - (instance next_ce_tctr_i_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B !A)))")) + (property lut_function (string "(!D (C+(B+A))+D (C+A))")) ) (instance CURRENT_STATE_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) ) (instance CURRENT_STATE_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A))+D (!C (!B !A)+C !A))")) @@ -890562,7 +890730,7 @@ (property lut_function (string "(!D (!C (B !A)+C !A))")) ) (instance next_ce_tctr_i_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B !A)+C !A))")) + (property lut_function (string "(D+(!C !B+C (!B A)))")) ) (instance cv_ctr_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B !A))")) @@ -890571,16 +890739,19 @@ (property lut_function (string "(!D (C (B !A))+D (!C !A+C (!B !A)))")) ) (instance CURRENT_STATE_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) + ) + (instance link_status_led_iv_i_a3_RNI9VFO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance ce_tctr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+A)))")) ) - (instance link_status_led_iv_i_0_RNIBQD41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) + (instance next_rst_tctr_0_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D A)")) ) - (instance CURRENT_STATE_ns_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance CURRENT_STATE_ns_i_i_a3_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance timing_ctr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a03")) @@ -890680,30 +890851,6 @@ (portRef Z (instanceRef med_error_RNIOJ94_1)) (portRef (member med_stat_op_i 0)) )) - (net N_543 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o3_4)) - (portRef A (instanceRef ce_tctr_RNO)) - (portRef B (instanceRef CURRENT_STATE_RNO_4)) - (portRef A (instanceRef next_ce_tctr_i_i_1)) - (portRef A (instanceRef CURRENT_STATE_RNO_2)) - (portRef A (instanceRef CURRENT_STATE_RNO_3)) - (portRef A (instanceRef CURRENT_STATE_RNO_5)) - (portRef A (instanceRef next_ce_tctr_i_i_0)) - (portRef A (instanceRef next_rst_tctr_0_i_s_0)) - (portRef A (instanceRef CURRENT_STATE_ns_i_3)) - (portRef A (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) - (portRef A (instanceRef CURRENT_STATE_RNO_0)) - (portRef A (instanceRef CURRENT_STATE_ns_i_0_a3_8)) - (portRef B (instanceRef CURRENT_STATE_RNO_8)) - )) - (net timing_ctr_4 (joined - (portRef Q (instanceRef timing_ctr_4)) - (portRef C1 (instanceRef timing_ctr_cry_0_3)) - (portRef B (instanceRef CURRENT_STATE_RNO_9)) - (portRef B (instanceRef CURRENT_STATE_ns_i_a3_0_1)) - (portRef A (instanceRef cv_ctr_3_i_a2_4_0)) - (portRef C (instanceRef CURRENT_STATE_RNO_8)) - )) (net rst_tctr (joined (portRef Q (instanceRef rst_tctr)) (portRef A1 (instanceRef timing_ctr_cry_0_27)) @@ -890736,55 +890883,88 @@ (portRef A0 (instanceRef timing_ctr_cry_0_1)) (portRef A1 (instanceRef timing_ctr_cry_0_0)) (portRef B0 (instanceRef timing_ctr_cry_0_0)) + (portRef B (instanceRef CURRENT_STATE_ns_i_i_a3_0_5)) (portRef A (instanceRef CURRENT_STATE_RNO_9)) - (portRef C (instanceRef CURRENT_STATE_ns_i_i_a3_0_7)) - (portRef A (instanceRef next_med_error_i_i_o2)) + (portRef B (instanceRef CURRENT_STATE_ns_i_a3_0_0_3)) + (portRef B (instanceRef next_reset_me_i_i_o2)) (portRef A (instanceRef CURRENT_STATE_ns_i_a3_0_1)) + (portRef A (instanceRef CURRENT_STATE_ns_i_i_o2_7)) (portRef B (instanceRef ce_tctr_RNI3UR9)) - (portRef A (instanceRef CURRENT_STATE_ns_i_o2_3)) (portRef D (instanceRef CURRENT_STATE_RNO_8)) + (portRef A (instanceRef timing_ctr_RNIRQEI_28)) )) - (net CURRENT_STATE_RNO_8 (joined - (portRef Z (instanceRef CURRENT_STATE_RNO_8)) - (portRef D (instanceRef CURRENT_STATE_8)) + (net timing_ctr_28 (joined + (portRef Q (instanceRef timing_ctr_28)) + (portRef C1 (instanceRef timing_ctr_cry_0_27)) + (portRef C (instanceRef CURRENT_STATE_ns_i_i_a3_0_5)) + (portRef B (instanceRef CURRENT_STATE_ns_i_i_o2_7)) + (portRef B (instanceRef timing_ctr_RNIRQEI_28)) )) - (net CURRENT_STATE_6 (joined - (portRef Q (instanceRef CURRENT_STATE_6)) - (portRef D (instanceRef reset_me_RNO)) - (portRef D (instanceRef CURRENT_STATE_ns_i_3)) - (portRef D (instanceRef CURRENT_STATE_ns_i_i_o3_0_4)) - (portRef B (instanceRef link_status_led_iv_i_1)) - (portRef C (instanceRef state_bits_i_a2_0_a3_1)) - (portRef C (instanceRef state_bits_i_a2_0_a3_0)) - (portRef A (instanceRef align_me_RNO)) + (net N_477 (joined + (portRef Z (instanceRef timing_ctr_RNIRQEI_28)) + (portRef B (instanceRef ce_tctr_RNO)) + (portRef B (instanceRef CURRENT_STATE_RNO_3)) + (portRef B (instanceRef med_error_RNO_1)) + (portRef B (instanceRef next_rx_allow_1_0_i_s)) + (portRef A (instanceRef next_rst_tctr_0_i_a3)) )) - (net CURRENT_STATE_5 (joined - (portRef Q (instanceRef CURRENT_STATE_5)) - (portRef A (instanceRef link_status_led_iv_i_0_RNIBQD41)) - (portRef D (instanceRef CURRENT_STATE_RNO_4)) - (portRef D (instanceRef next_ce_tctr_i_i_0)) - (portRef C (instanceRef CURRENT_STATE_ns_i_i_o3_0_4)) - (portRef C (instanceRef CURRENT_STATE_ns_i_i_a3_5)) - (portRef C (instanceRef state_bits_i_o3_2)) - (portRef B (instanceRef align_me_RNO)) + (net link_error_7 (joined + (portRef (member link_error 0)) + (portRef B (instanceRef CURRENT_STATE_ns_i_o2_7)) + (portRef C (instanceRef CURRENT_STATE_RNO_1)) + (portRef C (instanceRef ce_cctr_RNO)) + (portRef C (instanceRef timing_ctr_RNIRQEI_28)) )) - (net N_555 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o2_0_5)) - (portRef B (instanceRef next_ce_tctr_i_i_0)) - (portRef B (instanceRef CURRENT_STATE_ns_i_i_o3_0_4)) - (portRef A (instanceRef CURRENT_STATE_ns_i_i_a3_5)) - (portRef C (instanceRef align_me_RNO)) + (net link_error_6 (joined + (portRef (member link_error 1)) + (portRef A (instanceRef CURRENT_STATE_ns_i_o2_7)) + (portRef D (instanceRef CURRENT_STATE_RNO_1)) + (portRef D (instanceRef ce_cctr_RNO)) + (portRef D (instanceRef timing_ctr_RNIRQEI_28)) )) - (net N_545 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_o2_3)) - (portRef A (instanceRef reset_me_RNO)) - (portRef B (instanceRef CURRENT_STATE_ns_i_3)) - (portRef A (instanceRef CURRENT_STATE_ns_i_i_o3_0_4)) - (portRef D (instanceRef align_me_RNO)) + (net N_448_i (joined + (portRef Z (instanceRef ce_cctr_RNO)) + (portRef D (instanceRef ce_cctr)) )) - (net N_552_i (joined - (portRef Z (instanceRef align_me_RNO)) - (portRef D (instanceRef align_me)) + (net N_470 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o3_4)) + (portRef A (instanceRef ce_tctr_RNO)) + (portRef B (instanceRef CURRENT_STATE_RNO_4)) + (portRef B (instanceRef next_ce_tctr_i_i_1)) + (portRef A (instanceRef CURRENT_STATE_RNO_2)) + (portRef A (instanceRef CURRENT_STATE_RNO_3)) + (portRef A (instanceRef CURRENT_STATE_RNO_5)) + (portRef B (instanceRef next_rst_tctr_0_i_s)) + (portRef A (instanceRef CURRENT_STATE_ns_i_3)) + (portRef A (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) + (portRef A (instanceRef CURRENT_STATE_RNO_0)) + (portRef B (instanceRef CURRENT_STATE_RNO_1)) + (portRef B (instanceRef CURRENT_STATE_RNO_8)) + )) + (net timing_ctr_4 (joined + (portRef Q (instanceRef timing_ctr_4)) + (portRef C1 (instanceRef timing_ctr_cry_0_3)) + (portRef B (instanceRef CURRENT_STATE_RNO_9)) + (portRef B (instanceRef CURRENT_STATE_ns_i_a3_0_1)) + (portRef A (instanceRef cv_ctr_3_i_a2_4_0)) + (portRef C (instanceRef CURRENT_STATE_RNO_8)) + )) + (net CURRENT_STATE_RNO_8 (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_8)) + (portRef D (instanceRef CURRENT_STATE_8)) + )) + (net CURRENT_STATE_2 (joined + (portRef Q (instanceRef CURRENT_STATE_2)) + (portRef B (instanceRef link_status_led_iv_i_a3_RNI9VFO)) + (portRef C (instanceRef CURRENT_STATE_ns_i_i_0_7)) + (portRef B (instanceRef next_med_error_i_i_o3)) + (portRef A (instanceRef CURRENT_STATE_RNO_1)) + (portRef A (instanceRef ce_cctr_RNO)) + (portRef CURRENT_STATE_2) + )) + (net N_251_0 (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_1)) + (portRef D (instanceRef CURRENT_STATE_1)) )) (net timing_ctr_s_0 (joined (portRef S1 (instanceRef timing_ctr_cry_0_0)) @@ -891154,9 +891334,9 @@ (net timing_ctr_23 (joined (portRef Q (instanceRef timing_ctr_23)) (portRef C0 (instanceRef timing_ctr_cry_0_23)) - (portRef D (instanceRef link_status_led_iv_i_0_RNIBQD41)) - (portRef C (instanceRef link_status_led_iv_i_0)) - (portRef B (instanceRef link_status_led_iv_i_a3_4)) + (portRef C (instanceRef link_status_led_iv_i_a3)) + (portRef C (instanceRef link_status_led_iv_i_2_0)) + (portRef C (instanceRef link_status_led_iv_i_2_tz)) )) (net timing_ctr_s_24 (joined (portRef S1 (instanceRef timing_ctr_cry_0_23)) @@ -891166,8 +891346,7 @@ (portRef Q (instanceRef timing_ctr_24)) (portRef C1 (instanceRef timing_ctr_cry_0_23)) (portRef D (instanceRef link_status_led_iv_i_1)) - (portRef C (instanceRef link_status_led_iv_i_a3_4)) - (portRef C (instanceRef link_status_led_iv_i_o2)) + (portRef B (instanceRef link_status_led_iv_i_a2)) )) (net timing_ctr_s_25 (joined (portRef S0 (instanceRef timing_ctr_cry_0_25)) @@ -891176,8 +891355,8 @@ (net timing_ctr_25 (joined (portRef Q (instanceRef timing_ctr_25)) (portRef C0 (instanceRef timing_ctr_cry_0_25)) - (portRef D (instanceRef link_status_led_iv_i_0)) - (portRef D (instanceRef link_status_led_iv_i_4)) + (portRef D (instanceRef link_status_led_iv_i_a3)) + (portRef D (instanceRef link_status_led_iv_i_2_0)) )) (net timing_ctr_s_26 (joined (portRef S1 (instanceRef timing_ctr_cry_0_25)) @@ -891194,18 +891373,13 @@ (net timing_ctr_27 (joined (portRef Q (instanceRef timing_ctr_27)) (portRef C0 (instanceRef timing_ctr_cry_0_27)) - (portRef B (instanceRef CURRENT_STATE_ns_i_o2_3)) + (portRef C (instanceRef CURRENT_STATE_ns_i_a3_0_0_3)) + (portRef C (instanceRef next_reset_me_i_i_o2)) )) (net timing_ctr_s_28 (joined (portRef S1 (instanceRef timing_ctr_cry_0_27)) (portRef D (instanceRef timing_ctr_28)) )) - (net timing_ctr_28 (joined - (portRef Q (instanceRef timing_ctr_28)) - (portRef C1 (instanceRef timing_ctr_cry_0_27)) - (portRef D (instanceRef CURRENT_STATE_ns_i_i_a3_0_7)) - (portRef B (instanceRef next_med_error_i_i_o2)) - )) (net next_rx_allow_1_0_i_s (joined (portRef Z (instanceRef next_rx_allow_1_0_i_s)) (portRef D (instanceRef rx_allow)) @@ -891218,7 +891392,7 @@ (portRef Z (instanceRef next_rst_tctr_0_i_s)) (portRef D (instanceRef rst_tctr)) )) - (net N_513_i (joined + (net N_440_i (joined (portRef Z (instanceRef rst_cctr_RNO)) (portRef D (instanceRef rst_cctr)) )) @@ -891226,7 +891400,7 @@ (portRef Q (instanceRef rst_cctr)) (portRef D (instanceRef cv_ctr_3_i_o3_0)) )) - (net N_534_i (joined + (net N_461_i (joined (portRef Z (instanceRef reset_me_RNO)) (portRef D (instanceRef reset_me)) )) @@ -891234,11 +891408,11 @@ (portRef Q (instanceRef reset_me)) (portRef med_stat_op_13) )) - (net N_520_i (joined + (net N_447_i (joined (portRef Z (instanceRef med_error_RNO_1)) (portRef D (instanceRef med_error_1)) )) - (net N_525_i (joined + (net N_452_i (joined (portRef Z (instanceRef cv_ctr_RNO_0)) (portRef D (instanceRef cv_ctr_0)) )) @@ -891247,7 +891421,7 @@ (portRef C (instanceRef cv_ctr_RNO_1)) (portRef C (instanceRef cv_ctr_RNO_0)) )) - (net N_526_i (joined + (net N_453_i (joined (portRef Z (instanceRef cv_ctr_RNO_1)) (portRef D (instanceRef cv_ctr_1)) )) @@ -891257,7 +891431,7 @@ (portRef D (instanceRef CURRENT_STATE_RNO_0)) (portRef C (instanceRef next_med_error_i_i_o3)) )) - (net N_529_i (joined + (net N_456_i (joined (portRef Z (instanceRef ce_tctr_RNO)) (portRef D (instanceRef ce_tctr)) )) @@ -891265,10 +891439,6 @@ (portRef Q (instanceRef ce_tctr)) (portRef A (instanceRef ce_tctr_RNI3UR9)) )) - (net N_521_i (joined - (portRef Z (instanceRef ce_cctr_RNO)) - (portRef D (instanceRef ce_cctr)) - )) (net ce_cctr (joined (portRef Q (instanceRef ce_cctr)) (portRef B (instanceRef cv_ctr_RNO_1)) @@ -891282,23 +891452,23 @@ (portRef Q (instanceRef buf_swap_bytes)) (portRef swap_bytes) )) + (net N_479_i (joined + (portRef Z (instanceRef align_me_RNO)) + (portRef D (instanceRef align_me)) + )) (net buf_stat_debug_4 (joined (portRef Q (instanceRef align_me)) (portRef A (instanceRef buf_swap_bytes_RNO)) )) - (net N_532_i (joined + (net N_459_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_0)) (portRef D (instanceRef CURRENT_STATE_0)) )) - (net N_251_0 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_0_a3_8)) - (portRef D (instanceRef CURRENT_STATE_1)) - )) - (net N_531_i (joined + (net N_458_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_2)) (portRef D (instanceRef CURRENT_STATE_2)) )) - (net N_519_i (joined + (net N_446_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_3)) (portRef D (instanceRef CURRENT_STATE_3)) )) @@ -891306,62 +891476,80 @@ (portRef Q (instanceRef CURRENT_STATE_3)) (portRef C (instanceRef CURRENT_STATE_RNO_2)) (portRef C (instanceRef CURRENT_STATE_RNO_3)) - (portRef B (instanceRef next_rst_tctr_0_i_s)) (portRef C (instanceRef med_error_RNO_1)) - (portRef B (instanceRef link_status_led_iv_i_4)) (portRef C (instanceRef next_rx_allow_1_0_i_s)) + (portRef B (instanceRef next_rst_tctr_0_i_a3)) + (portRef A (instanceRef link_status_led_iv_i_2_0)) (portRef B (instanceRef state_bits_i_a2_0_a3_1)) (portRef A (instanceRef state_bits_i_o3_2)) )) - (net N_530_i (joined + (net N_457_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_4)) (portRef D (instanceRef CURRENT_STATE_4)) )) (net CURRENT_STATE_4 (joined (portRef Q (instanceRef CURRENT_STATE_4)) (portRef C (instanceRef ce_tctr_RNO)) + (portRef D (instanceRef CURRENT_STATE_RNO_4)) (portRef D (instanceRef CURRENT_STATE_RNO_3)) - (portRef C (instanceRef next_rst_tctr_0_i_s)) (portRef D (instanceRef next_rx_allow_1_0_i_s)) - (portRef B (instanceRef CURRENT_STATE_ns_i_i_a3_5)) - (portRef A (instanceRef link_status_led_iv_i_1)) + (portRef C (instanceRef next_rst_tctr_0_i_a3)) (portRef B (instanceRef state_bits_i_a2_0_a3_0)) + (portRef A (instanceRef link_status_led_iv_i_2_tz)) (portRef B (instanceRef state_bits_i_o3_2)) )) - (net N_518_i (joined + (net N_445_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_5)) (portRef D (instanceRef CURRENT_STATE_5)) )) + (net CURRENT_STATE_5 (joined + (portRef Q (instanceRef CURRENT_STATE_5)) + (portRef A (instanceRef CURRENT_STATE_ns_i_i_a3_0_5)) + (portRef D (instanceRef CURRENT_STATE_RNO_5)) + (portRef C (instanceRef align_me_RNO)) + (portRef A (instanceRef CURRENT_STATE_ns_i_i_o2_5)) + (portRef B (instanceRef link_status_led_iv_i_2_0)) + (portRef C (instanceRef state_bits_i_o3_2)) + )) (net CURRENT_STATE_ns_i_3 (joined (portRef Z (instanceRef CURRENT_STATE_ns_i_3)) (portRef D (instanceRef CURRENT_STATE_6)) )) + (net CURRENT_STATE_6 (joined + (portRef Q (instanceRef CURRENT_STATE_6)) + (portRef A (instanceRef link_status_led_iv_i_1)) + (portRef A (instanceRef CURRENT_STATE_ns_i_i_a2_4)) + (portRef C (instanceRef state_bits_i_a2_0_a3_1)) + (portRef C (instanceRef state_bits_i_a2_0_a3_0)) + (portRef A (instanceRef CURRENT_STATE_ns_i_a3_0_0_3)) + (portRef A (instanceRef next_reset_me_i_i_o2)) + )) (net CURRENT_STATE_ns_2 (joined (portRef Z (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) (portRef D (instanceRef CURRENT_STATE_7)) )) (net CURRENT_STATE_7 (joined (portRef Q (instanceRef CURRENT_STATE_7)) - (portRef A (instanceRef CURRENT_STATE_ns_i_a2_3)) + (portRef B (instanceRef next_rst_tctr_0_i_o3)) + (portRef C (instanceRef CURRENT_STATE_ns_i_3)) (portRef C (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) (portRef D (instanceRef state_bits_i_a2_0_a3_1)) - (portRef A (instanceRef link_status_led_iv_i_a3_4)) + (portRef B (instanceRef link_status_led_iv_i_2_tz)) )) (net CURRENT_STATE_8 (joined (portRef Q (instanceRef CURRENT_STATE_8)) - (portRef C (instanceRef next_ce_tctr_i_i_1)) - (portRef C (instanceRef next_rst_tctr_0_i_s_0)) + (portRef A (instanceRef next_rst_tctr_0_i_o3)) (portRef D (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) (portRef A (instanceRef rst_cctr_RNO)) (portRef D (instanceRef state_bits_i_a2_0_a3_0)) )) - (net N_523_i (joined + (net N_450_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_9)) (portRef D (instanceRef CURRENT_STATE_9)) )) (net quad_rst (joined (portRef Q (instanceRef CURRENT_STATE_9)) - (portRef D (instanceRef next_rst_tctr_0_i_s_0)) + (portRef D (instanceRef next_rst_tctr_0_i_s)) (portRef C (instanceRef CURRENT_STATE_RNO_9)) (portRef C (instanceRef CURRENT_STATE_ns_i_a3_0_1)) (portRef A (instanceRef CURRENT_STATE_RNO_8)) @@ -891402,97 +891590,80 @@ (portRef C0 (instanceRef timing_ctr_cry_0_0)) (portRef A0 (instanceRef timing_ctr_cry_0_0)) )) - (net N_547 (joined + (net N_474 (joined (portRef Z (instanceRef next_lane_rst_0_i_o2)) + (portRef B (instanceRef CURRENT_STATE_ns_i_3)) (portRef B (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) )) + (net N_471 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o2_7)) + (portRef A (instanceRef CURRENT_STATE_ns_i_i_0_7)) + )) + (net CURRENT_STATE_0 (joined + (portRef Q (instanceRef CURRENT_STATE_0)) + (portRef B (instanceRef CURRENT_STATE_RNO_0)) + (portRef A (instanceRef link_status_led_iv_i_a2)) + (portRef CURRENT_STATE_0) + )) + (net N_524 (joined + (portRef Z (instanceRef link_status_led_iv_i_a2)) + (portRef A (instanceRef link_status_led_iv_i_a3)) + )) + (net N_278_0 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_o2_7)) + (portRef D (instanceRef CURRENT_STATE_ns_i_i_a3_0_5)) + (portRef D (instanceRef CURRENT_STATE_ns_i_i_0_7)) + (portRef B (instanceRef CURRENT_STATE_ns_i_i_o2_5)) + (portRef B (instanceRef CURRENT_STATE_ns_i_i_a2_4)) + )) (net cv_ctr_3_i_a2_4_0 (joined (portRef Z (instanceRef cv_ctr_3_i_a2_4_0)) (portRef A (instanceRef cv_ctr_3_i_o3_0)) )) - (net N_568 (joined + (net N_491 (joined (portRef Z (instanceRef CURRENT_STATE_ns_i_a3_0_1)) - (portRef C (instanceRef next_ce_tctr_i_i_0)) + (portRef D (instanceRef next_ce_tctr_i_i_1)) )) (net reset_i (joined (portRef reset_i) (portRef A (instanceRef CURRENT_STATE_ns_i_i_o3_4)) )) + (net N_476 (joined + (portRef Z (instanceRef next_reset_me_i_i_o2)) + (portRef B (instanceRef CURRENT_STATE_RNO_5)) + (portRef A (instanceRef align_me_RNO)) + (portRef B (instanceRef reset_me_RNO)) + )) + (net N_484 (joined + (portRef Z (instanceRef state_bits_i_o3_2)) + (portRef C (instanceRef reset_me_RNO)) + (portRef B (instanceRef ce_cctr_RNO)) + (portRef N_484) + )) (net CURRENT_STATE_1 (joined (portRef Q (instanceRef CURRENT_STATE_1)) (portRef C (instanceRef CURRENT_STATE_RNO_0)) (portRef B (instanceRef CURRENT_STATE_ns_i_i_0_7)) + (portRef B (instanceRef link_status_led_iv_i_a3)) (portRef A (instanceRef state_bits_i_a2_0_a3_1)) (portRef A (instanceRef state_bits_i_a2_0_a3_0)) - (portRef A (instanceRef CURRENT_STATE_ns_i_i_a3_0_7)) - (portRef B (instanceRef link_status_led_iv_i_o2)) (portRef A (instanceRef next_med_error_i_i_o3)) (portRef CURRENT_STATE_1) )) - (net CURRENT_STATE_2 (joined - (portRef Q (instanceRef CURRENT_STATE_2)) - (portRef B (instanceRef link_status_led_iv_i_0)) - (portRef B (instanceRef ce_cctr_RNO)) - (portRef B (instanceRef CURRENT_STATE_ns_i_0_a3_8)) - (portRef B (instanceRef CURRENT_STATE_ns_i_i_a3_0_7)) - (portRef B (instanceRef next_med_error_i_i_o3)) - (portRef CURRENT_STATE_2) - )) - (net N_546 (joined + (net N_473 (joined (portRef Z (instanceRef next_med_error_i_i_o3)) (portRef B (instanceRef CURRENT_STATE_RNO_2)) - (portRef B (instanceRef reset_me_RNO)) + (portRef A (instanceRef reset_me_RNO)) (portRef A (instanceRef med_error_RNO_1)) (portRef A (instanceRef next_rx_allow_1_0_i_s)) )) - (net N_550 (joined - (portRef Z (instanceRef next_med_error_i_i_o2)) - (portRef B (instanceRef ce_tctr_RNO)) - (portRef C (instanceRef CURRENT_STATE_RNO_4)) - (portRef B (instanceRef CURRENT_STATE_RNO_3)) - (portRef A (instanceRef next_rst_tctr_0_i_s)) - (portRef B (instanceRef med_error_RNO_1)) - (portRef B (instanceRef next_rx_allow_1_0_i_s)) - )) - (net link_error_6 (joined - (portRef (member link_error 1)) - (portRef C (instanceRef ce_cctr_RNO)) - (portRef C (instanceRef CURRENT_STATE_ns_i_i_0_7)) - (portRef C (instanceRef CURRENT_STATE_ns_i_0_a3_8)) - (portRef A (instanceRef CURRENT_STATE_ns_i_i_o2_0_5)) - (portRef C (instanceRef next_med_error_i_i_o2)) - )) - (net link_error_7 (joined - (portRef (member link_error 0)) - (portRef D (instanceRef ce_cctr_RNO)) - (portRef D (instanceRef CURRENT_STATE_ns_i_i_0_7)) - (portRef D (instanceRef CURRENT_STATE_ns_i_0_a3_8)) - (portRef B (instanceRef CURRENT_STATE_ns_i_i_o2_0_5)) - (portRef D (instanceRef next_med_error_i_i_o2)) - )) - (net rx_k_q_1 (joined - (portRef (member rx_k_q 0)) - (portRef C (instanceRef buf_swap_bytes_RNO)) - (portRef D (instanceRef CURRENT_STATE_ns_i_i_o2_0_5)) - (portRef D (instanceRef buf_swap_bytes)) - )) - (net CURRENT_STATE_0 (joined - (portRef Q (instanceRef CURRENT_STATE_0)) - (portRef B (instanceRef CURRENT_STATE_RNO_0)) - (portRef A (instanceRef link_status_led_iv_i_o2)) - (portRef CURRENT_STATE_0) - )) - (net N_563 (joined - (portRef Z (instanceRef link_status_led_iv_i_o2)) - (portRef A (instanceRef link_status_led_iv_i_0)) - )) - (net N_582 (joined - (portRef Z (instanceRef link_status_led_iv_i_a3_4)) - (portRef A (instanceRef link_status_led_iv_i_4)) + (net CURRENT_STATE_ns_i_a3_0_3 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_a3_0_0_3)) + (portRef D (instanceRef CURRENT_STATE_ns_i_3)) )) - (net N_114 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_a3_0_7)) - (portRef A (instanceRef CURRENT_STATE_ns_i_i_0_7)) + (net link_status_led_iv_i_2_tz (joined + (portRef Z (instanceRef link_status_led_iv_i_2_tz)) + (portRef B (instanceRef link_status_led_iv_i_1)) )) (net N_299 (joined (portRef Z (instanceRef state_bits_i_a2_0_a3_0)) @@ -891502,9 +891673,9 @@ (portRef Z (instanceRef state_bits_i_a2_0_a3_1)) (portRef N_300) )) - (net link_status_led_iv_i_1 (joined - (portRef Z (instanceRef link_status_led_iv_i_1)) - (portRef C (instanceRef link_status_led_iv_i_4)) + (net link_status_led_iv_i_2_0 (joined + (portRef Z (instanceRef link_status_led_iv_i_2_0)) + (portRef D (instanceRef link_status_led_iv_i_a3_RNI9VFO)) )) (net cv_ctr_3_i_a2_5_0 (joined (portRef Z (instanceRef cv_ctr_3_i_a2_5_0)) @@ -891514,10 +891685,12 @@ (portRef Z (instanceRef cv_ctr_3_i_a2_6_0)) (portRef C (instanceRef cv_ctr_3_i_o3_0)) )) - (net rx_k_q_0 (joined - (portRef (member rx_k_q 1)) - (portRef B (instanceRef buf_swap_bytes_RNO)) - (portRef C (instanceRef CURRENT_STATE_ns_i_i_o2_0_5)) + (net rx_k_q_1 (joined + (portRef (member rx_k_q 0)) + (portRef D (instanceRef CURRENT_STATE_ns_i_i_o2_5)) + (portRef D (instanceRef CURRENT_STATE_ns_i_i_a2_4)) + (portRef C (instanceRef buf_swap_bytes_RNO)) + (portRef D (instanceRef buf_swap_bytes)) )) (net sfp_los (joined (portRef sfp_los) @@ -891529,67 +891702,68 @@ (portRef C (instanceRef rst_cctr_RNO)) (portRef C (instanceRef CURRENT_STATE_ns_i_i_o3_4)) )) - (net CURRENT_STATE_ns_i_i_0_7 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_0_7)) - (portRef D (instanceRef CURRENT_STATE_RNO_2)) + (net N_512 (joined + (portRef Z (instanceRef next_rst_tctr_0_i_a3)) + (portRef C (instanceRef next_rst_tctr_0_i_s)) )) - (net N_111 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_a3_5)) - (portRef A (instanceRef CURRENT_STATE_RNO_4)) + (net rx_k_q_0 (joined + (portRef (member rx_k_q 1)) + (portRef C (instanceRef CURRENT_STATE_ns_i_i_o2_5)) + (portRef C (instanceRef CURRENT_STATE_ns_i_i_a2_4)) + (portRef B (instanceRef buf_swap_bytes_RNO)) )) - (net CURRENT_STATE_ns_i_i_o3_0_4 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o3_0_4)) - (portRef B (instanceRef CURRENT_STATE_RNO_5)) + (net N_519 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_a2_4)) + (portRef C (instanceRef CURRENT_STATE_RNO_5)) + (portRef B (instanceRef align_me_RNO)) )) - (net N_602 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_a2_3)) - (portRef B (instanceRef next_ce_tctr_i_i_1)) - (portRef B (instanceRef next_rst_tctr_0_i_s_0)) - (portRef C (instanceRef CURRENT_STATE_ns_i_3)) + (net N_485 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o2_5)) + (portRef C (instanceRef CURRENT_STATE_RNO_4)) + (portRef C (instanceRef next_ce_tctr_i_i_1)) )) - (net link_status_led_iv_i_4 (joined - (portRef Z (instanceRef link_status_led_iv_i_4)) - (portRef C (instanceRef link_status_led_iv_i_0_RNIBQD41)) + (net N_499 (joined + (portRef Z (instanceRef link_status_led_iv_i_a3)) + (portRef A (instanceRef link_status_led_iv_i_a3_RNI9VFO)) )) - (net next_rst_tctr_0_i_s_0 (joined - (portRef Z (instanceRef next_rst_tctr_0_i_s_0)) - (portRef D (instanceRef next_rst_tctr_0_i_s)) + (net CURRENT_STATE_ns_i_i_0_7 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_0_7)) + (portRef D (instanceRef CURRENT_STATE_RNO_2)) )) - (net N_560 (joined - (portRef Z (instanceRef state_bits_i_o3_2)) - (portRef C (instanceRef reset_me_RNO)) - (portRef A (instanceRef ce_cctr_RNO)) - (portRef N_560) + (net link_status_led_iv_i_1 (joined + (portRef Z (instanceRef link_status_led_iv_i_1)) + (portRef C (instanceRef link_status_led_iv_i_a3_RNI9VFO)) )) - (net N_551 (joined + (net N_478 (joined (portRef Z (instanceRef cv_ctr_3_i_o3_0)) (portRef A (instanceRef cv_ctr_RNO_1)) (portRef A (instanceRef cv_ctr_RNO_0)) )) - (net link_status_led_iv_i_0 (joined - (portRef Z (instanceRef link_status_led_iv_i_0)) - (portRef B (instanceRef link_status_led_iv_i_0_RNIBQD41)) - )) - (net next_ce_tctr_i_i_0 (joined - (portRef Z (instanceRef next_ce_tctr_i_i_0)) - (portRef D (instanceRef next_ce_tctr_i_i_1)) + (net N_84 (joined + (portRef Z (instanceRef next_rst_tctr_0_i_o3)) + (portRef A (instanceRef next_ce_tctr_i_i_1)) + (portRef A (instanceRef next_rst_tctr_0_i_s)) )) (net next_ce_tctr_i_i_1 (joined (portRef Z (instanceRef next_ce_tctr_i_i_1)) (portRef D (instanceRef ce_tctr_RNO)) )) - (net N_527_i (joined - (portRef Z (instanceRef link_status_led_iv_i_0_RNIBQD41)) - (portRef N_527_i) + (net N_112 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_a3_0_5)) + (portRef A (instanceRef CURRENT_STATE_RNO_4)) + )) + (net N_454_i (joined + (portRef Z (instanceRef link_status_led_iv_i_a3_RNI9VFO)) + (portRef N_454_i) )) (net link_error_5 (joined (portRef (member link_error 2)) - (portRef B (instanceRef CURRENT_STATE_ns_i_a2_3)) + (portRef C (instanceRef next_rst_tctr_0_i_o3)) (portRef B (instanceRef next_lane_rst_0_i_o2)) )) (net link_error_4 (joined (portRef (member link_error 3)) - (portRef C (instanceRef CURRENT_STATE_ns_i_a2_3)) + (portRef D (instanceRef next_rst_tctr_0_i_o3)) (portRef A (instanceRef next_lane_rst_0_i_o2)) )) (net timing_ctr_cry_0 (joined @@ -892454,31 +892628,13 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename trg_type_i "trg_type_i(3:0)") 4) (direction OUTPUT)) (port ctrl_reg_0 (direction OUTPUT)) - (port ctrl_reg_1 (direction OUTPUT)) - (port ctrl_reg_2 (direction OUTPUT)) - (port ctrl_reg_3 (direction OUTPUT)) - (port ctrl_reg_4 (direction OUTPUT)) - (port ctrl_reg_5 (direction OUTPUT)) - (port ctrl_reg_6 (direction OUTPUT)) - (port ctrl_reg_12 (direction OUTPUT)) - (port ctrl_reg_13 (direction OUTPUT)) - (port ctrl_reg_14 (direction OUTPUT)) - (port ctrl_reg_15 (direction OUTPUT)) - (port ctrl_reg_16 (direction OUTPUT)) - (port ctrl_reg_17 (direction OUTPUT)) - (port ctrl_reg_18 (direction OUTPUT)) - (port ctrl_reg_19 (direction OUTPUT)) - (port ctrl_reg_20 (direction OUTPUT)) - (port ctrl_reg_21 (direction OUTPUT)) - (port ctrl_reg_22 (direction OUTPUT)) + (port ctrl_reg_24 (direction OUTPUT)) + (port ctrl_reg_25 (direction OUTPUT)) + (port ctrl_reg_26 (direction OUTPUT)) (port ctrl_reg_27 (direction OUTPUT)) + (port ctrl_reg_28 (direction OUTPUT)) (port ctrl_reg_29 (direction OUTPUT)) (port ctrl_reg_30 (direction OUTPUT)) - (port ctrl_reg_31 (direction OUTPUT)) - (port ctrl_reg_32 (direction OUTPUT)) - (port ctrl_reg_33 (direction OUTPUT)) - (port ctrl_reg_34 (direction OUTPUT)) - (port ctrl_reg_35 (direction OUTPUT)) (port ctrl_reg_36 (direction OUTPUT)) (port ctrl_reg_37 (direction OUTPUT)) (port ctrl_reg_38 (direction OUTPUT)) @@ -892490,12 +892646,7 @@ (port ctrl_reg_44 (direction OUTPUT)) (port ctrl_reg_45 (direction OUTPUT)) (port ctrl_reg_46 (direction OUTPUT)) - (port ctrl_reg_47 (direction OUTPUT)) - (port ctrl_reg_48 (direction OUTPUT)) - (port ctrl_reg_49 (direction OUTPUT)) - (port ctrl_reg_50 (direction OUTPUT)) (port ctrl_reg_51 (direction OUTPUT)) - (port ctrl_reg_52 (direction OUTPUT)) (port ctrl_reg_53 (direction OUTPUT)) (port ctrl_reg_54 (direction OUTPUT)) (port ctrl_reg_55 (direction OUTPUT)) @@ -892503,58 +892654,78 @@ (port ctrl_reg_57 (direction OUTPUT)) (port ctrl_reg_58 (direction OUTPUT)) (port ctrl_reg_59 (direction OUTPUT)) - (port stat_reg_40 (direction INPUT)) - (port stat_reg_61 (direction INPUT)) - (port stat_reg_63 (direction INPUT)) + (port ctrl_reg_60 (direction OUTPUT)) + (port ctrl_reg_61 (direction OUTPUT)) + (port ctrl_reg_62 (direction OUTPUT)) + (port ctrl_reg_63 (direction OUTPUT)) + (port ctrl_reg_64 (direction OUTPUT)) + (port ctrl_reg_65 (direction OUTPUT)) + (port ctrl_reg_66 (direction OUTPUT)) + (port ctrl_reg_67 (direction OUTPUT)) + (port ctrl_reg_68 (direction OUTPUT)) + (port ctrl_reg_69 (direction OUTPUT)) + (port ctrl_reg_70 (direction OUTPUT)) + (port ctrl_reg_71 (direction OUTPUT)) + (port ctrl_reg_72 (direction OUTPUT)) + (port ctrl_reg_73 (direction OUTPUT)) + (port ctrl_reg_74 (direction OUTPUT)) + (port ctrl_reg_75 (direction OUTPUT)) + (port ctrl_reg_76 (direction OUTPUT)) + (port ctrl_reg_77 (direction OUTPUT)) + (port ctrl_reg_78 (direction OUTPUT)) + (port ctrl_reg_79 (direction OUTPUT)) + (port ctrl_reg_80 (direction OUTPUT)) + (port ctrl_reg_81 (direction OUTPUT)) + (port ctrl_reg_82 (direction OUTPUT)) + (port ctrl_reg_83 (direction OUTPUT)) (port stat_reg_59 (direction INPUT)) + (port stat_reg_60 (direction INPUT)) + (port stat_reg_653 (direction INPUT)) + (port stat_reg_651 (direction INPUT)) + (port stat_reg_643 (direction INPUT)) + (port stat_reg_46 (direction INPUT)) (port stat_reg_640 (direction INPUT)) - (port stat_reg_44 (direction INPUT)) (port stat_reg_642 (direction INPUT)) - (port stat_reg_661 (direction INPUT)) - (port stat_reg_656 (direction INPUT)) - (port stat_reg_46 (direction INPUT)) - (port stat_reg_650 (direction INPUT)) (port stat_reg_662 (direction INPUT)) - (port stat_reg_647 (direction INPUT)) (port stat_reg_649 (direction INPUT)) + (port stat_reg_660 (direction INPUT)) + (port stat_reg_655 (direction INPUT)) (port stat_reg_654 (direction INPUT)) - (port stat_reg_641 (direction INPUT)) - (port stat_reg_646 (direction INPUT)) - (port stat_reg_652 (direction INPUT)) (port stat_reg_648 (direction INPUT)) - (port stat_reg_645 (direction INPUT)) + (port stat_reg_44 (direction INPUT)) (port stat_reg_47 (direction INPUT)) - (port stat_reg_643 (direction INPUT)) + (port stat_reg_641 (direction INPUT)) + (port stat_reg_650 (direction INPUT)) + (port stat_reg_645 (direction INPUT)) + (port stat_reg_652 (direction INPUT)) + (port stat_reg_45 (direction INPUT)) + (port stat_reg_661 (direction INPUT)) (port stat_reg_644 (direction INPUT)) - (port stat_reg_655 (direction INPUT)) - (port stat_reg_52 (direction INPUT)) - (port stat_reg_54 (direction INPUT)) - (port stat_reg_41 (direction INPUT)) - (port stat_reg_48 (direction INPUT)) - (port stat_reg_37 (direction INPUT)) - (port stat_reg_51 (direction INPUT)) - (port stat_reg_53 (direction INPUT)) + (port stat_reg_646 (direction INPUT)) + (port stat_reg_647 (direction INPUT)) + (port stat_reg_49 (direction INPUT)) (port stat_reg_50 (direction INPUT)) - (port stat_reg_42 (direction INPUT)) - (port stat_reg_39 (direction INPUT)) + (port stat_reg_37 (direction INPUT)) (port stat_reg_55 (direction INPUT)) (port stat_reg_38 (direction INPUT)) - (port stat_reg_49 (direction INPUT)) - (port stat_reg_651 (direction INPUT)) - (port stat_reg_653 (direction INPUT)) + (port stat_reg_42 (direction INPUT)) + (port stat_reg_48 (direction INPUT)) + (port stat_reg_40 (direction INPUT)) + (port stat_reg_51 (direction INPUT)) + (port stat_reg_54 (direction INPUT)) + (port stat_reg_39 (direction INPUT)) + (port stat_reg_41 (direction INPUT)) + (port stat_reg_53 (direction INPUT)) + (port stat_reg_52 (direction INPUT)) (port stat_reg_658 (direction INPUT)) (port stat_reg_657 (direction INPUT)) - (port stat_reg_660 (direction INPUT)) + (port stat_reg_656 (direction INPUT)) (port stat_reg_659 (direction INPUT)) (port stat_reg_663 (direction INPUT)) (port stat_reg_302 (direction INPUT)) (port stat_reg_270 (direction INPUT)) (port stat_reg_366 (direction INPUT)) (port stat_reg_334 (direction INPUT)) - (port stat_reg_430 (direction INPUT)) - (port stat_reg_398 (direction INPUT)) - (port stat_reg_494 (direction INPUT)) - (port stat_reg_462 (direction INPUT)) (port stat_reg_168 (direction INPUT)) (port stat_reg_136 (direction INPUT)) (port stat_reg_232 (direction INPUT)) @@ -892563,10 +892734,12 @@ (port stat_reg_264 (direction INPUT)) (port stat_reg_360 (direction INPUT)) (port stat_reg_328 (direction INPUT)) + (port stat_reg_424 (direction INPUT)) + (port stat_reg_392 (direction INPUT)) + (port stat_reg_488 (direction INPUT)) + (port stat_reg_456 (direction INPUT)) (port stat_reg_552 (direction INPUT)) (port stat_reg_520 (direction INPUT)) - (port stat_reg_616 (direction INPUT)) - (port stat_reg_584 (direction INPUT)) (port stat_reg_172 (direction INPUT)) (port stat_reg_140 (direction INPUT)) (port stat_reg_300 (direction INPUT)) @@ -892581,8 +892754,6 @@ (port stat_reg_524 (direction INPUT)) (port stat_reg_171 (direction INPUT)) (port stat_reg_139 (direction INPUT)) - (port stat_reg_235 (direction INPUT)) - (port stat_reg_203 (direction INPUT)) (port stat_reg_299 (direction INPUT)) (port stat_reg_267 (direction INPUT)) (port stat_reg_363 (direction INPUT)) @@ -892591,8 +892762,6 @@ (port stat_reg_459 (direction INPUT)) (port stat_reg_555 (direction INPUT)) (port stat_reg_523 (direction INPUT)) - (port stat_reg_619 (direction INPUT)) - (port stat_reg_587 (direction INPUT)) (port stat_reg_427 (direction INPUT)) (port stat_reg_395 (direction INPUT)) (port stat_reg_170 (direction INPUT)) @@ -892603,6 +892772,10 @@ (port stat_reg_266 (direction INPUT)) (port stat_reg_362 (direction INPUT)) (port stat_reg_330 (direction INPUT)) + (port stat_reg_426 (direction INPUT)) + (port stat_reg_394 (direction INPUT)) + (port stat_reg_490 (direction INPUT)) + (port stat_reg_458 (direction INPUT)) (port stat_reg_554 (direction INPUT)) (port stat_reg_522 (direction INPUT)) (port stat_reg_175 (direction INPUT)) @@ -892621,10 +892794,10 @@ (port stat_reg_142 (direction INPUT)) (port stat_reg_558 (direction INPUT)) (port stat_reg_526 (direction INPUT)) + (port stat_reg_622 (direction INPUT)) + (port stat_reg_590 (direction INPUT)) (port stat_reg_173 (direction INPUT)) (port stat_reg_141 (direction INPUT)) - (port stat_reg_237 (direction INPUT)) - (port stat_reg_205 (direction INPUT)) (port stat_reg_301 (direction INPUT)) (port stat_reg_269 (direction INPUT)) (port stat_reg_365 (direction INPUT)) @@ -892633,8 +892806,6 @@ (port stat_reg_461 (direction INPUT)) (port stat_reg_557 (direction INPUT)) (port stat_reg_525 (direction INPUT)) - (port stat_reg_621 (direction INPUT)) - (port stat_reg_589 (direction INPUT)) (port stat_reg_429 (direction INPUT)) (port stat_reg_397 (direction INPUT)) (port stat_reg_34 (direction INPUT)) @@ -892689,14 +892860,16 @@ (port stat_reg_133 (direction INPUT)) (port stat_reg_229 (direction INPUT)) (port stat_reg_197 (direction INPUT)) + (port stat_reg_293 (direction INPUT)) + (port stat_reg_261 (direction INPUT)) (port stat_reg_357 (direction INPUT)) (port stat_reg_325 (direction INPUT)) (port stat_reg_421 (direction INPUT)) (port stat_reg_389 (direction INPUT)) (port stat_reg_485 (direction INPUT)) (port stat_reg_453 (direction INPUT)) - (port stat_reg_36 (direction INPUT)) - (port stat_reg_4 (direction INPUT)) + (port stat_reg_549 (direction INPUT)) + (port stat_reg_517 (direction INPUT)) (port stat_reg_164 (direction INPUT)) (port stat_reg_132 (direction INPUT)) (port stat_reg_228 (direction INPUT)) @@ -892705,10 +892878,8 @@ (port stat_reg_260 (direction INPUT)) (port stat_reg_356 (direction INPUT)) (port stat_reg_324 (direction INPUT)) - (port stat_reg_484 (direction INPUT)) - (port stat_reg_452 (direction INPUT)) - (port stat_reg_420 (direction INPUT)) - (port stat_reg_388 (direction INPUT)) + (port stat_reg_548 (direction INPUT)) + (port stat_reg_516 (direction INPUT)) (port stat_reg_612 (direction INPUT)) (port stat_reg_580 (direction INPUT)) (port stat_reg_35 (direction INPUT)) @@ -892717,6 +892888,10 @@ (port stat_reg_131 (direction INPUT)) (port stat_reg_227 (direction INPUT)) (port stat_reg_195 (direction INPUT)) + (port stat_reg_291 (direction INPUT)) + (port stat_reg_259 (direction INPUT)) + (port stat_reg_355 (direction INPUT)) + (port stat_reg_323 (direction INPUT)) (port stat_reg_419 (direction INPUT)) (port stat_reg_387 (direction INPUT)) (port stat_reg_483 (direction INPUT)) @@ -892747,12 +892922,22 @@ (port stat_reg_327 (direction INPUT)) (port stat_reg_551 (direction INPUT)) (port stat_reg_519 (direction INPUT)) + (port stat_reg_615 (direction INPUT)) + (port stat_reg_583 (direction INPUT)) (port stat_reg_425 (direction INPUT)) (port stat_reg_393 (direction INPUT)) (port stat_reg_489 (direction INPUT)) (port stat_reg_457 (direction INPUT)) - (port stat_reg_56 (direction INPUT)) - (port stat_reg_58 (direction INPUT)) + (port stat_reg_169 (direction INPUT)) + (port stat_reg_137 (direction INPUT)) + (port stat_reg_233 (direction INPUT)) + (port stat_reg_201 (direction INPUT)) + (port stat_reg_297 (direction INPUT)) + (port stat_reg_265 (direction INPUT)) + (port stat_reg_361 (direction INPUT)) + (port stat_reg_329 (direction INPUT)) + (port stat_reg_553 (direction INPUT)) + (port stat_reg_521 (direction INPUT)) (port stat_reg_178 (direction INPUT)) (port stat_reg_146 (direction INPUT)) (port stat_reg_242 (direction INPUT)) @@ -892781,12 +892966,20 @@ (port stat_reg_465 (direction INPUT)) (port stat_reg_561 (direction INPUT)) (port stat_reg_529 (direction INPUT)) + (port stat_reg_176 (direction INPUT)) + (port stat_reg_144 (direction INPUT)) + (port stat_reg_240 (direction INPUT)) + (port stat_reg_208 (direction INPUT)) + (port stat_reg_432 (direction INPUT)) + (port stat_reg_400 (direction INPUT)) + (port stat_reg_496 (direction INPUT)) + (port stat_reg_464 (direction INPUT)) (port stat_reg_560 (direction INPUT)) (port stat_reg_528 (direction INPUT)) - (port stat_reg_624 (direction INPUT)) - (port stat_reg_592 (direction INPUT)) (port stat_reg_304 (direction INPUT)) (port stat_reg_272 (direction INPUT)) + (port stat_reg_368 (direction INPUT)) + (port stat_reg_336 (direction INPUT)) (port stat_reg_181 (direction INPUT)) (port stat_reg_149 (direction INPUT)) (port stat_reg_245 (direction INPUT)) @@ -892809,10 +893002,8 @@ (port stat_reg_276 (direction INPUT)) (port stat_reg_372 (direction INPUT)) (port stat_reg_340 (direction INPUT)) - (port stat_reg_500 (direction INPUT)) - (port stat_reg_468 (direction INPUT)) - (port stat_reg_436 (direction INPUT)) - (port stat_reg_404 (direction INPUT)) + (port stat_reg_564 (direction INPUT)) + (port stat_reg_532 (direction INPUT)) (port stat_reg_628 (direction INPUT)) (port stat_reg_596 (direction INPUT)) (port stat_reg_179 (direction INPUT)) @@ -892857,63 +893048,42 @@ (port stat_reg_471 (direction INPUT)) (port stat_reg_567 (direction INPUT)) (port stat_reg_535 (direction INPUT)) - (port stat_reg_57 (direction INPUT)) - (port stat_reg_617 (direction INPUT)) - (port stat_reg_585 (direction INPUT)) - (port stat_reg_553 (direction INPUT)) - (port stat_reg_521 (direction INPUT)) - (port stat_reg_355 (direction INPUT)) - (port stat_reg_323 (direction INPUT)) - (port stat_reg_291 (direction INPUT)) - (port stat_reg_259 (direction INPUT)) - (port stat_reg_368 (direction INPUT)) - (port stat_reg_336 (direction INPUT)) - (port stat_reg_240 (direction INPUT)) - (port stat_reg_208 (direction INPUT)) - (port stat_reg_176 (direction INPUT)) - (port stat_reg_144 (direction INPUT)) - (port stat_reg_361 (direction INPUT)) - (port stat_reg_329 (direction INPUT)) - (port stat_reg_297 (direction INPUT)) - (port stat_reg_265 (direction INPUT)) - (port stat_reg_233 (direction INPUT)) - (port stat_reg_201 (direction INPUT)) - (port stat_reg_169 (direction INPUT)) - (port stat_reg_137 (direction INPUT)) - (port stat_reg_549 (direction INPUT)) - (port stat_reg_517 (direction INPUT)) - (port stat_reg_293 (direction INPUT)) - (port stat_reg_261 (direction INPUT)) - (port stat_reg_43 (direction INPUT)) - (port stat_reg_45 (direction INPUT)) + (port stat_reg_619 (direction INPUT)) + (port stat_reg_587 (direction INPUT)) (port stat_reg_239 (direction INPUT)) (port stat_reg_207 (direction INPUT)) + (port stat_reg_621 (direction INPUT)) + (port stat_reg_589 (direction INPUT)) (port stat_reg_610 (direction INPUT)) (port stat_reg_578 (direction INPUT)) + (port stat_reg_237 (direction INPUT)) + (port stat_reg_205 (direction INPUT)) (port stat_reg_609 (direction INPUT)) (port stat_reg_577 (direction INPUT)) (port stat_reg_613 (direction INPUT)) (port stat_reg_581 (direction INPUT)) + (port stat_reg_36 (direction INPUT)) + (port stat_reg_4 (direction INPUT)) (port stat_reg_623 (direction INPUT)) (port stat_reg_591 (direction INPUT)) (port stat_reg_618 (direction INPUT)) (port stat_reg_586 (direction INPUT)) (port stat_reg_611 (direction INPUT)) (port stat_reg_579 (direction INPUT)) - (port stat_reg_548 (direction INPUT)) - (port stat_reg_516 (direction INPUT)) + (port stat_reg_235 (direction INPUT)) + (port stat_reg_203 (direction INPUT)) (port stat_reg_608 (direction INPUT)) (port stat_reg_576 (direction INPUT)) - (port stat_reg_615 (direction INPUT)) - (port stat_reg_583 (direction INPUT)) (port stat_reg_238 (direction INPUT)) (port stat_reg_206 (direction INPUT)) - (port stat_reg_622 (direction INPUT)) - (port stat_reg_590 (direction INPUT)) + (port stat_reg_617 (direction INPUT)) + (port stat_reg_585 (direction INPUT)) (port stat_reg_236 (direction INPUT)) (port stat_reg_204 (direction INPUT)) (port stat_reg_614 (direction INPUT)) (port stat_reg_582 (direction INPUT)) + (port stat_reg_616 (direction INPUT)) + (port stat_reg_584 (direction INPUT)) (port stat_reg_620 (direction INPUT)) (port stat_reg_588 (direction INPUT)) (port stat_reg_626 (direction INPUT)) @@ -892924,8 +893094,8 @@ (port stat_reg_597 (direction INPUT)) (port stat_reg_627 (direction INPUT)) (port stat_reg_595 (direction INPUT)) - (port stat_reg_564 (direction INPUT)) - (port stat_reg_532 (direction INPUT)) + (port stat_reg_624 (direction INPUT)) + (port stat_reg_592 (direction INPUT)) (port stat_reg_631 (direction INPUT)) (port stat_reg_599 (direction INPUT)) (port stat_reg_630 (direction INPUT)) @@ -892938,20 +893108,25 @@ (port stat_reg_423 (direction INPUT)) (port stat_reg_455 (direction INPUT)) (port stat_reg_487 (direction INPUT)) - (port stat_reg_400 (direction INPUT)) - (port stat_reg_432 (direction INPUT)) - (port stat_reg_464 (direction INPUT)) - (port stat_reg_496 (direction INPUT)) - (port stat_reg_394 (direction INPUT)) - (port stat_reg_426 (direction INPUT)) - (port stat_reg_458 (direction INPUT)) - (port stat_reg_490 (direction INPUT)) - (port stat_reg_392 (direction INPUT)) - (port stat_reg_424 (direction INPUT)) - (port stat_reg_456 (direction INPUT)) - (port stat_reg_488 (direction INPUT)) + (port stat_reg_388 (direction INPUT)) + (port stat_reg_420 (direction INPUT)) + (port stat_reg_452 (direction INPUT)) + (port stat_reg_484 (direction INPUT)) + (port stat_reg_398 (direction INPUT)) + (port stat_reg_430 (direction INPUT)) + (port stat_reg_462 (direction INPUT)) + (port stat_reg_494 (direction INPUT)) + (port stat_reg_404 (direction INPUT)) + (port stat_reg_436 (direction INPUT)) + (port stat_reg_468 (direction INPUT)) + (port stat_reg_500 (direction INPUT)) + (port stat_reg_57 (direction INPUT)) + (port stat_reg_58 (direction INPUT)) + (port stat_reg_56 (direction INPUT)) + (port stat_reg_43 (direction INPUT)) (port stat_reg_62 (direction INPUT)) - (port stat_reg_60 (direction INPUT)) + (port stat_reg_61 (direction INPUT)) + (port stat_reg_63 (direction INPUT)) (port (array (rename un1_the_endpoint_1_0 "un1_THE_ENDPOINT_1_0(2:2)") 1) (direction OUTPUT)) (port med_packet_num_out_2 (direction OUTPUT)) (port med_packet_num_out_0 (direction OUTPUT)) @@ -892959,11 +893134,10 @@ (port (array (rename med_data_in "med_data_in(15:0)") 16) (direction INPUT)) (port (array (rename med_packet_num_in "med_packet_num_in(2:0)") 3) (direction INPUT)) (port (array (rename regio_addr_out "regio_addr_out(15:0)") 16) (direction OUTPUT)) - (port reset_i_1_1 (direction INPUT)) + (port reset_i_fast_r10 (direction INPUT)) (port fee_trg_release_i (direction INPUT)) - (port reset_i_1 (direction INPUT)) - (port fee_data_write_i (direction INPUT)) (port fee_data_finished_i (direction INPUT)) + (port fee_data_write_i (direction INPUT)) (port regio_write_ack_in (direction INPUT)) (port regio_no_more_data_in (direction INPUT)) (port regio_write_enable_out (direction OUTPUT)) @@ -892972,47 +893146,46 @@ (port trg_spurious_trg_i (direction OUTPUT)) (port trg_spike_detected_i (direction OUTPUT)) (port trb_reset_buffer (direction OUTPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port reset_i_fast_r5 (direction INPUT)) - (port reset_i_fast_r6 (direction INPUT)) (port reset_i_rep2 (direction INPUT)) (port N_299 (direction INPUT)) - (port N_560 (direction INPUT)) + (port N_484 (direction INPUT)) (port N_300 (direction INPUT)) - (port reset_i_19 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) + (port reset_i_20 (direction INPUT)) (port reset_i_rep1 (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port reset_i_rep1_1 (direction INPUT)) + (port reset_i_19 (direction INPUT)) + (port reset_i_fast_r8 (direction INPUT)) + (port reset_i_fast_r9 (direction INPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_fast_r7 (direction INPUT)) + (port reset_i_fast_r6 (direction INPUT)) (port signal_sync_1 (direction OUTPUT)) (port signal_sync_0 (direction OUTPUT)) (port signal_sync (direction OUTPUT)) - (port reset_i_fast_r10 (direction INPUT)) - (port reset_i_fast_r3 (direction INPUT)) - (port reset_i_fast_r9 (direction INPUT)) - (port reset_i_fast_r4 (direction INPUT)) - (port reset_i_fast_r8 (direction INPUT)) - (port reset_i_fast_1_i (direction INPUT)) (port reset_i_fast_r2 (direction INPUT)) - (port TEMPSENS_in (direction INPUT)) + (port reset_i_fast_1_i (direction INPUT)) + (port reset_i_fast_r3 (direction INPUT)) + (port trg_timing_valid_i (direction OUTPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port N_538_i (direction INPUT)) + (port TEMPSENS_in (direction INPUT)) + (port N_465_i (direction INPUT)) (port med_dataready_out (direction OUTPUT)) (port med_dataready_in (direction INPUT)) (port TRIGGER_LEFT_c (direction INPUT)) + (port trg_notiming_valid_i (direction OUTPUT)) (port trg_timeout_detected_i (direction OUTPUT)) (port trg_multiple_trg_i (direction OUTPUT)) - (port trg_data_valid_i (direction OUTPUT)) - (port trg_timing_valid_i (direction OUTPUT)) - (port trg_notiming_valid_i (direction OUTPUT)) (port trg_invalid_i (direction OUTPUT)) + (port trg_data_valid_i (direction OUTPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) ) (contents - (instance common_stat_reg_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) + (instance common_stat_reg_i_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)+C !B)+D !B)")) ) (instance tbuf_dataready (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) @@ -893127,29 +893300,26 @@ (instance proc_buf_status_un3_dbuf_read_enable_p4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance un14_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) (instance proc_buf_status_un3_dbuf_read_enable_p4_RNIJI8F (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance un14_i_a3_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance common_stat_reg_i_srsts_i_a3_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance lvl1_valid_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) (instance common_stat_reg_i_srsts_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B !A))")) ) + (instance common_stat_reg_i_srsts_i_a3_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance common_stat_reg_i_srsts_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !B)+D (!C (!B A)+C !B))")) ) (instance common_stat_reg_i_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) ) - (instance common_stat_reg_i_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B+!A))+D (B+!A))")) + (instance common_stat_reg_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B+A)))")) ) (instance THE_ENDPOINT (viewRef netlist (cellRef trb_net16_endpoint_hades_full)) ) @@ -893157,10 +893327,6 @@ ) (instance THE_HANDLER_TRIGGER_DATA (viewRef netlist (cellRef handler_trigger_and_data)) ) - (net common_stat_reg_i_srsts_i_296_0 (joined - (portRef Z (instanceRef common_stat_reg_i_RNO_0_1)) - (portRef A (instanceRef common_stat_reg_i_RNO_1)) - )) (net m173_2_03_3_i_4 (joined (portRef m173_2_03_3_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m173_2_03_3_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -893168,25 +893334,30 @@ (portRef A (instanceRef common_stat_reg_i_RNO_3)) (portRef A (instanceRef common_stat_reg_i_srsts_i_5)) (portRef A (instanceRef common_stat_reg_i_srsts_0_a3_7)) - (portRef B (instanceRef common_stat_reg_i_RNO_1)) + (portRef A (instanceRef common_stat_reg_i_RNO_0_1)) + )) + (net N_1694 (joined + (portRef Z (instanceRef common_stat_reg_i_srsts_i_a3_1_1)) + (portRef B (instanceRef common_stat_reg_i_RNO_0_1)) )) (net common_stat_reg_i_5 (joined (portRef Q (instanceRef common_stat_reg_i_5)) - (portRef C (instanceRef common_stat_reg_i_RNO_0_1)) (portRef C (instanceRef common_stat_reg_i_srsts_i_5)) - (portRef B (instanceRef un14_i_a3_1_1)) - (portRef C (instanceRef common_stat_reg_i_RNO_1)) + (portRef C (instanceRef common_stat_reg_i_srsts_i_a3_1_1)) + (portRef B (instanceRef common_stat_reg_i_srsts_i_a3_0_0_1)) + (portRef C (instanceRef common_stat_reg_i_RNO_0_1)) )) (net common_stat_reg_i_1 (joined (portRef Q (instanceRef common_stat_reg_i_1)) (portRef common_stat_reg_i_0 (instanceRef THE_ENDPOINT)) - (portRef A (instanceRef un14_i_a3_1_1)) - (portRef A (instanceRef un14_i_a2_0)) - (portRef D (instanceRef common_stat_reg_i_RNO_1)) + (portRef B (instanceRef common_stat_reg_i_RNO_1)) + (portRef A (instanceRef common_stat_reg_i_srsts_i_a3_1_1)) + (portRef A (instanceRef common_stat_reg_i_srsts_i_a3_0_0_1)) + (portRef D (instanceRef common_stat_reg_i_RNO_0_1)) )) - (net common_stat_reg_i_RNO_1 (joined - (portRef Z (instanceRef common_stat_reg_i_RNO_1)) - (portRef D (instanceRef common_stat_reg_i_1)) + (net common_stat_reg_i_srsts_i_289_0 (joined + (portRef Z (instanceRef common_stat_reg_i_RNO_0_1)) + (portRef D (instanceRef common_stat_reg_i_RNO_1)) )) (net tbuf_read_enable (joined (portRef tbuf_read_enable (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -893598,6 +893769,10 @@ (portRef Q (instanceRef dbuf_data_in_27)) (portRef dbuf_data_in_27 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) + (net common_stat_reg_i_RNO_1 (joined + (portRef Z (instanceRef common_stat_reg_i_RNO_1)) + (portRef D (instanceRef common_stat_reg_i_1)) + )) (net common_ctrl_reg_4 (joined (portRef common_ctrl_reg_3 (instanceRef THE_ENDPOINT)) (portRef D (instanceRef common_stat_reg_i_7)) @@ -893612,22 +893787,23 @@ (net common_stat_reg_i_3 (joined (portRef Q (instanceRef common_stat_reg_i_3)) (portRef common_stat_reg_i_2 (instanceRef THE_ENDPOINT)) + (portRef C (instanceRef common_stat_reg_i_RNO_1)) (portRef C (instanceRef common_stat_reg_i_RNO_3)) - (portRef B (instanceRef un14_i_a2_0)) + (portRef B (instanceRef common_stat_reg_i_srsts_i_a3_1_1)) )) - (net N_1675_0 (joined + (net N_1677_0 (joined (portRef Z (instanceRef common_stat_reg_i_srsts_i_5)) (portRef D (instanceRef common_stat_reg_i_5)) )) - (net N_1698 (joined + (net N_1700 (joined (portRef Z (instanceRef common_stat_reg_i_srsts_0_a3_7)) (portRef PD (instanceRef common_stat_reg_i_7)) )) (net common_stat_reg_i_7 (joined (portRef Q (instanceRef common_stat_reg_i_7)) - (portRef D (instanceRef common_stat_reg_i_RNO_0_1)) (portRef D (instanceRef common_stat_reg_i_RNO_3)) (portRef D (instanceRef common_stat_reg_i_srsts_i_5)) + (portRef D (instanceRef common_stat_reg_i_srsts_i_a3_1_1)) (portRef C (instanceRef common_stat_reg_i_srsts_0_a3_7)) )) (net ipu_number_i_0 (joined @@ -893784,24 +893960,15 @@ (portRef GND (instanceRef THE_INTERNAL_BUS_HANDLER)) (portRef GND (instanceRef THE_ENDPOINT)) )) - (net N_1700 (joined - (portRef Z (instanceRef un14_i_a2_0)) - (portRef N_1700 (instanceRef THE_ENDPOINT)) - (portRef A (instanceRef common_stat_reg_i_RNO_0_1)) - )) - (net un14_i_a3_1_1 (joined - (portRef Z (instanceRef un14_i_a3_1_1)) - (portRef (member un14_i_a3_1 0) (instanceRef THE_ENDPOINT)) - )) - (net lvl1_valid_i_0 (joined - (portRef Z (instanceRef lvl1_valid_i)) - (portRef lvl1_valid_i_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net un14_i_a3_0_1 (joined + (portRef Z (instanceRef common_stat_reg_i_srsts_i_a3_0_0_1)) + (portRef (member un14_i_a3_0 0) (instanceRef THE_ENDPOINT)) )) (net m174_2_03_3_i_4 (joined (portRef m174_2_03_3_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m174_2_03_3_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) (portRef m174_2_03_3_i_4 (instanceRef THE_ENDPOINT)) - (portRef B (instanceRef common_stat_reg_i_RNO_0_1)) + (portRef A (instanceRef common_stat_reg_i_RNO_1)) (portRef B (instanceRef common_stat_reg_i_RNO_3)) (portRef B (instanceRef common_stat_reg_i_srsts_i_5)) (portRef B (instanceRef common_stat_reg_i_srsts_0_a3_7)) @@ -893824,6 +893991,11 @@ (portRef (member lvl1_error_pattern_i 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef (member lvl1_error_pattern_i 0) (instanceRef THE_ENDPOINT)) )) + (net port_select_int_0 (joined + (portRef port_select_int_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member port_select_int 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef (member port_select_int 0) (instanceRef THE_ENDPOINT)) + )) (net med_packet_num_in_0 (joined (portRef (member med_packet_num_in 2)) (portRef (member med_packet_num_in 2) (instanceRef THE_ENDPOINT)) @@ -893976,129 +894148,141 @@ (portRef (member un1_the_endpoint_1_0 0) (instanceRef THE_ENDPOINT)) (portRef (member un1_the_endpoint_1_0 0)) )) - (net regio_addr_i_1_0 (joined - (portRef (member regio_addr_i_1 1) (instanceRef THE_ENDPOINT)) - (portRef (member regio_addr_i_1 1) (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net regio_addr_i_1_1 (joined - (portRef (member regio_addr_i_1 0) (instanceRef THE_ENDPOINT)) - (portRef (member regio_addr_i_1 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net regio_addr_i_7 (joined - (portRef regio_addr_i_7 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_7 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net regio_addr_i_6 (joined - (portRef regio_addr_i_6 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_6 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_2 (joined + (portRef (member regio_addr_i 13) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 13) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_5 (joined - (portRef regio_addr_i_5 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_5 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_3 (joined + (portRef (member regio_addr_i 12) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 12) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_4 (joined - (portRef regio_addr_i_4 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 11) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 11) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_3 (joined - (portRef regio_addr_i_3 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_3 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_5 (joined + (portRef (member regio_addr_i 10) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 10) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_2 (joined - (portRef regio_addr_i_2_d0 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_2_d0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_6 (joined + (portRef (member regio_addr_i 9) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 9) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_0 (joined - (portRef regio_addr_i_0 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_7 (joined + (portRef (member regio_addr_i 8) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 8) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_8 (joined - (portRef regio_addr_i_8 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_8 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 7) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 7) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_9 (joined - (portRef regio_addr_i_9 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_9 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 6) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 6) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_10 (joined - (portRef regio_addr_i_10 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_10 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 5) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 5) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_11 (joined - (portRef regio_addr_i_11 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_11 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 4) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 4) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_12 (joined - (portRef regio_addr_i_12 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_12 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 3) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 3) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_13 (joined - (portRef regio_addr_i_13 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_13 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 2) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 2) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_14 (joined - (portRef regio_addr_i_14 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_14 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 1) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 1) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_15 (joined - (portRef regio_addr_i_15 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_15 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 0) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net stat_reg_60 (joined - (portRef stat_reg_60) - (portRef stat_reg_60 (instanceRef THE_ENDPOINT)) + (net reg_enable_pattern_1 (joined + (portRef (member reg_enable_pattern 0) (instanceRef THE_ENDPOINT)) + (portRef (member reg_enable_pattern 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_reg_63 (joined + (portRef stat_reg_63) + (portRef stat_reg_63 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_61 (joined + (portRef stat_reg_61) + (portRef stat_reg_61 (instanceRef THE_ENDPOINT)) )) (net stat_reg_62 (joined (portRef stat_reg_62) (portRef stat_reg_62 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_488 (joined - (portRef stat_reg_488) - (portRef stat_reg_488 (instanceRef THE_ENDPOINT)) + (net stat_reg_43 (joined + (portRef stat_reg_43) + (portRef stat_reg_43 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_456 (joined - (portRef stat_reg_456) - (portRef stat_reg_456 (instanceRef THE_ENDPOINT)) + (net stat_reg_56 (joined + (portRef stat_reg_56) + (portRef stat_reg_56 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_424 (joined - (portRef stat_reg_424) - (portRef stat_reg_424 (instanceRef THE_ENDPOINT)) + (net stat_reg_58 (joined + (portRef stat_reg_58) + (portRef stat_reg_58 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_392 (joined - (portRef stat_reg_392) - (portRef stat_reg_392 (instanceRef THE_ENDPOINT)) + (net stat_reg_57 (joined + (portRef stat_reg_57) + (portRef stat_reg_57 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_490 (joined - (portRef stat_reg_490) - (portRef stat_reg_490 (instanceRef THE_ENDPOINT)) + (net stat_reg_500 (joined + (portRef stat_reg_500) + (portRef stat_reg_500 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_458 (joined - (portRef stat_reg_458) - (portRef stat_reg_458 (instanceRef THE_ENDPOINT)) + (net stat_reg_468 (joined + (portRef stat_reg_468) + (portRef stat_reg_468 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_426 (joined - (portRef stat_reg_426) - (portRef stat_reg_426 (instanceRef THE_ENDPOINT)) + (net stat_reg_436 (joined + (portRef stat_reg_436) + (portRef stat_reg_436 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_394 (joined - (portRef stat_reg_394) - (portRef stat_reg_394 (instanceRef THE_ENDPOINT)) + (net stat_reg_404 (joined + (portRef stat_reg_404) + (portRef stat_reg_404 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_496 (joined - (portRef stat_reg_496) - (portRef stat_reg_496 (instanceRef THE_ENDPOINT)) + (net stat_reg_494 (joined + (portRef stat_reg_494) + (portRef stat_reg_494 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_464 (joined - (portRef stat_reg_464) - (portRef stat_reg_464 (instanceRef THE_ENDPOINT)) + (net stat_reg_462 (joined + (portRef stat_reg_462) + (portRef stat_reg_462 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_432 (joined - (portRef stat_reg_432) - (portRef stat_reg_432 (instanceRef THE_ENDPOINT)) + (net stat_reg_430 (joined + (portRef stat_reg_430) + (portRef stat_reg_430 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_400 (joined - (portRef stat_reg_400) - (portRef stat_reg_400 (instanceRef THE_ENDPOINT)) + (net stat_reg_398 (joined + (portRef stat_reg_398) + (portRef stat_reg_398 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_484 (joined + (portRef stat_reg_484) + (portRef stat_reg_484 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_452 (joined + (portRef stat_reg_452) + (portRef stat_reg_452 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_420 (joined + (portRef stat_reg_420) + (portRef stat_reg_420 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_388 (joined + (portRef stat_reg_388) + (portRef stat_reg_388 (instanceRef THE_ENDPOINT)) )) (net stat_reg_487 (joined (portRef stat_reg_487) @@ -894148,13 +894332,13 @@ (portRef stat_reg_631) (portRef stat_reg_631 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_532 (joined - (portRef stat_reg_532) - (portRef stat_reg_532 (instanceRef THE_ENDPOINT)) + (net stat_reg_592 (joined + (portRef stat_reg_592) + (portRef stat_reg_592 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_564 (joined - (portRef stat_reg_564) - (portRef stat_reg_564 (instanceRef THE_ENDPOINT)) + (net stat_reg_624 (joined + (portRef stat_reg_624) + (portRef stat_reg_624 (instanceRef THE_ENDPOINT)) )) (net stat_reg_595 (joined (portRef stat_reg_595) @@ -894196,6 +894380,14 @@ (portRef stat_reg_620) (portRef stat_reg_620 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_584 (joined + (portRef stat_reg_584) + (portRef stat_reg_584 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_616 (joined + (portRef stat_reg_616) + (portRef stat_reg_616 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_582 (joined (portRef stat_reg_582) (portRef stat_reg_582 (instanceRef THE_ENDPOINT)) @@ -894212,13 +894404,13 @@ (portRef stat_reg_236) (portRef stat_reg_236 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_590 (joined - (portRef stat_reg_590) - (portRef stat_reg_590 (instanceRef THE_ENDPOINT)) + (net stat_reg_585 (joined + (portRef stat_reg_585) + (portRef stat_reg_585 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_622 (joined - (portRef stat_reg_622) - (portRef stat_reg_622 (instanceRef THE_ENDPOINT)) + (net stat_reg_617 (joined + (portRef stat_reg_617) + (portRef stat_reg_617 (instanceRef THE_ENDPOINT)) )) (net stat_reg_206 (joined (portRef stat_reg_206) @@ -894228,14 +894420,6 @@ (portRef stat_reg_238) (portRef stat_reg_238 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_583 (joined - (portRef stat_reg_583) - (portRef stat_reg_583 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_615 (joined - (portRef stat_reg_615) - (portRef stat_reg_615 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_576 (joined (portRef stat_reg_576) (portRef stat_reg_576 (instanceRef THE_ENDPOINT)) @@ -894244,13 +894428,13 @@ (portRef stat_reg_608) (portRef stat_reg_608 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_516 (joined - (portRef stat_reg_516) - (portRef stat_reg_516 (instanceRef THE_ENDPOINT)) + (net stat_reg_203 (joined + (portRef stat_reg_203) + (portRef stat_reg_203 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_548 (joined - (portRef stat_reg_548) - (portRef stat_reg_548 (instanceRef THE_ENDPOINT)) + (net stat_reg_235 (joined + (portRef stat_reg_235) + (portRef stat_reg_235 (instanceRef THE_ENDPOINT)) )) (net stat_reg_579 (joined (portRef stat_reg_579) @@ -894276,6 +894460,14 @@ (portRef stat_reg_623) (portRef stat_reg_623 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_4 (joined + (portRef stat_reg_4) + (portRef stat_reg_4 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_36 (joined + (portRef stat_reg_36) + (portRef stat_reg_36 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_581 (joined (portRef stat_reg_581) (portRef stat_reg_581 (instanceRef THE_ENDPOINT)) @@ -894292,6 +894484,14 @@ (portRef stat_reg_609) (portRef stat_reg_609 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_205 (joined + (portRef stat_reg_205) + (portRef stat_reg_205 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_237 (joined + (portRef stat_reg_237) + (portRef stat_reg_237 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_578 (joined (portRef stat_reg_578) (portRef stat_reg_578 (instanceRef THE_ENDPOINT)) @@ -894300,6 +894500,14 @@ (portRef stat_reg_610) (portRef stat_reg_610 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_589 (joined + (portRef stat_reg_589) + (portRef stat_reg_589 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_621 (joined + (portRef stat_reg_621) + (portRef stat_reg_621 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_207 (joined (portRef stat_reg_207) (portRef stat_reg_207 (instanceRef THE_ENDPOINT)) @@ -894308,121 +894516,13 @@ (portRef stat_reg_239) (portRef stat_reg_239 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_45 (joined - (portRef stat_reg_45) - (portRef stat_reg_45 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_43 (joined - (portRef stat_reg_43) - (portRef stat_reg_43 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_261 (joined - (portRef stat_reg_261) - (portRef stat_reg_261 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_293 (joined - (portRef stat_reg_293) - (portRef stat_reg_293 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_517 (joined - (portRef stat_reg_517) - (portRef stat_reg_517 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_549 (joined - (portRef stat_reg_549) - (portRef stat_reg_549 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_137 (joined - (portRef stat_reg_137) - (portRef stat_reg_137 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_169 (joined - (portRef stat_reg_169) - (portRef stat_reg_169 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_201 (joined - (portRef stat_reg_201) - (portRef stat_reg_201 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_233 (joined - (portRef stat_reg_233) - (portRef stat_reg_233 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_265 (joined - (portRef stat_reg_265) - (portRef stat_reg_265 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_297 (joined - (portRef stat_reg_297) - (portRef stat_reg_297 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_329 (joined - (portRef stat_reg_329) - (portRef stat_reg_329 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_361 (joined - (portRef stat_reg_361) - (portRef stat_reg_361 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_144 (joined - (portRef stat_reg_144) - (portRef stat_reg_144 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_176 (joined - (portRef stat_reg_176) - (portRef stat_reg_176 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_208 (joined - (portRef stat_reg_208) - (portRef stat_reg_208 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_240 (joined - (portRef stat_reg_240) - (portRef stat_reg_240 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_336 (joined - (portRef stat_reg_336) - (portRef stat_reg_336 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_368 (joined - (portRef stat_reg_368) - (portRef stat_reg_368 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_259 (joined - (portRef stat_reg_259) - (portRef stat_reg_259 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_291 (joined - (portRef stat_reg_291) - (portRef stat_reg_291 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_323 (joined - (portRef stat_reg_323) - (portRef stat_reg_323 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_355 (joined - (portRef stat_reg_355) - (portRef stat_reg_355 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_521 (joined - (portRef stat_reg_521) - (portRef stat_reg_521 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_553 (joined - (portRef stat_reg_553) - (portRef stat_reg_553 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_585 (joined - (portRef stat_reg_585) - (portRef stat_reg_585 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_617 (joined - (portRef stat_reg_617) - (portRef stat_reg_617 (instanceRef THE_ENDPOINT)) + (net stat_reg_587 (joined + (portRef stat_reg_587) + (portRef stat_reg_587 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_57 (joined - (portRef stat_reg_57) - (portRef stat_reg_57 (instanceRef THE_ENDPOINT)) + (net stat_reg_619 (joined + (portRef stat_reg_619) + (portRef stat_reg_619 (instanceRef THE_ENDPOINT)) )) (net stat_reg_535 (joined (portRef stat_reg_535) @@ -894600,21 +894700,13 @@ (portRef stat_reg_628) (portRef stat_reg_628 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_404 (joined - (portRef stat_reg_404) - (portRef stat_reg_404 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_436 (joined - (portRef stat_reg_436) - (portRef stat_reg_436 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_468 (joined - (portRef stat_reg_468) - (portRef stat_reg_468 (instanceRef THE_ENDPOINT)) + (net stat_reg_532 (joined + (portRef stat_reg_532) + (portRef stat_reg_532 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_500 (joined - (portRef stat_reg_500) - (portRef stat_reg_500 (instanceRef THE_ENDPOINT)) + (net stat_reg_564 (joined + (portRef stat_reg_564) + (portRef stat_reg_564 (instanceRef THE_ENDPOINT)) )) (net stat_reg_340 (joined (portRef stat_reg_340) @@ -894704,6 +894796,14 @@ (portRef stat_reg_181) (portRef stat_reg_181 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_336 (joined + (portRef stat_reg_336) + (portRef stat_reg_336 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_368 (joined + (portRef stat_reg_368) + (portRef stat_reg_368 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_272 (joined (portRef stat_reg_272) (portRef stat_reg_272 (instanceRef THE_ENDPOINT)) @@ -894712,14 +894812,6 @@ (portRef stat_reg_304) (portRef stat_reg_304 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_592 (joined - (portRef stat_reg_592) - (portRef stat_reg_592 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_624 (joined - (portRef stat_reg_624) - (portRef stat_reg_624 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_528 (joined (portRef stat_reg_528) (portRef stat_reg_528 (instanceRef THE_ENDPOINT)) @@ -894728,6 +894820,38 @@ (portRef stat_reg_560) (portRef stat_reg_560 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_464 (joined + (portRef stat_reg_464) + (portRef stat_reg_464 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_496 (joined + (portRef stat_reg_496) + (portRef stat_reg_496 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_400 (joined + (portRef stat_reg_400) + (portRef stat_reg_400 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_432 (joined + (portRef stat_reg_432) + (portRef stat_reg_432 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_208 (joined + (portRef stat_reg_208) + (portRef stat_reg_208 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_240 (joined + (portRef stat_reg_240) + (portRef stat_reg_240 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_144 (joined + (portRef stat_reg_144) + (portRef stat_reg_144 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_176 (joined + (portRef stat_reg_176) + (portRef stat_reg_176 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_529 (joined (portRef stat_reg_529) (portRef stat_reg_529 (instanceRef THE_ENDPOINT)) @@ -894840,13 +894964,45 @@ (portRef stat_reg_178) (portRef stat_reg_178 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_58 (joined - (portRef stat_reg_58) - (portRef stat_reg_58 (instanceRef THE_ENDPOINT)) + (net stat_reg_521 (joined + (portRef stat_reg_521) + (portRef stat_reg_521 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_56 (joined - (portRef stat_reg_56) - (portRef stat_reg_56 (instanceRef THE_ENDPOINT)) + (net stat_reg_553 (joined + (portRef stat_reg_553) + (portRef stat_reg_553 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_329 (joined + (portRef stat_reg_329) + (portRef stat_reg_329 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_361 (joined + (portRef stat_reg_361) + (portRef stat_reg_361 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_265 (joined + (portRef stat_reg_265) + (portRef stat_reg_265 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_297 (joined + (portRef stat_reg_297) + (portRef stat_reg_297 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_201 (joined + (portRef stat_reg_201) + (portRef stat_reg_201 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_233 (joined + (portRef stat_reg_233) + (portRef stat_reg_233 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_137 (joined + (portRef stat_reg_137) + (portRef stat_reg_137 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_169 (joined + (portRef stat_reg_169) + (portRef stat_reg_169 (instanceRef THE_ENDPOINT)) )) (net stat_reg_457 (joined (portRef stat_reg_457) @@ -894864,6 +895020,14 @@ (portRef stat_reg_425) (portRef stat_reg_425 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_583 (joined + (portRef stat_reg_583) + (portRef stat_reg_583 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_615 (joined + (portRef stat_reg_615) + (portRef stat_reg_615 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_519 (joined (portRef stat_reg_519) (portRef stat_reg_519 (instanceRef THE_ENDPOINT)) @@ -894984,6 +895148,22 @@ (portRef stat_reg_419) (portRef stat_reg_419 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_323 (joined + (portRef stat_reg_323) + (portRef stat_reg_323 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_355 (joined + (portRef stat_reg_355) + (portRef stat_reg_355 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_259 (joined + (portRef stat_reg_259) + (portRef stat_reg_259 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_291 (joined + (portRef stat_reg_291) + (portRef stat_reg_291 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_195 (joined (portRef stat_reg_195) (portRef stat_reg_195 (instanceRef THE_ENDPOINT)) @@ -895016,21 +895196,13 @@ (portRef stat_reg_612) (portRef stat_reg_612 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_388 (joined - (portRef stat_reg_388) - (portRef stat_reg_388 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_420 (joined - (portRef stat_reg_420) - (portRef stat_reg_420 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_452 (joined - (portRef stat_reg_452) - (portRef stat_reg_452 (instanceRef THE_ENDPOINT)) + (net stat_reg_516 (joined + (portRef stat_reg_516) + (portRef stat_reg_516 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_484 (joined - (portRef stat_reg_484) - (portRef stat_reg_484 (instanceRef THE_ENDPOINT)) + (net stat_reg_548 (joined + (portRef stat_reg_548) + (portRef stat_reg_548 (instanceRef THE_ENDPOINT)) )) (net stat_reg_324 (joined (portRef stat_reg_324) @@ -895064,13 +895236,13 @@ (portRef stat_reg_164) (portRef stat_reg_164 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_4 (joined - (portRef stat_reg_4) - (portRef stat_reg_4 (instanceRef THE_ENDPOINT)) + (net stat_reg_517 (joined + (portRef stat_reg_517) + (portRef stat_reg_517 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_36 (joined - (portRef stat_reg_36) - (portRef stat_reg_36 (instanceRef THE_ENDPOINT)) + (net stat_reg_549 (joined + (portRef stat_reg_549) + (portRef stat_reg_549 (instanceRef THE_ENDPOINT)) )) (net stat_reg_453 (joined (portRef stat_reg_453) @@ -895096,6 +895268,14 @@ (portRef stat_reg_357) (portRef stat_reg_357 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_261 (joined + (portRef stat_reg_261) + (portRef stat_reg_261 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_293 (joined + (portRef stat_reg_293) + (portRef stat_reg_293 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_197 (joined (portRef stat_reg_197) (portRef stat_reg_197 (instanceRef THE_ENDPOINT)) @@ -895312,14 +895492,6 @@ (portRef stat_reg_429) (portRef stat_reg_429 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_589 (joined - (portRef stat_reg_589) - (portRef stat_reg_589 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_621 (joined - (portRef stat_reg_621) - (portRef stat_reg_621 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_525 (joined (portRef stat_reg_525) (portRef stat_reg_525 (instanceRef THE_ENDPOINT)) @@ -895352,14 +895524,6 @@ (portRef stat_reg_301) (portRef stat_reg_301 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_205 (joined - (portRef stat_reg_205) - (portRef stat_reg_205 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_237 (joined - (portRef stat_reg_237) - (portRef stat_reg_237 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_141 (joined (portRef stat_reg_141) (portRef stat_reg_141 (instanceRef THE_ENDPOINT)) @@ -895368,6 +895532,14 @@ (portRef stat_reg_173) (portRef stat_reg_173 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_590 (joined + (portRef stat_reg_590) + (portRef stat_reg_590 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_622 (joined + (portRef stat_reg_622) + (portRef stat_reg_622 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_526 (joined (portRef stat_reg_526) (portRef stat_reg_526 (instanceRef THE_ENDPOINT)) @@ -895440,6 +895612,22 @@ (portRef stat_reg_554) (portRef stat_reg_554 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_458 (joined + (portRef stat_reg_458) + (portRef stat_reg_458 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_490 (joined + (portRef stat_reg_490) + (portRef stat_reg_490 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_394 (joined + (portRef stat_reg_394) + (portRef stat_reg_394 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_426 (joined + (portRef stat_reg_426) + (portRef stat_reg_426 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_330 (joined (portRef stat_reg_330) (portRef stat_reg_330 (instanceRef THE_ENDPOINT)) @@ -895480,14 +895668,6 @@ (portRef stat_reg_427) (portRef stat_reg_427 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_587 (joined - (portRef stat_reg_587) - (portRef stat_reg_587 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_619 (joined - (portRef stat_reg_619) - (portRef stat_reg_619 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_523 (joined (portRef stat_reg_523) (portRef stat_reg_523 (instanceRef THE_ENDPOINT)) @@ -895520,14 +895700,6 @@ (portRef stat_reg_299) (portRef stat_reg_299 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_203 (joined - (portRef stat_reg_203) - (portRef stat_reg_203 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_235 (joined - (portRef stat_reg_235) - (portRef stat_reg_235 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_139 (joined (portRef stat_reg_139) (portRef stat_reg_139 (instanceRef THE_ENDPOINT)) @@ -895584,14 +895756,6 @@ (portRef stat_reg_172) (portRef stat_reg_172 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_584 (joined - (portRef stat_reg_584) - (portRef stat_reg_584 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_616 (joined - (portRef stat_reg_616) - (portRef stat_reg_616 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_520 (joined (portRef stat_reg_520) (portRef stat_reg_520 (instanceRef THE_ENDPOINT)) @@ -895600,6 +895764,22 @@ (portRef stat_reg_552) (portRef stat_reg_552 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_456 (joined + (portRef stat_reg_456) + (portRef stat_reg_456 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_488 (joined + (portRef stat_reg_488) + (portRef stat_reg_488 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_392 (joined + (portRef stat_reg_392) + (portRef stat_reg_392 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_424 (joined + (portRef stat_reg_424) + (portRef stat_reg_424 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_328 (joined (portRef stat_reg_328) (portRef stat_reg_328 (instanceRef THE_ENDPOINT)) @@ -895632,22 +895812,6 @@ (portRef stat_reg_168) (portRef stat_reg_168 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_462 (joined - (portRef stat_reg_462) - (portRef stat_reg_462 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_494 (joined - (portRef stat_reg_494) - (portRef stat_reg_494 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_398 (joined - (portRef stat_reg_398) - (portRef stat_reg_398 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_430 (joined - (portRef stat_reg_430) - (portRef stat_reg_430 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_334 (joined (portRef stat_reg_334) (portRef stat_reg_334 (instanceRef THE_ENDPOINT)) @@ -895672,9 +895836,9 @@ (portRef stat_reg_659) (portRef stat_reg_659 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_660 (joined - (portRef stat_reg_660) - (portRef stat_reg_660 (instanceRef THE_ENDPOINT)) + (net stat_reg_656 (joined + (portRef stat_reg_656) + (portRef stat_reg_656 (instanceRef THE_ENDPOINT)) )) (net stat_reg_657 (joined (portRef stat_reg_657) @@ -895684,165 +895848,173 @@ (portRef stat_reg_658) (portRef stat_reg_658 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_653 (joined - (portRef stat_reg_653) - (portRef stat_reg_653 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_651 (joined - (portRef stat_reg_651) - (portRef stat_reg_651 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_49 (joined - (portRef stat_reg_49) - (portRef stat_reg_49 (instanceRef THE_ENDPOINT)) + (net stat_reg_52 (joined + (portRef stat_reg_52) + (portRef stat_reg_52 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_38 (joined - (portRef stat_reg_38) - (portRef stat_reg_38 (instanceRef THE_ENDPOINT)) + (net stat_reg_53 (joined + (portRef stat_reg_53) + (portRef stat_reg_53 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_55 (joined - (portRef stat_reg_55) - (portRef stat_reg_55 (instanceRef THE_ENDPOINT)) + (net stat_reg_41 (joined + (portRef stat_reg_41) + (portRef stat_reg_41 (instanceRef THE_ENDPOINT)) )) (net stat_reg_39 (joined (portRef stat_reg_39) (portRef stat_reg_39 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_42 (joined - (portRef stat_reg_42) - (portRef stat_reg_42 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_50 (joined - (portRef stat_reg_50) - (portRef stat_reg_50 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_53 (joined - (portRef stat_reg_53) - (portRef stat_reg_53 (instanceRef THE_ENDPOINT)) + (net stat_reg_54 (joined + (portRef stat_reg_54) + (portRef stat_reg_54 (instanceRef THE_ENDPOINT)) )) (net stat_reg_51 (joined (portRef stat_reg_51) (portRef stat_reg_51 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_37 (joined - (portRef stat_reg_37) - (portRef stat_reg_37 (instanceRef THE_ENDPOINT)) + (net stat_reg_40 (joined + (portRef stat_reg_40) + (portRef stat_reg_40 (instanceRef THE_ENDPOINT)) )) (net stat_reg_48 (joined (portRef stat_reg_48) (portRef stat_reg_48 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_41 (joined - (portRef stat_reg_41) - (portRef stat_reg_41 (instanceRef THE_ENDPOINT)) + (net stat_reg_42 (joined + (portRef stat_reg_42) + (portRef stat_reg_42 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_54 (joined - (portRef stat_reg_54) - (portRef stat_reg_54 (instanceRef THE_ENDPOINT)) + (net stat_reg_38 (joined + (portRef stat_reg_38) + (portRef stat_reg_38 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_52 (joined - (portRef stat_reg_52) - (portRef stat_reg_52 (instanceRef THE_ENDPOINT)) + (net stat_reg_55 (joined + (portRef stat_reg_55) + (portRef stat_reg_55 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_655 (joined - (portRef stat_reg_655) - (portRef stat_reg_655 (instanceRef THE_ENDPOINT)) + (net stat_reg_37 (joined + (portRef stat_reg_37) + (portRef stat_reg_37 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_50 (joined + (portRef stat_reg_50) + (portRef stat_reg_50 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_49 (joined + (portRef stat_reg_49) + (portRef stat_reg_49 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_647 (joined + (portRef stat_reg_647) + (portRef stat_reg_647 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_646 (joined + (portRef stat_reg_646) + (portRef stat_reg_646 (instanceRef THE_ENDPOINT)) )) (net stat_reg_644 (joined (portRef stat_reg_644) (portRef stat_reg_644 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_643 (joined - (portRef stat_reg_643) - (portRef stat_reg_643 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_47 (joined - (portRef stat_reg_47) - (portRef stat_reg_47 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_645 (joined - (portRef stat_reg_645) - (portRef stat_reg_645 (instanceRef THE_ENDPOINT)) + (net stat_reg_661 (joined + (portRef stat_reg_661) + (portRef stat_reg_661 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_648 (joined - (portRef stat_reg_648) - (portRef stat_reg_648 (instanceRef THE_ENDPOINT)) + (net stat_reg_45 (joined + (portRef stat_reg_45) + (portRef stat_reg_45 (instanceRef THE_ENDPOINT)) )) (net stat_reg_652 (joined (portRef stat_reg_652) (portRef stat_reg_652 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_646 (joined - (portRef stat_reg_646) - (portRef stat_reg_646 (instanceRef THE_ENDPOINT)) + (net stat_reg_645 (joined + (portRef stat_reg_645) + (portRef stat_reg_645 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_650 (joined + (portRef stat_reg_650) + (portRef stat_reg_650 (instanceRef THE_ENDPOINT)) )) (net stat_reg_641 (joined (portRef stat_reg_641) (portRef stat_reg_641 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_47 (joined + (portRef stat_reg_47) + (portRef stat_reg_47 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_44 (joined + (portRef stat_reg_44) + (portRef stat_reg_44 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_648 (joined + (portRef stat_reg_648) + (portRef stat_reg_648 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_654 (joined (portRef stat_reg_654) (portRef stat_reg_654 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_655 (joined + (portRef stat_reg_655) + (portRef stat_reg_655 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_660 (joined + (portRef stat_reg_660) + (portRef stat_reg_660 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_649 (joined (portRef stat_reg_649) (portRef stat_reg_649 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_647 (joined - (portRef stat_reg_647) - (portRef stat_reg_647 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_662 (joined (portRef stat_reg_662) (portRef stat_reg_662 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_650 (joined - (portRef stat_reg_650) - (portRef stat_reg_650 (instanceRef THE_ENDPOINT)) + (net stat_reg_642 (joined + (portRef stat_reg_642) + (portRef stat_reg_642 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_640 (joined + (portRef stat_reg_640) + (portRef stat_reg_640 (instanceRef THE_ENDPOINT)) )) (net stat_reg_46 (joined (portRef stat_reg_46) (portRef stat_reg_46 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_656 (joined - (portRef stat_reg_656) - (portRef stat_reg_656 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_661 (joined - (portRef stat_reg_661) - (portRef stat_reg_661 (instanceRef THE_ENDPOINT)) + (net stat_reg_643 (joined + (portRef stat_reg_643) + (portRef stat_reg_643 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_642 (joined - (portRef stat_reg_642) - (portRef stat_reg_642 (instanceRef THE_ENDPOINT)) + (net stat_reg_651 (joined + (portRef stat_reg_651) + (portRef stat_reg_651 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_44 (joined - (portRef stat_reg_44) - (portRef stat_reg_44 (instanceRef THE_ENDPOINT)) + (net stat_reg_653 (joined + (portRef stat_reg_653) + (portRef stat_reg_653 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_640 (joined - (portRef stat_reg_640) - (portRef stat_reg_640 (instanceRef THE_ENDPOINT)) + (net stat_reg_60 (joined + (portRef stat_reg_60) + (portRef stat_reg_60 (instanceRef THE_ENDPOINT)) )) (net stat_reg_59 (joined (portRef stat_reg_59) (portRef stat_reg_59 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_63 (joined - (portRef stat_reg_63) - (portRef stat_reg_63 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_61 (joined - (portRef stat_reg_61) - (portRef stat_reg_61 (instanceRef THE_ENDPOINT)) + (net regio_addr_i_5_0 (joined + (portRef (member regio_addr_i_5 0) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i_5 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net stat_reg_40 (joined - (portRef stat_reg_40) - (portRef stat_reg_40 (instanceRef THE_ENDPOINT)) + (net regio_addr_i_3_0 (joined + (portRef (member regio_addr_i_3 0) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i_3 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_2_1 (joined - (portRef (member regio_addr_i_2 0) (instanceRef THE_ENDPOINT)) - (portRef (member regio_addr_i_2 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_1_1 (joined + (portRef (member regio_addr_i_1 0) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i_1 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net timer_ticks_0 (joined (portRef timer_ticks_0 (instanceRef THE_ENDPOINT)) @@ -895976,6 +896148,102 @@ (portRef (member regio_data_out_i 0) (instanceRef THE_ENDPOINT)) (portRef (member regio_data_out_i 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) + (net ctrl_reg_83 (joined + (portRef ctrl_reg_83 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_83) + )) + (net ctrl_reg_82 (joined + (portRef ctrl_reg_82 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_82) + )) + (net ctrl_reg_81 (joined + (portRef ctrl_reg_81 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_81) + )) + (net ctrl_reg_80 (joined + (portRef ctrl_reg_80 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_80) + )) + (net ctrl_reg_79 (joined + (portRef ctrl_reg_79 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_79) + )) + (net ctrl_reg_78 (joined + (portRef ctrl_reg_78 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_78) + )) + (net ctrl_reg_77 (joined + (portRef ctrl_reg_77 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_77) + )) + (net ctrl_reg_76 (joined + (portRef ctrl_reg_76 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_76) + )) + (net ctrl_reg_75 (joined + (portRef ctrl_reg_75 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_75) + )) + (net ctrl_reg_74 (joined + (portRef ctrl_reg_74 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_74) + )) + (net ctrl_reg_73 (joined + (portRef ctrl_reg_73 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_73) + )) + (net ctrl_reg_72 (joined + (portRef ctrl_reg_72 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_72) + )) + (net ctrl_reg_71 (joined + (portRef ctrl_reg_71 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_71) + )) + (net ctrl_reg_70 (joined + (portRef ctrl_reg_70 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_70) + )) + (net ctrl_reg_69 (joined + (portRef ctrl_reg_69 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_69) + )) + (net ctrl_reg_68 (joined + (portRef ctrl_reg_68 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_68) + )) + (net ctrl_reg_67 (joined + (portRef ctrl_reg_67 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_67) + )) + (net ctrl_reg_66 (joined + (portRef ctrl_reg_66 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_66) + )) + (net ctrl_reg_65 (joined + (portRef ctrl_reg_65 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_65) + )) + (net ctrl_reg_64 (joined + (portRef ctrl_reg_64 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_64) + )) + (net ctrl_reg_63 (joined + (portRef ctrl_reg_63 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_63) + )) + (net ctrl_reg_62 (joined + (portRef ctrl_reg_62 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_62) + )) + (net ctrl_reg_61 (joined + (portRef ctrl_reg_61 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_61) + )) + (net ctrl_reg_60 (joined + (portRef ctrl_reg_60 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_60) + )) (net ctrl_reg_59 (joined (portRef ctrl_reg_59 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_59) @@ -896004,30 +896272,10 @@ (portRef ctrl_reg_53 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_53) )) - (net ctrl_reg_52 (joined - (portRef ctrl_reg_52 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_52) - )) (net ctrl_reg_51 (joined (portRef ctrl_reg_51 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_51) )) - (net ctrl_reg_50 (joined - (portRef ctrl_reg_50 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_50) - )) - (net ctrl_reg_49 (joined - (portRef ctrl_reg_49 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_49) - )) - (net ctrl_reg_48 (joined - (portRef ctrl_reg_48 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_48) - )) - (net ctrl_reg_47 (joined - (portRef ctrl_reg_47 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_47) - )) (net ctrl_reg_46 (joined (portRef ctrl_reg_46 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_46) @@ -896072,26 +896320,6 @@ (portRef ctrl_reg_36 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_36) )) - (net ctrl_reg_35 (joined - (portRef ctrl_reg_35 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_35) - )) - (net ctrl_reg_34 (joined - (portRef ctrl_reg_34 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_34) - )) - (net ctrl_reg_33 (joined - (portRef ctrl_reg_33 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_33) - )) - (net ctrl_reg_32 (joined - (portRef ctrl_reg_32 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_32) - )) - (net ctrl_reg_31 (joined - (portRef ctrl_reg_31 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_31) - )) (net ctrl_reg_30 (joined (portRef ctrl_reg_30 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_30) @@ -896100,77 +896328,25 @@ (portRef ctrl_reg_29 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_29) )) + (net ctrl_reg_28 (joined + (portRef ctrl_reg_28 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_28) + )) (net ctrl_reg_27 (joined (portRef ctrl_reg_27 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_27) )) - (net ctrl_reg_22 (joined - (portRef ctrl_reg_22 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_22) - )) - (net ctrl_reg_21 (joined - (portRef ctrl_reg_21 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_21) - )) - (net ctrl_reg_20 (joined - (portRef ctrl_reg_20 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_20) - )) - (net ctrl_reg_19 (joined - (portRef ctrl_reg_19 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_19) - )) - (net ctrl_reg_18 (joined - (portRef ctrl_reg_18 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_18) - )) - (net ctrl_reg_17 (joined - (portRef ctrl_reg_17 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_17) - )) - (net ctrl_reg_16 (joined - (portRef ctrl_reg_16 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_16) - )) - (net ctrl_reg_15 (joined - (portRef ctrl_reg_15 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_15) - )) - (net ctrl_reg_14 (joined - (portRef ctrl_reg_14 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_14) - )) - (net ctrl_reg_13 (joined - (portRef ctrl_reg_13 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_13) - )) - (net ctrl_reg_12 (joined - (portRef ctrl_reg_12 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_12) - )) - (net ctrl_reg_6 (joined - (portRef ctrl_reg_6 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_6) - )) - (net ctrl_reg_5 (joined - (portRef ctrl_reg_5 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_5) - )) - (net ctrl_reg_4 (joined - (portRef ctrl_reg_4 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_4) - )) - (net ctrl_reg_3 (joined - (portRef ctrl_reg_3 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_3) + (net ctrl_reg_26 (joined + (portRef ctrl_reg_26 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_26) )) - (net ctrl_reg_2 (joined - (portRef ctrl_reg_2 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_2) + (net ctrl_reg_25 (joined + (portRef ctrl_reg_25 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_25) )) - (net ctrl_reg_1 (joined - (portRef ctrl_reg_1 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_1) + (net ctrl_reg_24 (joined + (portRef ctrl_reg_24 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_24) )) (net ctrl_reg_0 (joined (portRef ctrl_reg_0 (instanceRef THE_ENDPOINT)) @@ -896307,21 +896483,21 @@ (net trg_int_number_i_0 (joined (portRef (member trg_int_number_i 15) (instanceRef THE_ENDPOINT)) (portRef (member trg_int_number_i 15) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member trg_int_number_i 2) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net trg_int_number_i_1 (joined (portRef (member trg_int_number_i 14) (instanceRef THE_ENDPOINT)) (portRef (member trg_int_number_i 14) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member trg_int_number_i 1) (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member trg_int_number_i 2) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net trg_int_number_i_2 (joined (portRef (member trg_int_number_i 13) (instanceRef THE_ENDPOINT)) (portRef (member trg_int_number_i 13) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member trg_int_number_i 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member trg_int_number_i 1) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net trg_int_number_i_3 (joined (portRef (member trg_int_number_i 12) (instanceRef THE_ENDPOINT)) (portRef (member trg_int_number_i 12) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef (member trg_int_number_i 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net trg_int_number_i_4 (joined (portRef (member trg_int_number_i 11) (instanceRef THE_ENDPOINT)) @@ -896371,11 +896547,6 @@ (portRef (member trg_int_number_i 0) (instanceRef THE_ENDPOINT)) (portRef (member trg_int_number_i 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) )) - (net port_select_int_0 (joined - (portRef port_select_int_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef (member port_select_int 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member port_select_int 0) (instanceRef THE_ENDPOINT)) - )) (net ipu_data_i_0 (joined (portRef (member ipu_data_i 31) (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef (member ipu_data_i 31) (instanceRef THE_ENDPOINT)) @@ -896608,9 +896779,10 @@ (portRef (member trg_code_i 0) (instanceRef THE_ENDPOINT)) (portRef (member trg_code_i 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) )) - (net buf_APL_DATAREADY_IN_1 (joined - (portRef (member buf_apl_dataready_in 0) (instanceRef THE_ENDPOINT)) - (portRef (member buf_apl_dataready_in 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net buf_api_stat_fifo_to_int_46 (joined + (portRef buf_api_stat_fifo_to_int_8 (instanceRef THE_ENDPOINT)) + (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net CURRENT_STATE_0 (joined (portRef (member current_state 2)) @@ -896652,31 +896824,30 @@ (portRef (member med_stat_op 0)) (portRef (member med_stat_op 0) (instanceRef THE_ENDPOINT)) )) - (net trg_invalid_i (joined - (portRef trg_invalid_i (instanceRef THE_ENDPOINT)) - (portRef A (instanceRef lvl1_valid_i)) - (portRef trg_invalid_i) - )) (net int_lvl1_long_trg (joined (portRef int_lvl1_long_trg (instanceRef THE_ENDPOINT)) (portRef int_lvl1_long_trg (instanceRef THE_HANDLER_TRIGGER_DATA)) )) - (net trg_notiming_valid_i (joined - (portRef trg_notiming_valid_i (instanceRef THE_ENDPOINT)) - (portRef B (instanceRef lvl1_valid_i)) - (portRef trg_notiming_valid_i) - )) - (net LVL1_TRG_RELEASE_OUT (joined - (portRef LVL1_TRG_RELEASE_OUT (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef LVL1_TRG_RELEASE_OUT (instanceRef THE_ENDPOINT)) + (net LVL1_TRG_RELEASE_OUT_0_a2 (joined + (portRef LVL1_TRG_RELEASE_OUT_0_a2 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef LVL1_TRG_RELEASE_OUT_0_a2 (instanceRef THE_ENDPOINT)) )) (net TRIGGER_LEFT_c (joined (portRef TRIGGER_LEFT_c) (portRef TRIGGER_LEFT_c (instanceRef THE_ENDPOINT)) )) - (net d_m5_0_0 (joined - (portRef d_m5_0_0 (instanceRef THE_ENDPOINT)) - (portRef d_m5_0_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net m6_6_03_1_1 (joined + (portRef m6_6_03_1_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef m6_6_03_1_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m6_6_03_1_1 (instanceRef THE_ENDPOINT)) + )) + (net first_fifo_read_RNIS8RB (joined + (portRef first_fifo_read_RNIS8RB (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef first_fifo_read_RNIS8RB (instanceRef THE_ENDPOINT)) + )) + (net d_N_6_0 (joined + (portRef d_N_6_0 (instanceRef THE_ENDPOINT)) + (portRef d_N_6_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net med_dataready_in (joined (portRef med_dataready_in) @@ -896686,37 +896857,21 @@ (portRef med_dataready_out (instanceRef THE_ENDPOINT)) (portRef med_dataready_out) )) - (net N_538_i (joined - (portRef N_538_i) - (portRef N_538_i (instanceRef THE_ENDPOINT)) - )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) - (portRef reset_i_rep2_1 (instanceRef THE_ENDPOINT)) + (net N_465_i (joined + (portRef N_465_i) + (portRef N_465_i (instanceRef THE_ENDPOINT)) )) (net TEMPSENS_in (joined (portRef TEMPSENS_in) (portRef TEMPSENS_in (instanceRef THE_ENDPOINT)) )) - (net regio_unknown_addr_i (joined - (portRef regio_unknown_addr_i (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef regio_unknown_addr_i (instanceRef THE_ENDPOINT)) - )) - (net regio_nomoredata_i (joined - (portRef regio_nomoredata_i (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef regio_nomoredata_i (instanceRef THE_ENDPOINT)) - )) - (net regio_dataready_i (joined - (portRef regio_dataready_i (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef regio_dataready_i (instanceRef THE_ENDPOINT)) - )) - (net N_180 (joined - (portRef N_180 (instanceRef THE_ENDPOINT)) - (portRef N_180 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net reset_i_rep2_1 (joined + (portRef reset_i_rep2_1) + (portRef reset_i_rep2_1 (instanceRef THE_ENDPOINT)) )) - (net regio_write_ack_i (joined - (portRef regio_write_ack_i (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef regio_write_ack_i (instanceRef THE_ENDPOINT)) + (net N_5867 (joined + (portRef N_5867 (instanceRef THE_ENDPOINT)) + (portRef N_5867 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_write_enable_i (joined (portRef regio_write_enable_i (instanceRef THE_ENDPOINT)) @@ -896726,35 +896881,42 @@ (portRef regio_read_enable_i (instanceRef THE_ENDPOINT)) (portRef regio_read_enable_i (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_0_rep1_1 (joined - (portRef regio_addr_i_0_rep1_1 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_0_rep1_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_dataready_i (joined + (portRef regio_dataready_i (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef regio_dataready_i (instanceRef THE_ENDPOINT)) + )) + (net regio_nomoredata_i (joined + (portRef regio_nomoredata_i (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef regio_nomoredata_i (instanceRef THE_ENDPOINT)) )) - (net un12_dat_addr_in_2 (joined - (portRef un12_dat_addr_in_2 (instanceRef THE_ENDPOINT)) - (portRef un12_dat_addr_in_2 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_unknown_addr_i (joined + (portRef regio_unknown_addr_i (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef regio_unknown_addr_i (instanceRef THE_ENDPOINT)) )) - (net N_2135_2 (joined - (portRef N_2135_2 (instanceRef THE_ENDPOINT)) - (portRef N_2135_2 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net N_5861 (joined + (portRef N_5861 (instanceRef THE_ENDPOINT)) + (portRef N_5861 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net un20_dat_addr_in_1 (joined - (portRef un20_dat_addr_in_1 (instanceRef THE_ENDPOINT)) - (portRef un20_dat_addr_in_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_write_ack_i (joined + (portRef regio_write_ack_i (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef regio_write_ack_i (instanceRef THE_ENDPOINT)) )) - (net trg_timing_valid_i (joined - (portRef trg_timing_valid_i (instanceRef THE_ENDPOINT)) - (portRef C (instanceRef lvl1_valid_i)) - (portRef trg_timing_valid_i) + (net N_228_1 (joined + (portRef N_228_1 (instanceRef THE_ENDPOINT)) + (portRef N_228_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net un16_dat_addr_in_4 (joined + (portRef un16_dat_addr_in_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef un16_dat_addr_in_4 (instanceRef THE_ENDPOINT)) + )) + (net un14_dat_addr_in_4 (joined + (portRef un14_dat_addr_in_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef un14_dat_addr_in_4 (instanceRef THE_ENDPOINT)) )) (net ipu_dataready_i (joined (portRef ipu_dataready_i (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef ipu_dataready_i (instanceRef THE_ENDPOINT)) )) - (net waiting_word_RNIIPH92 (joined - (portRef waiting_word_RNIIPH92 (instanceRef THE_ENDPOINT)) - (portRef waiting_word_RNIIPH92 (instanceRef THE_HANDLER_TRIGGER_DATA)) - )) (net m166_2_03_4_i_4 (joined (portRef m166_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m166_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -896764,28 +896926,13 @@ (portRef m161_2_03 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m161_2_03 (instanceRef THE_ENDPOINT)) )) - (net suppress_output_fast (joined - (portRef suppress_output_fast (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef suppress_output_fast (instanceRef THE_ENDPOINT)) - )) - (net reset_i_fast_r2 (joined - (portRef reset_i_fast_r2) - (portRef reset_i_fast_r2 (instanceRef THE_ENDPOINT)) - )) - (net m6_6_03_1_1 (joined - (portRef m6_6_03_1_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef m6_6_03_1_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m6_6_03_1_1 (instanceRef THE_ENDPOINT)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (instanceRef THE_ENDPOINT)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net suppress_output (joined + (portRef suppress_output (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef suppress_output (instanceRef THE_ENDPOINT)) )) - (net N_4877 (joined - (portRef N_4877 (instanceRef THE_ENDPOINT)) - (portRef N_4877 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef N_4877 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net reset_i_fast_r3 (joined + (portRef reset_i_fast_r3) + (portRef reset_i_fast_r3 (instanceRef THE_ENDPOINT)) )) (net ipu_readout_finished_i (joined (portRef ipu_readout_finished_i (instanceRef THE_HANDLER_TRIGGER_DATA)) @@ -896795,25 +896942,14 @@ (portRef reset_i_fast_1_i) (portRef reset_i_fast_1_i (instanceRef THE_ENDPOINT)) )) - (net last_second_word_waiting (joined - (portRef last_second_word_waiting (instanceRef THE_ENDPOINT)) - (portRef last_second_word_waiting (instanceRef THE_HANDLER_TRIGGER_DATA)) - )) - (net reset_i_fast_r4 (joined - (portRef reset_i_fast_r4) - (portRef reset_i_fast_r4 (instanceRef THE_ENDPOINT)) - )) - (net reset_i_fast_r9 (joined - (portRef reset_i_fast_r9) - (portRef reset_i_fast_r9 (instanceRef THE_ENDPOINT)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (instanceRef THE_ENDPOINT)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef last_second_word_waiting_RNIKQVT1 (instanceRef THE_ENDPOINT)) + (portRef last_second_word_waiting_RNIKQVT1 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef last_second_word_waiting_RNIKQVT1 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net reset_i_fast_r3 (joined - (portRef reset_i_fast_r3) - (portRef reset_i_fast_r3 (instanceRef THE_ENDPOINT)) + (net reset_i_fast_r2 (joined + (portRef reset_i_fast_r2) + (portRef reset_i_fast_r2 (instanceRef THE_ENDPOINT)) )) (net signal_sync (joined (portRef signal_sync_1 (instanceRef THE_ENDPOINT)) @@ -896827,34 +896963,45 @@ (portRef signal_sync (instanceRef THE_ENDPOINT)) (portRef signal_sync_1) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef THE_ENDPOINT)) + (net reset_i_fast_r6 (joined + (portRef reset_i_fast_r6) + (portRef reset_i_fast_r6 (instanceRef THE_ENDPOINT)) + )) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef reset_i_fast_r9 (instanceRef THE_ENDPOINT)) )) (net reset_i_19 (joined (portRef reset_i_19) (portRef reset_i_19 (instanceRef THE_ENDPOINT)) )) - (net waiting_word (joined - (portRef waiting_word (instanceRef THE_ENDPOINT)) - (portRef waiting_word (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef waiting_word (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1) + (portRef reset_i_rep1_1 (instanceRef THE_ENDPOINT)) + )) + (net reset_i_rep1 (joined + (portRef reset_i_rep1) + (portRef reset_i_rep1 (instanceRef THE_ENDPOINT)) + )) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef THE_ENDPOINT)) )) (net N_300 (joined (portRef N_300) (portRef N_300 (instanceRef THE_ENDPOINT)) )) - (net N_560 (joined - (portRef N_560) - (portRef N_560 (instanceRef THE_ENDPOINT)) + (net N_484 (joined + (portRef N_484) + (portRef N_484 (instanceRef THE_ENDPOINT)) )) (net N_299 (joined (portRef N_299) (portRef N_299 (instanceRef THE_ENDPOINT)) )) - (net reset_i_fast_r6 (joined - (portRef reset_i_fast_r6) - (portRef reset_i_fast_r6 (instanceRef THE_ENDPOINT)) + (net reset_i_fast_r5 (joined + (portRef reset_i_fast_r5) + (portRef reset_i_fast_r5 (instanceRef THE_ENDPOINT)) )) (net trb_reset_buffer (joined (portRef trb_reset_buffer (instanceRef THE_ENDPOINT)) @@ -896864,21 +897011,81 @@ (portRef trg_missing_tmg_trg_i (instanceRef THE_ENDPOINT)) (portRef trg_missing_tmg_trg_i (instanceRef THE_HANDLER_TRIGGER_DATA)) )) - (net DAT_DATA_READ_OUT_0_RNIPO254_0 (joined - (portRef (member dat_data_read_out_0_rnipo254 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member dat_data_read_out_0_rnipo254 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net current_stateZ0Z_0 (joined - (portRef current_state_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef current_state_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) (net current_state_4 (joined (portRef current_state_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef current_state_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef current_state_3 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net current_stateZ0Z_1 (joined (portRef current_state_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef current_state_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef current_state_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_7 (joined + (portRef stat_header_buffer_level_7 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_7 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_4 (joined + (portRef stat_header_buffer_level_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_3 (joined + (portRef stat_header_buffer_level_3 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_3 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_2 (joined + (portRef stat_header_buffer_level_2 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_2 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_8 (joined + (portRef stat_header_buffer_level_8 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_8 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_9 (joined + (portRef stat_header_buffer_level_9 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_9 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_21 (joined + (portRef stat_header_buffer_level_21 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_21 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_16 (joined + (portRef stat_header_buffer_level_16 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_16 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_20 (joined + (portRef stat_header_buffer_level_20 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_20 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_18 (joined + (portRef stat_header_buffer_level_18 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_18 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_17 (joined + (portRef stat_header_buffer_level_17 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_17 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_22 (joined + (portRef stat_header_buffer_level_22 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_22 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_19 (joined + (portRef stat_header_buffer_level_19 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_19 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_5 (joined + (portRef stat_header_buffer_level_5 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_5 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_6 (joined + (portRef stat_header_buffer_level_6 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_6 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_0 (joined + (portRef stat_header_buffer_level_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_1 (joined + (portRef stat_header_buffer_level_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_out_0 (joined (portRef (member regio_addr_out 15) (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -897081,73 +897288,13 @@ (portRef (member final_reset_iso 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) (portRef (member final_reset_iso 0) (instanceRef THE_ENDPOINT)) )) - (net stat_header_buffer_level_7 (joined - (portRef stat_header_buffer_level_7 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_7 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_3 (joined - (portRef stat_header_buffer_level_3 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_3 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_4 (joined - (portRef stat_header_buffer_level_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_9 (joined - (portRef stat_header_buffer_level_9 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_9 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_8 (joined - (portRef stat_header_buffer_level_8 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_8 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_20 (joined - (portRef stat_header_buffer_level_20 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_20 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_21 (joined - (portRef stat_header_buffer_level_21 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_21 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_17 (joined - (portRef stat_header_buffer_level_17 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_17 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_19 (joined - (portRef stat_header_buffer_level_19 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_19 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_22 (joined - (portRef stat_header_buffer_level_22 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_22 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_18 (joined - (portRef stat_header_buffer_level_18 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_18 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_16 (joined - (portRef stat_header_buffer_level_16 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_16 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_5 (joined - (portRef stat_header_buffer_level_5 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_5 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_6 (joined - (portRef stat_header_buffer_level_6 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_6 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_0 (joined - (portRef stat_header_buffer_level_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_1 (joined - (portRef stat_header_buffer_level_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net dat_fifo_finished_0 (joined + (portRef (member dat_fifo_finished 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef (member dat_fifo_finished 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net stat_header_buffer_level_2 (joined - (portRef stat_header_buffer_level_2 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_2 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net dat_fifo_select_0 (joined + (portRef (member dat_fifo_select 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef (member dat_fifo_select 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_data_in_0 (joined (portRef (member regio_data_in 31)) @@ -897373,10 +897520,6 @@ (portRef (member stat_buffer_i 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef (member stat_buffer_i 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net DAT_DATA_READ_OUT_0_0 (joined - (portRef (member dat_data_read_out_0 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member dat_data_read_out_0 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) (net m140_2_03 (joined (portRef m140_2_03 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m140_2_03 (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -897385,30 +897528,19 @@ (portRef m183_2_03_1_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m183_2_03_1_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef reset_i_rep1_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_rep1_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef reset_i_rep1_1 (instanceRef THE_ENDPOINT)) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef reset_i_fast_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef reset_i_fast_1 (instanceRef THE_ENDPOINT)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef reset_i_rep2 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_rep2 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef reset_i_rep2 (instanceRef THE_ENDPOINT)) + (net d_N_6 (joined + (portRef d_N_6 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef d_N_6 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net m171_2_03_4_i_4 (joined (portRef m171_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m171_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m177_2_03_2_i_4 (joined - (portRef m177_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m177_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net m176_2_03_2_i_4 (joined - (portRef m176_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m176_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) (net m182_2_03_1_i_4 (joined (portRef m182_2_03_1_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m182_2_03_1_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -897429,13 +897561,25 @@ (portRef m178_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m178_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m1_6_03_1_0_0 (joined - (portRef m1_6_03_1_0_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef m1_6_03_1_0_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net m177_2_03_2_i_4 (joined + (portRef m177_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m177_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net m176_2_03_2_i_4 (joined + (portRef m176_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m176_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net N_132 (joined + (portRef N_132 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef N_132 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m128_0_03_1_1 (joined - (portRef m128_0_03_1_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m128_0_03_1_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net DAT_DATA_READ_OUT_0_m3_0_a2_0 (joined + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net N_277 (joined + (portRef N_277 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef N_277 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net stat_buffer_ready (joined (portRef stat_buffer_ready (instanceRef THE_HANDLER_TRIGGER_DATA)) @@ -897449,9 +897593,13 @@ (portRef regio_unknown_addr_in) (portRef regio_unknown_addr_in (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m141_2_03 (joined - (portRef m141_2_03 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m141_2_03 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net m169_2_03_4_i_4 (joined + (portRef m169_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m169_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net m137_2_03_2_i_4 (joined + (portRef m137_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m137_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net m168_2_03_4_i_4 (joined (portRef m168_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) @@ -897461,35 +897609,10 @@ (portRef m136_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m136_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m165_2_03_4_i_4 (joined - (portRef m165_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m165_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) (net m142_4_03_1_i_4 (joined (portRef m142_4_03_1_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m142_4_03_1_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m1_6_03 (joined - (portRef m1_6_03 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef m1_6_03 (instanceRef THE_HANDLER_TRIGGER_DATA)) - )) - (net m169_2_03_4_i_4 (joined - (portRef m169_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m169_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net m137_2_03_2_i_4 (joined - (portRef m137_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m137_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net first_fifo_read (joined - (portRef first_fifo_read (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef first_fifo_read (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef reset_i_fast_r10 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef reset_i_fast_r10 (instanceRef THE_ENDPOINT)) - )) (net m170_2_03_4_i_4 (joined (portRef m170_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m170_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -897498,6 +897621,19 @@ (portRef m138_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m138_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) + (net reset_i_fast_r8 (joined + (portRef reset_i_fast_r8) + (portRef reset_i_fast_r8 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef reset_i_fast_r8 (instanceRef THE_ENDPOINT)) + )) + (net m165_2_03_4_i_4 (joined + (portRef m165_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m165_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net m141_2_03 (joined + (portRef m141_2_03 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m141_2_03 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) (net stat_buffer_read (joined (portRef stat_buffer_read (instanceRef THE_INTERNAL_BUS_HANDLER)) (portRef stat_buffer_read (instanceRef THE_HANDLER_TRIGGER_DATA)) @@ -897671,76 +897807,77 @@ (portRef (member regio_addr_out 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef (member regio_addr_out 11)) )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef reset_i_rep1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_rep1 (instanceRef THE_ENDPOINT)) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef reset_i_fast_r4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef reset_i_fast_r4 (instanceRef THE_ENDPOINT)) )) - (net reset_i_fast_r8 (joined - (portRef reset_i_fast_r8) - (portRef reset_i_fast_r8 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_fast_r8 (instanceRef THE_ENDPOINT)) + (net trg_timeout_detected_i (joined + (portRef trg_timeout_detected_i (instanceRef THE_ENDPOINT)) + (portRef trg_timeout_detected_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_timeout_detected_i) )) - (net fee_data_finished_i (joined - (portRef fee_data_finished_i) - (portRef fee_data_finished_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net trg_spurious_trg_i (joined + (portRef trg_spurious_trg_i (instanceRef THE_ENDPOINT)) + (portRef trg_spurious_trg_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_spurious_trg_i) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef reset_i_fast_r5 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_fast_r5 (instanceRef THE_ENDPOINT)) + (net trg_multiple_trg_i (joined + (portRef trg_multiple_trg_i (instanceRef THE_ENDPOINT)) + (portRef trg_multiple_trg_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_multiple_trg_i) )) (net fee_data_write_i (joined (portRef fee_data_write_i) (portRef fee_data_write_i (instanceRef THE_HANDLER_TRIGGER_DATA)) )) + (net fee_data_finished_i (joined + (portRef fee_data_finished_i) + (portRef fee_data_finished_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + )) (net trg_data_valid_i (joined (portRef trg_data_valid_i (instanceRef THE_ENDPOINT)) (portRef trg_data_valid_i (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef trg_data_valid_i) )) - (net trg_multiple_trg_i (joined - (portRef trg_multiple_trg_i (instanceRef THE_ENDPOINT)) - (portRef trg_multiple_trg_i (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef trg_multiple_trg_i) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef reset_i_rep2 (instanceRef THE_ENDPOINT)) )) (net trg_spike_detected_i (joined (portRef trg_spike_detected_i (instanceRef THE_ENDPOINT)) (portRef trg_spike_detected_i (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef trg_spike_detected_i) )) - (net trg_timeout_detected_i (joined - (portRef trg_timeout_detected_i (instanceRef THE_ENDPOINT)) - (portRef trg_timeout_detected_i (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef trg_timeout_detected_i) - )) - (net trg_spurious_trg_i (joined - (portRef trg_spurious_trg_i (instanceRef THE_ENDPOINT)) - (portRef trg_spurious_trg_i (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef trg_spurious_trg_i) - )) - (net reset_i_1 (joined - (portRef reset_i_1) - (portRef reset_i_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - )) - (net fee_trg_release_i (joined - (portRef fee_trg_release_i) - (portRef fee_trg_release_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net trg_invalid_i (joined + (portRef trg_invalid_i (instanceRef THE_ENDPOINT)) + (portRef trg_invalid_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_invalid_i) )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef reset_i_fast_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_fast_1 (instanceRef THE_ENDPOINT)) + (net trg_timing_valid_i (joined + (portRef trg_timing_valid_i (instanceRef THE_ENDPOINT)) + (portRef trg_timing_valid_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_timing_valid_i) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) - (portRef reset_i_1_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net trg_notiming_valid_i (joined + (portRef trg_notiming_valid_i (instanceRef THE_ENDPOINT)) + (portRef trg_notiming_valid_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_notiming_valid_i) )) (net reset_i_fast_r7 (joined (portRef reset_i_fast_r7) (portRef reset_i_fast_r7 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef reset_i_fast_r7 (instanceRef THE_ENDPOINT)) )) + (net fee_trg_release_i (joined + (portRef fee_trg_release_i) + (portRef fee_trg_release_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + )) + (net reset_i_fast_r10 (joined + (portRef reset_i_fast_r10) + (portRef reset_i_fast_r10 (instanceRef THE_HANDLER_TRIGGER_DATA)) + )) ) ) ) @@ -897748,56 +897885,6 @@ (view netlist (viewType NETLIST) (interface (port (array (rename trg_type_i "trg_type_i(3:0)") 4) (direction INPUT)) - (port ctrl_reg_6 (direction INPUT)) - (port ctrl_reg_5 (direction INPUT)) - (port ctrl_reg_4 (direction INPUT)) - (port ctrl_reg_3 (direction INPUT)) - (port ctrl_reg_2 (direction INPUT)) - (port ctrl_reg_1 (direction INPUT)) - (port ctrl_reg_0 (direction INPUT)) - (port ctrl_reg_22 (direction INPUT)) - (port ctrl_reg_21 (direction INPUT)) - (port ctrl_reg_20 (direction INPUT)) - (port ctrl_reg_19 (direction INPUT)) - (port ctrl_reg_18 (direction INPUT)) - (port ctrl_reg_17 (direction INPUT)) - (port ctrl_reg_16 (direction INPUT)) - (port ctrl_reg_15 (direction INPUT)) - (port ctrl_reg_14 (direction INPUT)) - (port ctrl_reg_13 (direction INPUT)) - (port ctrl_reg_27 (direction INPUT)) - (port ctrl_reg_12 (direction INPUT)) - (port ctrl_reg_29 (direction INPUT)) - (port ctrl_reg_58 (direction INPUT)) - (port ctrl_reg_59 (direction INPUT)) - (port ctrl_reg_54 (direction INPUT)) - (port ctrl_reg_55 (direction INPUT)) - (port ctrl_reg_52 (direction INPUT)) - (port ctrl_reg_53 (direction INPUT)) - (port ctrl_reg_50 (direction INPUT)) - (port ctrl_reg_51 (direction INPUT)) - (port ctrl_reg_48 (direction INPUT)) - (port ctrl_reg_49 (direction INPUT)) - (port ctrl_reg_46 (direction INPUT)) - (port ctrl_reg_47 (direction INPUT)) - (port ctrl_reg_44 (direction INPUT)) - (port ctrl_reg_45 (direction INPUT)) - (port ctrl_reg_42 (direction INPUT)) - (port ctrl_reg_43 (direction INPUT)) - (port ctrl_reg_40 (direction INPUT)) - (port ctrl_reg_41 (direction INPUT)) - (port ctrl_reg_38 (direction INPUT)) - (port ctrl_reg_39 (direction INPUT)) - (port ctrl_reg_34 (direction INPUT)) - (port ctrl_reg_35 (direction INPUT)) - (port ctrl_reg_32 (direction INPUT)) - (port ctrl_reg_33 (direction INPUT)) - (port ctrl_reg_30 (direction INPUT)) - (port ctrl_reg_37 (direction INPUT)) - (port ctrl_reg_36 (direction INPUT)) - (port ctrl_reg_31 (direction INPUT)) - (port ctrl_reg_57 (direction INPUT)) - (port ctrl_reg_56 (direction INPUT)) (port (array (rename inp_c "INP_c(14:0)") 15) (direction INPUT)) (port (array (rename fee_data_i "fee_data_i(31:0)") 32) (direction OUTPUT)) (port stat_reg_576 (direction OUTPUT)) @@ -898249,6 +898336,57 @@ (port stat_reg_322 (direction OUTPUT)) (port stat_reg_321 (direction OUTPUT)) (port stat_reg_320 (direction OUTPUT)) + (port ctrl_reg_0 (direction INPUT)) + (port ctrl_reg_30 (direction INPUT)) + (port ctrl_reg_29 (direction INPUT)) + (port ctrl_reg_28 (direction INPUT)) + (port ctrl_reg_27 (direction INPUT)) + (port ctrl_reg_26 (direction INPUT)) + (port ctrl_reg_25 (direction INPUT)) + (port ctrl_reg_24 (direction INPUT)) + (port ctrl_reg_46 (direction INPUT)) + (port ctrl_reg_45 (direction INPUT)) + (port ctrl_reg_44 (direction INPUT)) + (port ctrl_reg_43 (direction INPUT)) + (port ctrl_reg_42 (direction INPUT)) + (port ctrl_reg_41 (direction INPUT)) + (port ctrl_reg_40 (direction INPUT)) + (port ctrl_reg_39 (direction INPUT)) + (port ctrl_reg_38 (direction INPUT)) + (port ctrl_reg_37 (direction INPUT)) + (port ctrl_reg_66 (direction INPUT)) + (port ctrl_reg_36 (direction INPUT)) + (port ctrl_reg_53 (direction INPUT)) + (port ctrl_reg_80 (direction INPUT)) + (port ctrl_reg_81 (direction INPUT)) + (port ctrl_reg_78 (direction INPUT)) + (port ctrl_reg_79 (direction INPUT)) + (port ctrl_reg_74 (direction INPUT)) + (port ctrl_reg_75 (direction INPUT)) + (port ctrl_reg_82 (direction INPUT)) + (port ctrl_reg_83 (direction INPUT)) + (port ctrl_reg_72 (direction INPUT)) + (port ctrl_reg_73 (direction INPUT)) + (port ctrl_reg_70 (direction INPUT)) + (port ctrl_reg_71 (direction INPUT)) + (port ctrl_reg_56 (direction INPUT)) + (port ctrl_reg_57 (direction INPUT)) + (port ctrl_reg_64 (direction INPUT)) + (port ctrl_reg_65 (direction INPUT)) + (port ctrl_reg_68 (direction INPUT)) + (port ctrl_reg_69 (direction INPUT)) + (port ctrl_reg_54 (direction INPUT)) + (port ctrl_reg_55 (direction INPUT)) + (port ctrl_reg_62 (direction INPUT)) + (port ctrl_reg_63 (direction INPUT)) + (port ctrl_reg_67 (direction INPUT)) + (port ctrl_reg_61 (direction INPUT)) + (port ctrl_reg_60 (direction INPUT)) + (port ctrl_reg_77 (direction INPUT)) + (port ctrl_reg_76 (direction INPUT)) + (port ctrl_reg_59 (direction INPUT)) + (port ctrl_reg_58 (direction INPUT)) + (port ctrl_reg_51 (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port signal_sync_1 (direction INPUT)) (port signal_sync_0 (direction INPUT)) @@ -898256,195 +898394,196 @@ (port G_1528_Q (direction INPUT)) (port sync_q_and_29 (direction OUTPUT)) (port G_1531_Q (direction INPUT)) - (port N_295459_0 (direction OUTPUT)) + (port N_295757_0 (direction OUTPUT)) (port G_1533_Q (direction INPUT)) (port G_1537_Q (direction INPUT)) (port sync_q_and_28 (direction OUTPUT)) (port G_1540_Q (direction INPUT)) - (port N_295472_0 (direction OUTPUT)) + (port N_295770_0 (direction OUTPUT)) (port G_1542_Q (direction INPUT)) (port G_1546_Q (direction INPUT)) (port sync_q_and_27 (direction OUTPUT)) (port G_1549_Q (direction INPUT)) - (port N_295485_0 (direction OUTPUT)) + (port N_295783_0 (direction OUTPUT)) (port G_1551_Q (direction INPUT)) (port G_1555_Q (direction INPUT)) (port sync_q_and_26 (direction OUTPUT)) (port G_1558_Q (direction INPUT)) - (port N_295498_0 (direction OUTPUT)) + (port N_295796_0 (direction OUTPUT)) (port G_1560_Q (direction INPUT)) (port G_1564_Q (direction INPUT)) (port sync_q_and_25 (direction OUTPUT)) (port G_1567_Q (direction INPUT)) - (port N_295511_0 (direction OUTPUT)) + (port N_295809_0 (direction OUTPUT)) (port G_1569_Q (direction INPUT)) (port G_1573_Q (direction INPUT)) (port sync_q_and_24 (direction OUTPUT)) (port G_1576_Q (direction INPUT)) - (port N_295524_0 (direction OUTPUT)) + (port N_295822_0 (direction OUTPUT)) (port G_1578_Q (direction INPUT)) (port G_1582_Q (direction INPUT)) (port sync_q_and_23 (direction OUTPUT)) (port G_1585_Q (direction INPUT)) - (port N_295537_0 (direction OUTPUT)) + (port N_295835_0 (direction OUTPUT)) (port G_1587_Q (direction INPUT)) (port G_1591_Q (direction INPUT)) (port sync_q_and_22 (direction OUTPUT)) (port G_1594_Q (direction INPUT)) - (port N_295550_0 (direction OUTPUT)) - (port reset_i_5 (direction INPUT)) + (port N_295848_0 (direction OUTPUT)) (port G_1596_Q (direction INPUT)) (port G_1600_Q (direction INPUT)) (port sync_q_and_21 (direction OUTPUT)) (port G_1603_Q (direction INPUT)) - (port N_295563_0 (direction OUTPUT)) + (port N_295861_0 (direction OUTPUT)) + (port reset_i_6 (direction INPUT)) (port G_1605_Q (direction INPUT)) (port G_1609_Q (direction INPUT)) (port sync_q_and_20 (direction OUTPUT)) (port G_1612_Q (direction INPUT)) - (port N_295576_0 (direction OUTPUT)) - (port reset_i_3 (direction INPUT)) + (port N_295874_0 (direction OUTPUT)) (port G_1614_Q (direction INPUT)) (port G_1618_Q (direction INPUT)) (port sync_q_and_19 (direction OUTPUT)) (port G_1621_Q (direction INPUT)) - (port N_295589_0 (direction OUTPUT)) - (port reset_i_6 (direction INPUT)) + (port N_295887_0 (direction OUTPUT)) + (port reset_i_3 (direction INPUT)) (port G_1623_Q (direction INPUT)) (port G_1627_Q (direction INPUT)) (port sync_q_and_18 (direction OUTPUT)) (port G_1630_Q (direction INPUT)) - (port N_295602_0 (direction OUTPUT)) + (port N_295900_0 (direction OUTPUT)) (port reset_i_12 (direction INPUT)) (port G_1632_Q (direction INPUT)) (port G_1636_Q (direction INPUT)) (port sync_q_and_17 (direction OUTPUT)) (port G_1639_Q (direction INPUT)) - (port N_295615_0 (direction OUTPUT)) - (port reset_i_4 (direction INPUT)) + (port N_295913_0 (direction OUTPUT)) + (port reset_i_11 (direction INPUT)) (port G_1641_Q (direction INPUT)) (port G_1645_Q (direction INPUT)) (port sync_q_and_16 (direction OUTPUT)) (port G_1648_Q (direction INPUT)) - (port N_295628_0 (direction OUTPUT)) + (port N_295926_0 (direction OUTPUT)) + (port reset_i_7 (direction INPUT)) (port G_1650_Q (direction INPUT)) (port G_1654_Q (direction INPUT)) (port sync_q_and_15 (direction OUTPUT)) (port G_1657_Q (direction INPUT)) - (port N_295641_0 (direction OUTPUT)) - (port reset_i_11 (direction INPUT)) + (port N_295939_0 (direction OUTPUT)) + (port reset_i_4 (direction INPUT)) (port G_1659_Q (direction INPUT)) (port G_1663_Q (direction INPUT)) (port sync_q_and_14 (direction OUTPUT)) (port G_1666_Q (direction INPUT)) - (port N_295654_0 (direction OUTPUT)) - (port reset_i_2 (direction INPUT)) + (port N_295952_0 (direction OUTPUT)) + (port reset_i_5 (direction INPUT)) (port G_1668_Q (direction INPUT)) (port G_1672_Q (direction INPUT)) (port sync_q_and_13 (direction OUTPUT)) (port G_1675_Q (direction INPUT)) - (port N_295667_0 (direction OUTPUT)) - (port reset_i_10 (direction INPUT)) + (port N_295965_0 (direction OUTPUT)) + (port reset_i_13 (direction INPUT)) (port G_1677_Q (direction INPUT)) (port G_1681_Q (direction INPUT)) (port sync_q_and_12 (direction OUTPUT)) (port G_1684_Q (direction INPUT)) - (port N_295680_0 (direction OUTPUT)) + (port N_295978_0 (direction OUTPUT)) (port G_1686_Q (direction INPUT)) (port G_1690_Q (direction INPUT)) (port sync_q_and_11 (direction OUTPUT)) (port G_1693_Q (direction INPUT)) - (port N_295693_0 (direction OUTPUT)) + (port N_295991_0 (direction OUTPUT)) (port G_1695_Q (direction INPUT)) (port G_1699_Q (direction INPUT)) (port sync_q_and_10 (direction OUTPUT)) (port G_1702_Q (direction INPUT)) - (port N_295706_0 (direction OUTPUT)) + (port N_296004_0 (direction OUTPUT)) (port G_1704_Q (direction INPUT)) (port G_1708_Q (direction INPUT)) (port sync_q_and_9 (direction OUTPUT)) (port G_1711_Q (direction INPUT)) - (port N_295719_0 (direction OUTPUT)) + (port N_296017_0 (direction OUTPUT)) (port G_1713_Q (direction INPUT)) (port G_1717_Q (direction INPUT)) (port sync_q_and_8 (direction OUTPUT)) (port G_1720_Q (direction INPUT)) - (port N_295732_0 (direction OUTPUT)) + (port N_296030_0 (direction OUTPUT)) (port G_1722_Q (direction INPUT)) (port G_1726_Q (direction INPUT)) (port sync_q_and_7 (direction OUTPUT)) (port G_1729_Q (direction INPUT)) - (port N_295745_0 (direction OUTPUT)) + (port N_296043_0 (direction OUTPUT)) (port G_1731_Q (direction INPUT)) (port G_1735_Q (direction INPUT)) (port sync_q_and_6 (direction OUTPUT)) (port G_1738_Q (direction INPUT)) - (port N_295758_0 (direction OUTPUT)) + (port N_296056_0 (direction OUTPUT)) + (port reset_i_14 (direction INPUT)) (port G_1740_Q (direction INPUT)) (port G_1744_Q (direction INPUT)) (port sync_q_and_5 (direction OUTPUT)) (port G_1747_Q (direction INPUT)) - (port N_295771_0 (direction OUTPUT)) - (port reset_i_9 (direction INPUT)) + (port N_296069_0 (direction OUTPUT)) + (port reset_i_19 (direction INPUT)) (port G_1749_Q (direction INPUT)) (port G_1753_Q (direction INPUT)) (port sync_q_and_4 (direction OUTPUT)) (port G_1756_Q (direction INPUT)) - (port N_295784_0 (direction OUTPUT)) - (port reset_i_15 (direction INPUT)) + (port N_296082_0 (direction OUTPUT)) + (port reset_i_16 (direction INPUT)) (port G_1758_Q (direction INPUT)) (port G_1762_Q (direction INPUT)) (port sync_q_and_3 (direction OUTPUT)) (port G_1765_Q (direction INPUT)) - (port N_295797_0 (direction OUTPUT)) - (port reset_i_18 (direction INPUT)) - (port reset_i_17 (direction INPUT)) + (port N_296095_0 (direction OUTPUT)) + (port reset_i_10 (direction INPUT)) (port G_1767_Q (direction INPUT)) (port G_1771_Q (direction INPUT)) (port sync_q_and_2 (direction OUTPUT)) (port G_1774_Q (direction INPUT)) - (port N_295810_0 (direction OUTPUT)) - (port reset_i_7 (direction INPUT)) + (port N_296108_0 (direction OUTPUT)) + (port reset_i_18 (direction INPUT)) + (port reset_i_17 (direction INPUT)) (port G_1776_Q (direction INPUT)) (port G_1780_Q (direction INPUT)) (port sync_q_and_1 (direction OUTPUT)) (port G_1783_Q (direction INPUT)) - (port N_295823_0 (direction OUTPUT)) - (port reset_i_16 (direction INPUT)) + (port N_296121_0 (direction OUTPUT)) + (port reset_i_15 (direction INPUT)) (port G_1785_Q (direction INPUT)) (port G_1789_Q (direction INPUT)) (port sync_q_and_0 (direction OUTPUT)) (port G_1792_Q (direction INPUT)) - (port N_295836_0 (direction OUTPUT)) + (port N_296134_0 (direction OUTPUT)) (port reset_i_8 (direction INPUT)) (port G_1794_Q (direction INPUT)) (port G_1798_Q (direction INPUT)) (port sync_q_and (direction OUTPUT)) (port G_1801_Q (direction INPUT)) - (port N_295849_0 (direction OUTPUT)) - (port reset_i_14 (direction INPUT)) - (port reset_i_13 (direction INPUT)) + (port N_296147_0 (direction OUTPUT)) + (port reset_i_9 (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port G_1803_Q (direction INPUT)) (port trg_spike_detected_i (direction INPUT)) (port trg_multiple_trg_i (direction INPUT)) (port reset_i_fast_r11 (direction INPUT)) (port reset_i_rep2 (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) (port trg_timeout_detected_i (direction INPUT)) + (port reset_i_fast_r10 (direction INPUT)) (port TRIGGER_LEFT_c (direction INPUT)) (port trg_spurious_trg_i (direction INPUT)) + (port trg_data_valid_i (direction INPUT)) (port fee_data_finished_i (direction OUTPUT)) (port fee_data_write_i (direction OUTPUT)) - (port reset_i_1 (direction INPUT)) + (port reset_i (direction INPUT)) (port fee_trg_release_i (direction OUTPUT)) - (port trg_timing_valid_i (direction INPUT)) (port trg_invalid_i (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) (port trg_notiming_valid_i (direction INPUT)) - (port trg_data_valid_i (direction INPUT)) + (port trg_timing_valid_i (direction INPUT)) (port reset_i_fast_r1 (direction INPUT)) (port reset_i_fast_r0 (direction INPUT)) - (port reset_i (direction INPUT)) + (port reset_i_1 (direction INPUT)) (port reset_tdc_rep2_r1 (direction OUTPUT)) (port reset_tdc_rep2_r2 (direction OUTPUT)) (port reset_tdc_rep2_r3 (direction OUTPUT)) @@ -898460,9 +898599,8 @@ (port reset_tdc_rep2_r13 (direction OUTPUT)) (port reset_tdc_rep2_r14 (direction OUTPUT)) (port reset_tdc_rep2_r15 (direction OUTPUT)) - (port reset_tdc_rep2_r16 (direction OUTPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port reset_i_1_1 (direction INPUT)) + (port reset_i_2 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port reset_tdc_rep2_i (direction OUTPUT)) @@ -898470,7 +898608,7 @@ (port GND (direction INPUT)) ) (contents - (instance FSM_PROC_un8_channel_empty_reg_30_i_m2_RNIN1GQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2_RNIR15J (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance reset_tdc_rep2_RNIGVH8 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) @@ -898484,8 +898622,6 @@ ) (instance trg_win_end_i (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reset_tdc_rep2_r20 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) (instance reset_tdc_rep2_r19 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance reset_tdc_rep2_r18 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) @@ -898645,10 +898781,10 @@ (instance Statistics_Empty_Channel_Number_i_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance Statistics_Empty_Channel_Number_i_RNO_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -898669,10 +898805,10 @@ (instance Statistics_Empty_Channel_Number_i_RNO_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance Statistics_Empty_Channel_Number_i_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -898681,24 +898817,24 @@ (instance Statistics_Empty_Channel_Number_i_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) (instance Statistics_Empty_Channel_Number_i_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance Statistics_Empty_Channel_Number_i_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance Statistics_Empty_Channel_Number_i_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) + (instance Statistics_Empty_Channel_Number_i_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) (instance Statistics_Empty_Channel_Number_i_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) @@ -898720,24 +898856,54 @@ (instance Statistics_Empty_Channel_Number_i_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance Statistics_Empty_Channel_Number_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_debug_fsm_i_0_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (!C+(B+A)))")) + (instance data_out_reg_RNO_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_RNO_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))")) + ) + (instance un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance un1_FSM_CURRENT_i_a2_3_RNIHSSC1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) + ) + (instance wr_header_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) + ) + (instance readout_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance wr_status_i_RNI6G671 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) (instance FSM_CURRENT_RNO_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C (B !A))")) + (property lut_function (string "(!C !A+C (!B !A))")) + ) + (instance FSM_CURRENT_RNIRSKJ_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance FSM_CURRENT_srsts_0_i_0_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) + (instance data_out_reg_RNO_0_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+!A))")) + ) + (instance data_wr_reg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance start_trg_win_cnt_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance wrong_readout_number_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance wrong_readout_number_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -898788,6 +898954,8 @@ ) (instance wrong_readout_i (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance wr_status_i (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance wr_header_i (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance wr_ch_data_reg (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -899080,6 +899248,8 @@ ) (instance total_empty_channel_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance stop_status_i (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance start_trg_win_cnt_i (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance spurious_trig_number_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -899594,6 +899764,8 @@ ) (instance reset_tdc (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance reset_coarse_cnt (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + ) (instance ref_time_coarse_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance ref_time_coarse_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) @@ -899902,49 +900074,51 @@ ) (instance fsm_debug_reg_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance fifo_nr_next_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance fifo_nr_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) + (instance fifo_nr_next_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance fifo_nr_next_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance fifo_nr_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_next_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance fifo_nr_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_7_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) - ) - (instance fifo_nr_3_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance fifo_nr_next_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance fifo_nr_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance fifo_nr_next_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance fifo_nr_next_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance fifo_nr_next_5 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) + (instance fifo_nr_7_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance fifo_nr_6_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_4_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance fifo_nr_5_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance fifo_nr_4_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) - ) - (instance fifo_nr_next_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance fifo_nr_5_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance fifo_nr_3_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance fifo_nr_3_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance fifo_nr_2_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) + (instance fifo_nr_1_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) + (instance fifo_nr_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance fifo_nr_2_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_1_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance fifo_nr_1_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_1_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance fifo_nr_1_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) + (instance fifo_nr_1_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance fifo_nr_1_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) @@ -900534,163 +900708,163 @@ ) (instance channel_data_reg_31_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_3_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_31_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_30_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_3_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_31_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_31_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_31_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_30_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_3_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_31_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_31_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_31_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_31_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_31_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_3_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_31_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_30_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_30_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_31_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_31_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_30_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_3_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_30_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -900704,113 +900878,95 @@ ) (instance channel_data_reg_30_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_3_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_30_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_30_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_30_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_30_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_30_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_2_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_29_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_28_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_29_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_29_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_27_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_29_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_29_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -900818,79 +900974,87 @@ ) (instance channel_data_reg_29_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_2_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_29_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_29_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_28_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_2_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_29_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_29_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_28_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_28_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_28_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_27_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_28_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_27_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_27_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_28_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -900898,48 +901062,42 @@ ) (instance channel_data_reg_28_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_28_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_28_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_28_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_27_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_27_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_27_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_27_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_27_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_27_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_27_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_27_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_28_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_28_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_28_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_27_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -900948,30 +901106,24 @@ ) (instance channel_data_reg_27_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_27_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_27_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_27_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_27_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_27_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_26_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_26_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_27_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_27_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_27_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -900984,6 +901136,10 @@ ) (instance channel_data_reg_26_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_26_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_26_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_26_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_26_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901012,26 +901168,16 @@ ) (instance channel_data_reg_26_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_26_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_26_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_26_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_26_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_26_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_26_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_26_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_26_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_26_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_26_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_26_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_26_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901052,68 +901198,104 @@ ) (instance channel_data_reg_25_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_25_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_25_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_25_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_25_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_25_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_24_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_24_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_24_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_24_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_24_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_24_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_26_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_23_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_21_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_26_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_26_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_23_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_22_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_21_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_26_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_25_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_2_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_26_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_24_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_2_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_26_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_2_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_25_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_25_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901126,19 +901308,15 @@ ) (instance channel_data_reg_25_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_24_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_24_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_24_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_24_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_24_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_24_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_24_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_24_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901156,6 +901334,8 @@ ) (instance channel_data_reg_24_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_2_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_24_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_24_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901176,14 +901356,10 @@ ) (instance channel_data_reg_24_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_24_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_23_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_23_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_23_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901210,33 +901386,31 @@ ) (instance channel_data_reg_23_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_23_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_24_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_23_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901244,26 +901418,22 @@ ) (instance channel_data_reg_23_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_23_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_23_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_22_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_22_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_23_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_23_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_22_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_22_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_22_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_22_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901300,51 +901470,45 @@ ) (instance channel_data_reg_22_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_22_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_22_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_22_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_22_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_22_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_22_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_21_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_21_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_21_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_21_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_21_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901354,18 +901518,12 @@ ) (instance channel_data_reg_21_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_20_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_20_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_20_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_20_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_20_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_20_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901374,10 +901532,18 @@ ) (instance channel_data_reg_20_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_20_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_20_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_2_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_21_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_21_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_20_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_20_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_20_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_20_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901412,58 +901578,66 @@ ) (instance channel_data_reg_20_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_2_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_20_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_20_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_20_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_20_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_20_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_20_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_21_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_20_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_20_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_19_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_16_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_1_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_19_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_19_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_19_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_1_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_19_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_1_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_19_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_19_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_19_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_19_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901488,8 +901662,6 @@ ) (instance channel_data_reg_19_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_19_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_19_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901536,11 +901708,13 @@ ) (instance channel_data_reg_18_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_18_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_18_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_18_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_15_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_18_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_18_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_18_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901596,6 +901770,26 @@ ) (instance channel_data_reg_17_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_17_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_16_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_16_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_16_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_16_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_1_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_1_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_1_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_19_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_18_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_17_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_17_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901610,8 +901804,6 @@ ) (instance channel_data_reg_17_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_17_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_17_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_17_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901640,16 +901832,10 @@ ) (instance channel_data_reg_16_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_16_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_16_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_16_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_16_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_16_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_16_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_16_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901676,8 +901862,6 @@ ) (instance channel_data_reg_16_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_16_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_16_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_16_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901702,17 +901886,21 @@ ) (instance channel_data_reg_15_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_15_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_15_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_15_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_15_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_15_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_15_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_15_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_16_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_15_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_15_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_15_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_15_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901734,8 +901922,6 @@ ) (instance channel_data_reg_15_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_15_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_15_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_15_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901796,21 +901982,21 @@ ) (instance channel_data_reg_14_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_14_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_14_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_14_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_14_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_14_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_13_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_14_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_14_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_14_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_14_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_14_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_14_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901818,26 +902004,22 @@ ) (instance channel_data_reg_14_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_14_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_13_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_14_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_14_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_14_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_14_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_14_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_14_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_14_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_14_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_13_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_13_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_13_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_13_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_13_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901858,24 +902040,18 @@ ) (instance channel_data_reg_13_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_13_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_12_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_13_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_13_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_13_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_13_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_13_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_13_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_13_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_13_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901884,16 +902060,24 @@ ) (instance channel_data_reg_13_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_13_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_13_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_13_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_13_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_13_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_13_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_12_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_12_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_12_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901904,137 +902088,121 @@ ) (instance channel_data_reg_12_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_12_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_12_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_1_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_13_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_13_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_12_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_13_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_12_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_11_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_11_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_13_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_11_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_11_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_11_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_11_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_11_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_10_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_10_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_10_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -902044,47 +902212,55 @@ ) (instance channel_data_reg_11_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_11_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_11_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_11_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_11_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_11_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_11_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_11_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -902092,10 +902268,6 @@ ) (instance channel_data_reg_10_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_10_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -902104,30 +902276,32 @@ ) (instance channel_data_reg_10_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_10_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_10_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_10_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_1_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_10_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_10_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -902322,7 +902496,7 @@ ) (instance FSM_CURRENT_8 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance FSM_CURRENT_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FSM_CURRENT_9 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance FSM_CURRENT_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -902334,109 +902508,176 @@ ) (instance FSM_CURRENT_14 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_i_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_3 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_5 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_8 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_10 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_12 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_13 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_14 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_16 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_17 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_18 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_19 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_20 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_21 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_22 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_23 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_24 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_25 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_26 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_27 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_28 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_29 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_30 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_31 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) (instance un1_readout_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) + (instance Coarse_Counter_Reset_reset_coarse_cnt_3_ss0_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance fifo_nr_next_6_m2s2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance hit_in_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance wrong_readout_fsm_0_sqmuxa_i_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance hit_in_i_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance hit_in_i_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance hit_in_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance FSM_CURRENT_srsts_i_i_0_o2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) + (instance fsm_debug_fsm_i_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance rd_en_fsm_i_o2_1_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) + (instance FSM_CURRENT_srsts_i_0_0_a2_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance rd_en_fsm_i_o2_0_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) + (instance wr_header_i_RNI41LN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) ) - (instance rd_en_fsm_i_o2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) + (instance i_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) - (instance rd_en_fsm_i_o2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_debug_fsm_i_0_o2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance rd_en_fsm_i_o2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_debug_fsm_i_0_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance rd_en_fsm_i_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) - ) - (instance rd_en_fsm_i_o2_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) + (instance FSM_CURRENT_srsts_i_i_0_o2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) ) - (instance rd_en_fsm_i_o2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance rd_en_fsm_i_0_o2_1_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance fsm_debug_fsm_i_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance rd_en_fsm_i_0_o2_0_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) ) - (instance FSM_CURRENT_srsts_i_0_0_a2_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance rd_en_fsm_i_0_o2_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance fsm_debug_fsm_i_i_0_a2_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance rd_en_fsm_i_0_o2_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) + ) + (instance readout_fsm_i_a3_0_a3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance mask_i_4_0_a2_2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wr_status_fsm_1_sqmuxa_0_a2_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance fsm_debug_fsm_i_i_0_a2_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance Statistics_Empty_Channel_Number_i_4_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_4_0_a2_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance hit_in_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wrong_readout_fsm_0_sqmuxa_i_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_0_a3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance hit_in_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance hit_in_i_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -902451,16 +902692,16 @@ (instance hit_in_i_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance hit_in_i_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -902469,82 +902710,112 @@ (instance hit_in_i_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance hit_in_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance FSM_CURRENT_srsts_0_a3_i_i_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance FSM_CURRENT_srsts_0_a3_0_a2_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance FSM_CURRENT_srsts_0_a3_i_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance FSM_CURRENT_srsts_0_a3_i_i_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance tw_post_axb_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) + (instance fsm_debug_fsm_i_a3_0_a3_0_a2_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) (instance un1_TW_pre_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C !A)")) ) + (instance N_2892_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance Trg_Win_Calculation_TW_pre_1_axb_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance updt_mask_i_RNID6SI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance updt_mask_i_RNIF6SI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance start_trg_win_cnt_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance wr_header_fsm_0_i_s_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance fsm_debug_fsm_i_i_0_a2_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_a2_1_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance mask_i_4_0_0_a2_1_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) + (instance un1_wr_header_i_2_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B A))")) + ) (instance un2_valid_timing_trg_pulse_1_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) + (instance FSM_CURRENT_srsts_i_0_0_a2_0_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) + ) (instance un1_fifo_nr_hex_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance FSM_CURRENT_srsts_i_a2_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance FSM_CURRENT_srsts_i_o2_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B !A)))")) ) - (instance fsm_debug_fsm_i_i_0_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance un1_FSM_CURRENT_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance fifo_full_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fifo_full_i_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fifo_full_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fifo_full_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance wait_fsm_i_a3_0_a2_0_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance fifo_full_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance FSM_CURRENT_srsts_i_o2_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance fifo_full_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_debug_fsm_a3_0_a2_0_a2_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_un5_wr_status_i_30_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_debug_fsm_i_0_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance Data_Out_MUX_un5_wr_status_i_30_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_debug_fsm_i_a3_0_a2_4_a2_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance Data_Out_MUX_un5_wr_status_i_30_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_debug_fsm_i_i_0_a2_2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_un5_wr_status_i_30_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance trg_win_cnt_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance un1_wr_header_i_2_i_o2_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance readout_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_wr_header_i_2_i_o2_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance un1_wr_header_i_2_i_o2_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance trg_win_cnt_up_i_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_debug_fsm_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance trg_win_cnt_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B A))")) + ) + (instance trg_win_cnt_up_i_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C !B+C (!B A)))")) ) (instance fifo_nr_next_6_m2_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -902568,65 +902839,153 @@ (property lut_function (string "(!B A)")) ) (instance fifo_nr_next_6_m2_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_21_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_7_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_21_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_7_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_21_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_18_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_7_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_7_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_18_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_7_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_18_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_13_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_7_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_11_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_13_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_11_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_13_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_10_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_11_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_11_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_10_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_11_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_10_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_6_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_11_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_14_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_6_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_14_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_6_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_28_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_14_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_14_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_28_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_14_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_28_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_25_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_14_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_19_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_19_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_19_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_19_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_19_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_19_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_22_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_22_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_22_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_22_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_22_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_22_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_26_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_26_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_26_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_26_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_26_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_26_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_29_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_25_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_29_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_25_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_29_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance wr_header_fsm_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D A)")) + (instance FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) + (instance FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_28_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -902690,13 +903049,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_29_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_29_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_29_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_29_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_29_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -902907,20 +903259,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_29_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_26_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_26_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_26_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_26_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_26_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_26_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_26_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -903131,20 +903469,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_26_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_22_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_22_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_22_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_22_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_22_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_22_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_22_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -903355,20 +903679,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_22_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_19_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_19_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_19_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_19_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_19_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_19_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_19_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -903579,20 +903889,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_19_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_14_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_14_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_14_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_14_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_14_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_14_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_14_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -903803,20 +904099,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_14_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_11_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_11_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_11_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_11_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_11_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_11_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_11_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -904027,20 +904309,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_11_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_7_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_7_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_7_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_7_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_7_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_7_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_7_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -904790,143 +905058,140 @@ (property lut_function (string "(!C A+C B)")) ) (instance un2_channel_hit_time_7_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance fsm_debug_fsm_a3_0_a3_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance fsm_debug_fsm_a3_0_a2_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance un1_FSM_CURRENT_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) ) - (instance FSM_CURRENT_srsts_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance stop_status_i_0_sqmuxa_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(B+!A)))")) ) - (instance fsm_debug_fsm_i_i_0_a2_2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance fsm_debug_fsm_i_0_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (!C !B+C (!B+A)))")) + ) + (instance fsm_debug_fsm_i_i_0_a2_3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance fifo_nr_next_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)+C A)+D (!B A))")) ) - (instance fsm_debug_fsm_i_i_0_m2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance wait_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(B+A)))")) - ) - (instance trg_win_cnt_up_i_2_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cnt_up_i_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B+!A)))")) ) - (instance mask_i_4_0_a2_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance Data_Out_MUX_data_out_reg_3_4_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D (!C+B))")) ) - (instance mask_i_4_0_a2_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance Data_Out_MUX_data_out_reg_3_4_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance mask_i_4_0_a2_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance Data_Out_MUX_data_out_reg_3_4_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_4_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D (!C+B))")) ) - (instance mask_i_4_0_a2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance Data_Out_MUX_data_out_reg_3_4_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance mask_i_4_0_a2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance Data_Out_MUX_data_out_reg_3_4_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_wr_reg_4_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B A+B !A))")) ) - (instance mask_i_4_0_a2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance Data_Out_MUX_data_wr_reg_4_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B A+B !A))")) + (instance mask_i_4_0_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance Data_Out_MUX_data_out_reg_3_4_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D (!C+B))")) + (instance mask_i_4_0_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance Data_Out_MUX_data_out_reg_3_4_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance mask_i_4_0_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance Data_Out_MUX_data_out_reg_3_4_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_4_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D (!C+B))")) + (instance mask_i_4_0_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance Data_Out_MUX_data_out_reg_3_4_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance mask_i_4_0_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance Data_Out_MUX_data_out_reg_3_4_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_4_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D (!C+B))")) ) @@ -905215,19 +905480,22 @@ ) (instance un2_channel_hit_time_4_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance FSM_CURRENT_srsts_i_0_0_a2_0_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!B A))")) + (property lut_function (string "(!D (C B)+D (B !A))")) ) (instance FSM_CURRENT_srsts_0_i_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance fsm_debug_fsm_i_i_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(B !A)))")) + (instance un1_wr_header_i_2_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) ) - (instance fsm_debug_fsm_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (C+B))")) + (instance Data_Out_MUX_un5_wr_status_i_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance fsm_debug_fsm_i_i_0_a2_4_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance fifo_full_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance fsm_debug_fsm_i_0_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance fifo_nr_next_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) @@ -905241,16 +905509,25 @@ (instance fifo_nr_next_6_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (!C (B A)))")) ) - (instance FSM_PROC_un8_channel_empty_reg_22_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_14_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_7_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_29_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_15_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_15_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_23_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_23_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_15_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_23_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_30_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_29_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_22_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_14_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_7_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance fsm_debug_fsm_i_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!B A))")) + ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_22 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_14 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_30_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905279,10 +905556,8 @@ (instance Data_Out_MUX_data_out_reg_3_30_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_30_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_23_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905306,15 +905581,11 @@ (instance Data_Out_MUX_data_out_reg_3_23_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_23_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_23_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_23_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_15_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905338,13 +905609,10 @@ (instance Data_Out_MUX_data_out_reg_3_15_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_15_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_15_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_15_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_30_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_30_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_30_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905379,115 +905647,126 @@ (instance un2_channel_hit_time_15_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_15_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance fsm_debug_reg_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(B+A)))")) + (property lut_function (string "(!D+(!C+(!B+A)))")) + ) + (instance wait_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(B+A)))")) ) (instance FSM_CURRENT_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C !B))")) + (property lut_function (string "(!D (!C !B+C (!B A)))")) ) - (instance mask_i_4_0_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance data_finished_fsm_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance mask_i_4_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance data_finished_fsm_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) + ) + (instance mask_i_4_0_0_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) + (instance FSM_CURRENT_srsts_0_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B A)))")) + ) (instance fsm_debug_fsm_i_i_0_0_tz_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C B)+D (B+A))")) ) - (instance Data_Out_MUX_data_out_reg_3_8_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance un1_wr_header_i_2_i_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_3_8_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_8_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_8_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905511,13 +905790,10 @@ (instance Data_Out_MUX_data_out_reg_3_8_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_8_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_8_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_8_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_8_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_8_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_8_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905529,15 +905805,17 @@ (instance un2_channel_hit_time_8_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_8_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_8_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance fsm_debug_fsm_i_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) + (instance Data_Out_MUX_data_out_reg_3_31_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_31_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_31_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance un1_wr_header_i_2_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D A)")) ) - (instance FSM_PROC_un8_channel_empty_reg_30_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_15_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_15_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_31_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905561,13 +905839,17 @@ (instance Data_Out_MUX_data_out_reg_3_31_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_31_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_31_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_31_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_31_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_31_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_31_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance un2_channel_hit_time_31_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_31_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_31_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905579,17 +905861,25 @@ (instance un2_channel_hit_time_31_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_31_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_31_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_CURRENT_srsts_0_i_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A))+D (!C A))")) + ) + (instance un1_wr_header_i_2_i_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!B)+D (C+(!B+A)))")) + ) (instance FSM_CURRENT_srsts_i_0_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A)))")) ) - (instance FSM_CURRENT_srsts_0_i_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A))+D (!C B))")) + (instance Data_Out_MUX_data_out_reg_3_16_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_16_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_16_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance fsm_debug_fsm_i_i_0_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (B !A)))")) + (instance data_out_reg_2_sqmuxa_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) (instance Data_Out_MUX_data_out_reg_3_16_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_16_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905613,13 +905903,17 @@ (instance Data_Out_MUX_data_out_reg_3_16_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_16_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_16_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_16_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_16_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_16_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_16_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance un2_channel_hit_time_16_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_16_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_16_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905631,14 +905925,48 @@ (instance un2_channel_hit_time_16_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_16_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_16_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_wr_reg_4_N_7_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B A)))")) - ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_32_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance stop_status_i_0_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B A))+D !C)")) + ) + (instance data_out_reg_9_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) + (instance data_out_reg_2_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance Data_Out_MUX_data_out_reg_3_32_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_32_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_CURRENT_srsts_i_i_a3_0_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+!A)+D (!C+!A))")) + ) + (instance Data_Out_MUX_data_out_reg_3_32_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_32_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_32_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_26 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_24 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_23 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_22 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_21 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_20 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_19 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_18 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_17 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_16 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_14 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_13 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_12 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_11 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_32_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_32_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_32_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905651,104 +905979,203 @@ (instance un2_channel_hit_time_32_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_32_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_32_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Statistics_Empty_Channel_Number_un42_empty_channels_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_un42_empty_channels_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) + (instance un1_wr_header_i_2_i_2_RNI6MFM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (!B !A))")) + ) + (instance data_out_reg_10_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance data_out_reg_8_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) + ) + (instance data_out_reg_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) + ) + (instance data_out_reg_5_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance data_out_reg_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) + (instance data_out_reg_3_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance data_out_reg_4_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance data_out_reg_7_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance data_out_reg_6_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance data_out_reg_2_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) (instance wr_ch_data_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C A))")) + (property lut_function (string "(!B A)")) ) - (instance data_out_reg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!A)+D (C+!B))")) ) - (instance data_out_reg_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance data_out_reg_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C B))")) ) - (instance data_out_reg_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance rd_en_fsm_0_a2_i_o2_1_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C !B)+D (!C !A+C (!B+!A)))")) ) - (instance data_out_reg_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance fsm_debug_fsm_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance data_out_reg_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance data_out_reg_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance data_out_reg_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance rd_en_fsm_i_o2_1_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C !B)+D (!C !A+C (!B+!A)))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C+!B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance updt_index_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) @@ -905756,1141 +906183,1768 @@ (instance fsm_debug_fsm_i_i_0_o2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A+C (!B+!A))+D (!C (!B !A)+C !B))")) ) - (instance Data_Out_MUX_data_out_reg_22_f0_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A))+D (!C A))")) + (instance Data_Out_MUX_data_out_reg_22_1_iv_i_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B+A))+D (C !B))")) ) - (instance Data_Out_MUX_data_out_reg_22_f0_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B A))+D (C+A))")) + (instance Data_Out_MUX_data_out_reg_22_1_iv_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B+A)))")) ) - (instance rd_en_fsm_i_a2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B+A))+D (C B))")) ) - (instance fsm_debug_reg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+!A)+D (!C (B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+!A)+D (!C (B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+!A)+D (!C (B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+!A)+D (!C (B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D B)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C+(B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_5_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_5_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_5_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C (B A)))")) + ) + (instance FSM_CURRENT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A))")) ) (instance FSM_CURRENT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C B))")) ) - (instance FSM_CURRENT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A)))")) + (instance rd_en_fsm_i_0_o2_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B !A)))")) + ) + (instance rd_en_fsm_0_a2_i_o2_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C (!B !A)))")) + ) + (instance fsm_debug_fsm_i_i_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_1_iv_i_1_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (B+A))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_7_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_9_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) (instance fsm_debug_reg_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!B)+D (!C !A+C (!B !A)))")) + (property lut_function (string "(!D !C+D (!C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+!A))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_1_iv_i_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance rd_en_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance trg_win_cntd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C+(!B+!A)))")) + ) + (instance fsm_debug_fsm_i_0_1_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance fsm_debug_fsm_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(B+A))+D (!C+A))")) ) (instance trg_win_cntd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance trg_win_cntd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance trg_win_cntd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance trg_win_cntd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance trg_win_cntd_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance trg_win_cntd_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) + (instance trg_win_cntd_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) (instance trg_win_cntd_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance data_finished_fsm_0_i_s_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance Data_Out_MUX_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) ) - (instance FSM_CURRENT_srsts_i_1_0_a2_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (B A)+C A))")) + (instance Data_Out_MUX_i_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) ) - (instance un1_idle_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) + (instance Data_Out_MUX_i_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) + (property INIT1 (string "0x0600")) ) - (instance un1_idle_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) + (instance Data_Out_MUX_i_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) + (property INIT1 (string "0x0600")) ) - (instance un1_idle_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) + (instance Data_Out_MUX_i_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) + (property INIT1 (string "0x0600")) ) - (instance un1_idle_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) + (instance Data_Out_MUX_i_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) + (property INIT1 (string "0x0600")) ) - (instance un1_idle_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) + (instance Data_Out_MUX_i_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_17 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_19 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_21 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_23 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_25 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_27 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_29 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_s_0_31 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_s_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_25_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_27_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_29_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_s_31_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance tw_post_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) + (property INIT1 (string "0x060a")) ) - (instance un2_valid_timing_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance tw_post_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x060a")) + ) + (instance tw_post_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x060a")) + ) + (instance tw_post_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x060a")) + ) + (instance tw_post_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x060a")) + ) + (instance tw_post_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x060a")) + ) + (instance un1_readout_i_2_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_s_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_25_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_27_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_29_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_s_31_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_idle_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_idle_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_idle_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_idle_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_idle_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) @@ -907156,75 +908210,81 @@ ) (instance The_Reference_Time (viewRef netlist (cellRef Reference_Channel)) ) - (instance GEN_Channels_9_Channels (viewRef netlist (cellRef Channel_9)) - ) (instance GEN_Channels_19_Channels (viewRef netlist (cellRef Channel_19)) ) - (instance GEN_Channels_4_Channels (viewRef netlist (cellRef Channel_4)) - ) - (instance GEN_Channels_21_Channels (viewRef netlist (cellRef Channel_21)) + (instance GEN_Channels_20_Channels (viewRef netlist (cellRef Channel_20)) ) - (instance GEN_Channels_1_Channels (viewRef netlist (cellRef Channel_1)) + (instance GEN_Channels_8_Channels (viewRef netlist (cellRef Channel_8)) ) - (instance GEN_Channels_6_Channels (viewRef netlist (cellRef Channel_6)) + (instance GEN_Channels_3_Channels (viewRef netlist (cellRef Channel_3)) ) (instance GEN_Channels_18_Channels (viewRef netlist (cellRef Channel_18)) ) - (instance GEN_Channels_3_Channels (viewRef netlist (cellRef Channel_3)) - ) - (instance GEN_Channels_8_Channels (viewRef netlist (cellRef Channel_8)) + (instance GEN_Channels_6_Channels (viewRef netlist (cellRef Channel_6)) ) - (instance GEN_Channels_20_Channels (viewRef netlist (cellRef Channel_20)) + (instance GEN_Channels_1_Channels (viewRef netlist (cellRef Channel_1)) ) - (instance GEN_Channels_5_Channels (viewRef netlist (cellRef Channel_5)) + (instance GEN_Channels_9_Channels (viewRef netlist (cellRef Channel_9)) ) - (instance GEN_Channels_17_Channels (viewRef netlist (cellRef Channel_17)) + (instance GEN_Channels_4_Channels (viewRef netlist (cellRef Channel_4)) ) - (instance GEN_Channels_2_Channels (viewRef netlist (cellRef Channel_2)) + (instance GEN_Channels_5_Channels (viewRef netlist (cellRef Channel_5)) ) (instance GEN_Channels_7_Channels (viewRef netlist (cellRef Channel_7)) ) - (instance GEN_Channels_15_Channels (viewRef netlist (cellRef Channel_15)) + (instance GEN_Channels_2_Channels (viewRef netlist (cellRef Channel_2)) ) - (instance GEN_Channels_30_Channels (viewRef netlist (cellRef Channel_30)) + (instance GEN_Channels_17_Channels (viewRef netlist (cellRef Channel_17)) ) - (instance GEN_Channels_14_Channels (viewRef netlist (cellRef Channel_14)) + (instance GEN_Channels_21_Channels (viewRef netlist (cellRef Channel_21)) ) - (instance GEN_Channels_31_Channels (viewRef netlist (cellRef Channel_31)) + (instance GEN_Channels_11_Channels (viewRef netlist (cellRef Channel_11)) ) (instance GEN_Channels_26_Channels (viewRef netlist (cellRef Channel_26)) ) - (instance GEN_Channels_11_Channels (viewRef netlist (cellRef Channel_11)) + (instance GEN_Channels_28_Channels (viewRef netlist (cellRef Channel_28)) ) (instance GEN_Channels_23_Channels (viewRef netlist (cellRef Channel_23)) ) - (instance GEN_Channels_28_Channels (viewRef netlist (cellRef Channel_28)) + (instance GEN_Channels_16_Channels (viewRef netlist (cellRef Channel_16)) ) (instance GEN_Channels_13_Channels (viewRef netlist (cellRef Channel_13)) ) - (instance GEN_Channels_25_Channels (viewRef netlist (cellRef Channel_25)) + (instance GEN_Channels_30_Channels (viewRef netlist (cellRef Channel_30)) ) - (instance GEN_Channels_10_Channels (viewRef netlist (cellRef Channel_10)) + (instance GEN_Channels_15_Channels (viewRef netlist (cellRef Channel_15)) ) - (instance GEN_Channels_22_Channels (viewRef netlist (cellRef Channel_22)) + (instance GEN_Channels_25_Channels (viewRef netlist (cellRef Channel_25)) + ) + (instance GEN_Channels_12_Channels (viewRef netlist (cellRef Channel_12)) ) (instance GEN_Channels_27_Channels (viewRef netlist (cellRef Channel_27)) ) - (instance GEN_Channels_12_Channels (viewRef netlist (cellRef Channel_12)) + (instance GEN_Channels_22_Channels (viewRef netlist (cellRef Channel_22)) + ) + (instance GEN_Channels_10_Channels (viewRef netlist (cellRef Channel_10)) ) (instance GEN_Channels_24_Channels (viewRef netlist (cellRef Channel_24)) ) - (instance GEN_Channels_29_Channels (viewRef netlist (cellRef Channel_29)) + (instance GEN_Channels_31_Channels (viewRef netlist (cellRef Channel_31)) ) - (instance GEN_Channels_16_Channels (viewRef netlist (cellRef Channel_16)) + (instance GEN_Channels_14_Channels (viewRef netlist (cellRef Channel_14)) + ) + (instance GEN_Channels_29_Channels (viewRef netlist (cellRef Channel_29)) ) (instance The_Coarse_Counter (viewRef netlist (cellRef up_counter)) ) - (instance GEN_3_ROM (viewRef netlist (cellRef ROM_FIFO)) + (instance Readout_trigger_mode_sync (viewRef netlist (cellRef bit_sync_3)) + ) + (instance Valid_timing_trigger_sync (viewRef netlist (cellRef bit_sync_Valid_timing_trigger_sync)) + ) + (instance Valid_timing_trigger_pulse (viewRef netlist (cellRef edge_to_pulse_0_31)) + ) + (instance GEN_1_ROM (viewRef netlist (cellRef ROM_FIFO)) ) (instance GEN_0_ROM (viewRef netlist (cellRef ROM_FIFO_1)) ) - (instance GEN_1_ROM (viewRef netlist (cellRef ROM_FIFO_2)) + (instance GEN_3_ROM (viewRef netlist (cellRef ROM_FIFO_2)) ) (instance GEN_2_ROM (viewRef netlist (cellRef ROM_FIFO_3)) ) @@ -907240,46 +908300,30 @@ ) (instance edge_to_pulse_6 (viewRef netlist (cellRef edge_to_pulse_5)) ) - (net N_419 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2)) - (portRef C (instanceRef wr_ch_data_i_RNO)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2_RNIN1GQ)) + (net N_572 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2)) + (portRef C (instanceRef FSM_CURRENT_srsts_i_i_a3_0_o2_5)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2_RNIR15J)) )) - (net N_417 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m2)) - (portRef B (instanceRef wr_ch_data_i_RNO)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2_RNIN1GQ)) + (net N_565 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m3_i_m2)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_i_a3_0_o2_5)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2_RNIR15J)) )) - (net fifo_nr_0 (joined - (portRef Q (instanceRef fifo_nr_0)) - (portRef C (instanceRef data_out_reg_RNO_27)) - (portRef C (instanceRef data_out_reg_RNO_26)) - (portRef C (instanceRef data_out_reg_RNO_25)) - (portRef C (instanceRef data_out_reg_RNO_24)) - (portRef C (instanceRef data_out_reg_RNO_23)) - (portRef C (instanceRef data_out_reg_RNO_22)) - (portRef C (instanceRef data_out_reg_RNO_21)) - (portRef C (instanceRef data_out_reg_RNO_20)) - (portRef C (instanceRef data_out_reg_RNO_19)) - (portRef C (instanceRef data_out_reg_RNO_18)) - (portRef C (instanceRef data_out_reg_RNO_17)) - (portRef C (instanceRef data_out_reg_RNO_16)) - (portRef C (instanceRef data_out_reg_RNO_15)) - (portRef C (instanceRef data_out_reg_RNO_14)) - (portRef C (instanceRef data_out_reg_RNO_13)) - (portRef C (instanceRef data_out_reg_RNO_12)) - (portRef C (instanceRef data_out_reg_RNO_11)) - (portRef C (instanceRef data_out_reg_RNO_10)) - (portRef C (instanceRef data_out_reg_RNO_9)) - (portRef C (instanceRef data_out_reg_RNO_8)) - (portRef C (instanceRef data_out_reg_RNO_7)) - (portRef C (instanceRef data_out_reg_RNO_6)) - (portRef C (instanceRef data_out_reg_RNO_5)) - (portRef C (instanceRef data_out_reg_RNO_4)) - (portRef C (instanceRef data_out_reg_RNO_3)) - (portRef C (instanceRef data_out_reg_RNO_2)) - (portRef C (instanceRef data_out_reg_RNO_0)) - (portRef D (instanceRef wr_ch_data_i_RNO)) + (net fifo_nr_1_0 (joined + (portRef Q (instanceRef fifo_nr_1_0)) + (portRef C (instanceRef rd_en_i_RNO_31)) + (portRef C (instanceRef rd_en_i_RNO_30)) + (portRef C (instanceRef rd_en_i_RNO_29)) + (portRef C (instanceRef rd_en_i_RNO_28)) + (portRef C (instanceRef rd_en_i_RNO_27)) + (portRef C (instanceRef rd_en_i_RNO_26)) + (portRef C (instanceRef rd_en_i_RNO_25)) + (portRef C (instanceRef rd_en_i_RNO_24)) + (portRef C (instanceRef rd_en_i_RNO_23)) + (portRef C (instanceRef rd_en_i_RNO_22)) + (portRef C (instanceRef rd_en_i_RNO_21)) + (portRef C (instanceRef rd_en_i_RNO_20)) (portRef SD (instanceRef un2_channel_hit_time_32_0)) (portRef SD (instanceRef un2_channel_hit_time_32_1)) (portRef SD (instanceRef un2_channel_hit_time_32_2)) @@ -907292,24 +908336,44 @@ (portRef SD (instanceRef un2_channel_hit_time_32_9)) (portRef SD (instanceRef un2_channel_hit_time_32_10)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_1)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_28)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_3)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_4)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_5)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_7)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_8)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_9)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_10)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_11)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_12)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_13)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_14)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_15)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_16)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_17)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_18)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_19)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_20)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_21)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_22)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_23)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_24)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_26)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_27)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_31)) - (portRef A (instanceRef rd_en_fsm_i_o2_6)) - (portRef A (instanceRef rd_en_fsm_i_o2_5)) - (portRef A (instanceRef rd_en_fsm_i_o2_4)) - (portRef A (instanceRef rd_en_fsm_i_o2_15)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2_RNIN1GQ)) - )) - (net N_431 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2_RNIN1GQ)) - (portRef C (instanceRef FSM_CURRENT_RNO_5)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_6)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_0)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2_RNIR15J)) + )) + (net N_590 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2_RNIR15J)) (portRef C (instanceRef FSM_CURRENT_RNO_0)) - (portRef C (instanceRef fsm_debug_reg_RNO_0)) (portRef C (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) (portRef C (instanceRef updt_index_i_RNO)) - (portRef C (instanceRef rd_en_fsm_i_o2_1_19)) + (portRef C (instanceRef rd_en_fsm_0_a2_i_o2_1_23)) + (portRef B (instanceRef wr_ch_data_i_RNO)) )) (net reset_tdc_rep2_i (joined (portRef Z (instanceRef reset_tdc_rep2_RNIGVH8)) @@ -907318,22 +908382,22 @@ (net un3_trg_win_cnt (joined (portRef S1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_21_0)) (portRef D (instanceRef trg_win_cntd_15)) - (portRef D (instanceRef trg_win_cntd_14)) (portRef D (instanceRef trg_win_cntd_13)) - (portRef D (instanceRef trg_win_cntd_11)) + (portRef D (instanceRef trg_win_cntd_14)) (portRef D (instanceRef trg_win_cntd_12)) + (portRef D (instanceRef trg_win_cntd_11)) (portRef D (instanceRef trg_win_cntd_10)) (portRef D (instanceRef trg_win_cntd_9)) - (portRef D (instanceRef trg_win_cntd_8)) (portRef D (instanceRef trg_win_cntd_7)) - (portRef D (instanceRef trg_win_cntd_5)) + (portRef D (instanceRef trg_win_cntd_8)) (portRef D (instanceRef trg_win_cntd_6)) - (portRef D (instanceRef trg_win_cntd_3)) + (portRef D (instanceRef trg_win_cntd_5)) (portRef D (instanceRef trg_win_cntd_4)) - (portRef D (instanceRef trg_win_cntd_2)) + (portRef D (instanceRef trg_win_cntd_3)) (portRef D (instanceRef trg_win_cntd_1)) - (portRef A (instanceRef trg_win_cnt_up_i_2_0_i)) - (portRef A (instanceRef trg_win_cnt_up_i_2_0)) + (portRef D (instanceRef trg_win_cntd_2)) + (portRef A (instanceRef trg_win_cnt_up_i_1_0_i)) + (portRef A (instanceRef trg_win_cnt_up_i_1_0)) (portRef B (instanceRef trg_win_cnt_RNO_0)) (portRef D (instanceRef trg_win_end_i)) (portRef D (instanceRef trg_win_end_i_r1)) @@ -907341,8 +908405,8 @@ (portRef D (instanceRef trg_win_end_i_r3)) (portRef D (instanceRef trg_win_end_i_r4)) )) - (net trg_win_cnt_up_i_2_0 (joined - (portRef Z (instanceRef trg_win_cnt_up_i_2_0)) + (net trg_win_cnt_up_i_1_0 (joined + (portRef Z (instanceRef trg_win_cnt_up_i_1_0)) (portRef SP (instanceRef trg_win_end_i)) (portRef SP (instanceRef trg_win_end_i_r1)) (portRef SP (instanceRef trg_win_end_i_r2)) @@ -907351,38 +908415,14 @@ )) (net trg_win_end_i_r4 (joined (portRef Q (instanceRef trg_win_end_i_r4)) - (portRef C (instanceRef mask_i_4_0_0)) - (portRef C (instanceRef mask_i_4_0_2)) - (portRef C (instanceRef mask_i_4_0_4)) - (portRef C (instanceRef mask_i_4_0_5)) - (portRef C (instanceRef mask_i_4_0_6)) - (portRef C (instanceRef mask_i_4_0_8)) - (portRef C (instanceRef mask_i_4_0_9)) - (portRef C (instanceRef mask_i_4_0_11)) - (portRef C (instanceRef mask_i_4_0_12)) - (portRef C (instanceRef mask_i_4_0_13)) - (portRef C (instanceRef mask_i_4_0_15)) - (portRef C (instanceRef mask_i_4_0_24)) - (portRef C (instanceRef mask_i_4_0_29)) - (portRef C (instanceRef mask_i_4_0_30)) - (portRef C (instanceRef mask_i_4_0_31)) - (portRef C (instanceRef mask_i_4_0_3)) - (portRef C (instanceRef mask_i_4_0_7)) - (portRef C (instanceRef mask_i_4_0_14)) - (portRef C (instanceRef mask_i_4_0_25)) - (portRef C (instanceRef mask_i_4_0_26)) - (portRef C (instanceRef mask_i_4_0_27)) - (portRef C (instanceRef mask_i_4_0_1)) - (portRef C (instanceRef mask_i_4_0_10)) - (portRef C (instanceRef mask_i_4_0_16)) - (portRef C (instanceRef mask_i_4_0_17)) - (portRef C (instanceRef mask_i_4_0_18)) - (portRef C (instanceRef mask_i_4_0_19)) - (portRef C (instanceRef mask_i_4_0_20)) - (portRef C (instanceRef mask_i_4_0_21)) - (portRef C (instanceRef mask_i_4_0_22)) - (portRef C (instanceRef mask_i_4_0_23)) - (portRef C (instanceRef mask_i_4_0_28)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2_0_a2)) + (portRef C (instanceRef FSM_CURRENT_RNO_13)) + (portRef C (instanceRef fsm_debug_fsm_i_0_0_0)) + (portRef C (instanceRef mask_i_4_0_0_a2_1_25)) + (portRef C (instanceRef mask_i_4_0_0_a2_1_20)) + (portRef A (instanceRef updt_mask_i_RNIF6SI)) + (portRef B (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_4)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_0_a2_5)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -907393,41 +908433,75 @@ (portRef clk_100_i_c (instanceRef edge_to_pulse_2)) (portRef clk_100_i_c (instanceRef edge_to_pulse_1)) (portRef clk_100_i_c (instanceRef GEN_2_ROM)) - (portRef clk_100_i_c (instanceRef GEN_1_ROM)) - (portRef clk_100_i_c (instanceRef GEN_0_ROM)) (portRef clk_100_i_c (instanceRef GEN_3_ROM)) - (portRef clk_100_i_c (instanceRef GEN_Channels_16_Channels)) + (portRef clk_100_i_c (instanceRef GEN_0_ROM)) + (portRef clk_100_i_c (instanceRef GEN_1_ROM)) + (portRef clk_100_i_c (instanceRef Valid_timing_trigger_sync)) + (portRef clk_100_i_c (instanceRef Readout_trigger_mode_sync)) (portRef clk_100_i_c (instanceRef GEN_Channels_29_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_14_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_31_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_24_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_12_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_27_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_22_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_10_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_22_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_27_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_12_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_25_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_15_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_30_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_13_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_28_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_16_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_23_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_11_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_28_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_26_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_31_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_14_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_30_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_15_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_7_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_2_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_11_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_21_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_17_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_2_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_7_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_5_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_20_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_8_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_3_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_18_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_6_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_1_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_21_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_4_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_19_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_9_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_1_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_6_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_18_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_3_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_8_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_20_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_19_Channels)) (portRef clk_100_i_c (instanceRef The_Reference_Time)) + (portRef CK (instanceRef Data_Out_MUX_i_31)) + (portRef CK (instanceRef Data_Out_MUX_i_30)) + (portRef CK (instanceRef Data_Out_MUX_i_29)) + (portRef CK (instanceRef Data_Out_MUX_i_28)) + (portRef CK (instanceRef Data_Out_MUX_i_27)) + (portRef CK (instanceRef Data_Out_MUX_i_26)) + (portRef CK (instanceRef Data_Out_MUX_i_25)) + (portRef CK (instanceRef Data_Out_MUX_i_24)) + (portRef CK (instanceRef Data_Out_MUX_i_23)) + (portRef CK (instanceRef Data_Out_MUX_i_22)) + (portRef CK (instanceRef Data_Out_MUX_i_21)) + (portRef CK (instanceRef Data_Out_MUX_i_20)) + (portRef CK (instanceRef Data_Out_MUX_i_19)) + (portRef CK (instanceRef Data_Out_MUX_i_18)) + (portRef CK (instanceRef Data_Out_MUX_i_17)) + (portRef CK (instanceRef Data_Out_MUX_i_16)) + (portRef CK (instanceRef Data_Out_MUX_i_15)) + (portRef CK (instanceRef Data_Out_MUX_i_14)) + (portRef CK (instanceRef Data_Out_MUX_i_13)) + (portRef CK (instanceRef Data_Out_MUX_i_12)) + (portRef CK (instanceRef Data_Out_MUX_i_11)) + (portRef CK (instanceRef Data_Out_MUX_i_10)) + (portRef CK (instanceRef Data_Out_MUX_i_9)) + (portRef CK (instanceRef Data_Out_MUX_i_8)) + (portRef CK (instanceRef Data_Out_MUX_i_7)) + (portRef CK (instanceRef Data_Out_MUX_i_6)) + (portRef CK (instanceRef Data_Out_MUX_i_5)) + (portRef CK (instanceRef Data_Out_MUX_i_4)) + (portRef CK (instanceRef Data_Out_MUX_i_3)) + (portRef CK (instanceRef Data_Out_MUX_i_2)) + (portRef CK (instanceRef Data_Out_MUX_i_1)) + (portRef CK (instanceRef Data_Out_MUX_i_0)) (portRef CK (instanceRef FSM_CURRENT_14)) (portRef CK (instanceRef FSM_CURRENT_13)) (portRef CK (instanceRef FSM_CURRENT_12)) @@ -907531,141 +908605,137 @@ (portRef CK (instanceRef channel_data_reg_0_0)) (portRef CK (instanceRef channel_data_reg_1_31)) (portRef CK (instanceRef channel_data_reg_10_31)) - (portRef CK (instanceRef channel_data_reg_1_30)) (portRef CK (instanceRef channel_data_reg_10_30)) + (portRef CK (instanceRef channel_data_reg_1_30)) (portRef CK (instanceRef channel_data_reg_10_29)) (portRef CK (instanceRef channel_data_reg_1_29)) - (portRef CK (instanceRef channel_data_reg_1_28)) (portRef CK (instanceRef channel_data_reg_10_28)) - (portRef CK (instanceRef channel_data_reg_1_27)) (portRef CK (instanceRef channel_data_reg_10_27)) - (portRef CK (instanceRef channel_data_reg_1_26)) - (portRef CK (instanceRef channel_data_reg_10_26)) - (portRef CK (instanceRef channel_data_reg_10_25)) (portRef CK (instanceRef channel_data_reg_1_25)) + (portRef CK (instanceRef channel_data_reg_10_25)) + (portRef CK (instanceRef channel_data_reg_11_31)) + (portRef CK (instanceRef channel_data_reg_1_28)) + (portRef CK (instanceRef channel_data_reg_1_27)) + (portRef CK (instanceRef channel_data_reg_10_26)) + (portRef CK (instanceRef channel_data_reg_1_26)) (portRef CK (instanceRef channel_data_reg_10_24)) (portRef CK (instanceRef channel_data_reg_10_23)) (portRef CK (instanceRef channel_data_reg_10_22)) (portRef CK (instanceRef channel_data_reg_10_21)) - (portRef CK (instanceRef channel_data_reg_1_20)) - (portRef CK (instanceRef channel_data_reg_10_20)) (portRef CK (instanceRef channel_data_reg_10_19)) (portRef CK (instanceRef channel_data_reg_10_18)) (portRef CK (instanceRef channel_data_reg_10_17)) - (portRef CK (instanceRef channel_data_reg_10_15)) - (portRef CK (instanceRef channel_data_reg_11_28)) - (portRef CK (instanceRef channel_data_reg_1_24)) + (portRef CK (instanceRef channel_data_reg_11_30)) + (portRef CK (instanceRef channel_data_reg_11_27)) + (portRef CK (instanceRef channel_data_reg_1_22)) + (portRef CK (instanceRef channel_data_reg_1_21)) + (portRef CK (instanceRef channel_data_reg_10_20)) (portRef CK (instanceRef channel_data_reg_10_16)) - (portRef CK (instanceRef channel_data_reg_1_14)) + (portRef CK (instanceRef channel_data_reg_10_15)) (portRef CK (instanceRef channel_data_reg_10_14)) (portRef CK (instanceRef channel_data_reg_10_13)) + (portRef CK (instanceRef channel_data_reg_10_12)) + (portRef CK (instanceRef channel_data_reg_10_11)) + (portRef CK (instanceRef channel_data_reg_10_10)) (portRef CK (instanceRef channel_data_reg_10_9)) (portRef CK (instanceRef channel_data_reg_10_8)) + (portRef CK (instanceRef channel_data_reg_10_7)) (portRef CK (instanceRef channel_data_reg_10_6)) + (portRef CK (instanceRef channel_data_reg_10_5)) (portRef CK (instanceRef channel_data_reg_10_4)) - (portRef CK (instanceRef channel_data_reg_10_3)) - (portRef CK (instanceRef channel_data_reg_11_31)) - (portRef CK (instanceRef channel_data_reg_11_24)) - (portRef CK (instanceRef channel_data_reg_1_23)) - (portRef CK (instanceRef channel_data_reg_1_21)) - (portRef CK (instanceRef channel_data_reg_10_2)) (portRef CK (instanceRef channel_data_reg_10_0)) (portRef CK (instanceRef channel_data_reg_11_29)) - (portRef CK (instanceRef channel_data_reg_11_27)) + (portRef CK (instanceRef channel_data_reg_11_28)) + (portRef CK (instanceRef channel_data_reg_11_26)) (portRef CK (instanceRef channel_data_reg_11_25)) + (portRef CK (instanceRef channel_data_reg_11_24)) + (portRef CK (instanceRef channel_data_reg_11_23)) (portRef CK (instanceRef channel_data_reg_11_22)) (portRef CK (instanceRef channel_data_reg_11_21)) (portRef CK (instanceRef channel_data_reg_11_20)) (portRef CK (instanceRef channel_data_reg_1_19)) - (portRef CK (instanceRef channel_data_reg_1_13)) - (portRef CK (instanceRef channel_data_reg_10_10)) - (portRef CK (instanceRef channel_data_reg_10_5)) - (portRef CK (instanceRef channel_data_reg_10_1)) - (portRef CK (instanceRef channel_data_reg_11_30)) - (portRef CK (instanceRef channel_data_reg_11_26)) + (portRef CK (instanceRef channel_data_reg_11_19)) (portRef CK (instanceRef channel_data_reg_11_18)) + (portRef CK (instanceRef channel_data_reg_11_17)) + (portRef CK (instanceRef channel_data_reg_1_15)) + (portRef CK (instanceRef channel_data_reg_11_14)) + (portRef CK (instanceRef channel_data_reg_11_10)) + (portRef CK (instanceRef channel_data_reg_11_2)) + (portRef CK (instanceRef channel_data_reg_12_29)) + (portRef CK (instanceRef channel_data_reg_12_17)) + (portRef CK (instanceRef channel_data_reg_1_17)) + (portRef CK (instanceRef channel_data_reg_1_16)) + (portRef CK (instanceRef channel_data_reg_10_3)) (portRef CK (instanceRef channel_data_reg_11_16)) (portRef CK (instanceRef channel_data_reg_11_15)) - (portRef CK (instanceRef channel_data_reg_11_8)) - (portRef CK (instanceRef channel_data_reg_11_7)) - (portRef CK (instanceRef channel_data_reg_11_1)) - (portRef CK (instanceRef channel_data_reg_11_0)) - (portRef CK (instanceRef channel_data_reg_13_29)) - (portRef CK (instanceRef channel_data_reg_1_22)) - (portRef CK (instanceRef channel_data_reg_10_12)) - (portRef CK (instanceRef channel_data_reg_10_11)) - (portRef CK (instanceRef channel_data_reg_10_7)) - (portRef CK (instanceRef channel_data_reg_11_23)) - (portRef CK (instanceRef channel_data_reg_11_19)) (portRef CK (instanceRef channel_data_reg_11_13)) - (portRef CK (instanceRef channel_data_reg_11_12)) - (portRef CK (instanceRef channel_data_reg_11_11)) - (portRef CK (instanceRef channel_data_reg_12_29)) - (portRef CK (instanceRef channel_data_reg_12_21)) - (portRef CK (instanceRef channel_data_reg_1_18)) - (portRef CK (instanceRef channel_data_reg_11_17)) - (portRef CK (instanceRef channel_data_reg_11_14)) (portRef CK (instanceRef channel_data_reg_11_9)) + (portRef CK (instanceRef channel_data_reg_11_8)) + (portRef CK (instanceRef channel_data_reg_11_7)) + (portRef CK (instanceRef channel_data_reg_11_6)) (portRef CK (instanceRef channel_data_reg_11_5)) + (portRef CK (instanceRef channel_data_reg_11_4)) + (portRef CK (instanceRef channel_data_reg_11_3)) + (portRef CK (instanceRef channel_data_reg_11_1)) (portRef CK (instanceRef channel_data_reg_12_31)) - (portRef CK (instanceRef channel_data_reg_12_30)) - (portRef CK (instanceRef channel_data_reg_12_28)) - (portRef CK (instanceRef channel_data_reg_12_20)) - (portRef CK (instanceRef channel_data_reg_12_15)) - (portRef CK (instanceRef channel_data_reg_13_27)) - (portRef CK (instanceRef channel_data_reg_13_26)) - (portRef CK (instanceRef channel_data_reg_1_16)) - (portRef CK (instanceRef channel_data_reg_11_6)) (portRef CK (instanceRef channel_data_reg_12_27)) (portRef CK (instanceRef channel_data_reg_12_26)) (portRef CK (instanceRef channel_data_reg_12_25)) - (portRef CK (instanceRef channel_data_reg_1_12)) - (portRef CK (instanceRef channel_data_reg_11_10)) - (portRef CK (instanceRef channel_data_reg_11_4)) + (portRef CK (instanceRef channel_data_reg_12_24)) (portRef CK (instanceRef channel_data_reg_12_23)) (portRef CK (instanceRef channel_data_reg_12_22)) - (portRef CK (instanceRef channel_data_reg_12_17)) - (portRef CK (instanceRef channel_data_reg_12_2)) - (portRef CK (instanceRef channel_data_reg_13_31)) - (portRef CK (instanceRef channel_data_reg_13_24)) - (portRef CK (instanceRef channel_data_reg_1_17)) - (portRef CK (instanceRef channel_data_reg_11_3)) - (portRef CK (instanceRef channel_data_reg_11_2)) - (portRef CK (instanceRef channel_data_reg_12_24)) - (portRef CK (instanceRef channel_data_reg_12_19)) + (portRef CK (instanceRef channel_data_reg_13_28)) + (portRef CK (instanceRef channel_data_reg_1_24)) + (portRef CK (instanceRef channel_data_reg_1_23)) + (portRef CK (instanceRef channel_data_reg_1_20)) + (portRef CK (instanceRef channel_data_reg_11_12)) (portRef CK (instanceRef channel_data_reg_12_18)) + (portRef CK (instanceRef channel_data_reg_1_14)) + (portRef CK (instanceRef channel_data_reg_10_2)) + (portRef CK (instanceRef channel_data_reg_10_1)) + (portRef CK (instanceRef channel_data_reg_11_11)) + (portRef CK (instanceRef channel_data_reg_11_0)) + (portRef CK (instanceRef channel_data_reg_12_30)) + (portRef CK (instanceRef channel_data_reg_12_28)) + (portRef CK (instanceRef channel_data_reg_12_21)) + (portRef CK (instanceRef channel_data_reg_12_20)) + (portRef CK (instanceRef channel_data_reg_12_19)) (portRef CK (instanceRef channel_data_reg_12_16)) - (portRef CK (instanceRef channel_data_reg_13_23)) - (portRef CK (instanceRef channel_data_reg_1_15)) + (portRef CK (instanceRef channel_data_reg_12_15)) (portRef CK (instanceRef channel_data_reg_12_14)) (portRef CK (instanceRef channel_data_reg_12_13)) (portRef CK (instanceRef channel_data_reg_12_12)) + (portRef CK (instanceRef channel_data_reg_13_29)) + (portRef CK (instanceRef channel_data_reg_13_27)) + (portRef CK (instanceRef channel_data_reg_1_13)) (portRef CK (instanceRef channel_data_reg_12_11)) (portRef CK (instanceRef channel_data_reg_12_10)) (portRef CK (instanceRef channel_data_reg_12_9)) + (portRef CK (instanceRef channel_data_reg_12_8)) (portRef CK (instanceRef channel_data_reg_12_7)) (portRef CK (instanceRef channel_data_reg_12_6)) (portRef CK (instanceRef channel_data_reg_12_5)) (portRef CK (instanceRef channel_data_reg_12_4)) (portRef CK (instanceRef channel_data_reg_12_3)) + (portRef CK (instanceRef channel_data_reg_12_2)) (portRef CK (instanceRef channel_data_reg_12_1)) (portRef CK (instanceRef channel_data_reg_12_0)) + (portRef CK (instanceRef channel_data_reg_13_31)) (portRef CK (instanceRef channel_data_reg_13_30)) - (portRef CK (instanceRef channel_data_reg_13_28)) + (portRef CK (instanceRef channel_data_reg_13_26)) (portRef CK (instanceRef channel_data_reg_13_25)) + (portRef CK (instanceRef channel_data_reg_13_24)) + (portRef CK (instanceRef channel_data_reg_13_23)) (portRef CK (instanceRef channel_data_reg_13_22)) (portRef CK (instanceRef channel_data_reg_13_21)) (portRef CK (instanceRef channel_data_reg_13_20)) (portRef CK (instanceRef channel_data_reg_13_19)) + (portRef CK (instanceRef channel_data_reg_13_18)) (portRef CK (instanceRef channel_data_reg_13_17)) + (portRef CK (instanceRef channel_data_reg_13_16)) (portRef CK (instanceRef channel_data_reg_13_15)) (portRef CK (instanceRef channel_data_reg_13_14)) (portRef CK (instanceRef channel_data_reg_13_13)) - (portRef CK (instanceRef channel_data_reg_1_11)) - (portRef CK (instanceRef channel_data_reg_1_10)) - (portRef CK (instanceRef channel_data_reg_12_8)) - (portRef CK (instanceRef channel_data_reg_13_18)) - (portRef CK (instanceRef channel_data_reg_13_16)) (portRef CK (instanceRef channel_data_reg_13_12)) (portRef CK (instanceRef channel_data_reg_13_11)) (portRef CK (instanceRef channel_data_reg_13_10)) @@ -907676,27 +908746,25 @@ (portRef CK (instanceRef channel_data_reg_13_5)) (portRef CK (instanceRef channel_data_reg_13_4)) (portRef CK (instanceRef channel_data_reg_13_3)) + (portRef CK (instanceRef channel_data_reg_13_2)) + (portRef CK (instanceRef channel_data_reg_13_1)) + (portRef CK (instanceRef channel_data_reg_13_0)) (portRef CK (instanceRef channel_data_reg_14_31)) (portRef CK (instanceRef channel_data_reg_14_30)) + (portRef CK (instanceRef channel_data_reg_14_29)) (portRef CK (instanceRef channel_data_reg_14_28)) (portRef CK (instanceRef channel_data_reg_14_27)) - (portRef CK (instanceRef channel_data_reg_14_23)) - (portRef CK (instanceRef channel_data_reg_14_22)) - (portRef CK (instanceRef channel_data_reg_14_16)) - (portRef CK (instanceRef channel_data_reg_1_9)) - (portRef CK (instanceRef channel_data_reg_13_2)) - (portRef CK (instanceRef channel_data_reg_14_29)) (portRef CK (instanceRef channel_data_reg_14_26)) (portRef CK (instanceRef channel_data_reg_14_25)) (portRef CK (instanceRef channel_data_reg_14_24)) - (portRef CK (instanceRef channel_data_reg_14_20)) - (portRef CK (instanceRef channel_data_reg_1_7)) - (portRef CK (instanceRef channel_data_reg_13_1)) - (portRef CK (instanceRef channel_data_reg_13_0)) + (portRef CK (instanceRef channel_data_reg_14_23)) + (portRef CK (instanceRef channel_data_reg_14_22)) (portRef CK (instanceRef channel_data_reg_14_21)) + (portRef CK (instanceRef channel_data_reg_14_20)) (portRef CK (instanceRef channel_data_reg_14_19)) (portRef CK (instanceRef channel_data_reg_14_18)) (portRef CK (instanceRef channel_data_reg_14_17)) + (portRef CK (instanceRef channel_data_reg_14_16)) (portRef CK (instanceRef channel_data_reg_14_15)) (portRef CK (instanceRef channel_data_reg_14_14)) (portRef CK (instanceRef channel_data_reg_14_13)) @@ -907727,7 +908795,6 @@ (portRef CK (instanceRef channel_data_reg_15_20)) (portRef CK (instanceRef channel_data_reg_15_19)) (portRef CK (instanceRef channel_data_reg_15_18)) - (portRef CK (instanceRef channel_data_reg_15_17)) (portRef CK (instanceRef channel_data_reg_15_16)) (portRef CK (instanceRef channel_data_reg_15_15)) (portRef CK (instanceRef channel_data_reg_15_14)) @@ -907738,12 +908805,14 @@ (portRef CK (instanceRef channel_data_reg_15_9)) (portRef CK (instanceRef channel_data_reg_15_8)) (portRef CK (instanceRef channel_data_reg_15_7)) - (portRef CK (instanceRef channel_data_reg_15_6)) (portRef CK (instanceRef channel_data_reg_15_5)) - (portRef CK (instanceRef channel_data_reg_15_4)) - (portRef CK (instanceRef channel_data_reg_15_3)) (portRef CK (instanceRef channel_data_reg_15_2)) (portRef CK (instanceRef channel_data_reg_15_1)) + (portRef CK (instanceRef channel_data_reg_16_20)) + (portRef CK (instanceRef channel_data_reg_1_18)) + (portRef CK (instanceRef channel_data_reg_15_17)) + (portRef CK (instanceRef channel_data_reg_15_4)) + (portRef CK (instanceRef channel_data_reg_15_3)) (portRef CK (instanceRef channel_data_reg_15_0)) (portRef CK (instanceRef channel_data_reg_16_31)) (portRef CK (instanceRef channel_data_reg_16_30)) @@ -907756,7 +908825,6 @@ (portRef CK (instanceRef channel_data_reg_16_23)) (portRef CK (instanceRef channel_data_reg_16_22)) (portRef CK (instanceRef channel_data_reg_16_21)) - (portRef CK (instanceRef channel_data_reg_16_20)) (portRef CK (instanceRef channel_data_reg_16_19)) (portRef CK (instanceRef channel_data_reg_16_18)) (portRef CK (instanceRef channel_data_reg_16_17)) @@ -907770,11 +908838,8 @@ (portRef CK (instanceRef channel_data_reg_16_9)) (portRef CK (instanceRef channel_data_reg_16_8)) (portRef CK (instanceRef channel_data_reg_16_7)) - (portRef CK (instanceRef channel_data_reg_16_6)) - (portRef CK (instanceRef channel_data_reg_16_5)) (portRef CK (instanceRef channel_data_reg_16_4)) (portRef CK (instanceRef channel_data_reg_16_3)) - (portRef CK (instanceRef channel_data_reg_16_2)) (portRef CK (instanceRef channel_data_reg_16_1)) (portRef CK (instanceRef channel_data_reg_17_31)) (portRef CK (instanceRef channel_data_reg_17_30)) @@ -907789,7 +908854,6 @@ (portRef CK (instanceRef channel_data_reg_17_21)) (portRef CK (instanceRef channel_data_reg_17_20)) (portRef CK (instanceRef channel_data_reg_17_19)) - (portRef CK (instanceRef channel_data_reg_17_18)) (portRef CK (instanceRef channel_data_reg_17_17)) (portRef CK (instanceRef channel_data_reg_17_16)) (portRef CK (instanceRef channel_data_reg_17_15)) @@ -907797,6 +908861,16 @@ (portRef CK (instanceRef channel_data_reg_17_13)) (portRef CK (instanceRef channel_data_reg_17_12)) (portRef CK (instanceRef channel_data_reg_17_11)) + (portRef CK (instanceRef channel_data_reg_18_14)) + (portRef CK (instanceRef channel_data_reg_19_21)) + (portRef CK (instanceRef channel_data_reg_1_11)) + (portRef CK (instanceRef channel_data_reg_1_10)) + (portRef CK (instanceRef channel_data_reg_1_9)) + (portRef CK (instanceRef channel_data_reg_16_6)) + (portRef CK (instanceRef channel_data_reg_16_5)) + (portRef CK (instanceRef channel_data_reg_16_2)) + (portRef CK (instanceRef channel_data_reg_16_0)) + (portRef CK (instanceRef channel_data_reg_17_18)) (portRef CK (instanceRef channel_data_reg_17_10)) (portRef CK (instanceRef channel_data_reg_17_9)) (portRef CK (instanceRef channel_data_reg_17_8)) @@ -907824,9 +908898,10 @@ (portRef CK (instanceRef channel_data_reg_18_18)) (portRef CK (instanceRef channel_data_reg_18_17)) (portRef CK (instanceRef channel_data_reg_18_16)) - (portRef CK (instanceRef channel_data_reg_18_15)) - (portRef CK (instanceRef channel_data_reg_18_14)) (portRef CK (instanceRef channel_data_reg_18_13)) + (portRef CK (instanceRef channel_data_reg_1_12)) + (portRef CK (instanceRef channel_data_reg_15_6)) + (portRef CK (instanceRef channel_data_reg_18_15)) (portRef CK (instanceRef channel_data_reg_18_12)) (portRef CK (instanceRef channel_data_reg_18_11)) (portRef CK (instanceRef channel_data_reg_18_10)) @@ -907850,7 +908925,6 @@ (portRef CK (instanceRef channel_data_reg_19_24)) (portRef CK (instanceRef channel_data_reg_19_23)) (portRef CK (instanceRef channel_data_reg_19_22)) - (portRef CK (instanceRef channel_data_reg_19_21)) (portRef CK (instanceRef channel_data_reg_19_20)) (portRef CK (instanceRef channel_data_reg_19_19)) (portRef CK (instanceRef channel_data_reg_19_18)) @@ -907863,32 +908937,36 @@ (portRef CK (instanceRef channel_data_reg_19_11)) (portRef CK (instanceRef channel_data_reg_19_10)) (portRef CK (instanceRef channel_data_reg_19_9)) - (portRef CK (instanceRef channel_data_reg_1_8)) (portRef CK (instanceRef channel_data_reg_19_8)) + (portRef CK (instanceRef channel_data_reg_1_8)) + (portRef CK (instanceRef channel_data_reg_1_7)) (portRef CK (instanceRef channel_data_reg_19_7)) - (portRef CK (instanceRef channel_data_reg_19_6)) (portRef CK (instanceRef channel_data_reg_1_6)) - (portRef CK (instanceRef channel_data_reg_19_5)) + (portRef CK (instanceRef channel_data_reg_19_6)) (portRef CK (instanceRef channel_data_reg_1_5)) + (portRef CK (instanceRef channel_data_reg_19_5)) (portRef CK (instanceRef channel_data_reg_19_4)) (portRef CK (instanceRef channel_data_reg_1_4)) - (portRef CK (instanceRef channel_data_reg_1_3)) (portRef CK (instanceRef channel_data_reg_19_3)) + (portRef CK (instanceRef channel_data_reg_1_3)) + (portRef CK (instanceRef channel_data_reg_19_2)) (portRef CK (instanceRef channel_data_reg_1_2)) (portRef CK (instanceRef channel_data_reg_19_1)) (portRef CK (instanceRef channel_data_reg_1_1)) - (portRef CK (instanceRef channel_data_reg_16_0)) - (portRef CK (instanceRef channel_data_reg_19_2)) (portRef CK (instanceRef channel_data_reg_19_0)) (portRef CK (instanceRef channel_data_reg_1_0)) (portRef CK (instanceRef channel_data_reg_20_31)) + (portRef CK (instanceRef channel_data_reg_20_30)) + (portRef CK (instanceRef channel_data_reg_21_31)) (portRef CK (instanceRef channel_data_reg_2_31)) (portRef CK (instanceRef channel_data_reg_2_30)) - (portRef CK (instanceRef channel_data_reg_20_30)) - (portRef CK (instanceRef channel_data_reg_2_29)) (portRef CK (instanceRef channel_data_reg_20_29)) + (portRef CK (instanceRef channel_data_reg_2_29)) (portRef CK (instanceRef channel_data_reg_20_28)) + (portRef CK (instanceRef channel_data_reg_2_28)) + (portRef CK (instanceRef channel_data_reg_2_27)) (portRef CK (instanceRef channel_data_reg_20_27)) + (portRef CK (instanceRef channel_data_reg_2_26)) (portRef CK (instanceRef channel_data_reg_20_26)) (portRef CK (instanceRef channel_data_reg_20_25)) (portRef CK (instanceRef channel_data_reg_20_24)) @@ -907906,45 +908984,43 @@ (portRef CK (instanceRef channel_data_reg_20_12)) (portRef CK (instanceRef channel_data_reg_20_11)) (portRef CK (instanceRef channel_data_reg_20_10)) - (portRef CK (instanceRef channel_data_reg_20_9)) (portRef CK (instanceRef channel_data_reg_20_8)) + (portRef CK (instanceRef channel_data_reg_20_3)) + (portRef CK (instanceRef channel_data_reg_21_30)) + (portRef CK (instanceRef channel_data_reg_21_25)) + (portRef CK (instanceRef channel_data_reg_2_25)) + (portRef CK (instanceRef channel_data_reg_20_9)) (portRef CK (instanceRef channel_data_reg_20_7)) (portRef CK (instanceRef channel_data_reg_20_6)) (portRef CK (instanceRef channel_data_reg_20_5)) (portRef CK (instanceRef channel_data_reg_20_4)) - (portRef CK (instanceRef channel_data_reg_20_3)) (portRef CK (instanceRef channel_data_reg_20_2)) (portRef CK (instanceRef channel_data_reg_20_1)) (portRef CK (instanceRef channel_data_reg_20_0)) - (portRef CK (instanceRef channel_data_reg_21_31)) - (portRef CK (instanceRef channel_data_reg_21_30)) (portRef CK (instanceRef channel_data_reg_21_29)) (portRef CK (instanceRef channel_data_reg_21_28)) (portRef CK (instanceRef channel_data_reg_21_27)) (portRef CK (instanceRef channel_data_reg_21_26)) - (portRef CK (instanceRef channel_data_reg_21_25)) - (portRef CK (instanceRef channel_data_reg_21_22)) - (portRef CK (instanceRef channel_data_reg_21_20)) - (portRef CK (instanceRef channel_data_reg_21_18)) - (portRef CK (instanceRef channel_data_reg_22_31)) - (portRef CK (instanceRef channel_data_reg_2_26)) (portRef CK (instanceRef channel_data_reg_21_24)) (portRef CK (instanceRef channel_data_reg_21_23)) + (portRef CK (instanceRef channel_data_reg_21_22)) (portRef CK (instanceRef channel_data_reg_21_21)) + (portRef CK (instanceRef channel_data_reg_21_20)) + (portRef CK (instanceRef channel_data_reg_21_19)) + (portRef CK (instanceRef channel_data_reg_21_17)) (portRef CK (instanceRef channel_data_reg_21_16)) (portRef CK (instanceRef channel_data_reg_21_15)) - (portRef CK (instanceRef channel_data_reg_21_14)) (portRef CK (instanceRef channel_data_reg_21_13)) + (portRef CK (instanceRef channel_data_reg_21_12)) + (portRef CK (instanceRef channel_data_reg_21_11)) + (portRef CK (instanceRef channel_data_reg_21_10)) + (portRef CK (instanceRef channel_data_reg_22_31)) (portRef CK (instanceRef channel_data_reg_22_30)) (portRef CK (instanceRef channel_data_reg_22_29)) (portRef CK (instanceRef channel_data_reg_22_28)) - (portRef CK (instanceRef channel_data_reg_22_25)) - (portRef CK (instanceRef channel_data_reg_2_23)) - (portRef CK (instanceRef channel_data_reg_21_19)) - (portRef CK (instanceRef channel_data_reg_21_17)) - (portRef CK (instanceRef channel_data_reg_21_12)) (portRef CK (instanceRef channel_data_reg_22_27)) (portRef CK (instanceRef channel_data_reg_22_26)) + (portRef CK (instanceRef channel_data_reg_22_25)) (portRef CK (instanceRef channel_data_reg_22_24)) (portRef CK (instanceRef channel_data_reg_22_23)) (portRef CK (instanceRef channel_data_reg_22_22)) @@ -907963,33 +909039,30 @@ (portRef CK (instanceRef channel_data_reg_22_9)) (portRef CK (instanceRef channel_data_reg_22_8)) (portRef CK (instanceRef channel_data_reg_22_7)) + (portRef CK (instanceRef channel_data_reg_22_6)) (portRef CK (instanceRef channel_data_reg_22_5)) (portRef CK (instanceRef channel_data_reg_22_4)) - (portRef CK (instanceRef channel_data_reg_22_2)) - (portRef CK (instanceRef channel_data_reg_23_31)) - (portRef CK (instanceRef channel_data_reg_23_30)) - (portRef CK (instanceRef channel_data_reg_2_28)) - (portRef CK (instanceRef channel_data_reg_22_6)) (portRef CK (instanceRef channel_data_reg_22_3)) (portRef CK (instanceRef channel_data_reg_22_1)) (portRef CK (instanceRef channel_data_reg_22_0)) + (portRef CK (instanceRef channel_data_reg_23_31)) + (portRef CK (instanceRef channel_data_reg_23_30)) (portRef CK (instanceRef channel_data_reg_23_29)) (portRef CK (instanceRef channel_data_reg_23_28)) (portRef CK (instanceRef channel_data_reg_23_27)) - (portRef CK (instanceRef channel_data_reg_23_26)) - (portRef CK (instanceRef channel_data_reg_23_25)) (portRef CK (instanceRef channel_data_reg_23_24)) - (portRef CK (instanceRef channel_data_reg_2_24)) - (portRef CK (instanceRef channel_data_reg_21_2)) (portRef CK (instanceRef channel_data_reg_23_23)) - (portRef CK (instanceRef channel_data_reg_23_22)) (portRef CK (instanceRef channel_data_reg_23_21)) - (portRef CK (instanceRef channel_data_reg_23_20)) - (portRef CK (instanceRef channel_data_reg_23_19)) - (portRef CK (instanceRef channel_data_reg_23_18)) - (portRef CK (instanceRef channel_data_reg_23_17)) (portRef CK (instanceRef channel_data_reg_23_16)) - (portRef CK (instanceRef channel_data_reg_23_15)) + (portRef CK (instanceRef channel_data_reg_23_1)) + (portRef CK (instanceRef channel_data_reg_24_30)) + (portRef CK (instanceRef channel_data_reg_2_24)) + (portRef CK (instanceRef channel_data_reg_21_18)) + (portRef CK (instanceRef channel_data_reg_21_14)) + (portRef CK (instanceRef channel_data_reg_23_26)) + (portRef CK (instanceRef channel_data_reg_23_25)) + (portRef CK (instanceRef channel_data_reg_23_22)) + (portRef CK (instanceRef channel_data_reg_23_18)) (portRef CK (instanceRef channel_data_reg_23_14)) (portRef CK (instanceRef channel_data_reg_23_13)) (portRef CK (instanceRef channel_data_reg_23_12)) @@ -908003,10 +909076,8 @@ (portRef CK (instanceRef channel_data_reg_23_4)) (portRef CK (instanceRef channel_data_reg_23_3)) (portRef CK (instanceRef channel_data_reg_23_2)) - (portRef CK (instanceRef channel_data_reg_23_1)) (portRef CK (instanceRef channel_data_reg_23_0)) (portRef CK (instanceRef channel_data_reg_24_31)) - (portRef CK (instanceRef channel_data_reg_24_30)) (portRef CK (instanceRef channel_data_reg_24_29)) (portRef CK (instanceRef channel_data_reg_24_28)) (portRef CK (instanceRef channel_data_reg_24_27)) @@ -908017,6 +909088,7 @@ (portRef CK (instanceRef channel_data_reg_24_22)) (portRef CK (instanceRef channel_data_reg_24_21)) (portRef CK (instanceRef channel_data_reg_24_20)) + (portRef CK (instanceRef channel_data_reg_2_20)) (portRef CK (instanceRef channel_data_reg_24_19)) (portRef CK (instanceRef channel_data_reg_24_18)) (portRef CK (instanceRef channel_data_reg_24_17)) @@ -908025,50 +909097,66 @@ (portRef CK (instanceRef channel_data_reg_24_14)) (portRef CK (instanceRef channel_data_reg_24_13)) (portRef CK (instanceRef channel_data_reg_24_12)) - (portRef CK (instanceRef channel_data_reg_24_10)) - (portRef CK (instanceRef channel_data_reg_24_9)) - (portRef CK (instanceRef channel_data_reg_24_6)) + (portRef CK (instanceRef channel_data_reg_24_11)) (portRef CK (instanceRef channel_data_reg_24_4)) (portRef CK (instanceRef channel_data_reg_24_3)) + (portRef CK (instanceRef channel_data_reg_24_2)) (portRef CK (instanceRef channel_data_reg_24_1)) - (portRef CK (instanceRef channel_data_reg_25_31)) (portRef CK (instanceRef channel_data_reg_25_30)) (portRef CK (instanceRef channel_data_reg_25_29)) (portRef CK (instanceRef channel_data_reg_25_28)) (portRef CK (instanceRef channel_data_reg_25_27)) (portRef CK (instanceRef channel_data_reg_25_26)) (portRef CK (instanceRef channel_data_reg_25_25)) - (portRef CK (instanceRef channel_data_reg_26_28)) - (portRef CK (instanceRef channel_data_reg_2_22)) - (portRef CK (instanceRef channel_data_reg_24_5)) - (portRef CK (instanceRef channel_data_reg_24_2)) (portRef CK (instanceRef channel_data_reg_25_24)) (portRef CK (instanceRef channel_data_reg_25_23)) - (portRef CK (instanceRef channel_data_reg_25_22)) - (portRef CK (instanceRef channel_data_reg_2_21)) - (portRef CK (instanceRef channel_data_reg_25_17)) - (portRef CK (instanceRef channel_data_reg_25_16)) - (portRef CK (instanceRef channel_data_reg_2_15)) - (portRef CK (instanceRef channel_data_reg_24_0)) - (portRef CK (instanceRef channel_data_reg_25_20)) - (portRef CK (instanceRef channel_data_reg_2_20)) - (portRef CK (instanceRef channel_data_reg_24_11)) + (portRef CK (instanceRef channel_data_reg_2_23)) (portRef CK (instanceRef channel_data_reg_25_21)) + (portRef CK (instanceRef channel_data_reg_25_18)) + (portRef CK (instanceRef channel_data_reg_25_9)) + (portRef CK (instanceRef channel_data_reg_26_22)) (portRef CK (instanceRef channel_data_reg_2_19)) + (portRef CK (instanceRef channel_data_reg_24_5)) + (portRef CK (instanceRef channel_data_reg_25_22)) + (portRef CK (instanceRef channel_data_reg_25_14)) + (portRef CK (instanceRef channel_data_reg_25_13)) + (portRef CK (instanceRef channel_data_reg_26_20)) + (portRef CK (instanceRef channel_data_reg_2_18)) + (portRef CK (instanceRef channel_data_reg_25_7)) + (portRef CK (instanceRef channel_data_reg_26_28)) + (portRef CK (instanceRef channel_data_reg_2_22)) + (portRef CK (instanceRef channel_data_reg_21_0)) + (portRef CK (instanceRef channel_data_reg_22_2)) + (portRef CK (instanceRef channel_data_reg_23_19)) + (portRef CK (instanceRef channel_data_reg_23_17)) + (portRef CK (instanceRef channel_data_reg_25_31)) + (portRef CK (instanceRef channel_data_reg_25_6)) + (portRef CK (instanceRef channel_data_reg_26_26)) + (portRef CK (instanceRef channel_data_reg_26_23)) + (portRef CK (instanceRef channel_data_reg_2_21)) + (portRef CK (instanceRef channel_data_reg_21_9)) + (portRef CK (instanceRef channel_data_reg_23_20)) + (portRef CK (instanceRef channel_data_reg_23_15)) + (portRef CK (instanceRef channel_data_reg_25_15)) + (portRef CK (instanceRef channel_data_reg_26_17)) + (portRef CK (instanceRef channel_data_reg_28_29)) + (portRef CK (instanceRef channel_data_reg_2_17)) + (portRef CK (instanceRef channel_data_reg_21_6)) + (portRef CK (instanceRef channel_data_reg_21_1)) + (portRef CK (instanceRef channel_data_reg_24_10)) + (portRef CK (instanceRef channel_data_reg_24_9)) (portRef CK (instanceRef channel_data_reg_24_8)) (portRef CK (instanceRef channel_data_reg_24_7)) + (portRef CK (instanceRef channel_data_reg_24_6)) + (portRef CK (instanceRef channel_data_reg_24_0)) + (portRef CK (instanceRef channel_data_reg_25_20)) (portRef CK (instanceRef channel_data_reg_25_19)) - (portRef CK (instanceRef channel_data_reg_25_18)) - (portRef CK (instanceRef channel_data_reg_25_15)) - (portRef CK (instanceRef channel_data_reg_25_14)) - (portRef CK (instanceRef channel_data_reg_25_13)) + (portRef CK (instanceRef channel_data_reg_25_17)) + (portRef CK (instanceRef channel_data_reg_25_16)) (portRef CK (instanceRef channel_data_reg_25_12)) (portRef CK (instanceRef channel_data_reg_25_11)) (portRef CK (instanceRef channel_data_reg_25_10)) - (portRef CK (instanceRef channel_data_reg_25_9)) (portRef CK (instanceRef channel_data_reg_25_8)) - (portRef CK (instanceRef channel_data_reg_25_7)) - (portRef CK (instanceRef channel_data_reg_25_6)) (portRef CK (instanceRef channel_data_reg_25_5)) (portRef CK (instanceRef channel_data_reg_25_4)) (portRef CK (instanceRef channel_data_reg_25_3)) @@ -908079,16 +909167,11 @@ (portRef CK (instanceRef channel_data_reg_26_30)) (portRef CK (instanceRef channel_data_reg_26_29)) (portRef CK (instanceRef channel_data_reg_26_27)) - (portRef CK (instanceRef channel_data_reg_26_26)) (portRef CK (instanceRef channel_data_reg_26_25)) (portRef CK (instanceRef channel_data_reg_26_24)) - (portRef CK (instanceRef channel_data_reg_26_23)) - (portRef CK (instanceRef channel_data_reg_26_22)) (portRef CK (instanceRef channel_data_reg_26_21)) - (portRef CK (instanceRef channel_data_reg_26_20)) (portRef CK (instanceRef channel_data_reg_26_19)) (portRef CK (instanceRef channel_data_reg_26_18)) - (portRef CK (instanceRef channel_data_reg_26_17)) (portRef CK (instanceRef channel_data_reg_26_16)) (portRef CK (instanceRef channel_data_reg_26_15)) (portRef CK (instanceRef channel_data_reg_26_14)) @@ -908103,231 +909186,222 @@ (portRef CK (instanceRef channel_data_reg_26_5)) (portRef CK (instanceRef channel_data_reg_26_4)) (portRef CK (instanceRef channel_data_reg_26_3)) + (portRef CK (instanceRef channel_data_reg_26_2)) + (portRef CK (instanceRef channel_data_reg_26_1)) (portRef CK (instanceRef channel_data_reg_26_0)) (portRef CK (instanceRef channel_data_reg_27_31)) (portRef CK (instanceRef channel_data_reg_27_30)) (portRef CK (instanceRef channel_data_reg_27_29)) (portRef CK (instanceRef channel_data_reg_27_28)) (portRef CK (instanceRef channel_data_reg_27_27)) - (portRef CK (instanceRef channel_data_reg_27_25)) - (portRef CK (instanceRef channel_data_reg_27_22)) - (portRef CK (instanceRef channel_data_reg_27_18)) - (portRef CK (instanceRef channel_data_reg_2_17)) - (portRef CK (instanceRef channel_data_reg_26_2)) - (portRef CK (instanceRef channel_data_reg_26_1)) (portRef CK (instanceRef channel_data_reg_27_26)) + (portRef CK (instanceRef channel_data_reg_27_25)) (portRef CK (instanceRef channel_data_reg_27_24)) (portRef CK (instanceRef channel_data_reg_27_23)) + (portRef CK (instanceRef channel_data_reg_27_22)) (portRef CK (instanceRef channel_data_reg_27_21)) (portRef CK (instanceRef channel_data_reg_27_20)) (portRef CK (instanceRef channel_data_reg_27_19)) + (portRef CK (instanceRef channel_data_reg_27_18)) (portRef CK (instanceRef channel_data_reg_27_17)) (portRef CK (instanceRef channel_data_reg_27_16)) (portRef CK (instanceRef channel_data_reg_27_15)) (portRef CK (instanceRef channel_data_reg_27_14)) - (portRef CK (instanceRef channel_data_reg_27_10)) - (portRef CK (instanceRef channel_data_reg_27_8)) - (portRef CK (instanceRef channel_data_reg_27_5)) - (portRef CK (instanceRef channel_data_reg_27_4)) - (portRef CK (instanceRef channel_data_reg_28_31)) - (portRef CK (instanceRef channel_data_reg_28_30)) - (portRef CK (instanceRef channel_data_reg_28_28)) - (portRef CK (instanceRef channel_data_reg_2_27)) (portRef CK (instanceRef channel_data_reg_27_13)) (portRef CK (instanceRef channel_data_reg_27_12)) (portRef CK (instanceRef channel_data_reg_27_11)) + (portRef CK (instanceRef channel_data_reg_27_10)) (portRef CK (instanceRef channel_data_reg_27_9)) (portRef CK (instanceRef channel_data_reg_27_7)) (portRef CK (instanceRef channel_data_reg_27_6)) + (portRef CK (instanceRef channel_data_reg_27_5)) + (portRef CK (instanceRef channel_data_reg_27_4)) (portRef CK (instanceRef channel_data_reg_27_2)) - (portRef CK (instanceRef channel_data_reg_28_11)) - (portRef CK (instanceRef channel_data_reg_29_29)) - (portRef CK (instanceRef channel_data_reg_2_25)) (portRef CK (instanceRef channel_data_reg_27_1)) - (portRef CK (instanceRef channel_data_reg_28_29)) + (portRef CK (instanceRef channel_data_reg_27_0)) + (portRef CK (instanceRef channel_data_reg_28_31)) + (portRef CK (instanceRef channel_data_reg_28_30)) + (portRef CK (instanceRef channel_data_reg_28_28)) (portRef CK (instanceRef channel_data_reg_28_27)) + (portRef CK (instanceRef channel_data_reg_28_26)) + (portRef CK (instanceRef channel_data_reg_28_25)) (portRef CK (instanceRef channel_data_reg_28_24)) (portRef CK (instanceRef channel_data_reg_28_23)) (portRef CK (instanceRef channel_data_reg_28_22)) - (portRef CK (instanceRef channel_data_reg_2_18)) - (portRef CK (instanceRef channel_data_reg_21_11)) - (portRef CK (instanceRef channel_data_reg_21_1)) - (portRef CK (instanceRef channel_data_reg_27_3)) - (portRef CK (instanceRef channel_data_reg_28_26)) - (portRef CK (instanceRef channel_data_reg_28_25)) - (portRef CK (instanceRef channel_data_reg_28_21)) - (portRef CK (instanceRef channel_data_reg_28_20)) (portRef CK (instanceRef channel_data_reg_28_19)) (portRef CK (instanceRef channel_data_reg_28_18)) (portRef CK (instanceRef channel_data_reg_28_17)) - (portRef CK (instanceRef channel_data_reg_28_10)) - (portRef CK (instanceRef channel_data_reg_29_28)) - (portRef CK (instanceRef channel_data_reg_29_24)) + (portRef CK (instanceRef channel_data_reg_29_31)) + (portRef CK (instanceRef channel_data_reg_2_13)) + (portRef CK (instanceRef channel_data_reg_27_8)) + (portRef CK (instanceRef channel_data_reg_28_21)) + (portRef CK (instanceRef channel_data_reg_28_16)) (portRef CK (instanceRef channel_data_reg_2_16)) - (portRef CK (instanceRef channel_data_reg_28_15)) - (portRef CK (instanceRef channel_data_reg_2_14)) - (portRef CK (instanceRef channel_data_reg_21_10)) - (portRef CK (instanceRef channel_data_reg_21_9)) + (portRef CK (instanceRef channel_data_reg_27_3)) + (portRef CK (instanceRef channel_data_reg_28_20)) (portRef CK (instanceRef channel_data_reg_28_13)) + (portRef CK (instanceRef channel_data_reg_28_12)) + (portRef CK (instanceRef channel_data_reg_2_12)) + (portRef CK (instanceRef channel_data_reg_21_4)) + (portRef CK (instanceRef channel_data_reg_28_15)) + (portRef CK (instanceRef channel_data_reg_28_11)) + (portRef CK (instanceRef channel_data_reg_28_10)) (portRef CK (instanceRef channel_data_reg_28_9)) - (portRef CK (instanceRef channel_data_reg_28_3)) + (portRef CK (instanceRef channel_data_reg_28_5)) (portRef CK (instanceRef channel_data_reg_28_2)) - (portRef CK (instanceRef channel_data_reg_29_31)) + (portRef CK (instanceRef channel_data_reg_28_0)) (portRef CK (instanceRef channel_data_reg_29_30)) + (portRef CK (instanceRef channel_data_reg_29_28)) (portRef CK (instanceRef channel_data_reg_29_27)) - (portRef CK (instanceRef channel_data_reg_29_26)) + (portRef CK (instanceRef channel_data_reg_29_24)) (portRef CK (instanceRef channel_data_reg_29_18)) - (portRef CK (instanceRef channel_data_reg_29_16)) + (portRef CK (instanceRef channel_data_reg_2_15)) + (portRef CK (instanceRef channel_data_reg_28_1)) + (portRef CK (instanceRef channel_data_reg_29_29)) + (portRef CK (instanceRef channel_data_reg_29_26)) + (portRef CK (instanceRef channel_data_reg_29_17)) (portRef CK (instanceRef channel_data_reg_29_15)) - (portRef CK (instanceRef channel_data_reg_2_12)) - (portRef CK (instanceRef channel_data_reg_28_12)) + (portRef CK (instanceRef channel_data_reg_2_8)) + (portRef CK (instanceRef channel_data_reg_21_8)) (portRef CK (instanceRef channel_data_reg_29_25)) (portRef CK (instanceRef channel_data_reg_29_23)) - (portRef CK (instanceRef channel_data_reg_2_11)) - (portRef CK (instanceRef channel_data_reg_28_8)) - (portRef CK (instanceRef channel_data_reg_29_22)) + (portRef CK (instanceRef channel_data_reg_2_14)) + (portRef CK (instanceRef channel_data_reg_21_7)) + (portRef CK (instanceRef channel_data_reg_28_14)) + (portRef CK (instanceRef channel_data_reg_28_6)) (portRef CK (instanceRef channel_data_reg_29_21)) (portRef CK (instanceRef channel_data_reg_29_20)) (portRef CK (instanceRef channel_data_reg_29_19)) - (portRef CK (instanceRef channel_data_reg_2_13)) - (portRef CK (instanceRef channel_data_reg_2_10)) - (portRef CK (instanceRef channel_data_reg_2_8)) - (portRef CK (instanceRef channel_data_reg_21_8)) - (portRef CK (instanceRef channel_data_reg_29_17)) - (portRef CK (instanceRef channel_data_reg_2_7)) - (portRef CK (instanceRef channel_data_reg_21_7)) - (portRef CK (instanceRef channel_data_reg_21_6)) - (portRef CK (instanceRef channel_data_reg_28_7)) + (portRef CK (instanceRef channel_data_reg_29_16)) + (portRef CK (instanceRef channel_data_reg_2_11)) + (portRef CK (instanceRef channel_data_reg_28_3)) + (portRef CK (instanceRef channel_data_reg_29_22)) (portRef CK (instanceRef channel_data_reg_29_14)) (portRef CK (instanceRef channel_data_reg_29_13)) - (portRef CK (instanceRef channel_data_reg_2_9)) - (portRef CK (instanceRef channel_data_reg_2_5)) - (portRef CK (instanceRef channel_data_reg_21_5)) - (portRef CK (instanceRef channel_data_reg_21_4)) - (portRef CK (instanceRef channel_data_reg_21_3)) (portRef CK (instanceRef channel_data_reg_29_12)) - (portRef CK (instanceRef channel_data_reg_2_2)) - (portRef CK (instanceRef channel_data_reg_21_0)) - (portRef CK (instanceRef channel_data_reg_28_16)) - (portRef CK (instanceRef channel_data_reg_28_14)) - (portRef CK (instanceRef channel_data_reg_28_1)) (portRef CK (instanceRef channel_data_reg_29_11)) + (portRef CK (instanceRef channel_data_reg_2_10)) (portRef CK (instanceRef channel_data_reg_29_10)) + (portRef CK (instanceRef channel_data_reg_2_9)) + (portRef CK (instanceRef channel_data_reg_28_8)) + (portRef CK (instanceRef channel_data_reg_29_8)) + (portRef CK (instanceRef channel_data_reg_2_7)) (portRef CK (instanceRef channel_data_reg_2_6)) - (portRef CK (instanceRef channel_data_reg_28_5)) + (portRef CK (instanceRef channel_data_reg_21_5)) + (portRef CK (instanceRef channel_data_reg_28_7)) + (portRef CK (instanceRef channel_data_reg_28_4)) (portRef CK (instanceRef channel_data_reg_29_9)) - (portRef CK (instanceRef channel_data_reg_2_1)) - (portRef CK (instanceRef channel_data_reg_27_0)) - (portRef CK (instanceRef channel_data_reg_28_6)) - (portRef CK (instanceRef channel_data_reg_29_8)) - (portRef CK (instanceRef channel_data_reg_29_5)) + (portRef CK (instanceRef channel_data_reg_2_5)) + (portRef CK (instanceRef channel_data_reg_2_4)) (portRef CK (instanceRef channel_data_reg_2_3)) - (portRef CK (instanceRef channel_data_reg_28_0)) + (portRef CK (instanceRef channel_data_reg_21_3)) + (portRef CK (instanceRef channel_data_reg_21_2)) (portRef CK (instanceRef channel_data_reg_29_7)) (portRef CK (instanceRef channel_data_reg_29_6)) + (portRef CK (instanceRef channel_data_reg_29_5)) (portRef CK (instanceRef channel_data_reg_29_4)) - (portRef CK (instanceRef channel_data_reg_2_4)) - (portRef CK (instanceRef channel_data_reg_28_4)) (portRef CK (instanceRef channel_data_reg_29_3)) + (portRef CK (instanceRef channel_data_reg_2_2)) (portRef CK (instanceRef channel_data_reg_29_2)) (portRef CK (instanceRef channel_data_reg_29_1)) - (portRef CK (instanceRef channel_data_reg_2_0)) + (portRef CK (instanceRef channel_data_reg_2_1)) (portRef CK (instanceRef channel_data_reg_29_0)) + (portRef CK (instanceRef channel_data_reg_2_0)) (portRef CK (instanceRef channel_data_reg_3_31)) (portRef CK (instanceRef channel_data_reg_30_31)) - (portRef CK (instanceRef channel_data_reg_3_30)) (portRef CK (instanceRef channel_data_reg_30_30)) - (portRef CK (instanceRef channel_data_reg_30_29)) + (portRef CK (instanceRef channel_data_reg_3_30)) (portRef CK (instanceRef channel_data_reg_3_29)) + (portRef CK (instanceRef channel_data_reg_30_29)) (portRef CK (instanceRef channel_data_reg_3_28)) (portRef CK (instanceRef channel_data_reg_30_28)) - (portRef CK (instanceRef channel_data_reg_3_27)) (portRef CK (instanceRef channel_data_reg_30_27)) + (portRef CK (instanceRef channel_data_reg_3_27)) (portRef CK (instanceRef channel_data_reg_30_26)) (portRef CK (instanceRef channel_data_reg_30_25)) (portRef CK (instanceRef channel_data_reg_30_24)) (portRef CK (instanceRef channel_data_reg_30_23)) (portRef CK (instanceRef channel_data_reg_30_22)) (portRef CK (instanceRef channel_data_reg_30_21)) - (portRef CK (instanceRef channel_data_reg_30_19)) - (portRef CK (instanceRef channel_data_reg_31_30)) - (portRef CK (instanceRef channel_data_reg_3_19)) + (portRef CK (instanceRef channel_data_reg_3_21)) + (portRef CK (instanceRef channel_data_reg_30_20)) (portRef CK (instanceRef channel_data_reg_30_18)) - (portRef CK (instanceRef channel_data_reg_30_17)) - (portRef CK (instanceRef channel_data_reg_30_8)) - (portRef CK (instanceRef channel_data_reg_31_31)) - (portRef CK (instanceRef channel_data_reg_31_28)) - (portRef CK (instanceRef channel_data_reg_3_26)) - (portRef CK (instanceRef channel_data_reg_31_25)) - (portRef CK (instanceRef channel_data_reg_3_25)) - (portRef CK (instanceRef channel_data_reg_3_24)) + (portRef CK (instanceRef channel_data_reg_30_14)) + (portRef CK (instanceRef channel_data_reg_30_6)) + (portRef CK (instanceRef channel_data_reg_31_26)) (portRef CK (instanceRef channel_data_reg_3_23)) - (portRef CK (instanceRef channel_data_reg_3_22)) (portRef CK (instanceRef channel_data_reg_3_20)) - (portRef CK (instanceRef channel_data_reg_30_20)) + (portRef CK (instanceRef channel_data_reg_30_19)) + (portRef CK (instanceRef channel_data_reg_30_17)) (portRef CK (instanceRef channel_data_reg_30_16)) - (portRef CK (instanceRef channel_data_reg_30_10)) + (portRef CK (instanceRef channel_data_reg_30_15)) + (portRef CK (instanceRef channel_data_reg_30_13)) + (portRef CK (instanceRef channel_data_reg_31_18)) + (portRef CK (instanceRef channel_data_reg_3_13)) + (portRef CK (instanceRef channel_data_reg_30_11)) (portRef CK (instanceRef channel_data_reg_30_7)) + (portRef CK (instanceRef channel_data_reg_30_5)) + (portRef CK (instanceRef channel_data_reg_30_1)) + (portRef CK (instanceRef channel_data_reg_31_31)) + (portRef CK (instanceRef channel_data_reg_31_30)) (portRef CK (instanceRef channel_data_reg_31_29)) + (portRef CK (instanceRef channel_data_reg_31_25)) + (portRef CK (instanceRef channel_data_reg_3_25)) + (portRef CK (instanceRef channel_data_reg_31_24)) + (portRef CK (instanceRef channel_data_reg_31_19)) + (portRef CK (instanceRef channel_data_reg_3_17)) + (portRef CK (instanceRef channel_data_reg_30_9)) (portRef CK (instanceRef channel_data_reg_31_27)) - (portRef CK (instanceRef channel_data_reg_3_21)) - (portRef CK (instanceRef channel_data_reg_30_15)) - (portRef CK (instanceRef channel_data_reg_31_21)) - (portRef CK (instanceRef channel_data_reg_31_20)) - (portRef CK (instanceRef channel_data_reg_3_14)) - (portRef CK (instanceRef channel_data_reg_30_14)) - (portRef CK (instanceRef channel_data_reg_3_13)) - (portRef CK (instanceRef channel_data_reg_30_13)) + (portRef CK (instanceRef channel_data_reg_3_26)) + (portRef CK (instanceRef channel_data_reg_3_24)) + (portRef CK (instanceRef channel_data_reg_3_15)) (portRef CK (instanceRef channel_data_reg_30_12)) - (portRef CK (instanceRef channel_data_reg_3_9)) - (portRef CK (instanceRef channel_data_reg_30_6)) - (portRef CK (instanceRef channel_data_reg_30_4)) - (portRef CK (instanceRef channel_data_reg_31_23)) + (portRef CK (instanceRef channel_data_reg_30_8)) + (portRef CK (instanceRef channel_data_reg_30_0)) (portRef CK (instanceRef channel_data_reg_31_22)) - (portRef CK (instanceRef channel_data_reg_31_18)) + (portRef CK (instanceRef channel_data_reg_3_22)) (portRef CK (instanceRef channel_data_reg_3_18)) - (portRef CK (instanceRef channel_data_reg_3_17)) - (portRef CK (instanceRef channel_data_reg_30_9)) - (portRef CK (instanceRef channel_data_reg_30_5)) - (portRef CK (instanceRef channel_data_reg_31_19)) + (portRef CK (instanceRef channel_data_reg_30_4)) + (portRef CK (instanceRef channel_data_reg_31_28)) + (portRef CK (instanceRef channel_data_reg_31_23)) + (portRef CK (instanceRef channel_data_reg_31_21)) + (portRef CK (instanceRef channel_data_reg_31_20)) + (portRef CK (instanceRef channel_data_reg_3_19)) + (portRef CK (instanceRef channel_data_reg_31_17)) (portRef CK (instanceRef channel_data_reg_31_16)) (portRef CK (instanceRef channel_data_reg_3_16)) - (portRef CK (instanceRef channel_data_reg_31_15)) - (portRef CK (instanceRef channel_data_reg_3_15)) - (portRef CK (instanceRef channel_data_reg_3_12)) - (portRef CK (instanceRef channel_data_reg_30_3)) - (portRef CK (instanceRef channel_data_reg_31_24)) (portRef CK (instanceRef channel_data_reg_31_14)) - (portRef CK (instanceRef channel_data_reg_31_12)) - (portRef CK (instanceRef channel_data_reg_3_11)) - (portRef CK (instanceRef channel_data_reg_30_11)) - (portRef CK (instanceRef channel_data_reg_31_17)) - (portRef CK (instanceRef channel_data_reg_3_10)) - (portRef CK (instanceRef channel_data_reg_3_8)) - (portRef CK (instanceRef channel_data_reg_30_1)) + (portRef CK (instanceRef channel_data_reg_3_14)) + (portRef CK (instanceRef channel_data_reg_3_12)) + (portRef CK (instanceRef channel_data_reg_30_10)) + (portRef CK (instanceRef channel_data_reg_31_15)) (portRef CK (instanceRef channel_data_reg_31_13)) (portRef CK (instanceRef channel_data_reg_31_11)) - (portRef CK (instanceRef channel_data_reg_31_10)) + (portRef CK (instanceRef channel_data_reg_3_11)) + (portRef CK (instanceRef channel_data_reg_3_10)) (portRef CK (instanceRef channel_data_reg_31_9)) (portRef CK (instanceRef channel_data_reg_31_8)) + (portRef CK (instanceRef channel_data_reg_3_8)) (portRef CK (instanceRef channel_data_reg_3_7)) + (portRef CK (instanceRef channel_data_reg_3_4)) + (portRef CK (instanceRef channel_data_reg_30_3)) + (portRef CK (instanceRef channel_data_reg_31_12)) + (portRef CK (instanceRef channel_data_reg_31_10)) + (portRef CK (instanceRef channel_data_reg_3_9)) (portRef CK (instanceRef channel_data_reg_31_7)) (portRef CK (instanceRef channel_data_reg_31_6)) (portRef CK (instanceRef channel_data_reg_3_6)) - (portRef CK (instanceRef channel_data_reg_31_5)) (portRef CK (instanceRef channel_data_reg_3_5)) - (portRef CK (instanceRef channel_data_reg_31_4)) - (portRef CK (instanceRef channel_data_reg_3_4)) + (portRef CK (instanceRef channel_data_reg_31_3)) (portRef CK (instanceRef channel_data_reg_3_3)) + (portRef CK (instanceRef channel_data_reg_3_2)) (portRef CK (instanceRef channel_data_reg_30_2)) - (portRef CK (instanceRef channel_data_reg_31_26)) + (portRef CK (instanceRef channel_data_reg_31_5)) + (portRef CK (instanceRef channel_data_reg_31_4)) (portRef CK (instanceRef channel_data_reg_31_2)) + (portRef CK (instanceRef channel_data_reg_31_1)) (portRef CK (instanceRef channel_data_reg_3_1)) (portRef CK (instanceRef channel_data_reg_3_0)) - (portRef CK (instanceRef channel_data_reg_30_0)) - (portRef CK (instanceRef channel_data_reg_31_3)) - (portRef CK (instanceRef channel_data_reg_3_2)) - (portRef CK (instanceRef channel_data_reg_31_1)) (portRef CK (instanceRef channel_data_reg_31_0)) (portRef CK (instanceRef channel_data_reg_4_31)) (portRef CK (instanceRef channel_data_reg_4_30)) @@ -908622,28 +909696,29 @@ (portRef CK (instanceRef empty_channels_0)) (portRef CK (instanceRef fifo_nr_5)) (portRef CK (instanceRef fifo_nr_1_4)) - (portRef CK (instanceRef fifo_nr_1_3)) (portRef CK (instanceRef fifo_nr_1_2)) + (portRef CK (instanceRef fifo_nr_1_1)) + (portRef CK (instanceRef fifo_nr_1_0)) (portRef CK (instanceRef fifo_nr_2_4)) + (portRef CK (instanceRef fifo_nr_4)) + (portRef CK (instanceRef fifo_nr_1_3)) (portRef CK (instanceRef fifo_nr_2_3)) (portRef CK (instanceRef fifo_nr_3_4)) - (portRef CK (instanceRef fifo_nr_5_4)) - (portRef CK (instanceRef fifo_nr_next_4)) - (portRef CK (instanceRef fifo_nr_4)) + (portRef CK (instanceRef fifo_nr_3_3)) (portRef CK (instanceRef fifo_nr_4_4)) - (portRef CK (instanceRef fifo_nr_4_3)) + (portRef CK (instanceRef fifo_nr_5_4)) (portRef CK (instanceRef fifo_nr_6_4)) + (portRef CK (instanceRef fifo_nr_7_4)) (portRef CK (instanceRef fifo_nr_next_5)) + (portRef CK (instanceRef fifo_nr_next_4)) (portRef CK (instanceRef fifo_nr_next_3)) (portRef CK (instanceRef fifo_nr_3)) - (portRef CK (instanceRef fifo_nr_3_3)) - (portRef CK (instanceRef fifo_nr_7_4)) - (portRef CK (instanceRef fifo_nr_2)) (portRef CK (instanceRef fifo_nr_next_2)) + (portRef CK (instanceRef fifo_nr_2)) (portRef CK (instanceRef fifo_nr_1)) (portRef CK (instanceRef fifo_nr_next_1)) - (portRef CK (instanceRef fifo_nr_0)) (portRef CK (instanceRef fifo_nr_next_0)) + (portRef CK (instanceRef fifo_nr_0)) (portRef CK (instanceRef fsm_debug_reg_4)) (portRef CK (instanceRef fsm_debug_reg_3)) (portRef CK (instanceRef fsm_debug_reg_2)) @@ -908847,6 +909922,7 @@ (portRef CK (instanceRef spurious_trig_number_1)) (portRef CK (instanceRef spurious_trig_number_0)) (portRef CK (instanceRef start_trg_win_cnt_i)) + (portRef CK (instanceRef stop_status_i)) (portRef CK (instanceRef total_empty_channel_23)) (portRef CK (instanceRef total_empty_channel_22)) (portRef CK (instanceRef total_empty_channel_21)) @@ -908993,6 +910069,7 @@ (portRef CK (instanceRef wr_ch_data_i)) (portRef CK (instanceRef wr_ch_data_reg)) (portRef CK (instanceRef wr_header_i)) + (portRef CK (instanceRef wr_status_i)) (portRef CK (instanceRef wrong_readout_i)) (portRef CK (instanceRef wrong_readout_number_23)) (portRef CK (instanceRef wrong_readout_number_22)) @@ -909026,42 +910103,41 @@ )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_29_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_14_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_31_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_24_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_12_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_27_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_22_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_10_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_22_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_27_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_12_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_25_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_15_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_30_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_13_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_28_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_23_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_28_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_26_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_31_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_14_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_30_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_15_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_7_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_17_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_7_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_5_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_20_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_8_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_3_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_18_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_6_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_1_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_4_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_9_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_1_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_6_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_18_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_3_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_8_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_20_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member final_reset_iso 0) (instanceRef The_Reference_Time)) (portRef PD (instanceRef FSM_CURRENT_14)) (portRef CD (instanceRef FSM_CURRENT_11)) (portRef CD (instanceRef FSM_CURRENT_10)) - (portRef CD (instanceRef FSM_CURRENT_9)) (portRef CD (instanceRef FSM_CURRENT_7)) (portRef CD (instanceRef FSM_CURRENT_6)) (portRef CD (instanceRef FSM_CURRENT_4)) @@ -909125,141 +910201,137 @@ (portRef CD (instanceRef channel_data_reg_0_0)) (portRef CD (instanceRef channel_data_reg_1_31)) (portRef CD (instanceRef channel_data_reg_10_31)) - (portRef CD (instanceRef channel_data_reg_1_30)) (portRef CD (instanceRef channel_data_reg_10_30)) + (portRef CD (instanceRef channel_data_reg_1_30)) (portRef CD (instanceRef channel_data_reg_10_29)) (portRef CD (instanceRef channel_data_reg_1_29)) - (portRef CD (instanceRef channel_data_reg_1_28)) (portRef CD (instanceRef channel_data_reg_10_28)) - (portRef CD (instanceRef channel_data_reg_1_27)) (portRef CD (instanceRef channel_data_reg_10_27)) - (portRef CD (instanceRef channel_data_reg_1_26)) - (portRef CD (instanceRef channel_data_reg_10_26)) - (portRef CD (instanceRef channel_data_reg_10_25)) (portRef CD (instanceRef channel_data_reg_1_25)) + (portRef CD (instanceRef channel_data_reg_10_25)) + (portRef CD (instanceRef channel_data_reg_11_31)) + (portRef CD (instanceRef channel_data_reg_1_28)) + (portRef CD (instanceRef channel_data_reg_1_27)) + (portRef CD (instanceRef channel_data_reg_10_26)) + (portRef CD (instanceRef channel_data_reg_1_26)) (portRef CD (instanceRef channel_data_reg_10_24)) (portRef CD (instanceRef channel_data_reg_10_23)) (portRef CD (instanceRef channel_data_reg_10_22)) (portRef CD (instanceRef channel_data_reg_10_21)) - (portRef CD (instanceRef channel_data_reg_1_20)) - (portRef CD (instanceRef channel_data_reg_10_20)) (portRef CD (instanceRef channel_data_reg_10_19)) (portRef CD (instanceRef channel_data_reg_10_18)) (portRef CD (instanceRef channel_data_reg_10_17)) - (portRef CD (instanceRef channel_data_reg_10_15)) - (portRef CD (instanceRef channel_data_reg_11_28)) - (portRef CD (instanceRef channel_data_reg_1_24)) + (portRef CD (instanceRef channel_data_reg_11_30)) + (portRef CD (instanceRef channel_data_reg_11_27)) + (portRef CD (instanceRef channel_data_reg_1_22)) + (portRef CD (instanceRef channel_data_reg_1_21)) + (portRef CD (instanceRef channel_data_reg_10_20)) (portRef CD (instanceRef channel_data_reg_10_16)) - (portRef CD (instanceRef channel_data_reg_1_14)) + (portRef CD (instanceRef channel_data_reg_10_15)) (portRef CD (instanceRef channel_data_reg_10_14)) (portRef CD (instanceRef channel_data_reg_10_13)) + (portRef CD (instanceRef channel_data_reg_10_12)) + (portRef CD (instanceRef channel_data_reg_10_11)) + (portRef CD (instanceRef channel_data_reg_10_10)) (portRef CD (instanceRef channel_data_reg_10_9)) (portRef CD (instanceRef channel_data_reg_10_8)) + (portRef CD (instanceRef channel_data_reg_10_7)) (portRef CD (instanceRef channel_data_reg_10_6)) + (portRef CD (instanceRef channel_data_reg_10_5)) (portRef CD (instanceRef channel_data_reg_10_4)) - (portRef CD (instanceRef channel_data_reg_10_3)) - (portRef CD (instanceRef channel_data_reg_11_31)) - (portRef CD (instanceRef channel_data_reg_11_24)) - (portRef CD (instanceRef channel_data_reg_1_23)) - (portRef CD (instanceRef channel_data_reg_1_21)) - (portRef CD (instanceRef channel_data_reg_10_2)) (portRef CD (instanceRef channel_data_reg_10_0)) (portRef CD (instanceRef channel_data_reg_11_29)) - (portRef CD (instanceRef channel_data_reg_11_27)) + (portRef CD (instanceRef channel_data_reg_11_28)) + (portRef CD (instanceRef channel_data_reg_11_26)) (portRef CD (instanceRef channel_data_reg_11_25)) + (portRef CD (instanceRef channel_data_reg_11_24)) + (portRef CD (instanceRef channel_data_reg_11_23)) (portRef CD (instanceRef channel_data_reg_11_22)) (portRef CD (instanceRef channel_data_reg_11_21)) (portRef CD (instanceRef channel_data_reg_11_20)) (portRef CD (instanceRef channel_data_reg_1_19)) - (portRef CD (instanceRef channel_data_reg_1_13)) - (portRef CD (instanceRef channel_data_reg_10_10)) - (portRef CD (instanceRef channel_data_reg_10_5)) - (portRef CD (instanceRef channel_data_reg_10_1)) - (portRef CD (instanceRef channel_data_reg_11_30)) - (portRef CD (instanceRef channel_data_reg_11_26)) + (portRef CD (instanceRef channel_data_reg_11_19)) (portRef CD (instanceRef channel_data_reg_11_18)) + (portRef CD (instanceRef channel_data_reg_11_17)) + (portRef CD (instanceRef channel_data_reg_1_15)) + (portRef CD (instanceRef channel_data_reg_11_14)) + (portRef CD (instanceRef channel_data_reg_11_10)) + (portRef CD (instanceRef channel_data_reg_11_2)) + (portRef CD (instanceRef channel_data_reg_12_29)) + (portRef CD (instanceRef channel_data_reg_12_17)) + (portRef CD (instanceRef channel_data_reg_1_17)) + (portRef CD (instanceRef channel_data_reg_1_16)) + (portRef CD (instanceRef channel_data_reg_10_3)) (portRef CD (instanceRef channel_data_reg_11_16)) (portRef CD (instanceRef channel_data_reg_11_15)) - (portRef CD (instanceRef channel_data_reg_11_8)) - (portRef CD (instanceRef channel_data_reg_11_7)) - (portRef CD (instanceRef channel_data_reg_11_1)) - (portRef CD (instanceRef channel_data_reg_11_0)) - (portRef CD (instanceRef channel_data_reg_13_29)) - (portRef CD (instanceRef channel_data_reg_1_22)) - (portRef CD (instanceRef channel_data_reg_10_12)) - (portRef CD (instanceRef channel_data_reg_10_11)) - (portRef CD (instanceRef channel_data_reg_10_7)) - (portRef CD (instanceRef channel_data_reg_11_23)) - (portRef CD (instanceRef channel_data_reg_11_19)) (portRef CD (instanceRef channel_data_reg_11_13)) - (portRef CD (instanceRef channel_data_reg_11_12)) - (portRef CD (instanceRef channel_data_reg_11_11)) - (portRef CD (instanceRef channel_data_reg_12_29)) - (portRef CD (instanceRef channel_data_reg_12_21)) - (portRef CD (instanceRef channel_data_reg_1_18)) - (portRef CD (instanceRef channel_data_reg_11_17)) - (portRef CD (instanceRef channel_data_reg_11_14)) (portRef CD (instanceRef channel_data_reg_11_9)) + (portRef CD (instanceRef channel_data_reg_11_8)) + (portRef CD (instanceRef channel_data_reg_11_7)) + (portRef CD (instanceRef channel_data_reg_11_6)) (portRef CD (instanceRef channel_data_reg_11_5)) + (portRef CD (instanceRef channel_data_reg_11_4)) + (portRef CD (instanceRef channel_data_reg_11_3)) + (portRef CD (instanceRef channel_data_reg_11_1)) (portRef CD (instanceRef channel_data_reg_12_31)) - (portRef CD (instanceRef channel_data_reg_12_30)) - (portRef CD (instanceRef channel_data_reg_12_28)) - (portRef CD (instanceRef channel_data_reg_12_20)) - (portRef CD (instanceRef channel_data_reg_12_15)) - (portRef CD (instanceRef channel_data_reg_13_27)) - (portRef CD (instanceRef channel_data_reg_13_26)) - (portRef CD (instanceRef channel_data_reg_1_16)) - (portRef CD (instanceRef channel_data_reg_11_6)) (portRef CD (instanceRef channel_data_reg_12_27)) (portRef CD (instanceRef channel_data_reg_12_26)) (portRef CD (instanceRef channel_data_reg_12_25)) - (portRef CD (instanceRef channel_data_reg_1_12)) - (portRef CD (instanceRef channel_data_reg_11_10)) - (portRef CD (instanceRef channel_data_reg_11_4)) + (portRef CD (instanceRef channel_data_reg_12_24)) (portRef CD (instanceRef channel_data_reg_12_23)) (portRef CD (instanceRef channel_data_reg_12_22)) - (portRef CD (instanceRef channel_data_reg_12_17)) - (portRef CD (instanceRef channel_data_reg_12_2)) - (portRef CD (instanceRef channel_data_reg_13_31)) - (portRef CD (instanceRef channel_data_reg_13_24)) - (portRef CD (instanceRef channel_data_reg_1_17)) - (portRef CD (instanceRef channel_data_reg_11_3)) - (portRef CD (instanceRef channel_data_reg_11_2)) - (portRef CD (instanceRef channel_data_reg_12_24)) - (portRef CD (instanceRef channel_data_reg_12_19)) + (portRef CD (instanceRef channel_data_reg_13_28)) + (portRef CD (instanceRef channel_data_reg_1_24)) + (portRef CD (instanceRef channel_data_reg_1_23)) + (portRef CD (instanceRef channel_data_reg_1_20)) + (portRef CD (instanceRef channel_data_reg_11_12)) (portRef CD (instanceRef channel_data_reg_12_18)) + (portRef CD (instanceRef channel_data_reg_1_14)) + (portRef CD (instanceRef channel_data_reg_10_2)) + (portRef CD (instanceRef channel_data_reg_10_1)) + (portRef CD (instanceRef channel_data_reg_11_11)) + (portRef CD (instanceRef channel_data_reg_11_0)) + (portRef CD (instanceRef channel_data_reg_12_30)) + (portRef CD (instanceRef channel_data_reg_12_28)) + (portRef CD (instanceRef channel_data_reg_12_21)) + (portRef CD (instanceRef channel_data_reg_12_20)) + (portRef CD (instanceRef channel_data_reg_12_19)) (portRef CD (instanceRef channel_data_reg_12_16)) - (portRef CD (instanceRef channel_data_reg_13_23)) - (portRef CD (instanceRef channel_data_reg_1_15)) + (portRef CD (instanceRef channel_data_reg_12_15)) (portRef CD (instanceRef channel_data_reg_12_14)) (portRef CD (instanceRef channel_data_reg_12_13)) (portRef CD (instanceRef channel_data_reg_12_12)) + (portRef CD (instanceRef channel_data_reg_13_29)) + (portRef CD (instanceRef channel_data_reg_13_27)) + (portRef CD (instanceRef channel_data_reg_1_13)) (portRef CD (instanceRef channel_data_reg_12_11)) (portRef CD (instanceRef channel_data_reg_12_10)) (portRef CD (instanceRef channel_data_reg_12_9)) + (portRef CD (instanceRef channel_data_reg_12_8)) (portRef CD (instanceRef channel_data_reg_12_7)) (portRef CD (instanceRef channel_data_reg_12_6)) (portRef CD (instanceRef channel_data_reg_12_5)) (portRef CD (instanceRef channel_data_reg_12_4)) (portRef CD (instanceRef channel_data_reg_12_3)) + (portRef CD (instanceRef channel_data_reg_12_2)) (portRef CD (instanceRef channel_data_reg_12_1)) (portRef CD (instanceRef channel_data_reg_12_0)) + (portRef CD (instanceRef channel_data_reg_13_31)) (portRef CD (instanceRef channel_data_reg_13_30)) - (portRef CD (instanceRef channel_data_reg_13_28)) + (portRef CD (instanceRef channel_data_reg_13_26)) (portRef CD (instanceRef channel_data_reg_13_25)) + (portRef CD (instanceRef channel_data_reg_13_24)) + (portRef CD (instanceRef channel_data_reg_13_23)) (portRef CD (instanceRef channel_data_reg_13_22)) (portRef CD (instanceRef channel_data_reg_13_21)) (portRef CD (instanceRef channel_data_reg_13_20)) (portRef CD (instanceRef channel_data_reg_13_19)) + (portRef CD (instanceRef channel_data_reg_13_18)) (portRef CD (instanceRef channel_data_reg_13_17)) + (portRef CD (instanceRef channel_data_reg_13_16)) (portRef CD (instanceRef channel_data_reg_13_15)) (portRef CD (instanceRef channel_data_reg_13_14)) (portRef CD (instanceRef channel_data_reg_13_13)) - (portRef CD (instanceRef channel_data_reg_1_11)) - (portRef CD (instanceRef channel_data_reg_1_10)) - (portRef CD (instanceRef channel_data_reg_12_8)) - (portRef CD (instanceRef channel_data_reg_13_18)) - (portRef CD (instanceRef channel_data_reg_13_16)) (portRef CD (instanceRef channel_data_reg_13_12)) (portRef CD (instanceRef channel_data_reg_13_11)) (portRef CD (instanceRef channel_data_reg_13_10)) @@ -909270,27 +910342,25 @@ (portRef CD (instanceRef channel_data_reg_13_5)) (portRef CD (instanceRef channel_data_reg_13_4)) (portRef CD (instanceRef channel_data_reg_13_3)) + (portRef CD (instanceRef channel_data_reg_13_2)) + (portRef CD (instanceRef channel_data_reg_13_1)) + (portRef CD (instanceRef channel_data_reg_13_0)) (portRef CD (instanceRef channel_data_reg_14_31)) (portRef CD (instanceRef channel_data_reg_14_30)) + (portRef CD (instanceRef channel_data_reg_14_29)) (portRef CD (instanceRef channel_data_reg_14_28)) (portRef CD (instanceRef channel_data_reg_14_27)) - (portRef CD (instanceRef channel_data_reg_14_23)) - (portRef CD (instanceRef channel_data_reg_14_22)) - (portRef CD (instanceRef channel_data_reg_14_16)) - (portRef CD (instanceRef channel_data_reg_1_9)) - (portRef CD (instanceRef channel_data_reg_13_2)) - (portRef CD (instanceRef channel_data_reg_14_29)) (portRef CD (instanceRef channel_data_reg_14_26)) (portRef CD (instanceRef channel_data_reg_14_25)) (portRef CD (instanceRef channel_data_reg_14_24)) - (portRef CD (instanceRef channel_data_reg_14_20)) - (portRef CD (instanceRef channel_data_reg_1_7)) - (portRef CD (instanceRef channel_data_reg_13_1)) - (portRef CD (instanceRef channel_data_reg_13_0)) + (portRef CD (instanceRef channel_data_reg_14_23)) + (portRef CD (instanceRef channel_data_reg_14_22)) (portRef CD (instanceRef channel_data_reg_14_21)) + (portRef CD (instanceRef channel_data_reg_14_20)) (portRef CD (instanceRef channel_data_reg_14_19)) (portRef CD (instanceRef channel_data_reg_14_18)) (portRef CD (instanceRef channel_data_reg_14_17)) + (portRef CD (instanceRef channel_data_reg_14_16)) (portRef CD (instanceRef channel_data_reg_14_15)) (portRef CD (instanceRef channel_data_reg_14_14)) (portRef CD (instanceRef channel_data_reg_14_13)) @@ -909321,7 +910391,6 @@ (portRef CD (instanceRef channel_data_reg_15_20)) (portRef CD (instanceRef channel_data_reg_15_19)) (portRef CD (instanceRef channel_data_reg_15_18)) - (portRef CD (instanceRef channel_data_reg_15_17)) (portRef CD (instanceRef channel_data_reg_15_16)) (portRef CD (instanceRef channel_data_reg_15_15)) (portRef CD (instanceRef channel_data_reg_15_14)) @@ -909332,12 +910401,14 @@ (portRef CD (instanceRef channel_data_reg_15_9)) (portRef CD (instanceRef channel_data_reg_15_8)) (portRef CD (instanceRef channel_data_reg_15_7)) - (portRef CD (instanceRef channel_data_reg_15_6)) (portRef CD (instanceRef channel_data_reg_15_5)) - (portRef CD (instanceRef channel_data_reg_15_4)) - (portRef CD (instanceRef channel_data_reg_15_3)) (portRef CD (instanceRef channel_data_reg_15_2)) (portRef CD (instanceRef channel_data_reg_15_1)) + (portRef CD (instanceRef channel_data_reg_16_20)) + (portRef CD (instanceRef channel_data_reg_1_18)) + (portRef CD (instanceRef channel_data_reg_15_17)) + (portRef CD (instanceRef channel_data_reg_15_4)) + (portRef CD (instanceRef channel_data_reg_15_3)) (portRef CD (instanceRef channel_data_reg_15_0)) (portRef CD (instanceRef channel_data_reg_16_31)) (portRef CD (instanceRef channel_data_reg_16_30)) @@ -909350,7 +910421,6 @@ (portRef CD (instanceRef channel_data_reg_16_23)) (portRef CD (instanceRef channel_data_reg_16_22)) (portRef CD (instanceRef channel_data_reg_16_21)) - (portRef CD (instanceRef channel_data_reg_16_20)) (portRef CD (instanceRef channel_data_reg_16_19)) (portRef CD (instanceRef channel_data_reg_16_18)) (portRef CD (instanceRef channel_data_reg_16_17)) @@ -909364,11 +910434,8 @@ (portRef CD (instanceRef channel_data_reg_16_9)) (portRef CD (instanceRef channel_data_reg_16_8)) (portRef CD (instanceRef channel_data_reg_16_7)) - (portRef CD (instanceRef channel_data_reg_16_6)) - (portRef CD (instanceRef channel_data_reg_16_5)) (portRef CD (instanceRef channel_data_reg_16_4)) (portRef CD (instanceRef channel_data_reg_16_3)) - (portRef CD (instanceRef channel_data_reg_16_2)) (portRef CD (instanceRef channel_data_reg_16_1)) (portRef CD (instanceRef channel_data_reg_17_31)) (portRef CD (instanceRef channel_data_reg_17_30)) @@ -909383,7 +910450,6 @@ (portRef CD (instanceRef channel_data_reg_17_21)) (portRef CD (instanceRef channel_data_reg_17_20)) (portRef CD (instanceRef channel_data_reg_17_19)) - (portRef CD (instanceRef channel_data_reg_17_18)) (portRef CD (instanceRef channel_data_reg_17_17)) (portRef CD (instanceRef channel_data_reg_17_16)) (portRef CD (instanceRef channel_data_reg_17_15)) @@ -909391,6 +910457,16 @@ (portRef CD (instanceRef channel_data_reg_17_13)) (portRef CD (instanceRef channel_data_reg_17_12)) (portRef CD (instanceRef channel_data_reg_17_11)) + (portRef CD (instanceRef channel_data_reg_18_14)) + (portRef CD (instanceRef channel_data_reg_19_21)) + (portRef CD (instanceRef channel_data_reg_1_11)) + (portRef CD (instanceRef channel_data_reg_1_10)) + (portRef CD (instanceRef channel_data_reg_1_9)) + (portRef CD (instanceRef channel_data_reg_16_6)) + (portRef CD (instanceRef channel_data_reg_16_5)) + (portRef CD (instanceRef channel_data_reg_16_2)) + (portRef CD (instanceRef channel_data_reg_16_0)) + (portRef CD (instanceRef channel_data_reg_17_18)) (portRef CD (instanceRef channel_data_reg_17_10)) (portRef CD (instanceRef channel_data_reg_17_9)) (portRef CD (instanceRef channel_data_reg_17_8)) @@ -909418,9 +910494,10 @@ (portRef CD (instanceRef channel_data_reg_18_18)) (portRef CD (instanceRef channel_data_reg_18_17)) (portRef CD (instanceRef channel_data_reg_18_16)) - (portRef CD (instanceRef channel_data_reg_18_15)) - (portRef CD (instanceRef channel_data_reg_18_14)) (portRef CD (instanceRef channel_data_reg_18_13)) + (portRef CD (instanceRef channel_data_reg_1_12)) + (portRef CD (instanceRef channel_data_reg_15_6)) + (portRef CD (instanceRef channel_data_reg_18_15)) (portRef CD (instanceRef channel_data_reg_18_12)) (portRef CD (instanceRef channel_data_reg_18_11)) (portRef CD (instanceRef channel_data_reg_18_10)) @@ -909444,7 +910521,6 @@ (portRef CD (instanceRef channel_data_reg_19_24)) (portRef CD (instanceRef channel_data_reg_19_23)) (portRef CD (instanceRef channel_data_reg_19_22)) - (portRef CD (instanceRef channel_data_reg_19_21)) (portRef CD (instanceRef channel_data_reg_19_20)) (portRef CD (instanceRef channel_data_reg_19_19)) (portRef CD (instanceRef channel_data_reg_19_18)) @@ -909457,32 +910533,36 @@ (portRef CD (instanceRef channel_data_reg_19_11)) (portRef CD (instanceRef channel_data_reg_19_10)) (portRef CD (instanceRef channel_data_reg_19_9)) - (portRef CD (instanceRef channel_data_reg_1_8)) (portRef CD (instanceRef channel_data_reg_19_8)) + (portRef CD (instanceRef channel_data_reg_1_8)) + (portRef CD (instanceRef channel_data_reg_1_7)) (portRef CD (instanceRef channel_data_reg_19_7)) - (portRef CD (instanceRef channel_data_reg_19_6)) (portRef CD (instanceRef channel_data_reg_1_6)) - (portRef CD (instanceRef channel_data_reg_19_5)) + (portRef CD (instanceRef channel_data_reg_19_6)) (portRef CD (instanceRef channel_data_reg_1_5)) + (portRef CD (instanceRef channel_data_reg_19_5)) (portRef CD (instanceRef channel_data_reg_19_4)) (portRef CD (instanceRef channel_data_reg_1_4)) - (portRef CD (instanceRef channel_data_reg_1_3)) (portRef CD (instanceRef channel_data_reg_19_3)) + (portRef CD (instanceRef channel_data_reg_1_3)) + (portRef CD (instanceRef channel_data_reg_19_2)) (portRef CD (instanceRef channel_data_reg_1_2)) (portRef CD (instanceRef channel_data_reg_19_1)) (portRef CD (instanceRef channel_data_reg_1_1)) - (portRef CD (instanceRef channel_data_reg_16_0)) - (portRef CD (instanceRef channel_data_reg_19_2)) (portRef CD (instanceRef channel_data_reg_19_0)) (portRef CD (instanceRef channel_data_reg_1_0)) (portRef CD (instanceRef channel_data_reg_20_31)) + (portRef CD (instanceRef channel_data_reg_20_30)) + (portRef CD (instanceRef channel_data_reg_21_31)) (portRef CD (instanceRef channel_data_reg_2_31)) (portRef CD (instanceRef channel_data_reg_2_30)) - (portRef CD (instanceRef channel_data_reg_20_30)) - (portRef CD (instanceRef channel_data_reg_2_29)) (portRef CD (instanceRef channel_data_reg_20_29)) + (portRef CD (instanceRef channel_data_reg_2_29)) (portRef CD (instanceRef channel_data_reg_20_28)) + (portRef CD (instanceRef channel_data_reg_2_28)) + (portRef CD (instanceRef channel_data_reg_2_27)) (portRef CD (instanceRef channel_data_reg_20_27)) + (portRef CD (instanceRef channel_data_reg_2_26)) (portRef CD (instanceRef channel_data_reg_20_26)) (portRef CD (instanceRef channel_data_reg_20_25)) (portRef CD (instanceRef channel_data_reg_20_24)) @@ -909500,45 +910580,43 @@ (portRef CD (instanceRef channel_data_reg_20_12)) (portRef CD (instanceRef channel_data_reg_20_11)) (portRef CD (instanceRef channel_data_reg_20_10)) - (portRef CD (instanceRef channel_data_reg_20_9)) (portRef CD (instanceRef channel_data_reg_20_8)) + (portRef CD (instanceRef channel_data_reg_20_3)) + (portRef CD (instanceRef channel_data_reg_21_30)) + (portRef CD (instanceRef channel_data_reg_21_25)) + (portRef CD (instanceRef channel_data_reg_2_25)) + (portRef CD (instanceRef channel_data_reg_20_9)) (portRef CD (instanceRef channel_data_reg_20_7)) (portRef CD (instanceRef channel_data_reg_20_6)) (portRef CD (instanceRef channel_data_reg_20_5)) (portRef CD (instanceRef channel_data_reg_20_4)) - (portRef CD (instanceRef channel_data_reg_20_3)) (portRef CD (instanceRef channel_data_reg_20_2)) (portRef CD (instanceRef channel_data_reg_20_1)) (portRef CD (instanceRef channel_data_reg_20_0)) - (portRef CD (instanceRef channel_data_reg_21_31)) - (portRef CD (instanceRef channel_data_reg_21_30)) (portRef CD (instanceRef channel_data_reg_21_29)) (portRef CD (instanceRef channel_data_reg_21_28)) (portRef CD (instanceRef channel_data_reg_21_27)) (portRef CD (instanceRef channel_data_reg_21_26)) - (portRef CD (instanceRef channel_data_reg_21_25)) - (portRef CD (instanceRef channel_data_reg_21_22)) - (portRef CD (instanceRef channel_data_reg_21_20)) - (portRef CD (instanceRef channel_data_reg_21_18)) - (portRef CD (instanceRef channel_data_reg_22_31)) - (portRef CD (instanceRef channel_data_reg_2_26)) (portRef CD (instanceRef channel_data_reg_21_24)) (portRef CD (instanceRef channel_data_reg_21_23)) + (portRef CD (instanceRef channel_data_reg_21_22)) (portRef CD (instanceRef channel_data_reg_21_21)) + (portRef CD (instanceRef channel_data_reg_21_20)) + (portRef CD (instanceRef channel_data_reg_21_19)) + (portRef CD (instanceRef channel_data_reg_21_17)) (portRef CD (instanceRef channel_data_reg_21_16)) (portRef CD (instanceRef channel_data_reg_21_15)) - (portRef CD (instanceRef channel_data_reg_21_14)) (portRef CD (instanceRef channel_data_reg_21_13)) + (portRef CD (instanceRef channel_data_reg_21_12)) + (portRef CD (instanceRef channel_data_reg_21_11)) + (portRef CD (instanceRef channel_data_reg_21_10)) + (portRef CD (instanceRef channel_data_reg_22_31)) (portRef CD (instanceRef channel_data_reg_22_30)) (portRef CD (instanceRef channel_data_reg_22_29)) (portRef CD (instanceRef channel_data_reg_22_28)) - (portRef CD (instanceRef channel_data_reg_22_25)) - (portRef CD (instanceRef channel_data_reg_2_23)) - (portRef CD (instanceRef channel_data_reg_21_19)) - (portRef CD (instanceRef channel_data_reg_21_17)) - (portRef CD (instanceRef channel_data_reg_21_12)) (portRef CD (instanceRef channel_data_reg_22_27)) (portRef CD (instanceRef channel_data_reg_22_26)) + (portRef CD (instanceRef channel_data_reg_22_25)) (portRef CD (instanceRef channel_data_reg_22_24)) (portRef CD (instanceRef channel_data_reg_22_23)) (portRef CD (instanceRef channel_data_reg_22_22)) @@ -909557,33 +910635,30 @@ (portRef CD (instanceRef channel_data_reg_22_9)) (portRef CD (instanceRef channel_data_reg_22_8)) (portRef CD (instanceRef channel_data_reg_22_7)) + (portRef CD (instanceRef channel_data_reg_22_6)) (portRef CD (instanceRef channel_data_reg_22_5)) (portRef CD (instanceRef channel_data_reg_22_4)) - (portRef CD (instanceRef channel_data_reg_22_2)) - (portRef CD (instanceRef channel_data_reg_23_31)) - (portRef CD (instanceRef channel_data_reg_23_30)) - (portRef CD (instanceRef channel_data_reg_2_28)) - (portRef CD (instanceRef channel_data_reg_22_6)) (portRef CD (instanceRef channel_data_reg_22_3)) (portRef CD (instanceRef channel_data_reg_22_1)) (portRef CD (instanceRef channel_data_reg_22_0)) + (portRef CD (instanceRef channel_data_reg_23_31)) + (portRef CD (instanceRef channel_data_reg_23_30)) (portRef CD (instanceRef channel_data_reg_23_29)) (portRef CD (instanceRef channel_data_reg_23_28)) (portRef CD (instanceRef channel_data_reg_23_27)) - (portRef CD (instanceRef channel_data_reg_23_26)) - (portRef CD (instanceRef channel_data_reg_23_25)) (portRef CD (instanceRef channel_data_reg_23_24)) - (portRef CD (instanceRef channel_data_reg_2_24)) - (portRef CD (instanceRef channel_data_reg_21_2)) (portRef CD (instanceRef channel_data_reg_23_23)) - (portRef CD (instanceRef channel_data_reg_23_22)) (portRef CD (instanceRef channel_data_reg_23_21)) - (portRef CD (instanceRef channel_data_reg_23_20)) - (portRef CD (instanceRef channel_data_reg_23_19)) - (portRef CD (instanceRef channel_data_reg_23_18)) - (portRef CD (instanceRef channel_data_reg_23_17)) (portRef CD (instanceRef channel_data_reg_23_16)) - (portRef CD (instanceRef channel_data_reg_23_15)) + (portRef CD (instanceRef channel_data_reg_23_1)) + (portRef CD (instanceRef channel_data_reg_24_30)) + (portRef CD (instanceRef channel_data_reg_2_24)) + (portRef CD (instanceRef channel_data_reg_21_18)) + (portRef CD (instanceRef channel_data_reg_21_14)) + (portRef CD (instanceRef channel_data_reg_23_26)) + (portRef CD (instanceRef channel_data_reg_23_25)) + (portRef CD (instanceRef channel_data_reg_23_22)) + (portRef CD (instanceRef channel_data_reg_23_18)) (portRef CD (instanceRef channel_data_reg_23_14)) (portRef CD (instanceRef channel_data_reg_23_13)) (portRef CD (instanceRef channel_data_reg_23_12)) @@ -909597,10 +910672,8 @@ (portRef CD (instanceRef channel_data_reg_23_4)) (portRef CD (instanceRef channel_data_reg_23_3)) (portRef CD (instanceRef channel_data_reg_23_2)) - (portRef CD (instanceRef channel_data_reg_23_1)) (portRef CD (instanceRef channel_data_reg_23_0)) (portRef CD (instanceRef channel_data_reg_24_31)) - (portRef CD (instanceRef channel_data_reg_24_30)) (portRef CD (instanceRef channel_data_reg_24_29)) (portRef CD (instanceRef channel_data_reg_24_28)) (portRef CD (instanceRef channel_data_reg_24_27)) @@ -909611,6 +910684,7 @@ (portRef CD (instanceRef channel_data_reg_24_22)) (portRef CD (instanceRef channel_data_reg_24_21)) (portRef CD (instanceRef channel_data_reg_24_20)) + (portRef CD (instanceRef channel_data_reg_2_20)) (portRef CD (instanceRef channel_data_reg_24_19)) (portRef CD (instanceRef channel_data_reg_24_18)) (portRef CD (instanceRef channel_data_reg_24_17)) @@ -909619,50 +910693,66 @@ (portRef CD (instanceRef channel_data_reg_24_14)) (portRef CD (instanceRef channel_data_reg_24_13)) (portRef CD (instanceRef channel_data_reg_24_12)) - (portRef CD (instanceRef channel_data_reg_24_10)) - (portRef CD (instanceRef channel_data_reg_24_9)) - (portRef CD (instanceRef channel_data_reg_24_6)) + (portRef CD (instanceRef channel_data_reg_24_11)) (portRef CD (instanceRef channel_data_reg_24_4)) (portRef CD (instanceRef channel_data_reg_24_3)) + (portRef CD (instanceRef channel_data_reg_24_2)) (portRef CD (instanceRef channel_data_reg_24_1)) - (portRef CD (instanceRef channel_data_reg_25_31)) (portRef CD (instanceRef channel_data_reg_25_30)) (portRef CD (instanceRef channel_data_reg_25_29)) (portRef CD (instanceRef channel_data_reg_25_28)) (portRef CD (instanceRef channel_data_reg_25_27)) (portRef CD (instanceRef channel_data_reg_25_26)) (portRef CD (instanceRef channel_data_reg_25_25)) - (portRef CD (instanceRef channel_data_reg_26_28)) - (portRef CD (instanceRef channel_data_reg_2_22)) - (portRef CD (instanceRef channel_data_reg_24_5)) - (portRef CD (instanceRef channel_data_reg_24_2)) (portRef CD (instanceRef channel_data_reg_25_24)) (portRef CD (instanceRef channel_data_reg_25_23)) - (portRef CD (instanceRef channel_data_reg_25_22)) - (portRef CD (instanceRef channel_data_reg_2_21)) - (portRef CD (instanceRef channel_data_reg_25_17)) - (portRef CD (instanceRef channel_data_reg_25_16)) - (portRef CD (instanceRef channel_data_reg_2_15)) - (portRef CD (instanceRef channel_data_reg_24_0)) - (portRef CD (instanceRef channel_data_reg_25_20)) - (portRef CD (instanceRef channel_data_reg_2_20)) - (portRef CD (instanceRef channel_data_reg_24_11)) + (portRef CD (instanceRef channel_data_reg_2_23)) (portRef CD (instanceRef channel_data_reg_25_21)) + (portRef CD (instanceRef channel_data_reg_25_18)) + (portRef CD (instanceRef channel_data_reg_25_9)) + (portRef CD (instanceRef channel_data_reg_26_22)) (portRef CD (instanceRef channel_data_reg_2_19)) + (portRef CD (instanceRef channel_data_reg_24_5)) + (portRef CD (instanceRef channel_data_reg_25_22)) + (portRef CD (instanceRef channel_data_reg_25_14)) + (portRef CD (instanceRef channel_data_reg_25_13)) + (portRef CD (instanceRef channel_data_reg_26_20)) + (portRef CD (instanceRef channel_data_reg_2_18)) + (portRef CD (instanceRef channel_data_reg_25_7)) + (portRef CD (instanceRef channel_data_reg_26_28)) + (portRef CD (instanceRef channel_data_reg_2_22)) + (portRef CD (instanceRef channel_data_reg_21_0)) + (portRef CD (instanceRef channel_data_reg_22_2)) + (portRef CD (instanceRef channel_data_reg_23_19)) + (portRef CD (instanceRef channel_data_reg_23_17)) + (portRef CD (instanceRef channel_data_reg_25_31)) + (portRef CD (instanceRef channel_data_reg_25_6)) + (portRef CD (instanceRef channel_data_reg_26_26)) + (portRef CD (instanceRef channel_data_reg_26_23)) + (portRef CD (instanceRef channel_data_reg_2_21)) + (portRef CD (instanceRef channel_data_reg_21_9)) + (portRef CD (instanceRef channel_data_reg_23_20)) + (portRef CD (instanceRef channel_data_reg_23_15)) + (portRef CD (instanceRef channel_data_reg_25_15)) + (portRef CD (instanceRef channel_data_reg_26_17)) + (portRef CD (instanceRef channel_data_reg_28_29)) + (portRef CD (instanceRef channel_data_reg_2_17)) + (portRef CD (instanceRef channel_data_reg_21_6)) + (portRef CD (instanceRef channel_data_reg_21_1)) + (portRef CD (instanceRef channel_data_reg_24_10)) + (portRef CD (instanceRef channel_data_reg_24_9)) (portRef CD (instanceRef channel_data_reg_24_8)) (portRef CD (instanceRef channel_data_reg_24_7)) + (portRef CD (instanceRef channel_data_reg_24_6)) + (portRef CD (instanceRef channel_data_reg_24_0)) + (portRef CD (instanceRef channel_data_reg_25_20)) (portRef CD (instanceRef channel_data_reg_25_19)) - (portRef CD (instanceRef channel_data_reg_25_18)) - (portRef CD (instanceRef channel_data_reg_25_15)) - (portRef CD (instanceRef channel_data_reg_25_14)) - (portRef CD (instanceRef channel_data_reg_25_13)) + (portRef CD (instanceRef channel_data_reg_25_17)) + (portRef CD (instanceRef channel_data_reg_25_16)) (portRef CD (instanceRef channel_data_reg_25_12)) (portRef CD (instanceRef channel_data_reg_25_11)) (portRef CD (instanceRef channel_data_reg_25_10)) - (portRef CD (instanceRef channel_data_reg_25_9)) (portRef CD (instanceRef channel_data_reg_25_8)) - (portRef CD (instanceRef channel_data_reg_25_7)) - (portRef CD (instanceRef channel_data_reg_25_6)) (portRef CD (instanceRef channel_data_reg_25_5)) (portRef CD (instanceRef channel_data_reg_25_4)) (portRef CD (instanceRef channel_data_reg_25_3)) @@ -909673,16 +910763,11 @@ (portRef CD (instanceRef channel_data_reg_26_30)) (portRef CD (instanceRef channel_data_reg_26_29)) (portRef CD (instanceRef channel_data_reg_26_27)) - (portRef CD (instanceRef channel_data_reg_26_26)) (portRef CD (instanceRef channel_data_reg_26_25)) (portRef CD (instanceRef channel_data_reg_26_24)) - (portRef CD (instanceRef channel_data_reg_26_23)) - (portRef CD (instanceRef channel_data_reg_26_22)) (portRef CD (instanceRef channel_data_reg_26_21)) - (portRef CD (instanceRef channel_data_reg_26_20)) (portRef CD (instanceRef channel_data_reg_26_19)) (portRef CD (instanceRef channel_data_reg_26_18)) - (portRef CD (instanceRef channel_data_reg_26_17)) (portRef CD (instanceRef channel_data_reg_26_16)) (portRef CD (instanceRef channel_data_reg_26_15)) (portRef CD (instanceRef channel_data_reg_26_14)) @@ -909697,231 +910782,222 @@ (portRef CD (instanceRef channel_data_reg_26_5)) (portRef CD (instanceRef channel_data_reg_26_4)) (portRef CD (instanceRef channel_data_reg_26_3)) + (portRef CD (instanceRef channel_data_reg_26_2)) + (portRef CD (instanceRef channel_data_reg_26_1)) (portRef CD (instanceRef channel_data_reg_26_0)) (portRef CD (instanceRef channel_data_reg_27_31)) (portRef CD (instanceRef channel_data_reg_27_30)) (portRef CD (instanceRef channel_data_reg_27_29)) (portRef CD (instanceRef channel_data_reg_27_28)) (portRef CD (instanceRef channel_data_reg_27_27)) - (portRef CD (instanceRef channel_data_reg_27_25)) - (portRef CD (instanceRef channel_data_reg_27_22)) - (portRef CD (instanceRef channel_data_reg_27_18)) - (portRef CD (instanceRef channel_data_reg_2_17)) - (portRef CD (instanceRef channel_data_reg_26_2)) - (portRef CD (instanceRef channel_data_reg_26_1)) (portRef CD (instanceRef channel_data_reg_27_26)) + (portRef CD (instanceRef channel_data_reg_27_25)) (portRef CD (instanceRef channel_data_reg_27_24)) (portRef CD (instanceRef channel_data_reg_27_23)) + (portRef CD (instanceRef channel_data_reg_27_22)) (portRef CD (instanceRef channel_data_reg_27_21)) (portRef CD (instanceRef channel_data_reg_27_20)) (portRef CD (instanceRef channel_data_reg_27_19)) + (portRef CD (instanceRef channel_data_reg_27_18)) (portRef CD (instanceRef channel_data_reg_27_17)) (portRef CD (instanceRef channel_data_reg_27_16)) (portRef CD (instanceRef channel_data_reg_27_15)) (portRef CD (instanceRef channel_data_reg_27_14)) - (portRef CD (instanceRef channel_data_reg_27_10)) - (portRef CD (instanceRef channel_data_reg_27_8)) - (portRef CD (instanceRef channel_data_reg_27_5)) - (portRef CD (instanceRef channel_data_reg_27_4)) - (portRef CD (instanceRef channel_data_reg_28_31)) - (portRef CD (instanceRef channel_data_reg_28_30)) - (portRef CD (instanceRef channel_data_reg_28_28)) - (portRef CD (instanceRef channel_data_reg_2_27)) (portRef CD (instanceRef channel_data_reg_27_13)) (portRef CD (instanceRef channel_data_reg_27_12)) (portRef CD (instanceRef channel_data_reg_27_11)) + (portRef CD (instanceRef channel_data_reg_27_10)) (portRef CD (instanceRef channel_data_reg_27_9)) (portRef CD (instanceRef channel_data_reg_27_7)) (portRef CD (instanceRef channel_data_reg_27_6)) + (portRef CD (instanceRef channel_data_reg_27_5)) + (portRef CD (instanceRef channel_data_reg_27_4)) (portRef CD (instanceRef channel_data_reg_27_2)) - (portRef CD (instanceRef channel_data_reg_28_11)) - (portRef CD (instanceRef channel_data_reg_29_29)) - (portRef CD (instanceRef channel_data_reg_2_25)) (portRef CD (instanceRef channel_data_reg_27_1)) - (portRef CD (instanceRef channel_data_reg_28_29)) + (portRef CD (instanceRef channel_data_reg_27_0)) + (portRef CD (instanceRef channel_data_reg_28_31)) + (portRef CD (instanceRef channel_data_reg_28_30)) + (portRef CD (instanceRef channel_data_reg_28_28)) (portRef CD (instanceRef channel_data_reg_28_27)) + (portRef CD (instanceRef channel_data_reg_28_26)) + (portRef CD (instanceRef channel_data_reg_28_25)) (portRef CD (instanceRef channel_data_reg_28_24)) (portRef CD (instanceRef channel_data_reg_28_23)) (portRef CD (instanceRef channel_data_reg_28_22)) - (portRef CD (instanceRef channel_data_reg_2_18)) - (portRef CD (instanceRef channel_data_reg_21_11)) - (portRef CD (instanceRef channel_data_reg_21_1)) - (portRef CD (instanceRef channel_data_reg_27_3)) - (portRef CD (instanceRef channel_data_reg_28_26)) - (portRef CD (instanceRef channel_data_reg_28_25)) - (portRef CD (instanceRef channel_data_reg_28_21)) - (portRef CD (instanceRef channel_data_reg_28_20)) (portRef CD (instanceRef channel_data_reg_28_19)) (portRef CD (instanceRef channel_data_reg_28_18)) (portRef CD (instanceRef channel_data_reg_28_17)) - (portRef CD (instanceRef channel_data_reg_28_10)) - (portRef CD (instanceRef channel_data_reg_29_28)) - (portRef CD (instanceRef channel_data_reg_29_24)) + (portRef CD (instanceRef channel_data_reg_29_31)) + (portRef CD (instanceRef channel_data_reg_2_13)) + (portRef CD (instanceRef channel_data_reg_27_8)) + (portRef CD (instanceRef channel_data_reg_28_21)) + (portRef CD (instanceRef channel_data_reg_28_16)) (portRef CD (instanceRef channel_data_reg_2_16)) - (portRef CD (instanceRef channel_data_reg_28_15)) - (portRef CD (instanceRef channel_data_reg_2_14)) - (portRef CD (instanceRef channel_data_reg_21_10)) - (portRef CD (instanceRef channel_data_reg_21_9)) + (portRef CD (instanceRef channel_data_reg_27_3)) + (portRef CD (instanceRef channel_data_reg_28_20)) (portRef CD (instanceRef channel_data_reg_28_13)) + (portRef CD (instanceRef channel_data_reg_28_12)) + (portRef CD (instanceRef channel_data_reg_2_12)) + (portRef CD (instanceRef channel_data_reg_21_4)) + (portRef CD (instanceRef channel_data_reg_28_15)) + (portRef CD (instanceRef channel_data_reg_28_11)) + (portRef CD (instanceRef channel_data_reg_28_10)) (portRef CD (instanceRef channel_data_reg_28_9)) - (portRef CD (instanceRef channel_data_reg_28_3)) + (portRef CD (instanceRef channel_data_reg_28_5)) (portRef CD (instanceRef channel_data_reg_28_2)) - (portRef CD (instanceRef channel_data_reg_29_31)) + (portRef CD (instanceRef channel_data_reg_28_0)) (portRef CD (instanceRef channel_data_reg_29_30)) + (portRef CD (instanceRef channel_data_reg_29_28)) (portRef CD (instanceRef channel_data_reg_29_27)) - (portRef CD (instanceRef channel_data_reg_29_26)) + (portRef CD (instanceRef channel_data_reg_29_24)) (portRef CD (instanceRef channel_data_reg_29_18)) - (portRef CD (instanceRef channel_data_reg_29_16)) + (portRef CD (instanceRef channel_data_reg_2_15)) + (portRef CD (instanceRef channel_data_reg_28_1)) + (portRef CD (instanceRef channel_data_reg_29_29)) + (portRef CD (instanceRef channel_data_reg_29_26)) + (portRef CD (instanceRef channel_data_reg_29_17)) (portRef CD (instanceRef channel_data_reg_29_15)) - (portRef CD (instanceRef channel_data_reg_2_12)) - (portRef CD (instanceRef channel_data_reg_28_12)) + (portRef CD (instanceRef channel_data_reg_2_8)) + (portRef CD (instanceRef channel_data_reg_21_8)) (portRef CD (instanceRef channel_data_reg_29_25)) (portRef CD (instanceRef channel_data_reg_29_23)) - (portRef CD (instanceRef channel_data_reg_2_11)) - (portRef CD (instanceRef channel_data_reg_28_8)) - (portRef CD (instanceRef channel_data_reg_29_22)) + (portRef CD (instanceRef channel_data_reg_2_14)) + (portRef CD (instanceRef channel_data_reg_21_7)) + (portRef CD (instanceRef channel_data_reg_28_14)) + (portRef CD (instanceRef channel_data_reg_28_6)) (portRef CD (instanceRef channel_data_reg_29_21)) (portRef CD (instanceRef channel_data_reg_29_20)) (portRef CD (instanceRef channel_data_reg_29_19)) - (portRef CD (instanceRef channel_data_reg_2_13)) - (portRef CD (instanceRef channel_data_reg_2_10)) - (portRef CD (instanceRef channel_data_reg_2_8)) - (portRef CD (instanceRef channel_data_reg_21_8)) - (portRef CD (instanceRef channel_data_reg_29_17)) - (portRef CD (instanceRef channel_data_reg_2_7)) - (portRef CD (instanceRef channel_data_reg_21_7)) - (portRef CD (instanceRef channel_data_reg_21_6)) - (portRef CD (instanceRef channel_data_reg_28_7)) + (portRef CD (instanceRef channel_data_reg_29_16)) + (portRef CD (instanceRef channel_data_reg_2_11)) + (portRef CD (instanceRef channel_data_reg_28_3)) + (portRef CD (instanceRef channel_data_reg_29_22)) (portRef CD (instanceRef channel_data_reg_29_14)) (portRef CD (instanceRef channel_data_reg_29_13)) - (portRef CD (instanceRef channel_data_reg_2_9)) - (portRef CD (instanceRef channel_data_reg_2_5)) - (portRef CD (instanceRef channel_data_reg_21_5)) - (portRef CD (instanceRef channel_data_reg_21_4)) - (portRef CD (instanceRef channel_data_reg_21_3)) (portRef CD (instanceRef channel_data_reg_29_12)) - (portRef CD (instanceRef channel_data_reg_2_2)) - (portRef CD (instanceRef channel_data_reg_21_0)) - (portRef CD (instanceRef channel_data_reg_28_16)) - (portRef CD (instanceRef channel_data_reg_28_14)) - (portRef CD (instanceRef channel_data_reg_28_1)) (portRef CD (instanceRef channel_data_reg_29_11)) + (portRef CD (instanceRef channel_data_reg_2_10)) (portRef CD (instanceRef channel_data_reg_29_10)) + (portRef CD (instanceRef channel_data_reg_2_9)) + (portRef CD (instanceRef channel_data_reg_28_8)) + (portRef CD (instanceRef channel_data_reg_29_8)) + (portRef CD (instanceRef channel_data_reg_2_7)) (portRef CD (instanceRef channel_data_reg_2_6)) - (portRef CD (instanceRef channel_data_reg_28_5)) + (portRef CD (instanceRef channel_data_reg_21_5)) + (portRef CD (instanceRef channel_data_reg_28_7)) + (portRef CD (instanceRef channel_data_reg_28_4)) (portRef CD (instanceRef channel_data_reg_29_9)) - (portRef CD (instanceRef channel_data_reg_2_1)) - (portRef CD (instanceRef channel_data_reg_27_0)) - (portRef CD (instanceRef channel_data_reg_28_6)) - (portRef CD (instanceRef channel_data_reg_29_8)) - (portRef CD (instanceRef channel_data_reg_29_5)) + (portRef CD (instanceRef channel_data_reg_2_5)) + (portRef CD (instanceRef channel_data_reg_2_4)) (portRef CD (instanceRef channel_data_reg_2_3)) - (portRef CD (instanceRef channel_data_reg_28_0)) + (portRef CD (instanceRef channel_data_reg_21_3)) + (portRef CD (instanceRef channel_data_reg_21_2)) (portRef CD (instanceRef channel_data_reg_29_7)) (portRef CD (instanceRef channel_data_reg_29_6)) + (portRef CD (instanceRef channel_data_reg_29_5)) (portRef CD (instanceRef channel_data_reg_29_4)) - (portRef CD (instanceRef channel_data_reg_2_4)) - (portRef CD (instanceRef channel_data_reg_28_4)) (portRef CD (instanceRef channel_data_reg_29_3)) + (portRef CD (instanceRef channel_data_reg_2_2)) (portRef CD (instanceRef channel_data_reg_29_2)) (portRef CD (instanceRef channel_data_reg_29_1)) - (portRef CD (instanceRef channel_data_reg_2_0)) + (portRef CD (instanceRef channel_data_reg_2_1)) (portRef CD (instanceRef channel_data_reg_29_0)) + (portRef CD (instanceRef channel_data_reg_2_0)) (portRef CD (instanceRef channel_data_reg_3_31)) (portRef CD (instanceRef channel_data_reg_30_31)) - (portRef CD (instanceRef channel_data_reg_3_30)) (portRef CD (instanceRef channel_data_reg_30_30)) - (portRef CD (instanceRef channel_data_reg_30_29)) + (portRef CD (instanceRef channel_data_reg_3_30)) (portRef CD (instanceRef channel_data_reg_3_29)) + (portRef CD (instanceRef channel_data_reg_30_29)) (portRef CD (instanceRef channel_data_reg_3_28)) (portRef CD (instanceRef channel_data_reg_30_28)) - (portRef CD (instanceRef channel_data_reg_3_27)) (portRef CD (instanceRef channel_data_reg_30_27)) + (portRef CD (instanceRef channel_data_reg_3_27)) (portRef CD (instanceRef channel_data_reg_30_26)) (portRef CD (instanceRef channel_data_reg_30_25)) (portRef CD (instanceRef channel_data_reg_30_24)) (portRef CD (instanceRef channel_data_reg_30_23)) (portRef CD (instanceRef channel_data_reg_30_22)) (portRef CD (instanceRef channel_data_reg_30_21)) - (portRef CD (instanceRef channel_data_reg_30_19)) - (portRef CD (instanceRef channel_data_reg_31_30)) - (portRef CD (instanceRef channel_data_reg_3_19)) + (portRef CD (instanceRef channel_data_reg_3_21)) + (portRef CD (instanceRef channel_data_reg_30_20)) (portRef CD (instanceRef channel_data_reg_30_18)) - (portRef CD (instanceRef channel_data_reg_30_17)) - (portRef CD (instanceRef channel_data_reg_30_8)) - (portRef CD (instanceRef channel_data_reg_31_31)) - (portRef CD (instanceRef channel_data_reg_31_28)) - (portRef CD (instanceRef channel_data_reg_3_26)) - (portRef CD (instanceRef channel_data_reg_31_25)) - (portRef CD (instanceRef channel_data_reg_3_25)) - (portRef CD (instanceRef channel_data_reg_3_24)) + (portRef CD (instanceRef channel_data_reg_30_14)) + (portRef CD (instanceRef channel_data_reg_30_6)) + (portRef CD (instanceRef channel_data_reg_31_26)) (portRef CD (instanceRef channel_data_reg_3_23)) - (portRef CD (instanceRef channel_data_reg_3_22)) (portRef CD (instanceRef channel_data_reg_3_20)) - (portRef CD (instanceRef channel_data_reg_30_20)) + (portRef CD (instanceRef channel_data_reg_30_19)) + (portRef CD (instanceRef channel_data_reg_30_17)) (portRef CD (instanceRef channel_data_reg_30_16)) - (portRef CD (instanceRef channel_data_reg_30_10)) + (portRef CD (instanceRef channel_data_reg_30_15)) + (portRef CD (instanceRef channel_data_reg_30_13)) + (portRef CD (instanceRef channel_data_reg_31_18)) + (portRef CD (instanceRef channel_data_reg_3_13)) + (portRef CD (instanceRef channel_data_reg_30_11)) (portRef CD (instanceRef channel_data_reg_30_7)) + (portRef CD (instanceRef channel_data_reg_30_5)) + (portRef CD (instanceRef channel_data_reg_30_1)) + (portRef CD (instanceRef channel_data_reg_31_31)) + (portRef CD (instanceRef channel_data_reg_31_30)) (portRef CD (instanceRef channel_data_reg_31_29)) + (portRef CD (instanceRef channel_data_reg_31_25)) + (portRef CD (instanceRef channel_data_reg_3_25)) + (portRef CD (instanceRef channel_data_reg_31_24)) + (portRef CD (instanceRef channel_data_reg_31_19)) + (portRef CD (instanceRef channel_data_reg_3_17)) + (portRef CD (instanceRef channel_data_reg_30_9)) (portRef CD (instanceRef channel_data_reg_31_27)) - (portRef CD (instanceRef channel_data_reg_3_21)) - (portRef CD (instanceRef channel_data_reg_30_15)) - (portRef CD (instanceRef channel_data_reg_31_21)) - (portRef CD (instanceRef channel_data_reg_31_20)) - (portRef CD (instanceRef channel_data_reg_3_14)) - (portRef CD (instanceRef channel_data_reg_30_14)) - (portRef CD (instanceRef channel_data_reg_3_13)) - (portRef CD (instanceRef channel_data_reg_30_13)) + (portRef CD (instanceRef channel_data_reg_3_26)) + (portRef CD (instanceRef channel_data_reg_3_24)) + (portRef CD (instanceRef channel_data_reg_3_15)) (portRef CD (instanceRef channel_data_reg_30_12)) - (portRef CD (instanceRef channel_data_reg_3_9)) - (portRef CD (instanceRef channel_data_reg_30_6)) - (portRef CD (instanceRef channel_data_reg_30_4)) - (portRef CD (instanceRef channel_data_reg_31_23)) + (portRef CD (instanceRef channel_data_reg_30_8)) + (portRef CD (instanceRef channel_data_reg_30_0)) (portRef CD (instanceRef channel_data_reg_31_22)) - (portRef CD (instanceRef channel_data_reg_31_18)) + (portRef CD (instanceRef channel_data_reg_3_22)) (portRef CD (instanceRef channel_data_reg_3_18)) - (portRef CD (instanceRef channel_data_reg_3_17)) - (portRef CD (instanceRef channel_data_reg_30_9)) - (portRef CD (instanceRef channel_data_reg_30_5)) - (portRef CD (instanceRef channel_data_reg_31_19)) + (portRef CD (instanceRef channel_data_reg_30_4)) + (portRef CD (instanceRef channel_data_reg_31_28)) + (portRef CD (instanceRef channel_data_reg_31_23)) + (portRef CD (instanceRef channel_data_reg_31_21)) + (portRef CD (instanceRef channel_data_reg_31_20)) + (portRef CD (instanceRef channel_data_reg_3_19)) + (portRef CD (instanceRef channel_data_reg_31_17)) (portRef CD (instanceRef channel_data_reg_31_16)) (portRef CD (instanceRef channel_data_reg_3_16)) - (portRef CD (instanceRef channel_data_reg_31_15)) - (portRef CD (instanceRef channel_data_reg_3_15)) - (portRef CD (instanceRef channel_data_reg_3_12)) - (portRef CD (instanceRef channel_data_reg_30_3)) - (portRef CD (instanceRef channel_data_reg_31_24)) (portRef CD (instanceRef channel_data_reg_31_14)) - (portRef CD (instanceRef channel_data_reg_31_12)) - (portRef CD (instanceRef channel_data_reg_3_11)) - (portRef CD (instanceRef channel_data_reg_30_11)) - (portRef CD (instanceRef channel_data_reg_31_17)) - (portRef CD (instanceRef channel_data_reg_3_10)) - (portRef CD (instanceRef channel_data_reg_3_8)) - (portRef CD (instanceRef channel_data_reg_30_1)) + (portRef CD (instanceRef channel_data_reg_3_14)) + (portRef CD (instanceRef channel_data_reg_3_12)) + (portRef CD (instanceRef channel_data_reg_30_10)) + (portRef CD (instanceRef channel_data_reg_31_15)) (portRef CD (instanceRef channel_data_reg_31_13)) (portRef CD (instanceRef channel_data_reg_31_11)) - (portRef CD (instanceRef channel_data_reg_31_10)) + (portRef CD (instanceRef channel_data_reg_3_11)) + (portRef CD (instanceRef channel_data_reg_3_10)) (portRef CD (instanceRef channel_data_reg_31_9)) (portRef CD (instanceRef channel_data_reg_31_8)) + (portRef CD (instanceRef channel_data_reg_3_8)) (portRef CD (instanceRef channel_data_reg_3_7)) + (portRef CD (instanceRef channel_data_reg_3_4)) + (portRef CD (instanceRef channel_data_reg_30_3)) + (portRef CD (instanceRef channel_data_reg_31_12)) + (portRef CD (instanceRef channel_data_reg_31_10)) + (portRef CD (instanceRef channel_data_reg_3_9)) (portRef CD (instanceRef channel_data_reg_31_7)) (portRef CD (instanceRef channel_data_reg_31_6)) (portRef CD (instanceRef channel_data_reg_3_6)) - (portRef CD (instanceRef channel_data_reg_31_5)) (portRef CD (instanceRef channel_data_reg_3_5)) - (portRef CD (instanceRef channel_data_reg_31_4)) - (portRef CD (instanceRef channel_data_reg_3_4)) + (portRef CD (instanceRef channel_data_reg_31_3)) (portRef CD (instanceRef channel_data_reg_3_3)) + (portRef CD (instanceRef channel_data_reg_3_2)) (portRef CD (instanceRef channel_data_reg_30_2)) - (portRef CD (instanceRef channel_data_reg_31_26)) + (portRef CD (instanceRef channel_data_reg_31_5)) + (portRef CD (instanceRef channel_data_reg_31_4)) (portRef CD (instanceRef channel_data_reg_31_2)) + (portRef CD (instanceRef channel_data_reg_31_1)) (portRef CD (instanceRef channel_data_reg_3_1)) (portRef CD (instanceRef channel_data_reg_3_0)) - (portRef CD (instanceRef channel_data_reg_30_0)) - (portRef CD (instanceRef channel_data_reg_31_3)) - (portRef CD (instanceRef channel_data_reg_3_2)) - (portRef CD (instanceRef channel_data_reg_31_1)) (portRef CD (instanceRef channel_data_reg_31_0)) (portRef CD (instanceRef channel_data_reg_4_31)) (portRef CD (instanceRef channel_data_reg_4_30)) @@ -910216,28 +911292,29 @@ (portRef PD (instanceRef empty_channels_0)) (portRef PD (instanceRef fifo_nr_5)) (portRef CD (instanceRef fifo_nr_1_4)) - (portRef CD (instanceRef fifo_nr_1_3)) (portRef CD (instanceRef fifo_nr_1_2)) + (portRef CD (instanceRef fifo_nr_1_1)) + (portRef CD (instanceRef fifo_nr_1_0)) (portRef CD (instanceRef fifo_nr_2_4)) + (portRef CD (instanceRef fifo_nr_4)) + (portRef CD (instanceRef fifo_nr_1_3)) (portRef CD (instanceRef fifo_nr_2_3)) (portRef CD (instanceRef fifo_nr_3_4)) - (portRef CD (instanceRef fifo_nr_5_4)) - (portRef CD (instanceRef fifo_nr_next_4)) - (portRef CD (instanceRef fifo_nr_4)) + (portRef CD (instanceRef fifo_nr_3_3)) (portRef CD (instanceRef fifo_nr_4_4)) - (portRef CD (instanceRef fifo_nr_4_3)) + (portRef CD (instanceRef fifo_nr_5_4)) (portRef CD (instanceRef fifo_nr_6_4)) + (portRef CD (instanceRef fifo_nr_7_4)) (portRef PD (instanceRef fifo_nr_next_5)) + (portRef CD (instanceRef fifo_nr_next_4)) (portRef CD (instanceRef fifo_nr_next_3)) (portRef CD (instanceRef fifo_nr_3)) - (portRef CD (instanceRef fifo_nr_3_3)) - (portRef CD (instanceRef fifo_nr_7_4)) - (portRef CD (instanceRef fifo_nr_2)) (portRef CD (instanceRef fifo_nr_next_2)) + (portRef CD (instanceRef fifo_nr_2)) (portRef CD (instanceRef fifo_nr_1)) (portRef CD (instanceRef fifo_nr_next_1)) - (portRef CD (instanceRef fifo_nr_0)) (portRef CD (instanceRef fifo_nr_next_0)) + (portRef CD (instanceRef fifo_nr_0)) (portRef CD (instanceRef fsm_debug_reg_4)) (portRef CD (instanceRef fsm_debug_reg_3)) (portRef CD (instanceRef fsm_debug_reg_2)) @@ -910441,6 +911518,7 @@ (portRef CD (instanceRef spurious_trig_number_1)) (portRef CD (instanceRef spurious_trig_number_0)) (portRef CD (instanceRef start_trg_win_cnt_i)) + (portRef CD (instanceRef stop_status_i)) (portRef CD (instanceRef total_empty_channel_23)) (portRef CD (instanceRef total_empty_channel_22)) (portRef CD (instanceRef total_empty_channel_21)) @@ -910571,6 +911649,7 @@ (portRef CD (instanceRef wr_ch_data_i)) (portRef CD (instanceRef wr_ch_data_reg)) (portRef CD (instanceRef wr_header_i)) + (portRef CD (instanceRef wr_status_i)) (portRef CD (instanceRef wrong_readout_i)) (portRef CD (instanceRef wrong_readout_number_23)) (portRef CD (instanceRef wrong_readout_number_22)) @@ -910604,38 +911683,38 @@ )) (net trg_win_end_i_r3 (joined (portRef Q (instanceRef trg_win_end_i_r3)) - (portRef SP (instanceRef empty_channels_31)) - (portRef SP (instanceRef empty_channels_30)) - (portRef SP (instanceRef empty_channels_29)) - (portRef SP (instanceRef empty_channels_28)) - (portRef SP (instanceRef empty_channels_27)) - (portRef SP (instanceRef empty_channels_26)) - (portRef SP (instanceRef empty_channels_25)) - (portRef SP (instanceRef empty_channels_24)) - (portRef SP (instanceRef empty_channels_23)) - (portRef SP (instanceRef empty_channels_22)) - (portRef SP (instanceRef empty_channels_21)) - (portRef SP (instanceRef empty_channels_20)) - (portRef SP (instanceRef empty_channels_19)) - (portRef SP (instanceRef empty_channels_18)) - (portRef SP (instanceRef empty_channels_17)) - (portRef SP (instanceRef empty_channels_16)) - (portRef SP (instanceRef empty_channels_15)) - (portRef SP (instanceRef empty_channels_14)) - (portRef SP (instanceRef empty_channels_13)) - (portRef SP (instanceRef empty_channels_12)) - (portRef SP (instanceRef empty_channels_11)) - (portRef SP (instanceRef empty_channels_10)) - (portRef SP (instanceRef empty_channels_9)) - (portRef SP (instanceRef empty_channels_8)) - (portRef SP (instanceRef empty_channels_7)) - (portRef SP (instanceRef empty_channels_6)) - (portRef SP (instanceRef empty_channels_5)) - (portRef SP (instanceRef empty_channels_4)) - (portRef SP (instanceRef empty_channels_3)) - (portRef SP (instanceRef empty_channels_2)) - (portRef SP (instanceRef empty_channels_1)) - (portRef SP (instanceRef empty_channels_0)) + (portRef C (instanceRef mask_i_4_0_0_0)) + (portRef C (instanceRef mask_i_4_0_0_1)) + (portRef C (instanceRef mask_i_4_0_0_2)) + (portRef C (instanceRef mask_i_4_0_0_3)) + (portRef C (instanceRef mask_i_4_0_0_4)) + (portRef C (instanceRef mask_i_4_0_0_5)) + (portRef C (instanceRef mask_i_4_0_0_6)) + (portRef C (instanceRef mask_i_4_0_0_7)) + (portRef C (instanceRef mask_i_4_0_0_8)) + (portRef C (instanceRef mask_i_4_0_0_9)) + (portRef C (instanceRef mask_i_4_0_0_11)) + (portRef C (instanceRef mask_i_4_0_0_12)) + (portRef C (instanceRef mask_i_4_0_0_13)) + (portRef C (instanceRef mask_i_4_0_0_14)) + (portRef C (instanceRef mask_i_4_0_0_19)) + (portRef C (instanceRef mask_i_4_0_0_25)) + (portRef C (instanceRef mask_i_4_0_0_26)) + (portRef C (instanceRef mask_i_4_0_0_27)) + (portRef C (instanceRef mask_i_4_0_0_30)) + (portRef C (instanceRef mask_i_4_0_0_10)) + (portRef C (instanceRef mask_i_4_0_0_16)) + (portRef C (instanceRef mask_i_4_0_0_17)) + (portRef C (instanceRef mask_i_4_0_0_18)) + (portRef C (instanceRef mask_i_4_0_0_20)) + (portRef C (instanceRef mask_i_4_0_0_21)) + (portRef C (instanceRef mask_i_4_0_0_22)) + (portRef C (instanceRef mask_i_4_0_0_23)) + (portRef C (instanceRef mask_i_4_0_0_28)) + (portRef C (instanceRef mask_i_4_0_0_29)) + (portRef C (instanceRef mask_i_4_0_0_15)) + (portRef C (instanceRef mask_i_4_0_0_31)) + (portRef C (instanceRef mask_i_4_0_0_24)) )) (net VCC (joined (portRef VCC) @@ -910646,41 +911725,44 @@ (portRef VCC (instanceRef edge_to_pulse_2)) (portRef VCC (instanceRef edge_to_pulse_1)) (portRef VCC (instanceRef GEN_2_ROM)) - (portRef VCC (instanceRef GEN_1_ROM)) - (portRef VCC (instanceRef GEN_0_ROM)) (portRef VCC (instanceRef GEN_3_ROM)) + (portRef VCC (instanceRef GEN_0_ROM)) + (portRef VCC (instanceRef GEN_1_ROM)) + (portRef VCC (instanceRef Valid_timing_trigger_pulse)) + (portRef VCC (instanceRef Valid_timing_trigger_sync)) + (portRef VCC (instanceRef Readout_trigger_mode_sync)) (portRef VCC (instanceRef The_Coarse_Counter)) - (portRef VCC (instanceRef GEN_Channels_16_Channels)) (portRef VCC (instanceRef GEN_Channels_29_Channels)) + (portRef VCC (instanceRef GEN_Channels_14_Channels)) + (portRef VCC (instanceRef GEN_Channels_31_Channels)) (portRef VCC (instanceRef GEN_Channels_24_Channels)) - (portRef VCC (instanceRef GEN_Channels_12_Channels)) - (portRef VCC (instanceRef GEN_Channels_27_Channels)) - (portRef VCC (instanceRef GEN_Channels_22_Channels)) (portRef VCC (instanceRef GEN_Channels_10_Channels)) + (portRef VCC (instanceRef GEN_Channels_22_Channels)) + (portRef VCC (instanceRef GEN_Channels_27_Channels)) + (portRef VCC (instanceRef GEN_Channels_12_Channels)) (portRef VCC (instanceRef GEN_Channels_25_Channels)) + (portRef VCC (instanceRef GEN_Channels_15_Channels)) + (portRef VCC (instanceRef GEN_Channels_30_Channels)) (portRef VCC (instanceRef GEN_Channels_13_Channels)) - (portRef VCC (instanceRef GEN_Channels_28_Channels)) + (portRef VCC (instanceRef GEN_Channels_16_Channels)) (portRef VCC (instanceRef GEN_Channels_23_Channels)) - (portRef VCC (instanceRef GEN_Channels_11_Channels)) + (portRef VCC (instanceRef GEN_Channels_28_Channels)) (portRef VCC (instanceRef GEN_Channels_26_Channels)) - (portRef VCC (instanceRef GEN_Channels_31_Channels)) - (portRef VCC (instanceRef GEN_Channels_14_Channels)) - (portRef VCC (instanceRef GEN_Channels_30_Channels)) - (portRef VCC (instanceRef GEN_Channels_15_Channels)) - (portRef VCC (instanceRef GEN_Channels_7_Channels)) - (portRef VCC (instanceRef GEN_Channels_2_Channels)) + (portRef VCC (instanceRef GEN_Channels_11_Channels)) + (portRef VCC (instanceRef GEN_Channels_21_Channels)) (portRef VCC (instanceRef GEN_Channels_17_Channels)) + (portRef VCC (instanceRef GEN_Channels_2_Channels)) + (portRef VCC (instanceRef GEN_Channels_7_Channels)) (portRef VCC (instanceRef GEN_Channels_5_Channels)) - (portRef VCC (instanceRef GEN_Channels_20_Channels)) - (portRef VCC (instanceRef GEN_Channels_8_Channels)) - (portRef VCC (instanceRef GEN_Channels_3_Channels)) - (portRef VCC (instanceRef GEN_Channels_18_Channels)) - (portRef VCC (instanceRef GEN_Channels_6_Channels)) - (portRef VCC (instanceRef GEN_Channels_1_Channels)) - (portRef VCC (instanceRef GEN_Channels_21_Channels)) (portRef VCC (instanceRef GEN_Channels_4_Channels)) - (portRef VCC (instanceRef GEN_Channels_19_Channels)) (portRef VCC (instanceRef GEN_Channels_9_Channels)) + (portRef VCC (instanceRef GEN_Channels_1_Channels)) + (portRef VCC (instanceRef GEN_Channels_6_Channels)) + (portRef VCC (instanceRef GEN_Channels_18_Channels)) + (portRef VCC (instanceRef GEN_Channels_3_Channels)) + (portRef VCC (instanceRef GEN_Channels_8_Channels)) + (portRef VCC (instanceRef GEN_Channels_20_Channels)) + (portRef VCC (instanceRef GEN_Channels_19_Channels)) (portRef VCC (instanceRef The_Reference_Time)) (portRef D1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_21_0)) (portRef D0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_21_0)) @@ -910759,6 +911841,84 @@ (portRef D0 (instanceRef un1_empty_channels_cry_1_0)) (portRef D1 (instanceRef un1_empty_channels_cry_0_0)) (portRef D0 (instanceRef un1_empty_channels_cry_0_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef D1 (instanceRef un1_idle_i_s_23_0)) + (portRef D0 (instanceRef un1_idle_i_s_23_0)) + (portRef D1 (instanceRef un1_idle_i_cry_21_0)) + (portRef D0 (instanceRef un1_idle_i_cry_21_0)) + (portRef D1 (instanceRef un1_idle_i_cry_19_0)) + (portRef D0 (instanceRef un1_idle_i_cry_19_0)) + (portRef D1 (instanceRef un1_idle_i_cry_17_0)) + (portRef D0 (instanceRef un1_idle_i_cry_17_0)) + (portRef D1 (instanceRef un1_idle_i_cry_15_0)) + (portRef D0 (instanceRef un1_idle_i_cry_15_0)) + (portRef D1 (instanceRef un1_idle_i_cry_13_0)) + (portRef D0 (instanceRef un1_idle_i_cry_13_0)) + (portRef D1 (instanceRef un1_idle_i_cry_11_0)) + (portRef D0 (instanceRef un1_idle_i_cry_11_0)) + (portRef D1 (instanceRef un1_idle_i_cry_9_0)) + (portRef D0 (instanceRef un1_idle_i_cry_9_0)) + (portRef D1 (instanceRef un1_idle_i_cry_7_0)) + (portRef D0 (instanceRef un1_idle_i_cry_7_0)) + (portRef D1 (instanceRef un1_idle_i_cry_5_0)) + (portRef D0 (instanceRef un1_idle_i_cry_5_0)) + (portRef D1 (instanceRef un1_idle_i_cry_3_0)) + (portRef D0 (instanceRef un1_idle_i_cry_3_0)) + (portRef D1 (instanceRef un1_idle_i_cry_1_0)) + (portRef D0 (instanceRef un1_idle_i_cry_1_0)) + (portRef D1 (instanceRef un1_idle_i_cry_0_0)) + (portRef D0 (instanceRef un1_idle_i_cry_0_0)) (portRef D1 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) (portRef D0 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) (portRef D1 (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) @@ -910823,40 +911983,40 @@ (portRef D0 (instanceRef tw_post_cry_1_0)) (portRef D1 (instanceRef tw_post_cry_0_0)) (portRef D0 (instanceRef tw_post_cry_0_0)) - (portRef D1 (instanceRef un1_i_2_s_31_0)) - (portRef D0 (instanceRef un1_i_2_s_31_0)) - (portRef D1 (instanceRef un1_i_2_cry_29_0)) - (portRef D0 (instanceRef un1_i_2_cry_29_0)) - (portRef D1 (instanceRef un1_i_2_cry_27_0)) - (portRef D0 (instanceRef un1_i_2_cry_27_0)) - (portRef D1 (instanceRef un1_i_2_cry_25_0)) - (portRef D0 (instanceRef un1_i_2_cry_25_0)) - (portRef D1 (instanceRef un1_i_2_cry_23_0)) - (portRef D0 (instanceRef un1_i_2_cry_23_0)) - (portRef D1 (instanceRef un1_i_2_cry_21_0)) - (portRef D0 (instanceRef un1_i_2_cry_21_0)) - (portRef D1 (instanceRef un1_i_2_cry_19_0)) - (portRef D0 (instanceRef un1_i_2_cry_19_0)) - (portRef D1 (instanceRef un1_i_2_cry_17_0)) - (portRef D0 (instanceRef un1_i_2_cry_17_0)) - (portRef D1 (instanceRef un1_i_2_cry_15_0)) - (portRef D0 (instanceRef un1_i_2_cry_15_0)) - (portRef D1 (instanceRef un1_i_2_cry_13_0)) - (portRef D0 (instanceRef un1_i_2_cry_13_0)) - (portRef D1 (instanceRef un1_i_2_cry_11_0)) - (portRef D0 (instanceRef un1_i_2_cry_11_0)) - (portRef D1 (instanceRef un1_i_2_cry_9_0)) - (portRef D0 (instanceRef un1_i_2_cry_9_0)) - (portRef D1 (instanceRef un1_i_2_cry_7_0)) - (portRef D0 (instanceRef un1_i_2_cry_7_0)) - (portRef D1 (instanceRef un1_i_2_cry_5_0)) - (portRef D0 (instanceRef un1_i_2_cry_5_0)) - (portRef D1 (instanceRef un1_i_2_cry_3_0)) - (portRef D0 (instanceRef un1_i_2_cry_3_0)) - (portRef D1 (instanceRef un1_i_2_cry_1_0)) - (portRef D0 (instanceRef un1_i_2_cry_1_0)) - (portRef D1 (instanceRef un1_i_2_cry_0_0)) - (portRef D0 (instanceRef un1_i_2_cry_0_0)) + (portRef D1 (instanceRef un1_i_3_s_31_0)) + (portRef D0 (instanceRef un1_i_3_s_31_0)) + (portRef D1 (instanceRef un1_i_3_cry_29_0)) + (portRef D0 (instanceRef un1_i_3_cry_29_0)) + (portRef D1 (instanceRef un1_i_3_cry_27_0)) + (portRef D0 (instanceRef un1_i_3_cry_27_0)) + (portRef D1 (instanceRef un1_i_3_cry_25_0)) + (portRef D0 (instanceRef un1_i_3_cry_25_0)) + (portRef D1 (instanceRef un1_i_3_cry_23_0)) + (portRef D0 (instanceRef un1_i_3_cry_23_0)) + (portRef D1 (instanceRef un1_i_3_cry_21_0)) + (portRef D0 (instanceRef un1_i_3_cry_21_0)) + (portRef D1 (instanceRef un1_i_3_cry_19_0)) + (portRef D0 (instanceRef un1_i_3_cry_19_0)) + (portRef D1 (instanceRef un1_i_3_cry_17_0)) + (portRef D0 (instanceRef un1_i_3_cry_17_0)) + (portRef D1 (instanceRef un1_i_3_cry_15_0)) + (portRef D0 (instanceRef un1_i_3_cry_15_0)) + (portRef D1 (instanceRef un1_i_3_cry_13_0)) + (portRef D0 (instanceRef un1_i_3_cry_13_0)) + (portRef D1 (instanceRef un1_i_3_cry_11_0)) + (portRef D0 (instanceRef un1_i_3_cry_11_0)) + (portRef D1 (instanceRef un1_i_3_cry_9_0)) + (portRef D0 (instanceRef un1_i_3_cry_9_0)) + (portRef D1 (instanceRef un1_i_3_cry_7_0)) + (portRef D0 (instanceRef un1_i_3_cry_7_0)) + (portRef D1 (instanceRef un1_i_3_cry_5_0)) + (portRef D0 (instanceRef un1_i_3_cry_5_0)) + (portRef D1 (instanceRef un1_i_3_cry_3_0)) + (portRef D0 (instanceRef un1_i_3_cry_3_0)) + (portRef D1 (instanceRef un1_i_3_cry_1_0)) + (portRef D0 (instanceRef un1_i_3_cry_1_0)) + (portRef D1 (instanceRef un1_i_3_cry_0_0)) + (portRef D0 (instanceRef un1_i_3_cry_0_0)) (portRef D1 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) (portRef D0 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) (portRef D1 (instanceRef un1_trg_win_cnt_up_i_cry_13_0)) @@ -911005,100 +912165,82 @@ (portRef D0 (instanceRef un1_spurious_trg_pulse_cry_1_0)) (portRef D1 (instanceRef un1_spurious_trg_pulse_cry_0_0)) (portRef D0 (instanceRef un1_spurious_trg_pulse_cry_0_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef D1 (instanceRef un1_idle_i_s_23_0)) - (portRef D0 (instanceRef un1_idle_i_s_23_0)) - (portRef D1 (instanceRef un1_idle_i_cry_21_0)) - (portRef D0 (instanceRef un1_idle_i_cry_21_0)) - (portRef D1 (instanceRef un1_idle_i_cry_19_0)) - (portRef D0 (instanceRef un1_idle_i_cry_19_0)) - (portRef D1 (instanceRef un1_idle_i_cry_17_0)) - (portRef D0 (instanceRef un1_idle_i_cry_17_0)) - (portRef D1 (instanceRef un1_idle_i_cry_15_0)) - (portRef D0 (instanceRef un1_idle_i_cry_15_0)) - (portRef D1 (instanceRef un1_idle_i_cry_13_0)) - (portRef D0 (instanceRef un1_idle_i_cry_13_0)) - (portRef D1 (instanceRef un1_idle_i_cry_11_0)) - (portRef D0 (instanceRef un1_idle_i_cry_11_0)) - (portRef D1 (instanceRef un1_idle_i_cry_9_0)) - (portRef D0 (instanceRef un1_idle_i_cry_9_0)) - (portRef D1 (instanceRef un1_idle_i_cry_7_0)) - (portRef D0 (instanceRef un1_idle_i_cry_7_0)) - (portRef D1 (instanceRef un1_idle_i_cry_5_0)) - (portRef D0 (instanceRef un1_idle_i_cry_5_0)) - (portRef D1 (instanceRef un1_idle_i_cry_3_0)) - (portRef D0 (instanceRef un1_idle_i_cry_3_0)) - (portRef D1 (instanceRef un1_idle_i_cry_1_0)) - (portRef D0 (instanceRef un1_idle_i_cry_1_0)) - (portRef D1 (instanceRef un1_idle_i_cry_0_0)) - (portRef D0 (instanceRef un1_idle_i_cry_0_0)) + (portRef D1 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef D0 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_0)) )) (net trg_win_end_i_r2 (joined (portRef Q (instanceRef trg_win_end_i_r2)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2)) - (portRef A (instanceRef updt_mask_i_RNID6SI)) - (portRef B (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_4)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_5)) - (portRef B (instanceRef mask_i_4_0_a2_2_20)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_i_0_o2_13)) - (portRef B (instanceRef FSM_CURRENT_RNO_0_13)) + (portRef SP (instanceRef empty_channels_31)) + (portRef SP (instanceRef empty_channels_30)) + (portRef SP (instanceRef empty_channels_29)) + (portRef SP (instanceRef empty_channels_28)) + (portRef SP (instanceRef empty_channels_27)) + (portRef SP (instanceRef empty_channels_26)) + (portRef SP (instanceRef empty_channels_25)) + (portRef SP (instanceRef empty_channels_24)) + (portRef SP (instanceRef empty_channels_23)) + (portRef SP (instanceRef empty_channels_22)) + (portRef SP (instanceRef empty_channels_21)) + (portRef SP (instanceRef empty_channels_20)) + (portRef SP (instanceRef empty_channels_19)) + (portRef SP (instanceRef empty_channels_18)) + (portRef SP (instanceRef empty_channels_17)) + (portRef SP (instanceRef empty_channels_16)) + (portRef SP (instanceRef empty_channels_15)) + (portRef SP (instanceRef empty_channels_14)) + (portRef SP (instanceRef empty_channels_13)) + (portRef SP (instanceRef empty_channels_12)) + (portRef SP (instanceRef empty_channels_11)) + (portRef SP (instanceRef empty_channels_10)) + (portRef SP (instanceRef empty_channels_9)) + (portRef SP (instanceRef empty_channels_8)) + (portRef SP (instanceRef empty_channels_7)) + (portRef SP (instanceRef empty_channels_6)) + (portRef SP (instanceRef empty_channels_5)) + (portRef SP (instanceRef empty_channels_4)) + (portRef SP (instanceRef empty_channels_3)) + (portRef SP (instanceRef empty_channels_2)) + (portRef SP (instanceRef empty_channels_1)) + (portRef SP (instanceRef empty_channels_0)) )) (net trg_win_end_i_r1 (joined (portRef Q (instanceRef trg_win_end_i_r1)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_0)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_3)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_4)) )) @@ -911109,38 +912251,37 @@ (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_8)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_10)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_9)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_17)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_18)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_22)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_21)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_23)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_24)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_26)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_25)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_30)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_29)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_31)) )) - (net reset_tdc_rep2_r20 (joined - (portRef Q (instanceRef reset_tdc_rep2_r20)) - (portRef reset_tdc_rep2_r20 (instanceRef GEN_Channels_31_Channels)) + (net reset_tdc_rep2_r19 (joined + (portRef Q (instanceRef reset_tdc_rep2_r19)) + (portRef reset_tdc_rep2_r19 (instanceRef GEN_Channels_30_Channels)) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) - (portRef reset_i_1_1 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_i_1_1 (instanceRef The_Reference_Time)) + (net reset_i_2 (joined + (portRef reset_i_2) + (portRef reset_i_2 (instanceRef GEN_Channels_31_Channels)) + (portRef reset_i_2 (instanceRef The_Reference_Time)) (portRef D (instanceRef reset_tdc)) - (portRef D (instanceRef reset_tdc_1)) (portRef D (instanceRef reset_tdc_fast)) (portRef D (instanceRef reset_tdc_iso)) (portRef D (instanceRef reset_tdc_rep1)) @@ -911176,43 +912317,46 @@ (portRef D (instanceRef reset_tdc_rep2_r17)) (portRef D (instanceRef reset_tdc_rep2_r18)) (portRef D (instanceRef reset_tdc_rep2_r19)) - (portRef D (instanceRef reset_tdc_rep2_r20)) )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) + (portRef CLK_PCLK_LEFT_c (instanceRef Valid_timing_trigger_pulse)) + (portRef CLK_PCLK_LEFT_c (instanceRef Valid_timing_trigger_sync)) + (portRef CLK_PCLK_LEFT_c (instanceRef Readout_trigger_mode_sync)) (portRef CLK_PCLK_LEFT_c (instanceRef The_Coarse_Counter)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_16_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_29_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_14_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_31_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_24_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_12_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_27_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_22_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_10_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_22_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_27_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_12_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_25_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_15_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_30_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_13_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_28_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_16_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_23_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_11_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_28_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_26_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_31_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_14_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_30_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_15_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_7_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_2_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_11_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_21_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_17_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_2_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_7_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_5_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_20_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_8_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_3_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_18_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_6_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_1_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_21_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_4_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_19_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_9_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_1_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_6_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_18_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_3_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_8_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_20_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_19_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef The_Reference_Time)) + (portRef CK (instanceRef reset_coarse_cnt)) (portRef CK (instanceRef reset_tdc)) (portRef CK (instanceRef reset_tdc_1)) (portRef CK (instanceRef reset_tdc_10)) @@ -911496,23 +912640,18 @@ (portRef CK (instanceRef reset_tdc_rep2_r17)) (portRef CK (instanceRef reset_tdc_rep2_r18)) (portRef CK (instanceRef reset_tdc_rep2_r19)) - (portRef CK (instanceRef reset_tdc_rep2_r20)) - )) - (net reset_tdc_rep2_r19 (joined - (portRef Q (instanceRef reset_tdc_rep2_r19)) - (portRef reset_tdc_rep2_r19 (instanceRef GEN_Channels_30_Channels)) )) (net reset_tdc_rep2_r18 (joined (portRef Q (instanceRef reset_tdc_rep2_r18)) - (portRef reset_tdc_rep2_r18 (instanceRef GEN_Channels_26_Channels)) + (portRef reset_tdc_rep2_r18 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep2_r17 (joined (portRef Q (instanceRef reset_tdc_rep2_r17)) - (portRef reset_tdc_rep2_r17 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_r17 (instanceRef GEN_Channels_26_Channels)) )) (net reset_tdc_rep2_r16 (joined (portRef Q (instanceRef reset_tdc_rep2_r16)) - (portRef reset_tdc_rep2_r16) + (portRef reset_tdc_rep2_r16 (instanceRef GEN_Channels_23_Channels)) )) (net reset_tdc_rep2_r15 (joined (portRef Q (instanceRef reset_tdc_rep2_r15)) @@ -911546,6 +912685,10 @@ (portRef Q (instanceRef reset_tdc_rep2_r8)) (portRef reset_tdc_rep2_r8) )) + (net reset_tdc_rep2_r7 (joined + (portRef Q (instanceRef reset_tdc_rep2_r7)) + (portRef reset_tdc_rep2_r7) + )) (net reset_tdc_rep2_r6 (joined (portRef Q (instanceRef reset_tdc_rep2_r6)) (portRef reset_tdc_rep2_r6) @@ -911572,6 +912715,7 @@ )) (net reset_tdc_rep2_r0 (joined (portRef Q (instanceRef reset_tdc_rep2)) + (portRef reset_tdc_rep2_r0 (instanceRef GEN_Channels_25_Channels)) (portRef A (instanceRef reset_tdc_rep2_RNIGVH8)) (portRef reset_tdc_rep2_r0) )) @@ -911579,8 +912723,8 @@ (portRef Q (instanceRef reset_tdc_fast_36_r33)) (portRef reset_tdc_fast_36_r33 (instanceRef GEN_Channels_24_Channels)) )) - (net reset_i (joined - (portRef reset_i) + (net reset_i_1 (joined + (portRef reset_i_1) (portRef D (instanceRef reset_tdc_fast_1)) (portRef D (instanceRef reset_tdc_fast_10)) (portRef D (instanceRef reset_tdc_fast_11)) @@ -911784,6 +912928,7 @@ (portRef Q (instanceRef reset_tdc_fast_36_r20)) (portRef reset_tdc_fast_36_r20 (instanceRef GEN_Channels_10_Channels)) (portRef reset_tdc_fast_36_r20 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_fast_36_r20 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_36_r19 (joined (portRef Q (instanceRef reset_tdc_fast_36_r19)) @@ -911792,7 +912937,6 @@ (net reset_tdc_fast_36_r18 (joined (portRef Q (instanceRef reset_tdc_fast_36_r18)) (portRef reset_tdc_fast_36_r18 (instanceRef GEN_Channels_10_Channels)) - (portRef reset_tdc_fast_36_r18 (instanceRef GEN_Channels_8_Channels)) (portRef reset_tdc_fast_36_r18 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_36_r17 (joined @@ -911801,12 +912945,12 @@ )) (net reset_tdc_fast_36_r16 (joined (portRef Q (instanceRef reset_tdc_fast_36_r16)) - (portRef reset_tdc_fast_36_r16 (instanceRef GEN_Channels_8_Channels)) (portRef reset_tdc_fast_36_r16 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_36_r15 (joined (portRef Q (instanceRef reset_tdc_fast_36_r15)) - (portRef reset_tdc_fast_36_r15 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_36_r15 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_36_r15 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_36_r14 (joined (portRef Q (instanceRef reset_tdc_fast_36_r14)) @@ -911815,7 +912959,6 @@ (net reset_tdc_fast_36_r13 (joined (portRef Q (instanceRef reset_tdc_fast_36_r13)) (portRef reset_tdc_fast_36_r13 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_tdc_fast_36_r13 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_36_r12 (joined (portRef Q (instanceRef reset_tdc_fast_36_r12)) @@ -911828,13 +912971,13 @@ )) (net reset_tdc_fast_36_r10 (joined (portRef Q (instanceRef reset_tdc_fast_36_r10)) + (portRef reset_tdc_fast_36_r10 (instanceRef GEN_Channels_5_Channels)) + (portRef reset_tdc_fast_36_r10 (instanceRef GEN_Channels_4_Channels)) (portRef reset_tdc_fast_36_r10 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_36_r9 (joined (portRef Q (instanceRef reset_tdc_fast_36_r9)) - (portRef reset_tdc_fast_36_r9 (instanceRef GEN_Channels_2_Channels)) - (portRef reset_tdc_fast_36_r9 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_fast_36_r9 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_36_r9 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_36_r8 (joined (portRef Q (instanceRef reset_tdc_fast_36_r8)) @@ -911842,8 +912985,8 @@ )) (net reset_tdc_fast_36_r7 (joined (portRef Q (instanceRef reset_tdc_fast_36_r7)) - (portRef reset_tdc_fast_36_r7 (instanceRef GEN_Channels_3_Channels)) (portRef reset_tdc_fast_36_r7 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_36_r7 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_36_r6 (joined (portRef Q (instanceRef reset_tdc_fast_36_r6)) @@ -911861,10 +913004,11 @@ (net reset_tdc_fast_36_r3 (joined (portRef Q (instanceRef reset_tdc_fast_36_r3)) (portRef reset_tdc_fast_36_r3 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_36_r3 (instanceRef GEN_Channels_1_Channels)) )) (net reset_tdc_fast_36_r2 (joined (portRef Q (instanceRef reset_tdc_fast_36_r2)) - (portRef reset_tdc_fast_36_r2 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_36_r2 (instanceRef GEN_Channels_2_Channels)) )) (net reset_tdc_fast_36_r1 (joined (portRef Q (instanceRef reset_tdc_fast_36_r1)) @@ -911878,9 +913022,9 @@ (net reset_tdc_fast_37_r20 (joined (portRef Q (instanceRef reset_tdc_fast_37_r20)) (portRef reset_tdc_fast_37_r20 (instanceRef GEN_Channels_29_Channels)) - (portRef reset_tdc_fast_37_r20 (instanceRef GEN_Channels_11_Channels)) (portRef reset_tdc_fast_37_r20 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_fast_37_r20 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_tdc_fast_37_r20 (instanceRef GEN_Channels_11_Channels)) (portRef reset_tdc_fast_37_r20 (instanceRef The_Reference_Time)) )) (net reset_tdc_fast_37_r19 (joined @@ -911927,12 +913071,12 @@ )) (net reset_tdc_fast_37_r9 (joined (portRef Q (instanceRef reset_tdc_fast_37_r9)) - (portRef reset_tdc_fast_37_r9 (instanceRef GEN_Channels_8_Channels)) (portRef reset_tdc_fast_37_r9 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_37_r8 (joined (portRef Q (instanceRef reset_tdc_fast_37_r8)) (portRef reset_tdc_fast_37_r8 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_tdc_fast_37_r8 (instanceRef GEN_Channels_6_Channels)) (portRef reset_tdc_fast_37_r8 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_37_r7 (joined @@ -911942,25 +913086,30 @@ )) (net reset_tdc_fast_37_r6 (joined (portRef Q (instanceRef reset_tdc_fast_37_r6)) + (portRef reset_tdc_fast_37_r6 (instanceRef GEN_Channels_5_Channels)) (portRef reset_tdc_fast_37_r6 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_37_r5 (joined (portRef Q (instanceRef reset_tdc_fast_37_r5)) (portRef reset_tdc_fast_37_r5 (instanceRef GEN_Channels_5_Channels)) + (portRef reset_tdc_fast_37_r5 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_37_r5 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_37_r4 (joined (portRef Q (instanceRef reset_tdc_fast_37_r4)) (portRef reset_tdc_fast_37_r4 (instanceRef GEN_Channels_2_Channels)) - (portRef reset_tdc_fast_37_r4 (instanceRef GEN_Channels_5_Channels)) (portRef reset_tdc_fast_37_r4 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_37_r4 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_37_r3 (joined (portRef Q (instanceRef reset_tdc_fast_37_r3)) + (portRef reset_tdc_fast_37_r3 (instanceRef GEN_Channels_2_Channels)) (portRef reset_tdc_fast_37_r3 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_37_r2 (joined (portRef Q (instanceRef reset_tdc_fast_37_r2)) (portRef reset_tdc_fast_37_r2 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_37_r2 (instanceRef GEN_Channels_1_Channels)) )) (net reset_tdc_fast_37_r1 (joined (portRef Q (instanceRef reset_tdc_fast_37_r1)) @@ -911974,8 +913123,8 @@ (portRef reset_tdc_fast_37_r0 (instanceRef GEN_Channels_26_Channels)) (portRef reset_tdc_fast_37_r0 (instanceRef GEN_Channels_1_Channels)) )) - (net un1_i_2_s_31_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_s_31_0)) + (net un1_i_3_s_31_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_s_31_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_31)) )) (net GND (joined @@ -911987,41 +913136,42 @@ (portRef GND (instanceRef edge_to_pulse_2)) (portRef GND (instanceRef edge_to_pulse_1)) (portRef GND (instanceRef GEN_2_ROM)) - (portRef GND (instanceRef GEN_1_ROM)) - (portRef GND (instanceRef GEN_0_ROM)) (portRef GND (instanceRef GEN_3_ROM)) + (portRef GND (instanceRef GEN_0_ROM)) + (portRef GND (instanceRef GEN_1_ROM)) + (portRef GND (instanceRef Valid_timing_trigger_pulse)) (portRef GND (instanceRef The_Coarse_Counter)) - (portRef GND (instanceRef GEN_Channels_16_Channels)) (portRef GND (instanceRef GEN_Channels_29_Channels)) + (portRef GND (instanceRef GEN_Channels_14_Channels)) + (portRef GND (instanceRef GEN_Channels_31_Channels)) (portRef GND (instanceRef GEN_Channels_24_Channels)) - (portRef GND (instanceRef GEN_Channels_12_Channels)) - (portRef GND (instanceRef GEN_Channels_27_Channels)) - (portRef GND (instanceRef GEN_Channels_22_Channels)) (portRef GND (instanceRef GEN_Channels_10_Channels)) + (portRef GND (instanceRef GEN_Channels_22_Channels)) + (portRef GND (instanceRef GEN_Channels_27_Channels)) + (portRef GND (instanceRef GEN_Channels_12_Channels)) (portRef GND (instanceRef GEN_Channels_25_Channels)) + (portRef GND (instanceRef GEN_Channels_15_Channels)) + (portRef GND (instanceRef GEN_Channels_30_Channels)) (portRef GND (instanceRef GEN_Channels_13_Channels)) - (portRef GND (instanceRef GEN_Channels_28_Channels)) + (portRef GND (instanceRef GEN_Channels_16_Channels)) (portRef GND (instanceRef GEN_Channels_23_Channels)) - (portRef GND (instanceRef GEN_Channels_11_Channels)) + (portRef GND (instanceRef GEN_Channels_28_Channels)) (portRef GND (instanceRef GEN_Channels_26_Channels)) - (portRef GND (instanceRef GEN_Channels_31_Channels)) - (portRef GND (instanceRef GEN_Channels_14_Channels)) - (portRef GND (instanceRef GEN_Channels_30_Channels)) - (portRef GND (instanceRef GEN_Channels_15_Channels)) - (portRef GND (instanceRef GEN_Channels_7_Channels)) - (portRef GND (instanceRef GEN_Channels_2_Channels)) + (portRef GND (instanceRef GEN_Channels_11_Channels)) + (portRef GND (instanceRef GEN_Channels_21_Channels)) (portRef GND (instanceRef GEN_Channels_17_Channels)) + (portRef GND (instanceRef GEN_Channels_2_Channels)) + (portRef GND (instanceRef GEN_Channels_7_Channels)) (portRef GND (instanceRef GEN_Channels_5_Channels)) - (portRef GND (instanceRef GEN_Channels_20_Channels)) - (portRef GND (instanceRef GEN_Channels_8_Channels)) - (portRef GND (instanceRef GEN_Channels_3_Channels)) - (portRef GND (instanceRef GEN_Channels_18_Channels)) - (portRef GND (instanceRef GEN_Channels_6_Channels)) - (portRef GND (instanceRef GEN_Channels_1_Channels)) - (portRef GND (instanceRef GEN_Channels_21_Channels)) (portRef GND (instanceRef GEN_Channels_4_Channels)) - (portRef GND (instanceRef GEN_Channels_19_Channels)) (portRef GND (instanceRef GEN_Channels_9_Channels)) + (portRef GND (instanceRef GEN_Channels_1_Channels)) + (portRef GND (instanceRef GEN_Channels_6_Channels)) + (portRef GND (instanceRef GEN_Channels_18_Channels)) + (portRef GND (instanceRef GEN_Channels_3_Channels)) + (portRef GND (instanceRef GEN_Channels_8_Channels)) + (portRef GND (instanceRef GEN_Channels_20_Channels)) + (portRef GND (instanceRef GEN_Channels_19_Channels)) (portRef GND (instanceRef The_Reference_Time)) (portRef C1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_21_0)) (portRef B1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_21_0)) @@ -912146,6 +913296,168 @@ (portRef B1 (instanceRef un1_empty_channels_cry_0_0)) (portRef C0 (instanceRef un1_empty_channels_cry_0_0)) (portRef A0 (instanceRef un1_empty_channels_cry_0_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef A1 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef A1 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef C1 (instanceRef un1_idle_i_s_23_0)) + (portRef B1 (instanceRef un1_idle_i_s_23_0)) + (portRef A1 (instanceRef un1_idle_i_s_23_0)) + (portRef C0 (instanceRef un1_idle_i_s_23_0)) + (portRef B0 (instanceRef un1_idle_i_s_23_0)) + (portRef C1 (instanceRef un1_idle_i_cry_21_0)) + (portRef B1 (instanceRef un1_idle_i_cry_21_0)) + (portRef C0 (instanceRef un1_idle_i_cry_21_0)) + (portRef B0 (instanceRef un1_idle_i_cry_21_0)) + (portRef C1 (instanceRef un1_idle_i_cry_19_0)) + (portRef B1 (instanceRef un1_idle_i_cry_19_0)) + (portRef C0 (instanceRef un1_idle_i_cry_19_0)) + (portRef B0 (instanceRef un1_idle_i_cry_19_0)) + (portRef C1 (instanceRef un1_idle_i_cry_17_0)) + (portRef B1 (instanceRef un1_idle_i_cry_17_0)) + (portRef C0 (instanceRef un1_idle_i_cry_17_0)) + (portRef B0 (instanceRef un1_idle_i_cry_17_0)) + (portRef C1 (instanceRef un1_idle_i_cry_15_0)) + (portRef B1 (instanceRef un1_idle_i_cry_15_0)) + (portRef C0 (instanceRef un1_idle_i_cry_15_0)) + (portRef B0 (instanceRef un1_idle_i_cry_15_0)) + (portRef C1 (instanceRef un1_idle_i_cry_13_0)) + (portRef B1 (instanceRef un1_idle_i_cry_13_0)) + (portRef C0 (instanceRef un1_idle_i_cry_13_0)) + (portRef B0 (instanceRef un1_idle_i_cry_13_0)) + (portRef C1 (instanceRef un1_idle_i_cry_11_0)) + (portRef B1 (instanceRef un1_idle_i_cry_11_0)) + (portRef C0 (instanceRef un1_idle_i_cry_11_0)) + (portRef B0 (instanceRef un1_idle_i_cry_11_0)) + (portRef C1 (instanceRef un1_idle_i_cry_9_0)) + (portRef B1 (instanceRef un1_idle_i_cry_9_0)) + (portRef C0 (instanceRef un1_idle_i_cry_9_0)) + (portRef B0 (instanceRef un1_idle_i_cry_9_0)) + (portRef C1 (instanceRef un1_idle_i_cry_7_0)) + (portRef B1 (instanceRef un1_idle_i_cry_7_0)) + (portRef C0 (instanceRef un1_idle_i_cry_7_0)) + (portRef B0 (instanceRef un1_idle_i_cry_7_0)) + (portRef C1 (instanceRef un1_idle_i_cry_5_0)) + (portRef B1 (instanceRef un1_idle_i_cry_5_0)) + (portRef C0 (instanceRef un1_idle_i_cry_5_0)) + (portRef B0 (instanceRef un1_idle_i_cry_5_0)) + (portRef C1 (instanceRef un1_idle_i_cry_3_0)) + (portRef B1 (instanceRef un1_idle_i_cry_3_0)) + (portRef C0 (instanceRef un1_idle_i_cry_3_0)) + (portRef B0 (instanceRef un1_idle_i_cry_3_0)) + (portRef C1 (instanceRef un1_idle_i_cry_1_0)) + (portRef B1 (instanceRef un1_idle_i_cry_1_0)) + (portRef C0 (instanceRef un1_idle_i_cry_1_0)) + (portRef B0 (instanceRef un1_idle_i_cry_1_0)) + (portRef CIN (instanceRef un1_idle_i_cry_0_0)) + (portRef C1 (instanceRef un1_idle_i_cry_0_0)) + (portRef B1 (instanceRef un1_idle_i_cry_0_0)) + (portRef C0 (instanceRef un1_idle_i_cry_0_0)) + (portRef A0 (instanceRef un1_idle_i_cry_0_0)) (portRef C1 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) (portRef B1 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) @@ -912269,76 +913581,76 @@ (portRef C0 (instanceRef tw_post_cry_0_0)) (portRef B0 (instanceRef tw_post_cry_0_0)) (portRef A0 (instanceRef tw_post_cry_0_0)) - (portRef C1 (instanceRef un1_i_2_s_31_0)) - (portRef B1 (instanceRef un1_i_2_s_31_0)) - (portRef A1 (instanceRef un1_i_2_s_31_0)) - (portRef C0 (instanceRef un1_i_2_s_31_0)) - (portRef B0 (instanceRef un1_i_2_s_31_0)) - (portRef C1 (instanceRef un1_i_2_cry_29_0)) - (portRef B1 (instanceRef un1_i_2_cry_29_0)) - (portRef C0 (instanceRef un1_i_2_cry_29_0)) - (portRef B0 (instanceRef un1_i_2_cry_29_0)) - (portRef C1 (instanceRef un1_i_2_cry_27_0)) - (portRef B1 (instanceRef un1_i_2_cry_27_0)) - (portRef C0 (instanceRef un1_i_2_cry_27_0)) - (portRef B0 (instanceRef un1_i_2_cry_27_0)) - (portRef C1 (instanceRef un1_i_2_cry_25_0)) - (portRef B1 (instanceRef un1_i_2_cry_25_0)) - (portRef C0 (instanceRef un1_i_2_cry_25_0)) - (portRef B0 (instanceRef un1_i_2_cry_25_0)) - (portRef C1 (instanceRef un1_i_2_cry_23_0)) - (portRef B1 (instanceRef un1_i_2_cry_23_0)) - (portRef C0 (instanceRef un1_i_2_cry_23_0)) - (portRef B0 (instanceRef un1_i_2_cry_23_0)) - (portRef C1 (instanceRef un1_i_2_cry_21_0)) - (portRef B1 (instanceRef un1_i_2_cry_21_0)) - (portRef C0 (instanceRef un1_i_2_cry_21_0)) - (portRef B0 (instanceRef un1_i_2_cry_21_0)) - (portRef C1 (instanceRef un1_i_2_cry_19_0)) - (portRef B1 (instanceRef un1_i_2_cry_19_0)) - (portRef C0 (instanceRef un1_i_2_cry_19_0)) - (portRef B0 (instanceRef un1_i_2_cry_19_0)) - (portRef C1 (instanceRef un1_i_2_cry_17_0)) - (portRef B1 (instanceRef un1_i_2_cry_17_0)) - (portRef C0 (instanceRef un1_i_2_cry_17_0)) - (portRef B0 (instanceRef un1_i_2_cry_17_0)) - (portRef C1 (instanceRef un1_i_2_cry_15_0)) - (portRef B1 (instanceRef un1_i_2_cry_15_0)) - (portRef C0 (instanceRef un1_i_2_cry_15_0)) - (portRef B0 (instanceRef un1_i_2_cry_15_0)) - (portRef C1 (instanceRef un1_i_2_cry_13_0)) - (portRef B1 (instanceRef un1_i_2_cry_13_0)) - (portRef C0 (instanceRef un1_i_2_cry_13_0)) - (portRef B0 (instanceRef un1_i_2_cry_13_0)) - (portRef C1 (instanceRef un1_i_2_cry_11_0)) - (portRef B1 (instanceRef un1_i_2_cry_11_0)) - (portRef C0 (instanceRef un1_i_2_cry_11_0)) - (portRef B0 (instanceRef un1_i_2_cry_11_0)) - (portRef C1 (instanceRef un1_i_2_cry_9_0)) - (portRef B1 (instanceRef un1_i_2_cry_9_0)) - (portRef C0 (instanceRef un1_i_2_cry_9_0)) - (portRef B0 (instanceRef un1_i_2_cry_9_0)) - (portRef C1 (instanceRef un1_i_2_cry_7_0)) - (portRef B1 (instanceRef un1_i_2_cry_7_0)) - (portRef C0 (instanceRef un1_i_2_cry_7_0)) - (portRef B0 (instanceRef un1_i_2_cry_7_0)) - (portRef C1 (instanceRef un1_i_2_cry_5_0)) - (portRef B1 (instanceRef un1_i_2_cry_5_0)) - (portRef C0 (instanceRef un1_i_2_cry_5_0)) - (portRef B0 (instanceRef un1_i_2_cry_5_0)) - (portRef C1 (instanceRef un1_i_2_cry_3_0)) - (portRef B1 (instanceRef un1_i_2_cry_3_0)) - (portRef C0 (instanceRef un1_i_2_cry_3_0)) - (portRef B0 (instanceRef un1_i_2_cry_3_0)) - (portRef C1 (instanceRef un1_i_2_cry_1_0)) - (portRef B1 (instanceRef un1_i_2_cry_1_0)) - (portRef C0 (instanceRef un1_i_2_cry_1_0)) - (portRef B0 (instanceRef un1_i_2_cry_1_0)) - (portRef CIN (instanceRef un1_i_2_cry_0_0)) - (portRef C1 (instanceRef un1_i_2_cry_0_0)) - (portRef B1 (instanceRef un1_i_2_cry_0_0)) - (portRef C0 (instanceRef un1_i_2_cry_0_0)) - (portRef A0 (instanceRef un1_i_2_cry_0_0)) + (portRef C1 (instanceRef un1_i_3_s_31_0)) + (portRef B1 (instanceRef un1_i_3_s_31_0)) + (portRef A1 (instanceRef un1_i_3_s_31_0)) + (portRef C0 (instanceRef un1_i_3_s_31_0)) + (portRef B0 (instanceRef un1_i_3_s_31_0)) + (portRef C1 (instanceRef un1_i_3_cry_29_0)) + (portRef B1 (instanceRef un1_i_3_cry_29_0)) + (portRef C0 (instanceRef un1_i_3_cry_29_0)) + (portRef B0 (instanceRef un1_i_3_cry_29_0)) + (portRef C1 (instanceRef un1_i_3_cry_27_0)) + (portRef B1 (instanceRef un1_i_3_cry_27_0)) + (portRef C0 (instanceRef un1_i_3_cry_27_0)) + (portRef B0 (instanceRef un1_i_3_cry_27_0)) + (portRef C1 (instanceRef un1_i_3_cry_25_0)) + (portRef B1 (instanceRef un1_i_3_cry_25_0)) + (portRef C0 (instanceRef un1_i_3_cry_25_0)) + (portRef B0 (instanceRef un1_i_3_cry_25_0)) + (portRef C1 (instanceRef un1_i_3_cry_23_0)) + (portRef B1 (instanceRef un1_i_3_cry_23_0)) + (portRef C0 (instanceRef un1_i_3_cry_23_0)) + (portRef B0 (instanceRef un1_i_3_cry_23_0)) + (portRef C1 (instanceRef un1_i_3_cry_21_0)) + (portRef B1 (instanceRef un1_i_3_cry_21_0)) + (portRef C0 (instanceRef un1_i_3_cry_21_0)) + (portRef B0 (instanceRef un1_i_3_cry_21_0)) + (portRef C1 (instanceRef un1_i_3_cry_19_0)) + (portRef B1 (instanceRef un1_i_3_cry_19_0)) + (portRef C0 (instanceRef un1_i_3_cry_19_0)) + (portRef B0 (instanceRef un1_i_3_cry_19_0)) + (portRef C1 (instanceRef un1_i_3_cry_17_0)) + (portRef B1 (instanceRef un1_i_3_cry_17_0)) + (portRef C0 (instanceRef un1_i_3_cry_17_0)) + (portRef B0 (instanceRef un1_i_3_cry_17_0)) + (portRef C1 (instanceRef un1_i_3_cry_15_0)) + (portRef B1 (instanceRef un1_i_3_cry_15_0)) + (portRef C0 (instanceRef un1_i_3_cry_15_0)) + (portRef B0 (instanceRef un1_i_3_cry_15_0)) + (portRef C1 (instanceRef un1_i_3_cry_13_0)) + (portRef B1 (instanceRef un1_i_3_cry_13_0)) + (portRef C0 (instanceRef un1_i_3_cry_13_0)) + (portRef B0 (instanceRef un1_i_3_cry_13_0)) + (portRef C1 (instanceRef un1_i_3_cry_11_0)) + (portRef B1 (instanceRef un1_i_3_cry_11_0)) + (portRef C0 (instanceRef un1_i_3_cry_11_0)) + (portRef B0 (instanceRef un1_i_3_cry_11_0)) + (portRef C1 (instanceRef un1_i_3_cry_9_0)) + (portRef B1 (instanceRef un1_i_3_cry_9_0)) + (portRef C0 (instanceRef un1_i_3_cry_9_0)) + (portRef B0 (instanceRef un1_i_3_cry_9_0)) + (portRef C1 (instanceRef un1_i_3_cry_7_0)) + (portRef B1 (instanceRef un1_i_3_cry_7_0)) + (portRef C0 (instanceRef un1_i_3_cry_7_0)) + (portRef B0 (instanceRef un1_i_3_cry_7_0)) + (portRef C1 (instanceRef un1_i_3_cry_5_0)) + (portRef B1 (instanceRef un1_i_3_cry_5_0)) + (portRef C0 (instanceRef un1_i_3_cry_5_0)) + (portRef B0 (instanceRef un1_i_3_cry_5_0)) + (portRef C1 (instanceRef un1_i_3_cry_3_0)) + (portRef B1 (instanceRef un1_i_3_cry_3_0)) + (portRef C0 (instanceRef un1_i_3_cry_3_0)) + (portRef B0 (instanceRef un1_i_3_cry_3_0)) + (portRef C1 (instanceRef un1_i_3_cry_1_0)) + (portRef B1 (instanceRef un1_i_3_cry_1_0)) + (portRef C0 (instanceRef un1_i_3_cry_1_0)) + (portRef B0 (instanceRef un1_i_3_cry_1_0)) + (portRef CIN (instanceRef un1_i_3_cry_0_0)) + (portRef C1 (instanceRef un1_i_3_cry_0_0)) + (portRef B1 (instanceRef un1_i_3_cry_0_0)) + (portRef C0 (instanceRef un1_i_3_cry_0_0)) + (portRef A0 (instanceRef un1_i_3_cry_0_0)) (portRef C1 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) (portRef B1 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) (portRef A1 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) @@ -912647,175 +913959,83 @@ (portRef B1 (instanceRef un1_spurious_trg_pulse_cry_0_0)) (portRef C0 (instanceRef un1_spurious_trg_pulse_cry_0_0)) (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_0_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef A1 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef A1 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef C1 (instanceRef un1_idle_i_s_23_0)) - (portRef B1 (instanceRef un1_idle_i_s_23_0)) - (portRef A1 (instanceRef un1_idle_i_s_23_0)) - (portRef C0 (instanceRef un1_idle_i_s_23_0)) - (portRef B0 (instanceRef un1_idle_i_s_23_0)) - (portRef C1 (instanceRef un1_idle_i_cry_21_0)) - (portRef B1 (instanceRef un1_idle_i_cry_21_0)) - (portRef C0 (instanceRef un1_idle_i_cry_21_0)) - (portRef B0 (instanceRef un1_idle_i_cry_21_0)) - (portRef C1 (instanceRef un1_idle_i_cry_19_0)) - (portRef B1 (instanceRef un1_idle_i_cry_19_0)) - (portRef C0 (instanceRef un1_idle_i_cry_19_0)) - (portRef B0 (instanceRef un1_idle_i_cry_19_0)) - (portRef C1 (instanceRef un1_idle_i_cry_17_0)) - (portRef B1 (instanceRef un1_idle_i_cry_17_0)) - (portRef C0 (instanceRef un1_idle_i_cry_17_0)) - (portRef B0 (instanceRef un1_idle_i_cry_17_0)) - (portRef C1 (instanceRef un1_idle_i_cry_15_0)) - (portRef B1 (instanceRef un1_idle_i_cry_15_0)) - (portRef C0 (instanceRef un1_idle_i_cry_15_0)) - (portRef B0 (instanceRef un1_idle_i_cry_15_0)) - (portRef C1 (instanceRef un1_idle_i_cry_13_0)) - (portRef B1 (instanceRef un1_idle_i_cry_13_0)) - (portRef C0 (instanceRef un1_idle_i_cry_13_0)) - (portRef B0 (instanceRef un1_idle_i_cry_13_0)) - (portRef C1 (instanceRef un1_idle_i_cry_11_0)) - (portRef B1 (instanceRef un1_idle_i_cry_11_0)) - (portRef C0 (instanceRef un1_idle_i_cry_11_0)) - (portRef B0 (instanceRef un1_idle_i_cry_11_0)) - (portRef C1 (instanceRef un1_idle_i_cry_9_0)) - (portRef B1 (instanceRef un1_idle_i_cry_9_0)) - (portRef C0 (instanceRef un1_idle_i_cry_9_0)) - (portRef B0 (instanceRef un1_idle_i_cry_9_0)) - (portRef C1 (instanceRef un1_idle_i_cry_7_0)) - (portRef B1 (instanceRef un1_idle_i_cry_7_0)) - (portRef C0 (instanceRef un1_idle_i_cry_7_0)) - (portRef B0 (instanceRef un1_idle_i_cry_7_0)) - (portRef C1 (instanceRef un1_idle_i_cry_5_0)) - (portRef B1 (instanceRef un1_idle_i_cry_5_0)) - (portRef C0 (instanceRef un1_idle_i_cry_5_0)) - (portRef B0 (instanceRef un1_idle_i_cry_5_0)) - (portRef C1 (instanceRef un1_idle_i_cry_3_0)) - (portRef B1 (instanceRef un1_idle_i_cry_3_0)) - (portRef C0 (instanceRef un1_idle_i_cry_3_0)) - (portRef B0 (instanceRef un1_idle_i_cry_3_0)) - (portRef C1 (instanceRef un1_idle_i_cry_1_0)) - (portRef B1 (instanceRef un1_idle_i_cry_1_0)) - (portRef C0 (instanceRef un1_idle_i_cry_1_0)) - (portRef B0 (instanceRef un1_idle_i_cry_1_0)) - (portRef CIN (instanceRef un1_idle_i_cry_0_0)) - (portRef C1 (instanceRef un1_idle_i_cry_0_0)) - (portRef B1 (instanceRef un1_idle_i_cry_0_0)) - (portRef C0 (instanceRef un1_idle_i_cry_0_0)) - (portRef A0 (instanceRef un1_idle_i_cry_0_0)) + (portRef C1 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef B1 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef A1 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef C0 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef B0 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_0)) )) (net id_0_31 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_31)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_31)) )) - (net un1_i_2_cry_29_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_29_0)) + (net un1_i_3_cry_29_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_29_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_29)) )) (net reset_i_fast_r0 (joined @@ -912825,24 +914045,24 @@ (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_8)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_10)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_9)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_17)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_18)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_22)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_21)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_23)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_24)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_26)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_25)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_30)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_29)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_31)) @@ -912851,215 +914071,215 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_29)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_29)) )) - (net un1_i_2_cry_29_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_29_0)) + (net un1_i_3_cry_29_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_29_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_30)) )) (net id_0_30 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_30)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_30)) )) - (net un1_i_2_cry_27_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_27_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) - )) - (net id_0_28 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_28)) - )) - (net un1_i_2_cry_27_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_27_0)) + (net un1_i_3_cry_27_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_27_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) )) (net id_0_27 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_27)) )) - (net un1_i_2_cry_25_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_25_0)) + (net un1_i_3_cry_27_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_27_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) + )) + (net id_0_28 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_28)) + )) + (net un1_i_3_cry_25_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_25_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_25)) )) (net id_0_25 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_25)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_25)) )) - (net un1_i_2_cry_25_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_25_0)) + (net un1_i_3_cry_25_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_25_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_26)) )) (net id_0_26 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_26)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_26)) )) - (net un1_i_2_cry_23_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_23_0)) + (net un1_i_3_cry_23_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_23_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_24)) )) (net id_0_24 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_24)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_24)) )) - (net un1_i_2_cry_23_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_23_0)) + (net un1_i_3_cry_23_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_23_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_23)) )) (net id_0_23 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_23)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_23)) )) - (net un1_i_2_cry_21_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_21_0)) + (net un1_i_3_cry_21_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_21_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_21)) )) (net id_0_21 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_21)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_21)) )) - (net un1_i_2_cry_21_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_21_0)) + (net un1_i_3_cry_21_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_21_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_22)) )) (net id_0_22 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_22)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_22)) )) - (net un1_i_2_cry_19_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_19_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) - )) - (net id_0_20 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_20)) - )) - (net un1_i_2_cry_19_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_19_0)) + (net un1_i_3_cry_19_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_19_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) )) (net id_0_19 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_19)) )) - (net un1_i_2_cry_17_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_17_0)) + (net un1_i_3_cry_19_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_19_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) + )) + (net id_0_20 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_20)) + )) + (net un1_i_3_cry_17_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_17_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_18)) )) (net id_0_18 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_18)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_18)) )) - (net un1_i_2_cry_17_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_17_0)) + (net un1_i_3_cry_17_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_17_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_17)) )) (net id_0_17 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_17)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_17)) )) - (net un1_i_2_cry_15_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_15_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) - )) - (net id_0_15 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_15)) - )) - (net un1_i_2_cry_15_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_15_0)) + (net un1_i_3_cry_15_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_15_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) )) (net id_0_16 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_16)) )) - (net un1_i_2_cry_13_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_13_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) + (net un1_i_3_cry_15_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_15_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) )) - (net id_0_13 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_13)) + (net id_0_15 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_15)) )) - (net un1_i_2_cry_13_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_13_0)) + (net un1_i_3_cry_13_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_13_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) )) (net id_0_14 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_14)) )) - (net un1_i_2_cry_11_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_11_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) + (net un1_i_3_cry_13_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_13_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) )) - (net id_0_12 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_12)) + (net id_0_13 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_13)) )) - (net un1_i_2_cry_11_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_11_0)) + (net un1_i_3_cry_11_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_11_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) )) (net id_0_11 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_11)) )) - (net un1_i_2_cry_9_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_9_0)) + (net un1_i_3_cry_11_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_11_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) + )) + (net id_0_12 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_12)) + )) + (net un1_i_3_cry_9_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_9_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_9)) )) (net id_0_9 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_9)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_9)) )) - (net un1_i_2_cry_9_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_9_0)) + (net un1_i_3_cry_9_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_9_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_10)) )) (net id_0_10 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_10)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_10)) )) - (net un1_i_2_cry_7_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_7_0)) + (net un1_i_3_cry_7_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_7_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_8)) )) (net id_0_8 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_8)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_8)) )) - (net un1_i_2_cry_7_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_7_0)) + (net un1_i_3_cry_7_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_7_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_7)) )) (net id_0_7 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_7)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_7)) )) - (net un1_i_2_cry_5_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_5_0)) + (net un1_i_3_cry_5_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_5_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_6)) )) (net id_0_6 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_6)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_6)) )) - (net un1_i_2_cry_3_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_3_0)) + (net un1_i_3_cry_3_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_3_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_4)) )) (net id_0_4 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_4)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_4)) )) - (net un1_i_2_cry_3_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_3_0)) + (net un1_i_3_cry_3_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_3_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_3)) )) (net reset_i_fast_r1 (joined (portRef reset_i_fast_r1) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_0)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_3)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_4)) )) @@ -913067,94 +914287,348 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_3)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_3)) )) - (net un1_i_2_cry_1_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_1_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) - )) - (net id_0_2 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_2)) - )) - (net un1_i_2_cry_1_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_1_0)) + (net un1_i_3_cry_1_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_1_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) )) (net id_0_1 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_1)) )) - (net un1_i_2_cry_0_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_0_0)) + (net un1_i_3_cry_1_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_1_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) + )) + (net id_0_2 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_2)) + )) + (net un1_i_3_cry_0_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_0_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_0)) )) (net id_0_0 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_0)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_0)) )) - (net FSM_CURRENT_11 (joined - (portRef Q (instanceRef FSM_CURRENT_11)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_4_0_1)) - (portRef B (instanceRef wait_i_RNO)) - (portRef D (instanceRef FSM_CURRENT_10)) - (portRef A (instanceRef fsm_debug_fsm_i_0_RNO_2)) + (net wr_header_i (joined + (portRef Q (instanceRef wr_header_i)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_31)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_30)) + (portRef C (instanceRef un1_wr_header_i_2_i_2)) + (portRef C (instanceRef un1_wr_header_i_2_i_a3_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_23)) + (portRef B (instanceRef wr_header_i_RNI41LN)) + (portRef B (instanceRef data_wr_reg_RNO)) + (portRef B (instanceRef data_out_reg_RNO_0_28)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_26)) + (portRef A (instanceRef data_out_reg_RNO_29)) )) - (net FSM_CURRENT_10 (joined - (portRef Q (instanceRef FSM_CURRENT_10)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_8)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_4_0_1)) - (portRef A (instanceRef wait_i_RNO)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_0)) - (portRef A (instanceRef wrong_readout_fsm_0_sqmuxa_i_i_a2)) - (portRef B (instanceRef fsm_debug_fsm_i_0_RNO_2)) + (net data_out_reg_3_29 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_29)) + (portRef B (instanceRef data_out_reg_RNO_29)) )) - (net FSM_CURRENT_12 (joined - (portRef Q (instanceRef FSM_CURRENT_12)) - (portRef B (instanceRef fsm_debug_reg_RNO_0)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_0)) - (portRef A (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_11)) + (net wr_ch_data_reg (joined + (portRef Q (instanceRef wr_ch_data_reg)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_30)) + (portRef B (instanceRef un1_wr_header_i_2_i_2)) + (portRef B (instanceRef un1_wr_header_i_2_i_a3_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_23)) + (portRef A (instanceRef i_1_sqmuxa_0_a2)) + (portRef A (instanceRef wr_header_i_RNI41LN)) + (portRef C (instanceRef data_wr_reg_RNO)) + (portRef C (instanceRef data_out_reg_RNO_0_28)) + (portRef C (instanceRef wr_status_i_RNI6G671)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_26)) + (portRef C (instanceRef data_out_reg_RNO_29)) + )) + (net data_out_reg_22_0_i_29 (joined + (portRef Z (instanceRef data_out_reg_RNO_29)) + (portRef D (instanceRef data_out_reg_29)) + )) + (net data_out_reg_5_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_5_sqmuxa_0_a2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_4_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_26)) + )) + (net data_out_reg_3_26 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_26)) + )) + (net data_out_reg_22_1_iv_0_a13_0_26 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_26)) + )) + (net FSM_CURRENT_3 (joined + (portRef Q (instanceRef FSM_CURRENT_3)) + (portRef A (instanceRef fsm_debug_fsm_i_0_1_0_2)) + (portRef B (instanceRef rd_en_fsm_0_a2_i_o2_1_23)) (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_4_1)) - (portRef A (instanceRef fsm_debug_fsm_i_0_a2_0_2)) - (portRef D (instanceRef fsm_debug_fsm_i_0_RNO_2)) + (portRef C (instanceRef FSM_CURRENT_RNIRSKJ_5)) + (portRef A (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1)) + (portRef A (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0)) )) - (net fsm_debug_fsm_i_0_2_2 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_0_RNO_2)) - (portRef C (instanceRef fsm_debug_fsm_i_0_2)) + (net un1_FSM_CURRENT_i_a2_3 (joined + (portRef Z (instanceRef un1_FSM_CURRENT_i_a2_3)) + (portRef C (instanceRef un1_FSM_CURRENT_i_a2)) + (portRef B (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1)) + (portRef B (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0)) + )) + (net fifo_nr_next_1 (joined + (portRef Q (instanceRef fifo_nr_next_1)) + (portRef B (instanceRef un1_FSM_CURRENT_i_a2)) + (portRef D (instanceRef fifo_nr_1_1)) + (portRef D (instanceRef fifo_nr_1)) + (portRef C (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1)) + (portRef C (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0)) + )) + (net fifo_nr_next_0 (joined + (portRef Q (instanceRef fifo_nr_next_0)) + (portRef A (instanceRef un1_FSM_CURRENT_i_a2)) + (portRef D (instanceRef fifo_nr_1_0)) + (portRef D (instanceRef fifo_nr_0)) + (portRef D (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1)) + (portRef D (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0)) + )) + (net N_768 (joined + (portRef Z (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0)) + (portRef C (instanceRef fsm_debug_fsm_i_0_0)) + (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_12)) + (portRef B (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) + (portRef B (instanceRef data_finished_fsm_0_i_s_0)) + )) + (net N_678_0 (joined + (portRef Z (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1)) + (portRef D (instanceRef FSM_CURRENT_2)) + (portRef D (instanceRef updt_mask_i)) + )) + (net FSM_CURRENT_4 (joined + (portRef Q (instanceRef FSM_CURRENT_4)) + (portRef B (instanceRef readout_fsm_i_a3_0_a3_0_a2_0)) + (portRef D (instanceRef FSM_CURRENT_6)) + (portRef C (instanceRef readout_i_RNO)) + (portRef A (instanceRef wr_header_i_RNO)) )) (net FSM_CURRENT_14 (joined (portRef Q (instanceRef FSM_CURRENT_14)) (portRef A (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_0)) - (portRef C (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_4)) - (portRef A (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9)) - (portRef B (instanceRef wr_header_fsm_0_i_s_0)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_1)) - (portRef A (instanceRef start_trg_win_cnt_i_RNO)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_a2_1_8)) + (portRef A (instanceRef FSM_CURRENT_RNO_13)) + (portRef A (instanceRef fsm_debug_fsm_i_0_a2_0)) + (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_3_0_1)) + (portRef B (instanceRef fsm_debug_fsm_a3_0_a3_0_a2_4)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_2_8)) + (portRef A (instanceRef wr_header_fsm_0_i_s_0_a2)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_i_0_o2_13)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_a2_2_8)) (portRef D (instanceRef idle_i)) + (portRef B (instanceRef start_trg_win_cnt_i_RNO)) (portRef D (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) - (portRef A (instanceRef FSM_CURRENT_RNO_0_13)) + (portRef C (instanceRef FSM_CURRENT_RNO_0_13)) + (portRef D (instanceRef wr_header_i_RNO)) + )) + (net wr_header_i_RNO (joined + (portRef Z (instanceRef wr_header_i_RNO)) + (portRef D (instanceRef wr_header_i)) + )) + (net FSM_CURRENT_1 (joined + (portRef Q (instanceRef FSM_CURRENT_1)) + (portRef A (instanceRef rd_en_fsm_0_a2_i_o2_0_23)) + (portRef A (instanceRef rd_en_fsm_i_0_o2_0_19)) + (portRef B (instanceRef FSM_CURRENT_RNO_0)) + (portRef A (instanceRef readout_i_RNO)) + )) + (net FSM_CURRENT_0 (joined + (portRef Q (instanceRef FSM_CURRENT_0)) + (portRef A (instanceRef FSM_CURRENT_RNO_0)) + (portRef A (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) + (portRef A (instanceRef updt_index_i_RNO)) + (portRef A (instanceRef rd_en_fsm_0_a2_i_o2_1_23)) + (portRef A (instanceRef wr_ch_data_i_RNO)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_i_a3_0_o2_5)) + (portRef B (instanceRef readout_i_RNO)) + )) + (net FSM_CURRENT_2 (joined + (portRef Q (instanceRef FSM_CURRENT_2)) + (portRef B (instanceRef rd_en_fsm_0_a2_i_o2_0_23)) + (portRef B (instanceRef rd_en_fsm_i_0_o2_0_19)) + (portRef A (instanceRef readout_fsm_i_a3_0_a3_0_a2_0)) + (portRef A (instanceRef fsm_debug_fsm_i_0_o2_0_2)) + (portRef D (instanceRef FSM_CURRENT_1)) + (portRef D (instanceRef readout_i_RNO)) + )) + (net N_701_i (joined + (portRef Z (instanceRef readout_i_RNO)) + (portRef D (instanceRef readout_i)) + )) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef A (instanceRef wr_status_i_RNI6G671)) + )) + (net wr_status_i (joined + (portRef Q (instanceRef wr_status_i)) + (portRef D (instanceRef un1_wr_header_i_2_i_2)) + (portRef B (instanceRef i_1_sqmuxa_0_a2)) + (portRef A (instanceRef data_wr_reg_RNO)) + (portRef B (instanceRef wr_status_i_RNI6G671)) + )) + (net i_1_sqmuxa (joined + (portRef Z (instanceRef wr_status_i_RNI6G671)) + (portRef SP (instanceRef Data_Out_MUX_i_31)) + (portRef SP (instanceRef Data_Out_MUX_i_30)) + (portRef SP (instanceRef Data_Out_MUX_i_29)) + (portRef SP (instanceRef Data_Out_MUX_i_28)) + (portRef SP (instanceRef Data_Out_MUX_i_27)) + (portRef SP (instanceRef Data_Out_MUX_i_26)) + (portRef SP (instanceRef Data_Out_MUX_i_25)) + (portRef SP (instanceRef Data_Out_MUX_i_24)) + (portRef SP (instanceRef Data_Out_MUX_i_23)) + (portRef SP (instanceRef Data_Out_MUX_i_22)) + (portRef SP (instanceRef Data_Out_MUX_i_21)) + (portRef SP (instanceRef Data_Out_MUX_i_20)) + (portRef SP (instanceRef Data_Out_MUX_i_19)) + (portRef SP (instanceRef Data_Out_MUX_i_18)) + (portRef SP (instanceRef Data_Out_MUX_i_17)) + (portRef SP (instanceRef Data_Out_MUX_i_16)) + (portRef SP (instanceRef Data_Out_MUX_i_15)) + (portRef SP (instanceRef Data_Out_MUX_i_14)) + (portRef SP (instanceRef Data_Out_MUX_i_13)) + (portRef SP (instanceRef Data_Out_MUX_i_12)) + (portRef SP (instanceRef Data_Out_MUX_i_11)) + (portRef SP (instanceRef Data_Out_MUX_i_10)) + (portRef SP (instanceRef Data_Out_MUX_i_9)) + (portRef SP (instanceRef Data_Out_MUX_i_8)) + (portRef SP (instanceRef Data_Out_MUX_i_7)) + (portRef SP (instanceRef Data_Out_MUX_i_6)) + (portRef SP (instanceRef Data_Out_MUX_i_5)) + (portRef SP (instanceRef Data_Out_MUX_i_4)) + (portRef SP (instanceRef Data_Out_MUX_i_3)) + (portRef SP (instanceRef Data_Out_MUX_i_2)) + (portRef SP (instanceRef Data_Out_MUX_i_1)) + (portRef SP (instanceRef Data_Out_MUX_i_0)) )) (net FSM_CURRENT_13 (joined (portRef Q (instanceRef FSM_CURRENT_13)) - (portRef A (instanceRef FSM_CURRENT_RNO_13)) - (portRef B (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_4)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_1)) - (portRef D (instanceRef fsm_debug_fsm_i_0_1_2)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_3_0)) - (portRef A (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_4)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_4_1)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_i_0_o2_13)) - (portRef C (instanceRef FSM_CURRENT_RNO_0_13)) + (portRef A (instanceRef fsm_debug_fsm_a3_0_a3_0_a2_4)) + (portRef B (instanceRef fsm_debug_fsm_i_0_0_0)) + (portRef A (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_4)) + (portRef B (instanceRef fsm_debug_fsm_i_0_o2_2)) + (portRef A (instanceRef FSM_CURRENT_RNO_0_13)) )) - (net N_530 (joined + (net trg_timing_valid_i (joined + (portRef trg_timing_valid_i) + (portRef trg_timing_valid_i (instanceRef edge_to_pulse_1)) + (portRef trg_timing_valid_i (instanceRef Valid_timing_trigger_sync)) + (portRef trg_timing_valid_i (instanceRef The_Reference_Time)) + (portRef D (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) + (portRef C (instanceRef wr_header_fsm_0_i_s_0_a2)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_i_0_o2_13)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_a2_2_8)) + (portRef SP (instanceRef ref_time_coarse_10)) + (portRef SP (instanceRef ref_time_coarse_9)) + (portRef SP (instanceRef ref_time_coarse_8)) + (portRef SP (instanceRef ref_time_coarse_7)) + (portRef SP (instanceRef ref_time_coarse_6)) + (portRef SP (instanceRef ref_time_coarse_5)) + (portRef SP (instanceRef ref_time_coarse_4)) + (portRef SP (instanceRef ref_time_coarse_3)) + (portRef SP (instanceRef ref_time_coarse_2)) + (portRef SP (instanceRef ref_time_coarse_1)) + (portRef SP (instanceRef ref_time_coarse_0)) + (portRef A (instanceRef start_trg_win_cnt_i_RNO)) + (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) + (portRef B (instanceRef FSM_CURRENT_RNO_0_13)) + (portRef B (instanceRef wr_header_i_RNO)) + )) + (net N_697 (joined (portRef Z (instanceRef FSM_CURRENT_RNO_0_13)) (portRef B (instanceRef FSM_CURRENT_RNO_13)) )) - (net N_535 (joined + (net FSM_CURRENT_5 (joined + (portRef Q (instanceRef FSM_CURRENT_5)) + (portRef B (instanceRef fsm_debug_fsm_i_0_1_0_2)) + (portRef D (instanceRef FSM_CURRENT_3)) + (portRef A (instanceRef FSM_CURRENT_RNIRSKJ_5)) + )) + (net FSM_CURRENT_6 (joined + (portRef Q (instanceRef FSM_CURRENT_6)) + (portRef A (instanceRef FSM_CURRENT_RNO_5)) + (portRef B (instanceRef updt_index_i_RNO)) + (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_4_1)) + (portRef B (instanceRef FSM_CURRENT_RNIRSKJ_5)) + )) + (net N_3065 (joined + (portRef Z (instanceRef FSM_CURRENT_RNIRSKJ_5)) + (portRef D (instanceRef wait_i_RNO)) + (portRef C (instanceRef fsm_debug_reg_RNO_3)) + (portRef D (instanceRef fsm_debug_fsm_a3_0_a3_0_a2_4)) + )) + (net trg_notiming_valid_i (joined + (portRef trg_notiming_valid_i) + (portRef trg_notiming_valid_i (instanceRef edge_to_pulse_2)) + (portRef D (instanceRef fsm_debug_fsm_i_0_2)) + (portRef C (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) + (portRef D (instanceRef fsm_debug_fsm_i_0_a2_0)) + (portRef D (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) + (portRef B (instanceRef wr_header_fsm_0_i_s_0_a2)) + (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) + (portRef C (instanceRef wr_header_i_RNO)) + )) + (net N_753 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_14)) )) + (net ctrl_reg_51 (joined + (portRef ctrl_reg_51) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_31)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_30)) + (portRef D (instanceRef un1_wr_header_i_2_i_a3_1)) + (portRef A (instanceRef data_out_reg_RNO_0_28)) + (portRef D (instanceRef data_out_reg_RNO_29)) + )) + (net N_2872 (joined + (portRef Z (instanceRef data_out_reg_RNO_0_28)) + (portRef C (instanceRef data_out_reg_RNO_28)) + )) + (net N_2594 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_a3_1)) + (portRef A (instanceRef un1_wr_header_i_2_i_2)) + (portRef D (instanceRef data_wr_reg_RNO)) + )) + (net N_8_i (joined + (portRef Z (instanceRef data_wr_reg_RNO)) + (portRef D (instanceRef data_wr_reg)) + )) + (net N_528_i (joined + (portRef Z (instanceRef start_trg_win_cnt_i_RNO)) + (portRef D (instanceRef start_trg_win_cnt_i)) + )) (net un1_wrong_readout_i_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_wrong_readout_i_cry_0_0)) (portRef D (instanceRef wrong_readout_number_0)) @@ -913251,7 +914725,7 @@ (portRef S0 (instanceRef un1_wrong_readout_i_s_23_0)) (portRef D (instanceRef wrong_readout_number_23)) )) - (net N_517 (joined + (net N_686 (joined (portRef Z (instanceRef wrong_readout_fsm_0_sqmuxa_i_i_a2)) (portRef D (instanceRef wrong_readout_i)) )) @@ -913259,59 +914733,17 @@ (portRef Q (instanceRef wrong_readout_i)) (portRef B0 (instanceRef un1_wrong_readout_i_cry_0_0)) )) - (net wr_header_fsm_0_i_s_0 (joined - (portRef Z (instanceRef wr_header_fsm_0_i_s_0)) - (portRef D (instanceRef wr_header_i)) - )) - (net wr_header_i (joined - (portRef Q (instanceRef wr_header_i)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_f0_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_f0_31)) - (portRef D (instanceRef data_out_reg_RNO_1)) - (portRef C (instanceRef data_out_reg_RNO_30)) - (portRef C (instanceRef data_out_reg_RNO_28)) - (portRef D (instanceRef data_out_reg_RNO_27)) - (portRef D (instanceRef data_out_reg_RNO_26)) - (portRef D (instanceRef data_out_reg_RNO_25)) - (portRef D (instanceRef data_out_reg_RNO_24)) - (portRef D (instanceRef data_out_reg_RNO_23)) - (portRef D (instanceRef data_out_reg_RNO_22)) - (portRef D (instanceRef data_out_reg_RNO_21)) - (portRef D (instanceRef data_out_reg_RNO_20)) - (portRef D (instanceRef data_out_reg_RNO_19)) - (portRef D (instanceRef data_out_reg_RNO_18)) - (portRef D (instanceRef data_out_reg_RNO_17)) - (portRef D (instanceRef data_out_reg_RNO_16)) - (portRef D (instanceRef data_out_reg_RNO_15)) - (portRef D (instanceRef data_out_reg_RNO_14)) - (portRef D (instanceRef data_out_reg_RNO_13)) - (portRef D (instanceRef data_out_reg_RNO_12)) - (portRef D (instanceRef data_out_reg_RNO_11)) - (portRef D (instanceRef data_out_reg_RNO_10)) - (portRef D (instanceRef data_out_reg_RNO_9)) - (portRef D (instanceRef data_out_reg_RNO_8)) - (portRef D (instanceRef data_out_reg_RNO_7)) - (portRef D (instanceRef data_out_reg_RNO_6)) - (portRef D (instanceRef data_out_reg_RNO_5)) - (portRef D (instanceRef data_out_reg_RNO_4)) - (portRef D (instanceRef data_out_reg_RNO_3)) - (portRef D (instanceRef data_out_reg_RNO_2)) - (portRef D (instanceRef data_out_reg_RNO_0)) - (portRef C (instanceRef Data_Out_MUX_data_wr_reg_4_N_7_i)) + (net wr_status_fsm_1_sqmuxa (joined + (portRef Z (instanceRef wr_status_fsm_1_sqmuxa_0_a2_0_a2_0_a2)) + (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_9)) + (portRef D (instanceRef fsm_debug_fsm_i_0_4_0)) + (portRef D (instanceRef wr_status_i)) )) (net wr_ch_data_i (joined (portRef Q (instanceRef wr_ch_data_i)) (portRef D (instanceRef wr_ch_data_reg)) )) - (net wr_ch_data_reg (joined - (portRef Q (instanceRef wr_ch_data_reg)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_f0_29)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_f0_31)) - (portRef B (instanceRef data_out_reg_RNO_30)) - (portRef B (instanceRef data_out_reg_RNO_28)) - (portRef B (instanceRef Data_Out_MUX_data_wr_reg_4_N_7_i)) - )) - (net N_353_i (joined + (net N_514_i (joined (portRef Z (instanceRef wr_ch_data_i_RNO)) (portRef D (instanceRef wr_ch_data_i)) )) @@ -913411,7 +914843,7 @@ (portRef S0 (instanceRef un1_readout_i_2_s_23_0)) (portRef D (instanceRef wait_time_23)) )) - (net N_631_i (joined + (net N_702_i (joined (portRef Z (instanceRef wait_i_RNO)) (portRef D (instanceRef wait_i)) )) @@ -913611,17 +915043,11 @@ (portRef S0 (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) (portRef D (instanceRef valid_NOtmg_trig_number_23)) )) - (net N_592_0 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_i_1_0_a2_0_a2_2)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_1_0)) - (portRef D (instanceRef FSM_CURRENT_2)) - (portRef D (instanceRef updt_mask_i)) - )) (net updt_mask_i (joined (portRef Q (instanceRef updt_mask_i)) - (portRef B (instanceRef updt_mask_i_RNID6SI)) + (portRef B (instanceRef updt_mask_i_RNIF6SI)) )) - (net N_78_i (joined + (net N_2523_i (joined (portRef Z (instanceRef updt_index_i_RNO)) (portRef D (instanceRef updt_index_i)) )) @@ -913629,19 +915055,20 @@ (portRef Q (instanceRef updt_index_i)) (portRef SP (instanceRef fifo_nr_5)) (portRef SP (instanceRef fifo_nr_1_4)) - (portRef SP (instanceRef fifo_nr_1_3)) (portRef SP (instanceRef fifo_nr_1_2)) + (portRef SP (instanceRef fifo_nr_1_1)) + (portRef SP (instanceRef fifo_nr_1_0)) (portRef SP (instanceRef fifo_nr_2_4)) + (portRef SP (instanceRef fifo_nr_4)) + (portRef SP (instanceRef fifo_nr_1_3)) (portRef SP (instanceRef fifo_nr_2_3)) (portRef SP (instanceRef fifo_nr_3_4)) - (portRef SP (instanceRef fifo_nr_5_4)) - (portRef SP (instanceRef fifo_nr_4)) + (portRef SP (instanceRef fifo_nr_3_3)) (portRef SP (instanceRef fifo_nr_4_4)) - (portRef SP (instanceRef fifo_nr_4_3)) + (portRef SP (instanceRef fifo_nr_5_4)) (portRef SP (instanceRef fifo_nr_6_4)) - (portRef SP (instanceRef fifo_nr_3)) - (portRef SP (instanceRef fifo_nr_3_3)) (portRef SP (instanceRef fifo_nr_7_4)) + (portRef SP (instanceRef fifo_nr_3)) (portRef SP (instanceRef fifo_nr_2)) (portRef SP (instanceRef fifo_nr_1)) (portRef SP (instanceRef fifo_nr_0)) @@ -913748,7 +915175,7 @@ )) (net trg_win_r (joined (portRef Q (instanceRef trg_win_r)) - (portRef B (instanceRef Data_Out_MUX_data_wr_reg_4_m3)) + (portRef B (instanceRef Data_Out_MUX_data_wr_reg_4_m4)) )) (net un1_channel_hit_time_i (joined (portRef S0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_10_0)) @@ -913756,19 +915183,19 @@ )) (net trg_win_l (joined (portRef Q (instanceRef trg_win_l)) - (portRef A (instanceRef Data_Out_MUX_data_wr_reg_4_m3)) + (portRef A (instanceRef Data_Out_MUX_data_wr_reg_4_m4)) )) - (net trg_win_cnt_up_i_2_0_i (joined - (portRef Z (instanceRef trg_win_cnt_up_i_2_0_i)) + (net trg_win_cnt_up_i_1_0_i (joined + (portRef Z (instanceRef trg_win_cnt_up_i_1_0_i)) (portRef D (instanceRef trg_win_cnt_up_i)) )) (net trg_win_cnt_up_i (joined (portRef Q (instanceRef trg_win_cnt_up_i)) (portRef B0 (instanceRef un1_trg_win_cnt_up_i_cry_0_0)) - (portRef C (instanceRef trg_win_cnt_up_i_2_0_i)) - (portRef C (instanceRef trg_win_cnt_up_i_2_0)) + (portRef C (instanceRef trg_win_cnt_up_i_1_0_i)) + (portRef C (instanceRef trg_win_cnt_up_i_1_0)) )) - (net N_41_i (joined + (net N_2888_i (joined (portRef Z (instanceRef trg_win_cnt_RNO_0)) (portRef D (instanceRef trg_win_cnt_0)) )) @@ -913914,8 +915341,8 @@ )) (net FSM_CURRENT_8 (joined (portRef Q (instanceRef FSM_CURRENT_8)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_1)) - (portRef B (instanceRef fsm_debug_fsm_i_a3_0_a2_4_a2_1_3)) + (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_3_0_1)) + (portRef A (instanceRef fsm_debug_fsm_i_a3_0_a3_0_a2_0_3)) (portRef D (instanceRef FSM_CURRENT_7)) (portRef D (instanceRef trg_release_reg)) )) @@ -914019,29 +915446,64 @@ (portRef S0 (instanceRef un1_empty_channels_s_23_0)) (portRef D (instanceRef total_empty_channel_23)) )) - (net N_2282_i (joined - (portRef Z (instanceRef start_trg_win_cnt_i_RNO)) - (portRef D (instanceRef start_trg_win_cnt_i)) + (net data_out_reg_9_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_9_sqmuxa_0_a2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_1_6)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_1_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_2)) + (portRef D (instanceRef stop_status_i)) + )) + (net stop_status_i_0_sqmuxa_i (joined + (portRef Z (instanceRef stop_status_i_0_sqmuxa_i)) + (portRef SP (instanceRef stop_status_i)) + )) + (net stop_status_i (joined + (portRef Q (instanceRef stop_status_i)) + (portRef C (instanceRef data_finished_fsm_0_i_s_0)) + (portRef C (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_2_8)) + (portRef B (instanceRef wr_status_fsm_1_sqmuxa_0_a2_0_a2_0_a2)) )) (net start_trg_win_cnt_i (joined (portRef Q (instanceRef start_trg_win_cnt_i)) (portRef C (instanceRef trg_win_cntd_15)) - (portRef C (instanceRef trg_win_cntd_14)) (portRef C (instanceRef trg_win_cntd_13)) - (portRef C (instanceRef trg_win_cntd_11)) + (portRef C (instanceRef trg_win_cntd_14)) (portRef C (instanceRef trg_win_cntd_12)) + (portRef C (instanceRef trg_win_cntd_11)) (portRef C (instanceRef trg_win_cntd_10)) (portRef C (instanceRef trg_win_cntd_9)) - (portRef C (instanceRef trg_win_cntd_8)) (portRef C (instanceRef trg_win_cntd_7)) - (portRef C (instanceRef trg_win_cntd_5)) + (portRef C (instanceRef trg_win_cntd_8)) (portRef C (instanceRef trg_win_cntd_6)) - (portRef C (instanceRef trg_win_cntd_3)) + (portRef C (instanceRef trg_win_cntd_5)) (portRef C (instanceRef trg_win_cntd_4)) - (portRef C (instanceRef trg_win_cntd_2)) + (portRef C (instanceRef trg_win_cntd_3)) (portRef C (instanceRef trg_win_cntd_1)) - (portRef B (instanceRef trg_win_cnt_up_i_2_0_i)) - (portRef B (instanceRef trg_win_cnt_up_i_2_0)) + (portRef C (instanceRef trg_win_cntd_2)) + (portRef B (instanceRef trg_win_cnt_up_i_1_0_i)) + (portRef B (instanceRef trg_win_cnt_up_i_1_0)) (portRef C (instanceRef trg_win_cnt_RNO_0)) )) (net un1_spurious_trg_pulse_cry_0_0_S1 (joined @@ -914238,24 +915700,24 @@ )) (net reset_tdc_rep2_9 (joined (portRef Q (instanceRef reset_tdc_rep2_9)) - (portRef reset_tdc_rep2_9 (instanceRef GEN_Channels_31_Channels)) + (portRef reset_tdc_rep2_9 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep2_8 (joined (portRef Q (instanceRef reset_tdc_rep2_8)) - (portRef reset_tdc_rep2_8 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_rep2_8 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_tdc_rep2_8 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_rep2_8 (instanceRef GEN_Channels_26_Channels)) )) (net reset_tdc_rep2_7 (joined (portRef Q (instanceRef reset_tdc_rep2_7)) - (portRef reset_tdc_rep2_7 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_tdc_rep2_7 (instanceRef GEN_Channels_26_Channels)) )) (net reset_tdc_rep2_6 (joined (portRef Q (instanceRef reset_tdc_rep2_6)) - (portRef reset_tdc_rep2_6 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_tdc_rep2_6 (instanceRef GEN_Channels_26_Channels)) )) (net reset_tdc_rep2_5 (joined (portRef Q (instanceRef reset_tdc_rep2_5)) - (portRef reset_tdc_rep2_5 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_tdc_rep2_5 (instanceRef GEN_Channels_26_Channels)) (portRef reset_tdc_rep2_5 (instanceRef The_Reference_Time)) )) (net reset_tdc_rep2_4 (joined @@ -914265,27 +915727,27 @@ (net reset_tdc_rep2_36 (joined (portRef Q (instanceRef reset_tdc_rep2_36)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_24_Channels)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_27_Channels)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_25_Channels)) - (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_28_Channels)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_rep2_36 (instanceRef The_Reference_Time)) )) (net reset_tdc_rep2_35 (joined (portRef Q (instanceRef reset_tdc_rep2_35)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_24_Channels)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_27_Channels)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_25_Channels)) - (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_28_Channels)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_rep2_35 (instanceRef The_Reference_Time)) )) (net reset_tdc_rep2_34 (joined @@ -914299,15 +915761,15 @@ (net reset_tdc_rep2_32 (joined (portRef Q (instanceRef reset_tdc_rep2_32)) (portRef reset_tdc_rep2_32 (instanceRef GEN_Channels_29_Channels)) - (portRef reset_tdc_rep2_32 (instanceRef GEN_Channels_24_Channels)) + (portRef reset_tdc_rep2_32 (instanceRef GEN_Channels_31_Channels)) )) (net reset_tdc_rep2_31 (joined (portRef Q (instanceRef reset_tdc_rep2_31)) - (portRef reset_tdc_rep2_31 (instanceRef GEN_Channels_24_Channels)) + (portRef reset_tdc_rep2_31 (instanceRef GEN_Channels_31_Channels)) )) (net reset_tdc_rep2_30 (joined (portRef Q (instanceRef reset_tdc_rep2_30)) - (portRef reset_tdc_rep2_30 (instanceRef GEN_Channels_24_Channels)) + (portRef reset_tdc_rep2_30 (instanceRef GEN_Channels_31_Channels)) )) (net reset_tdc_rep2_3 (joined (portRef Q (instanceRef reset_tdc_rep2_3)) @@ -914315,20 +915777,20 @@ )) (net reset_tdc_rep2_29 (joined (portRef Q (instanceRef reset_tdc_rep2_29)) + (portRef reset_tdc_rep2_29 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_rep2_29 (instanceRef GEN_Channels_24_Channels)) - (portRef reset_tdc_rep2_29 (instanceRef GEN_Channels_27_Channels)) )) (net reset_tdc_rep2_28 (joined (portRef Q (instanceRef reset_tdc_rep2_28)) - (portRef reset_tdc_rep2_28 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_rep2_28 (instanceRef GEN_Channels_24_Channels)) )) (net reset_tdc_rep2_27 (joined (portRef Q (instanceRef reset_tdc_rep2_27)) - (portRef reset_tdc_rep2_27 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_rep2_27 (instanceRef GEN_Channels_24_Channels)) )) (net reset_tdc_rep2_26 (joined (portRef Q (instanceRef reset_tdc_rep2_26)) - (portRef reset_tdc_rep2_26 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_rep2_26 (instanceRef GEN_Channels_24_Channels)) (portRef reset_tdc_rep2_26 (instanceRef GEN_Channels_22_Channels)) )) (net reset_tdc_rep2_25 (joined @@ -914342,20 +915804,20 @@ (net reset_tdc_rep2_23 (joined (portRef Q (instanceRef reset_tdc_rep2_23)) (portRef reset_tdc_rep2_23 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_tdc_rep2_23 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_rep2_23 (instanceRef GEN_Channels_27_Channels)) )) (net reset_tdc_rep2_22 (joined (portRef Q (instanceRef reset_tdc_rep2_22)) - (portRef reset_tdc_rep2_22 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_rep2_22 (instanceRef GEN_Channels_27_Channels)) )) (net reset_tdc_rep2_21 (joined (portRef Q (instanceRef reset_tdc_rep2_21)) - (portRef reset_tdc_rep2_21 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_rep2_21 (instanceRef GEN_Channels_27_Channels)) )) (net reset_tdc_rep2_20 (joined (portRef Q (instanceRef reset_tdc_rep2_20)) + (portRef reset_tdc_rep2_20 (instanceRef GEN_Channels_27_Channels)) (portRef reset_tdc_rep2_20 (instanceRef GEN_Channels_25_Channels)) - (portRef reset_tdc_rep2_20 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep2_2 (joined (portRef Q (instanceRef reset_tdc_rep2_2)) @@ -914364,54 +915826,54 @@ )) (net reset_tdc_rep2_19 (joined (portRef Q (instanceRef reset_tdc_rep2_19)) - (portRef reset_tdc_rep2_19 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_rep2_19 (instanceRef GEN_Channels_25_Channels)) )) (net reset_tdc_rep2_18 (joined (portRef Q (instanceRef reset_tdc_rep2_18)) - (portRef reset_tdc_rep2_18 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_rep2_18 (instanceRef GEN_Channels_25_Channels)) )) (net reset_tdc_rep2_17 (joined (portRef Q (instanceRef reset_tdc_rep2_17)) - (portRef reset_tdc_rep2_17 (instanceRef GEN_Channels_28_Channels)) - (portRef reset_tdc_rep2_17 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_17 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_rep2_17 (instanceRef GEN_Channels_30_Channels)) )) (net reset_tdc_rep2_16 (joined (portRef Q (instanceRef reset_tdc_rep2_16)) - (portRef reset_tdc_rep2_16 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_16 (instanceRef GEN_Channels_30_Channels)) )) (net reset_tdc_rep2_15 (joined (portRef Q (instanceRef reset_tdc_rep2_15)) - (portRef reset_tdc_rep2_15 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_15 (instanceRef GEN_Channels_30_Channels)) )) (net reset_tdc_rep2_14 (joined (portRef Q (instanceRef reset_tdc_rep2_14)) + (portRef reset_tdc_rep2_14 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_rep2_14 (instanceRef GEN_Channels_23_Channels)) - (portRef reset_tdc_rep2_14 (instanceRef GEN_Channels_26_Channels)) )) (net reset_tdc_rep2_13 (joined (portRef Q (instanceRef reset_tdc_rep2_13)) - (portRef reset_tdc_rep2_13 (instanceRef GEN_Channels_26_Channels)) + (portRef reset_tdc_rep2_13 (instanceRef GEN_Channels_23_Channels)) )) (net reset_tdc_rep2_12 (joined (portRef Q (instanceRef reset_tdc_rep2_12)) - (portRef reset_tdc_rep2_12 (instanceRef GEN_Channels_26_Channels)) + (portRef reset_tdc_rep2_12 (instanceRef GEN_Channels_23_Channels)) )) (net reset_tdc_rep2_11 (joined (portRef Q (instanceRef reset_tdc_rep2_11)) - (portRef reset_tdc_rep2_11 (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_rep2_11 (instanceRef GEN_Channels_31_Channels)) + (portRef reset_tdc_rep2_11 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_11 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep2_10 (joined (portRef Q (instanceRef reset_tdc_rep2_10)) - (portRef reset_tdc_rep2_10 (instanceRef GEN_Channels_31_Channels)) + (portRef reset_tdc_rep2_10 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep2_1 (joined (portRef Q (instanceRef reset_tdc_rep2_1)) (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_24_Channels)) (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_27_Channels)) (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_25_Channels)) - (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep1_9 (joined (portRef Q (instanceRef reset_tdc_rep1_9)) @@ -914420,19 +915882,19 @@ (net reset_tdc_rep1_8 (joined (portRef Q (instanceRef reset_tdc_rep1_8)) (portRef reset_tdc_rep1_8 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_rep1_8 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_8 (instanceRef GEN_Channels_20_Channels)) )) (net reset_tdc_rep1_7 (joined (portRef Q (instanceRef reset_tdc_rep1_7)) - (portRef reset_tdc_rep1_7 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_7 (instanceRef GEN_Channels_20_Channels)) )) (net reset_tdc_rep1_6 (joined (portRef Q (instanceRef reset_tdc_rep1_6)) - (portRef reset_tdc_rep1_6 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_6 (instanceRef GEN_Channels_20_Channels)) )) (net reset_tdc_rep1_5 (joined (portRef Q (instanceRef reset_tdc_rep1_5)) - (portRef reset_tdc_rep1_5 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_5 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1_5 (instanceRef GEN_Channels_19_Channels)) )) (net reset_tdc_rep1_4 (joined @@ -914441,47 +915903,48 @@ )) (net reset_tdc_rep1_36 (joined (portRef Q (instanceRef reset_tdc_rep1_36)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_13_Channels)) (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_17_Channels)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_19_Channels)) )) (net reset_tdc_rep1_35 (joined (portRef Q (instanceRef reset_tdc_rep1_35)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_13_Channels)) (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_17_Channels)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_19_Channels)) )) (net reset_tdc_rep1_34 (joined (portRef Q (instanceRef reset_tdc_rep1_34)) - (portRef reset_tdc_rep1_34 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_34 (instanceRef GEN_Channels_14_Channels)) )) (net reset_tdc_rep1_33 (joined (portRef Q (instanceRef reset_tdc_rep1_33)) - (portRef reset_tdc_rep1_33 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_33 (instanceRef GEN_Channels_14_Channels)) )) (net reset_tdc_rep1_32 (joined (portRef Q (instanceRef reset_tdc_rep1_32)) - (portRef reset_tdc_rep1_32 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_tdc_rep1_32 (instanceRef GEN_Channels_12_Channels)) + (portRef reset_tdc_rep1_32 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_32 (instanceRef GEN_Channels_22_Channels)) )) (net reset_tdc_rep1_31 (joined (portRef Q (instanceRef reset_tdc_rep1_31)) + (portRef reset_tdc_rep1_31 (instanceRef GEN_Channels_22_Channels)) (portRef reset_tdc_rep1_31 (instanceRef GEN_Channels_12_Channels)) )) (net reset_tdc_rep1_30 (joined @@ -914495,92 +915958,91 @@ (net reset_tdc_rep1_29 (joined (portRef Q (instanceRef reset_tdc_rep1_29)) (portRef reset_tdc_rep1_29 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_rep1_29 (instanceRef GEN_Channels_22_Channels)) )) (net reset_tdc_rep1_28 (joined (portRef Q (instanceRef reset_tdc_rep1_28)) - (portRef reset_tdc_rep1_28 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_tdc_rep1_28 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_28 (instanceRef GEN_Channels_12_Channels)) + (portRef reset_tdc_rep1_28 (instanceRef GEN_Channels_15_Channels)) )) (net reset_tdc_rep1_27 (joined (portRef Q (instanceRef reset_tdc_rep1_27)) - (portRef reset_tdc_rep1_27 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_27 (instanceRef GEN_Channels_15_Channels)) )) (net reset_tdc_rep1_26 (joined (portRef Q (instanceRef reset_tdc_rep1_26)) - (portRef reset_tdc_rep1_26 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_26 (instanceRef GEN_Channels_15_Channels)) )) (net reset_tdc_rep1_25 (joined (portRef Q (instanceRef reset_tdc_rep1_25)) + (portRef reset_tdc_rep1_25 (instanceRef GEN_Channels_15_Channels)) (portRef reset_tdc_rep1_25 (instanceRef GEN_Channels_13_Channels)) - (portRef reset_tdc_rep1_25 (instanceRef GEN_Channels_11_Channels)) )) (net reset_tdc_rep1_24 (joined (portRef Q (instanceRef reset_tdc_rep1_24)) - (portRef reset_tdc_rep1_24 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_rep1_24 (instanceRef GEN_Channels_13_Channels)) )) (net reset_tdc_rep1_23 (joined (portRef Q (instanceRef reset_tdc_rep1_23)) - (portRef reset_tdc_rep1_23 (instanceRef GEN_Channels_11_Channels)) - (portRef reset_tdc_rep1_23 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_23 (instanceRef GEN_Channels_13_Channels)) )) (net reset_tdc_rep1_22 (joined (portRef Q (instanceRef reset_tdc_rep1_22)) - (portRef reset_tdc_rep1_22 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_22 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_22 (instanceRef GEN_Channels_16_Channels)) )) (net reset_tdc_rep1_21 (joined (portRef Q (instanceRef reset_tdc_rep1_21)) - (portRef reset_tdc_rep1_21 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_21 (instanceRef GEN_Channels_16_Channels)) )) (net reset_tdc_rep1_20 (joined (portRef Q (instanceRef reset_tdc_rep1_20)) - (portRef reset_tdc_rep1_20 (instanceRef GEN_Channels_14_Channels)) - (portRef reset_tdc_rep1_20 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_rep1_20 (instanceRef GEN_Channels_16_Channels)) )) (net reset_tdc_rep1_2 (joined (portRef Q (instanceRef reset_tdc_rep1_2)) - (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_12_Channels)) + (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_14_Channels)) (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_19_Channels)) )) (net reset_tdc_rep1_19 (joined (portRef Q (instanceRef reset_tdc_rep1_19)) - (portRef reset_tdc_rep1_19 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_rep1_19 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_19 (instanceRef GEN_Channels_11_Channels)) )) (net reset_tdc_rep1_18 (joined (portRef Q (instanceRef reset_tdc_rep1_18)) - (portRef reset_tdc_rep1_18 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_rep1_18 (instanceRef GEN_Channels_11_Channels)) )) (net reset_tdc_rep1_17 (joined (portRef Q (instanceRef reset_tdc_rep1_17)) - (portRef reset_tdc_rep1_17 (instanceRef GEN_Channels_15_Channels)) - (portRef reset_tdc_rep1_17 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_rep1_17 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_rep1_17 (instanceRef GEN_Channels_21_Channels)) )) (net reset_tdc_rep1_16 (joined (portRef Q (instanceRef reset_tdc_rep1_16)) - (portRef reset_tdc_rep1_16 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_rep1_16 (instanceRef GEN_Channels_21_Channels)) )) (net reset_tdc_rep1_15 (joined (portRef Q (instanceRef reset_tdc_rep1_15)) - (portRef reset_tdc_rep1_15 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_rep1_15 (instanceRef GEN_Channels_21_Channels)) )) (net reset_tdc_rep1_14 (joined (portRef Q (instanceRef reset_tdc_rep1_14)) + (portRef reset_tdc_rep1_14 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_rep1_14 (instanceRef GEN_Channels_17_Channels)) - (portRef reset_tdc_rep1_14 (instanceRef GEN_Channels_20_Channels)) )) (net reset_tdc_rep1_13 (joined (portRef Q (instanceRef reset_tdc_rep1_13)) - (portRef reset_tdc_rep1_13 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_rep1_13 (instanceRef GEN_Channels_17_Channels)) )) (net reset_tdc_rep1_12 (joined (portRef Q (instanceRef reset_tdc_rep1_12)) - (portRef reset_tdc_rep1_12 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_rep1_12 (instanceRef GEN_Channels_17_Channels)) )) (net reset_tdc_rep1_11 (joined (portRef Q (instanceRef reset_tdc_rep1_11)) - (portRef reset_tdc_rep1_11 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_rep1_11 (instanceRef GEN_Channels_17_Channels)) (portRef reset_tdc_rep1_11 (instanceRef GEN_Channels_18_Channels)) )) (net reset_tdc_rep1_10 (joined @@ -914589,117 +916051,119 @@ )) (net reset_tdc_rep1_1 (joined (portRef Q (instanceRef reset_tdc_rep1_1)) - (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_13_Channels)) - (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_15_Channels)) - (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_21_Channels)) )) (net reset_tdc_rep1 (joined (portRef Q (instanceRef reset_tdc_rep1)) + (portRef reset_tdc_rep1 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_rep1 (instanceRef GEN_Channels_17_Channels)) - (portRef reset_tdc_rep1 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_rep1 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1 (instanceRef GEN_Channels_19_Channels)) )) (net reset_tdc_iso (joined (portRef Q (instanceRef reset_tdc_iso)) - (portRef reset_tdc_iso (instanceRef The_Coarse_Counter)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_iso (instanceRef Valid_timing_trigger_sync)) + (portRef reset_tdc_iso (instanceRef Readout_trigger_mode_sync)) (portRef reset_tdc_iso (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_24_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_27_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_22_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_10_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_13_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_23_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_28_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_14_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_30_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_15_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_7_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_20_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_8_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_3_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_6_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_1_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_4_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_18_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_iso (instanceRef The_Reference_Time)) + (portRef PD (instanceRef reset_coarse_cnt)) ) (property useglobal (string "TRUE")) ) (net reset_tdc_fast_9 (joined (portRef Q (instanceRef reset_tdc_fast_9)) - (portRef reset_tdc_fast_9 (instanceRef GEN_Channels_1_Channels)) - (portRef reset_tdc_fast_9 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_9 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_9 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_8 (joined (portRef Q (instanceRef reset_tdc_fast_8)) - (portRef reset_tdc_fast_8 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_8 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_7 (joined (portRef Q (instanceRef reset_tdc_fast_7)) - (portRef reset_tdc_fast_7 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_7 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_6 (joined (portRef Q (instanceRef reset_tdc_fast_6)) - (portRef reset_tdc_fast_6 (instanceRef GEN_Channels_4_Channels)) - (portRef reset_tdc_fast_6 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_6 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_6 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_5 (joined (portRef Q (instanceRef reset_tdc_fast_5)) - (portRef reset_tdc_fast_5 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_5 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_4 (joined (portRef Q (instanceRef reset_tdc_fast_4)) - (portRef reset_tdc_fast_4 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_4 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_35 (joined (portRef Q (instanceRef reset_tdc_fast_35)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_24_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_27_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_22_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_10_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_13_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_23_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_28_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_14_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_30_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_15_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_20_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_8_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_6_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_1_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_4_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_18_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_fast_35 (instanceRef The_Reference_Time)) )) (net reset_tdc_fast_34 (joined @@ -914718,43 +916182,43 @@ (net reset_tdc_fast_31 (joined (portRef Q (instanceRef reset_tdc_fast_31)) (portRef reset_tdc_fast_31 (instanceRef GEN_Channels_11_Channels)) - (portRef reset_tdc_fast_31 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_tdc_fast_31 (instanceRef GEN_Channels_2_Channels)) )) (net reset_tdc_fast_30 (joined (portRef Q (instanceRef reset_tdc_fast_30)) - (portRef reset_tdc_fast_30 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_tdc_fast_30 (instanceRef GEN_Channels_2_Channels)) )) (net reset_tdc_fast_3 (joined (portRef Q (instanceRef reset_tdc_fast_3)) (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_10_Channels)) (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_11_Channels)) - (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_29 (joined (portRef Q (instanceRef reset_tdc_fast_29)) - (portRef reset_tdc_fast_29 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_tdc_fast_29 (instanceRef GEN_Channels_2_Channels)) )) (net reset_tdc_fast_28 (joined (portRef Q (instanceRef reset_tdc_fast_28)) - (portRef reset_tdc_fast_28 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_tdc_fast_28 (instanceRef GEN_Channels_2_Channels)) )) (net reset_tdc_fast_27 (joined (portRef Q (instanceRef reset_tdc_fast_27)) - (portRef reset_tdc_fast_27 (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast_27 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_27 (instanceRef GEN_Channels_7_Channels)) )) (net reset_tdc_fast_26 (joined (portRef Q (instanceRef reset_tdc_fast_26)) - (portRef reset_tdc_fast_26 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_26 (instanceRef GEN_Channels_7_Channels)) )) (net reset_tdc_fast_25 (joined (portRef Q (instanceRef reset_tdc_fast_25)) - (portRef reset_tdc_fast_25 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_25 (instanceRef GEN_Channels_7_Channels)) )) (net reset_tdc_fast_24 (joined (portRef Q (instanceRef reset_tdc_fast_24)) - (portRef reset_tdc_fast_24 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_24 (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast_24 (instanceRef GEN_Channels_5_Channels)) )) (net reset_tdc_fast_23 (joined @@ -914768,112 +916232,113 @@ (net reset_tdc_fast_21 (joined (portRef Q (instanceRef reset_tdc_fast_21)) (portRef reset_tdc_fast_21 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_fast_21 (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_fast_21 (instanceRef GEN_Channels_4_Channels)) )) (net reset_tdc_fast_20 (joined (portRef Q (instanceRef reset_tdc_fast_20)) - (portRef reset_tdc_fast_20 (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_fast_20 (instanceRef GEN_Channels_4_Channels)) )) (net reset_tdc_fast_2 (joined (portRef Q (instanceRef reset_tdc_fast_2)) - (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_8_Channels)) - (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_19 (joined (portRef Q (instanceRef reset_tdc_fast_19)) - (portRef reset_tdc_fast_19 (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_fast_19 (instanceRef GEN_Channels_4_Channels)) )) (net reset_tdc_fast_18 (joined (portRef Q (instanceRef reset_tdc_fast_18)) - (portRef reset_tdc_fast_18 (instanceRef GEN_Channels_8_Channels)) - (portRef reset_tdc_fast_18 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_18 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_18 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_17 (joined (portRef Q (instanceRef reset_tdc_fast_17)) - (portRef reset_tdc_fast_17 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_17 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_16 (joined (portRef Q (instanceRef reset_tdc_fast_16)) - (portRef reset_tdc_fast_16 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_16 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_15 (joined (portRef Q (instanceRef reset_tdc_fast_15)) - (portRef reset_tdc_fast_15 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_tdc_fast_15 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_15 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_15 (instanceRef GEN_Channels_1_Channels)) )) (net reset_tdc_fast_14 (joined (portRef Q (instanceRef reset_tdc_fast_14)) - (portRef reset_tdc_fast_14 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_14 (instanceRef GEN_Channels_1_Channels)) )) (net reset_tdc_fast_13 (joined (portRef Q (instanceRef reset_tdc_fast_13)) - (portRef reset_tdc_fast_13 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_13 (instanceRef GEN_Channels_1_Channels)) )) (net reset_tdc_fast_12 (joined (portRef Q (instanceRef reset_tdc_fast_12)) - (portRef reset_tdc_fast_12 (instanceRef GEN_Channels_6_Channels)) (portRef reset_tdc_fast_12 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_12 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_11 (joined (portRef Q (instanceRef reset_tdc_fast_11)) - (portRef reset_tdc_fast_11 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_11 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_10 (joined (portRef Q (instanceRef reset_tdc_fast_10)) - (portRef reset_tdc_fast_10 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_10 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_1 (joined (portRef Q (instanceRef reset_tdc_fast_1)) - (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_6_Channels)) - (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_1_Channels)) - (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_4_Channels)) (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast (joined (portRef Q (instanceRef reset_tdc_fast)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_24_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_27_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_22_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_10_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_13_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_23_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_28_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_14_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_30_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_15_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_7_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_20_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_8_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_3_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_6_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_1_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_4_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_18_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_fast (instanceRef The_Reference_Time)) )) (net reset_tdc_97 (joined (portRef Q (instanceRef reset_tdc_97)) (portRef reset_tdc_97 (instanceRef GEN_Channels_1_Channels)) )) - (net reset_i_1 (joined - (portRef reset_i_1) + (net reset_i (joined + (portRef reset_i) + (portRef D (instanceRef reset_tdc_1)) (portRef D (instanceRef reset_tdc_10)) (portRef D (instanceRef reset_tdc_11)) (portRef D (instanceRef reset_tdc_12)) @@ -915012,8 +916477,8 @@ )) (net reset_tdc_88 (joined (portRef Q (instanceRef reset_tdc_88)) - (portRef reset_tdc_88 (instanceRef GEN_Channels_3_Channels)) (portRef reset_tdc_88 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_88 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_87 (joined (portRef Q (instanceRef reset_tdc_87)) @@ -915081,8 +916546,8 @@ )) (net reset_tdc_73 (joined (portRef Q (instanceRef reset_tdc_73)) - (portRef reset_tdc_73 (instanceRef GEN_Channels_8_Channels)) (portRef reset_tdc_73 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_73 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_72 (joined (portRef Q (instanceRef reset_tdc_72)) @@ -915159,8 +916624,8 @@ )) (net reset_tdc_57 (joined (portRef Q (instanceRef reset_tdc_57)) - (portRef reset_tdc_57 (instanceRef GEN_Channels_13_Channels)) (portRef reset_tdc_57 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_57 (instanceRef GEN_Channels_13_Channels)) )) (net reset_tdc_56 (joined (portRef Q (instanceRef reset_tdc_56)) @@ -915185,8 +916650,8 @@ )) (net reset_tdc_51 (joined (portRef Q (instanceRef reset_tdc_51)) - (portRef reset_tdc_51 (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_51 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_51 (instanceRef GEN_Channels_16_Channels)) )) (net reset_tdc_50 (joined (portRef Q (instanceRef reset_tdc_50)) @@ -915258,8 +916723,8 @@ )) (net reset_tdc_36 (joined (portRef Q (instanceRef reset_tdc_36)) - (portRef reset_tdc_36 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_36 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_36 (instanceRef GEN_Channels_20_Channels)) )) (net reset_tdc_35 (joined (portRef Q (instanceRef reset_tdc_35)) @@ -915390,33 +916855,18 @@ (portRef Q (instanceRef reset_tdc)) (portRef reset_tdc (instanceRef The_Reference_Time)) )) + (net reset_coarse_cnt_3_ss0_0_a3 (joined + (portRef Z (instanceRef Coarse_Counter_Reset_reset_coarse_cnt_3_ss0_0_a3)) + (portRef D (instanceRef reset_coarse_cnt)) + )) + (net reset_coarse_cnt (joined + (portRef Q (instanceRef reset_coarse_cnt)) + (portRef reset_coarse_cnt (instanceRef The_Coarse_Counter)) + )) (net trigger_time_i_0 (joined (portRef (member trigger_time_i 10) (instanceRef The_Reference_Time)) (portRef D (instanceRef ref_time_coarse_0)) )) - (net trg_timing_valid_i (joined - (portRef trg_timing_valid_i) - (portRef trg_timing_valid_i (instanceRef edge_to_pulse_1)) - (portRef trg_timing_valid_i (instanceRef The_Reference_Time)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) - (portRef D (instanceRef FSM_CURRENT_RNO_13)) - (portRef D (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9)) - (portRef D (instanceRef wr_header_fsm_0_i_s_0)) - (portRef B (instanceRef start_trg_win_cnt_i_RNO)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_a2_1_8)) - (portRef SP (instanceRef ref_time_coarse_10)) - (portRef SP (instanceRef ref_time_coarse_9)) - (portRef SP (instanceRef ref_time_coarse_8)) - (portRef SP (instanceRef ref_time_coarse_7)) - (portRef SP (instanceRef ref_time_coarse_6)) - (portRef SP (instanceRef ref_time_coarse_5)) - (portRef SP (instanceRef ref_time_coarse_4)) - (portRef SP (instanceRef ref_time_coarse_3)) - (portRef SP (instanceRef ref_time_coarse_2)) - (portRef SP (instanceRef ref_time_coarse_1)) - (portRef SP (instanceRef ref_time_coarse_0)) - (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) - )) (net ref_time_coarse_0 (joined (portRef Q (instanceRef ref_time_coarse_0)) (portRef A1 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_0_0)) @@ -915515,15 +916965,11 @@ (portRef B1 (instanceRef tw_post_cry_9_0)) (portRef C (instanceRef un1_TW_pre_0)) )) - (net N_630_i (joined - (portRef Z (instanceRef readout_i_RNO)) - (portRef D (instanceRef readout_i)) - )) (net readout_i (joined (portRef Q (instanceRef readout_i)) (portRef A (instanceRef un1_readout_i)) )) - (net N_44_i (joined + (net N_82_i (joined (portRef Z (instanceRef rd_en_i_RNO_0)) (portRef D (instanceRef rd_en_i_0)) )) @@ -915531,7 +916977,7 @@ (portRef Q (instanceRef rd_en_i_0)) (portRef (member rd_en_i 0) (instanceRef The_Reference_Time)) )) - (net N_257_i (joined + (net N_80_i (joined (portRef Z (instanceRef rd_en_i_RNO_1)) (portRef D (instanceRef rd_en_i_1)) )) @@ -915539,7 +916985,7 @@ (portRef Q (instanceRef rd_en_i_1)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_1_Channels)) )) - (net N_2390_i (joined + (net N_78_i (joined (portRef Z (instanceRef rd_en_i_RNO_2)) (portRef D (instanceRef rd_en_i_2)) )) @@ -915547,7 +916993,7 @@ (portRef Q (instanceRef rd_en_i_2)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_2_Channels)) )) - (net N_151_i (joined + (net N_76_i (joined (portRef Z (instanceRef rd_en_i_RNO_3)) (portRef D (instanceRef rd_en_i_3)) )) @@ -915555,7 +917001,7 @@ (portRef Q (instanceRef rd_en_i_3)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_3_Channels)) )) - (net N_2389_i (joined + (net N_74_i (joined (portRef Z (instanceRef rd_en_i_RNO_4)) (portRef D (instanceRef rd_en_i_4)) )) @@ -915563,7 +917009,7 @@ (portRef Q (instanceRef rd_en_i_4)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_4_Channels)) )) - (net N_2388_i (joined + (net N_72_i (joined (portRef Z (instanceRef rd_en_i_RNO_5)) (portRef D (instanceRef rd_en_i_5)) )) @@ -915571,7 +917017,7 @@ (portRef Q (instanceRef rd_en_i_5)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_5_Channels)) )) - (net N_2387_i (joined + (net N_70_i (joined (portRef Z (instanceRef rd_en_i_RNO_6)) (portRef D (instanceRef rd_en_i_6)) )) @@ -915579,7 +917025,7 @@ (portRef Q (instanceRef rd_en_i_6)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_6_Channels)) )) - (net N_155_i (joined + (net N_68_i (joined (portRef Z (instanceRef rd_en_i_RNO_7)) (portRef D (instanceRef rd_en_i_7)) )) @@ -915587,7 +917033,7 @@ (portRef Q (instanceRef rd_en_i_7)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_7_Channels)) )) - (net N_67_i (joined + (net N_66_i (joined (portRef Z (instanceRef rd_en_i_RNO_8)) (portRef D (instanceRef rd_en_i_8)) )) @@ -915595,7 +917041,7 @@ (portRef Q (instanceRef rd_en_i_8)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_8_Channels)) )) - (net N_57_i (joined + (net N_64_i (joined (portRef Z (instanceRef rd_en_i_RNO_9)) (portRef D (instanceRef rd_en_i_9)) )) @@ -915603,7 +917049,7 @@ (portRef Q (instanceRef rd_en_i_9)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_9_Channels)) )) - (net N_271_i (joined + (net N_215_i (joined (portRef Z (instanceRef rd_en_i_RNO_10)) (portRef D (instanceRef rd_en_i_10)) )) @@ -915611,7 +917057,7 @@ (portRef Q (instanceRef rd_en_i_10)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_10_Channels)) )) - (net N_55_i (joined + (net N_60_i (joined (portRef Z (instanceRef rd_en_i_RNO_11)) (portRef D (instanceRef rd_en_i_11)) )) @@ -915619,7 +917065,7 @@ (portRef Q (instanceRef rd_en_i_11)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_11_Channels)) )) - (net N_52_i (joined + (net N_56_i (joined (portRef Z (instanceRef rd_en_i_RNO_12)) (portRef D (instanceRef rd_en_i_12)) )) @@ -915627,7 +917073,7 @@ (portRef Q (instanceRef rd_en_i_12)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_12_Channels)) )) - (net N_49_i (joined + (net N_50_i (joined (portRef Z (instanceRef rd_en_i_RNO_13)) (portRef D (instanceRef rd_en_i_13)) )) @@ -915635,7 +917081,7 @@ (portRef Q (instanceRef rd_en_i_13)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_13_Channels)) )) - (net N_153_i (joined + (net N_44_i (joined (portRef Z (instanceRef rd_en_i_RNO_14)) (portRef D (instanceRef rd_en_i_14)) )) @@ -915643,7 +917089,7 @@ (portRef Q (instanceRef rd_en_i_14)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_14_Channels)) )) - (net N_47_i (joined + (net N_107_i (joined (portRef Z (instanceRef rd_en_i_RNO_15)) (portRef D (instanceRef rd_en_i_15)) )) @@ -915651,7 +917097,7 @@ (portRef Q (instanceRef rd_en_i_15)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_15_Channels)) )) - (net N_269_i (joined + (net N_228_i (joined (portRef Z (instanceRef rd_en_i_RNO_16)) (portRef D (instanceRef rd_en_i_16)) )) @@ -915659,7 +917105,7 @@ (portRef Q (instanceRef rd_en_i_16)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_16_Channels)) )) - (net N_267_i (joined + (net N_412_i (joined (portRef Z (instanceRef rd_en_i_RNO_17)) (portRef D (instanceRef rd_en_i_17)) )) @@ -915667,7 +917113,7 @@ (portRef Q (instanceRef rd_en_i_17)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_17_Channels)) )) - (net N_265_i (joined + (net N_226_i (joined (portRef Z (instanceRef rd_en_i_RNO_18)) (portRef D (instanceRef rd_en_i_18)) )) @@ -915675,7 +917121,7 @@ (portRef Q (instanceRef rd_en_i_18)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_18_Channels)) )) - (net N_263_i (joined + (net N_101_i (joined (portRef Z (instanceRef rd_en_i_RNO_19)) (portRef D (instanceRef rd_en_i_19)) )) @@ -915683,7 +917129,7 @@ (portRef Q (instanceRef rd_en_i_19)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_19_Channels)) )) - (net N_261_i (joined + (net N_224_i (joined (portRef Z (instanceRef rd_en_i_RNO_20)) (portRef D (instanceRef rd_en_i_20)) )) @@ -915691,7 +917137,7 @@ (portRef Q (instanceRef rd_en_i_20)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_20_Channels)) )) - (net N_259_i (joined + (net N_222_i (joined (portRef Z (instanceRef rd_en_i_RNO_21)) (portRef D (instanceRef rd_en_i_21)) )) @@ -915699,7 +917145,7 @@ (portRef Q (instanceRef rd_en_i_21)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_21_Channels)) )) - (net N_281_i (joined + (net N_414_i (joined (portRef Z (instanceRef rd_en_i_RNO_22)) (portRef D (instanceRef rd_en_i_22)) )) @@ -915707,7 +917153,7 @@ (portRef Q (instanceRef rd_en_i_22)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_22_Channels)) )) - (net N_279_i (joined + (net N_219_i (joined (portRef Z (instanceRef rd_en_i_RNO_23)) (portRef D (instanceRef rd_en_i_23)) )) @@ -915715,7 +917161,7 @@ (portRef Q (instanceRef rd_en_i_23)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_23_Channels)) )) - (net N_96_i (joined + (net N_217_i (joined (portRef Z (instanceRef rd_en_i_RNO_24)) (portRef D (instanceRef rd_en_i_24)) )) @@ -915723,7 +917169,7 @@ (portRef Q (instanceRef rd_en_i_24)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_24_Channels)) )) - (net N_77_i (joined + (net N_98_i (joined (portRef Z (instanceRef rd_en_i_RNO_25)) (portRef D (instanceRef rd_en_i_25)) )) @@ -915731,7 +917177,7 @@ (portRef Q (instanceRef rd_en_i_25)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_25_Channels)) )) - (net N_74_i (joined + (net N_94_i (joined (portRef Z (instanceRef rd_en_i_RNO_26)) (portRef D (instanceRef rd_en_i_26)) )) @@ -915739,7 +917185,7 @@ (portRef Q (instanceRef rd_en_i_26)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_26_Channels)) )) - (net N_72_i (joined + (net N_86_i (joined (portRef Z (instanceRef rd_en_i_RNO_27)) (portRef D (instanceRef rd_en_i_27)) )) @@ -915747,7 +917193,7 @@ (portRef Q (instanceRef rd_en_i_27)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_27_Channels)) )) - (net N_277_i (joined + (net N_2551_i (joined (portRef Z (instanceRef rd_en_i_RNO_28)) (portRef D (instanceRef rd_en_i_28)) )) @@ -915755,7 +917201,7 @@ (portRef Q (instanceRef rd_en_i_28)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_28_Channels)) )) - (net N_275_i (joined + (net N_2550_i (joined (portRef Z (instanceRef rd_en_i_RNO_29)) (portRef D (instanceRef rd_en_i_29)) )) @@ -915763,7 +917209,7 @@ (portRef Q (instanceRef rd_en_i_29)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_29_Channels)) )) - (net N_273_i (joined + (net N_52_i (joined (portRef Z (instanceRef rd_en_i_RNO_30)) (portRef D (instanceRef rd_en_i_30)) )) @@ -915771,7 +917217,7 @@ (portRef Q (instanceRef rd_en_i_30)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_30_Channels)) )) - (net N_69_i (joined + (net N_2552_i (joined (portRef Z (instanceRef rd_en_i_RNO_31)) (portRef D (instanceRef rd_en_i_31)) )) @@ -915876,11 +917322,11 @@ (portRef D (instanceRef multi_tmg_trig_number_23)) )) (net mask_i_4_0 (joined - (portRef Z (instanceRef mask_i_4_0_0)) + (portRef Z (instanceRef mask_i_4_0_0_0)) (portRef D (instanceRef mask_i_0)) )) (net un1_trg_win_end_i_1_i (joined - (portRef Z (instanceRef updt_mask_i_RNID6SI)) + (portRef Z (instanceRef updt_mask_i_RNIF6SI)) (portRef SP (instanceRef mask_i_31)) (portRef SP (instanceRef mask_i_30)) (portRef SP (instanceRef mask_i_29)) @@ -915917,286 +917363,286 @@ (net mask_i_0 (joined (portRef Q (instanceRef mask_i_0)) (portRef (member mask_i 7) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_0)) + (portRef B (instanceRef mask_i_4_0_0_0)) )) (net mask_i_4_1 (joined - (portRef Z (instanceRef mask_i_4_0_1)) + (portRef Z (instanceRef mask_i_4_0_0_1)) (portRef D (instanceRef mask_i_1)) )) (net mask_i_1 (joined (portRef Q (instanceRef mask_i_1)) (portRef (member mask_i 6) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_1)) + (portRef B (instanceRef mask_i_4_0_0_1)) )) (net mask_i_4_2 (joined - (portRef Z (instanceRef mask_i_4_0_2)) + (portRef Z (instanceRef mask_i_4_0_0_2)) (portRef D (instanceRef mask_i_2)) )) (net mask_i_2 (joined (portRef Q (instanceRef mask_i_2)) (portRef (member mask_i 5) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_2)) + (portRef B (instanceRef mask_i_4_0_0_2)) )) (net mask_i_4_3 (joined - (portRef Z (instanceRef mask_i_4_0_3)) + (portRef Z (instanceRef mask_i_4_0_0_3)) (portRef D (instanceRef mask_i_3)) )) (net mask_i_3 (joined (portRef Q (instanceRef mask_i_3)) (portRef (member mask_i 4) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_3)) + (portRef B (instanceRef mask_i_4_0_0_3)) )) (net mask_i_4_4 (joined - (portRef Z (instanceRef mask_i_4_0_4)) + (portRef Z (instanceRef mask_i_4_0_0_4)) (portRef D (instanceRef mask_i_4)) )) (net mask_i_4 (joined (portRef Q (instanceRef mask_i_4)) (portRef (member mask_i 3) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_4)) + (portRef B (instanceRef mask_i_4_0_0_4)) )) (net mask_i_4_5 (joined - (portRef Z (instanceRef mask_i_4_0_5)) + (portRef Z (instanceRef mask_i_4_0_0_5)) (portRef D (instanceRef mask_i_5)) )) (net mask_i_5 (joined (portRef Q (instanceRef mask_i_5)) (portRef (member mask_i 2) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_5)) + (portRef B (instanceRef mask_i_4_0_0_5)) )) (net mask_i_4_6 (joined - (portRef Z (instanceRef mask_i_4_0_6)) + (portRef Z (instanceRef mask_i_4_0_0_6)) (portRef D (instanceRef mask_i_6)) )) (net mask_i_6 (joined (portRef Q (instanceRef mask_i_6)) (portRef (member mask_i 1) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_6)) + (portRef B (instanceRef mask_i_4_0_0_6)) )) (net mask_i_4_7 (joined - (portRef Z (instanceRef mask_i_4_0_7)) + (portRef Z (instanceRef mask_i_4_0_0_7)) (portRef D (instanceRef mask_i_7)) )) (net mask_i_7 (joined (portRef Q (instanceRef mask_i_7)) (portRef (member mask_i 0) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_7)) + (portRef B (instanceRef mask_i_4_0_0_7)) )) (net mask_i_4_8 (joined - (portRef Z (instanceRef mask_i_4_0_8)) + (portRef Z (instanceRef mask_i_4_0_0_8)) (portRef D (instanceRef mask_i_8)) )) (net mask_i_8 (joined (portRef Q (instanceRef mask_i_8)) (portRef (member mask_i 7) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_8)) + (portRef B (instanceRef mask_i_4_0_0_8)) )) (net mask_i_4_9 (joined - (portRef Z (instanceRef mask_i_4_0_9)) + (portRef Z (instanceRef mask_i_4_0_0_9)) (portRef D (instanceRef mask_i_9)) )) (net mask_i_9 (joined (portRef Q (instanceRef mask_i_9)) (portRef (member mask_i 6) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_9)) + (portRef B (instanceRef mask_i_4_0_0_9)) )) (net mask_i_4_10 (joined - (portRef Z (instanceRef mask_i_4_0_10)) + (portRef Z (instanceRef mask_i_4_0_0_10)) (portRef D (instanceRef mask_i_10)) )) (net mask_i_10 (joined (portRef Q (instanceRef mask_i_10)) (portRef (member mask_i 5) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_10)) + (portRef B (instanceRef mask_i_4_0_0_10)) )) (net mask_i_4_11 (joined - (portRef Z (instanceRef mask_i_4_0_11)) + (portRef Z (instanceRef mask_i_4_0_0_11)) (portRef D (instanceRef mask_i_11)) )) (net mask_i_11 (joined (portRef Q (instanceRef mask_i_11)) (portRef (member mask_i 4) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_11)) + (portRef B (instanceRef mask_i_4_0_0_11)) )) (net mask_i_4_12 (joined - (portRef Z (instanceRef mask_i_4_0_12)) + (portRef Z (instanceRef mask_i_4_0_0_12)) (portRef D (instanceRef mask_i_12)) )) (net mask_i_12 (joined (portRef Q (instanceRef mask_i_12)) (portRef (member mask_i 3) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_12)) + (portRef B (instanceRef mask_i_4_0_0_12)) )) (net mask_i_4_13 (joined - (portRef Z (instanceRef mask_i_4_0_13)) + (portRef Z (instanceRef mask_i_4_0_0_13)) (portRef D (instanceRef mask_i_13)) )) (net mask_i_13 (joined (portRef Q (instanceRef mask_i_13)) (portRef (member mask_i 2) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_13)) + (portRef B (instanceRef mask_i_4_0_0_13)) )) (net mask_i_4_14 (joined - (portRef Z (instanceRef mask_i_4_0_14)) + (portRef Z (instanceRef mask_i_4_0_0_14)) (portRef D (instanceRef mask_i_14)) )) (net mask_i_14 (joined (portRef Q (instanceRef mask_i_14)) (portRef (member mask_i 1) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_14)) + (portRef B (instanceRef mask_i_4_0_0_14)) )) (net mask_i_4_15 (joined - (portRef Z (instanceRef mask_i_4_0_15)) + (portRef Z (instanceRef mask_i_4_0_0_15)) (portRef D (instanceRef mask_i_15)) )) (net mask_i_15 (joined (portRef Q (instanceRef mask_i_15)) (portRef (member mask_i 0) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_15)) + (portRef B (instanceRef mask_i_4_0_0_15)) )) (net mask_i_4_16 (joined - (portRef Z (instanceRef mask_i_4_0_16)) + (portRef Z (instanceRef mask_i_4_0_0_16)) (portRef D (instanceRef mask_i_16)) )) (net mask_i_16 (joined (portRef Q (instanceRef mask_i_16)) (portRef (member mask_i 7) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_16)) + (portRef B (instanceRef mask_i_4_0_0_16)) )) (net mask_i_4_17 (joined - (portRef Z (instanceRef mask_i_4_0_17)) + (portRef Z (instanceRef mask_i_4_0_0_17)) (portRef D (instanceRef mask_i_17)) )) (net mask_i_17 (joined (portRef Q (instanceRef mask_i_17)) (portRef (member mask_i 6) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_17)) + (portRef B (instanceRef mask_i_4_0_0_17)) )) (net mask_i_4_18 (joined - (portRef Z (instanceRef mask_i_4_0_18)) + (portRef Z (instanceRef mask_i_4_0_0_18)) (portRef D (instanceRef mask_i_18)) )) (net mask_i_18 (joined (portRef Q (instanceRef mask_i_18)) (portRef (member mask_i 5) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_18)) + (portRef B (instanceRef mask_i_4_0_0_18)) )) (net mask_i_4_19 (joined - (portRef Z (instanceRef mask_i_4_0_19)) + (portRef Z (instanceRef mask_i_4_0_0_19)) (portRef D (instanceRef mask_i_19)) )) (net mask_i_19 (joined (portRef Q (instanceRef mask_i_19)) (portRef (member mask_i 4) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_19)) + (portRef B (instanceRef mask_i_4_0_0_19)) )) (net mask_i_4_20 (joined - (portRef Z (instanceRef mask_i_4_0_20)) + (portRef Z (instanceRef mask_i_4_0_0_20)) (portRef D (instanceRef mask_i_20)) )) (net mask_i_20 (joined (portRef Q (instanceRef mask_i_20)) (portRef (member mask_i 3) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_20)) + (portRef B (instanceRef mask_i_4_0_0_20)) )) (net mask_i_4_21 (joined - (portRef Z (instanceRef mask_i_4_0_21)) + (portRef Z (instanceRef mask_i_4_0_0_21)) (portRef D (instanceRef mask_i_21)) )) (net mask_i_21 (joined (portRef Q (instanceRef mask_i_21)) (portRef (member mask_i 2) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_21)) + (portRef B (instanceRef mask_i_4_0_0_21)) )) (net mask_i_4_22 (joined - (portRef Z (instanceRef mask_i_4_0_22)) + (portRef Z (instanceRef mask_i_4_0_0_22)) (portRef D (instanceRef mask_i_22)) )) (net mask_i_22 (joined (portRef Q (instanceRef mask_i_22)) (portRef (member mask_i 1) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_22)) + (portRef B (instanceRef mask_i_4_0_0_22)) )) (net mask_i_4_23 (joined - (portRef Z (instanceRef mask_i_4_0_23)) + (portRef Z (instanceRef mask_i_4_0_0_23)) (portRef D (instanceRef mask_i_23)) )) (net mask_i_23 (joined (portRef Q (instanceRef mask_i_23)) (portRef (member mask_i 0) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_23)) + (portRef B (instanceRef mask_i_4_0_0_23)) )) (net mask_i_4_24 (joined - (portRef Z (instanceRef mask_i_4_0_24)) + (portRef Z (instanceRef mask_i_4_0_0_24)) (portRef D (instanceRef mask_i_24)) )) (net mask_i_24 (joined (portRef Q (instanceRef mask_i_24)) (portRef (member mask_i 7) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_24)) + (portRef B (instanceRef mask_i_4_0_0_24)) )) (net mask_i_4_25 (joined - (portRef Z (instanceRef mask_i_4_0_25)) + (portRef Z (instanceRef mask_i_4_0_0_25)) (portRef D (instanceRef mask_i_25)) )) (net mask_i_25 (joined (portRef Q (instanceRef mask_i_25)) (portRef (member mask_i 6) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_25)) + (portRef B (instanceRef mask_i_4_0_0_25)) )) (net mask_i_4_26 (joined - (portRef Z (instanceRef mask_i_4_0_26)) + (portRef Z (instanceRef mask_i_4_0_0_26)) (portRef D (instanceRef mask_i_26)) )) (net mask_i_26 (joined (portRef Q (instanceRef mask_i_26)) (portRef (member mask_i 5) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_26)) + (portRef B (instanceRef mask_i_4_0_0_26)) )) (net mask_i_4_27 (joined - (portRef Z (instanceRef mask_i_4_0_27)) + (portRef Z (instanceRef mask_i_4_0_0_27)) (portRef D (instanceRef mask_i_27)) )) (net mask_i_27 (joined (portRef Q (instanceRef mask_i_27)) (portRef (member mask_i 4) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_27)) + (portRef B (instanceRef mask_i_4_0_0_27)) )) (net mask_i_4_28 (joined - (portRef Z (instanceRef mask_i_4_0_28)) + (portRef Z (instanceRef mask_i_4_0_0_28)) (portRef D (instanceRef mask_i_28)) )) (net mask_i_28 (joined (portRef Q (instanceRef mask_i_28)) (portRef (member mask_i 3) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_28)) + (portRef B (instanceRef mask_i_4_0_0_28)) )) (net mask_i_4_29 (joined - (portRef Z (instanceRef mask_i_4_0_29)) + (portRef Z (instanceRef mask_i_4_0_0_29)) (portRef D (instanceRef mask_i_29)) )) (net mask_i_29 (joined (portRef Q (instanceRef mask_i_29)) (portRef (member mask_i 2) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_29)) + (portRef B (instanceRef mask_i_4_0_0_29)) )) (net mask_i_4_30 (joined - (portRef Z (instanceRef mask_i_4_0_30)) + (portRef Z (instanceRef mask_i_4_0_0_30)) (portRef D (instanceRef mask_i_30)) )) (net mask_i_30 (joined (portRef Q (instanceRef mask_i_30)) (portRef (member mask_i 1) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_30)) + (portRef B (instanceRef mask_i_4_0_0_30)) )) (net mask_i_4_31 (joined - (portRef Z (instanceRef mask_i_4_0_31)) + (portRef Z (instanceRef mask_i_4_0_0_31)) (portRef D (instanceRef mask_i_31)) )) (net mask_i_31 (joined (portRef Q (instanceRef mask_i_31)) (portRef (member mask_i 0) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_31)) + (portRef B (instanceRef mask_i_4_0_0_31)) )) (net un1_invalid_trg_pulse_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_invalid_trg_pulse_cry_0_0)) @@ -916394,15 +917840,15 @@ (portRef Q (instanceRef idle_i)) (portRef B0 (instanceRef un1_idle_i_cry_0_0)) )) - (net N_2287_i (joined - (portRef Z (instanceRef fsm_debug_reg_RNO_0)) + (net fsm_debug_fsm_i_0_0 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_0)) (portRef D (instanceRef fsm_debug_reg_0)) )) (net stat_reg_0 (joined (portRef Q (instanceRef fsm_debug_reg_0)) (portRef stat_reg_0) )) - (net N_2288_i (joined + (net N_43_i (joined (portRef Z (instanceRef fsm_debug_reg_RNO_1)) (portRef D (instanceRef fsm_debug_reg_1)) )) @@ -916410,7 +917856,7 @@ (portRef Q (instanceRef fsm_debug_reg_1)) (portRef stat_reg_1) )) - (net fsm_debug_fsm_i_0_2 (joined + (net N_45 (joined (portRef Z (instanceRef fsm_debug_fsm_i_0_2)) (portRef D (instanceRef fsm_debug_reg_2)) )) @@ -916418,7 +917864,7 @@ (portRef Q (instanceRef fsm_debug_reg_2)) (portRef stat_reg_2) )) - (net N_658_i (joined + (net N_730_i (joined (portRef Z (instanceRef fsm_debug_reg_RNO_3)) (portRef D (instanceRef fsm_debug_reg_3)) )) @@ -916427,56 +917873,114 @@ (portRef stat_reg_3) )) (net fsm_debug_fsm_4 (joined - (portRef Z (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_4)) + (portRef Z (instanceRef fsm_debug_fsm_a3_0_a3_0_a2_4)) (portRef D (instanceRef fsm_debug_reg_4)) )) (net stat_reg_4 (joined (portRef Q (instanceRef fsm_debug_reg_4)) (portRef stat_reg_4) )) + (net fifo_nr_0 (joined + (portRef Q (instanceRef fifo_nr_0)) + (portRef C (instanceRef rd_en_i_RNO_19)) + (portRef C (instanceRef rd_en_i_RNO_18)) + (portRef C (instanceRef rd_en_i_RNO_17)) + (portRef C (instanceRef rd_en_i_RNO_16)) + (portRef C (instanceRef rd_en_i_RNO_15)) + (portRef C (instanceRef rd_en_i_RNO_14)) + (portRef C (instanceRef rd_en_i_RNO_13)) + (portRef C (instanceRef rd_en_i_RNO_12)) + (portRef C (instanceRef rd_en_i_RNO_11)) + (portRef C (instanceRef rd_en_i_RNO_10)) + (portRef C (instanceRef rd_en_i_RNO_9)) + (portRef C (instanceRef rd_en_i_RNO_8)) + (portRef C (instanceRef rd_en_i_RNO_7)) + (portRef C (instanceRef rd_en_i_RNO_6)) + (portRef C (instanceRef rd_en_i_RNO_5)) + (portRef C (instanceRef rd_en_i_RNO_4)) + (portRef C (instanceRef rd_en_i_RNO_3)) + (portRef C (instanceRef rd_en_i_RNO_2)) + (portRef C (instanceRef rd_en_i_RNO_1)) + (portRef C (instanceRef rd_en_i_RNO_0)) + (portRef D (instanceRef data_out_reg_RNO_28)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25)) + (portRef D (instanceRef FSM_CURRENT_srsts_i_i_a3_0_o2_5)) + (portRef C (instanceRef mask_i_4_0_0_a2_0)) + (portRef C (instanceRef mask_i_4_0_0_a2_1)) + (portRef C (instanceRef mask_i_4_0_0_a2_2)) + (portRef C (instanceRef mask_i_4_0_0_a2_3)) + (portRef C (instanceRef mask_i_4_0_0_a2_4)) + (portRef C (instanceRef mask_i_4_0_0_a2_5)) + (portRef C (instanceRef mask_i_4_0_0_a2_6)) + (portRef C (instanceRef mask_i_4_0_0_a2_7)) + (portRef C (instanceRef mask_i_4_0_0_a2_8)) + (portRef C (instanceRef mask_i_4_0_0_a2_9)) + (portRef C (instanceRef mask_i_4_0_0_a2_11)) + (portRef C (instanceRef mask_i_4_0_0_a2_12)) + (portRef C (instanceRef mask_i_4_0_0_a2_13)) + (portRef C (instanceRef mask_i_4_0_0_a2_14)) + (portRef C (instanceRef mask_i_4_0_0_a2_19)) + (portRef C (instanceRef mask_i_4_0_0_a2_25)) + (portRef C (instanceRef mask_i_4_0_0_a2_26)) + (portRef C (instanceRef mask_i_4_0_0_a2_27)) + (portRef C (instanceRef mask_i_4_0_0_a2_30)) + (portRef C (instanceRef mask_i_4_0_0_a2_10)) + (portRef C (instanceRef mask_i_4_0_0_a2_16)) + (portRef C (instanceRef mask_i_4_0_0_a2_17)) + (portRef C (instanceRef mask_i_4_0_0_a2_18)) + (portRef C (instanceRef mask_i_4_0_0_a2_20)) + (portRef C (instanceRef mask_i_4_0_0_a2_21)) + (portRef C (instanceRef mask_i_4_0_0_a2_22)) + (portRef C (instanceRef mask_i_4_0_0_a2_23)) + (portRef C (instanceRef mask_i_4_0_0_a2_28)) + (portRef C (instanceRef mask_i_4_0_0_a2_29)) + (portRef C (instanceRef mask_i_4_0_0_a2_15)) + (portRef C (instanceRef mask_i_4_0_0_a2_31)) + (portRef C (instanceRef mask_i_4_0_0_a2_24)) + )) (net fifo_nr_next_6_0 (joined (portRef Z (instanceRef fifo_nr_next_6_0)) (portRef D (instanceRef fifo_nr_next_0)) )) - (net fifo_nr_next_0 (joined - (portRef Q (instanceRef fifo_nr_next_0)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_o2_3_2)) - (portRef D (instanceRef fifo_nr_0)) - )) (net fifo_nr_next_6_1 (joined (portRef Z (instanceRef fifo_nr_next_6_1)) (portRef D (instanceRef fifo_nr_next_1)) )) - (net fifo_nr_next_1 (joined - (portRef Q (instanceRef fifo_nr_next_1)) - (portRef C (instanceRef FSM_CURRENT_srsts_i_1_0_a2_0_a2_2)) - (portRef C (instanceRef data_finished_fsm_0_i_s_0_a2)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_o2_2)) - (portRef D (instanceRef fifo_nr_1)) - )) (net fifo_nr_1 (joined (portRef Q (instanceRef fifo_nr_1)) - (portRef SD (instanceRef un2_channel_hit_time_16_0)) - (portRef SD (instanceRef un2_channel_hit_time_16_1)) - (portRef SD (instanceRef un2_channel_hit_time_16_2)) - (portRef SD (instanceRef un2_channel_hit_time_16_3)) - (portRef SD (instanceRef un2_channel_hit_time_16_4)) - (portRef SD (instanceRef un2_channel_hit_time_16_5)) - (portRef SD (instanceRef un2_channel_hit_time_16_6)) - (portRef SD (instanceRef un2_channel_hit_time_16_7)) - (portRef SD (instanceRef un2_channel_hit_time_16_8)) - (portRef SD (instanceRef un2_channel_hit_time_16_9)) - (portRef SD (instanceRef un2_channel_hit_time_16_10)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_2)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_3)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_4)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_5)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_7)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_8)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_9)) + (portRef D (instanceRef rd_en_i_RNO_31)) + (portRef D (instanceRef rd_en_i_RNO_30)) + (portRef D (instanceRef rd_en_i_RNO_29)) + (portRef D (instanceRef rd_en_i_RNO_28)) + (portRef D (instanceRef rd_en_i_RNO_27)) + (portRef D (instanceRef rd_en_i_RNO_26)) + (portRef D (instanceRef rd_en_i_RNO_25)) + (portRef D (instanceRef rd_en_i_RNO_24)) + (portRef D (instanceRef rd_en_i_RNO_23)) + (portRef D (instanceRef rd_en_i_RNO_22)) + (portRef D (instanceRef rd_en_i_RNO_21)) + (portRef D (instanceRef rd_en_i_RNO_20)) + (portRef D (instanceRef rd_en_i_RNO_19)) + (portRef D (instanceRef rd_en_i_RNO_18)) + (portRef D (instanceRef rd_en_i_RNO_17)) + (portRef D (instanceRef rd_en_i_RNO_16)) + (portRef D (instanceRef rd_en_i_RNO_15)) + (portRef D (instanceRef rd_en_i_RNO_14)) + (portRef D (instanceRef rd_en_i_RNO_13)) + (portRef D (instanceRef rd_en_i_RNO_12)) + (portRef D (instanceRef rd_en_i_RNO_11)) + (portRef D (instanceRef rd_en_i_RNO_10)) + (portRef D (instanceRef rd_en_i_RNO_9)) + (portRef D (instanceRef rd_en_i_RNO_8)) + (portRef D (instanceRef rd_en_i_RNO_7)) + (portRef D (instanceRef rd_en_i_RNO_6)) + (portRef D (instanceRef rd_en_i_RNO_5)) + (portRef D (instanceRef rd_en_i_RNO_4)) + (portRef D (instanceRef rd_en_i_RNO_3)) + (portRef D (instanceRef rd_en_i_RNO_2)) + (portRef D (instanceRef rd_en_i_RNO_1)) + (portRef D (instanceRef rd_en_i_RNO_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_10)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_11)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_12)) @@ -916487,89 +917991,58 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_17)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_18)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_19)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_20)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_21)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_22)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_23)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_24)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_25)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_26)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_27)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_28)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) - (portRef SD (instanceRef un2_channel_hit_time_31_0)) - (portRef SD (instanceRef un2_channel_hit_time_31_1)) - (portRef SD (instanceRef un2_channel_hit_time_31_2)) - (portRef SD (instanceRef un2_channel_hit_time_31_3)) - (portRef SD (instanceRef un2_channel_hit_time_31_4)) - (portRef SD (instanceRef un2_channel_hit_time_31_5)) - (portRef SD (instanceRef un2_channel_hit_time_31_6)) - (portRef SD (instanceRef un2_channel_hit_time_31_7)) - (portRef SD (instanceRef un2_channel_hit_time_31_8)) - (portRef SD (instanceRef un2_channel_hit_time_31_9)) - (portRef SD (instanceRef un2_channel_hit_time_31_10)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_2)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_3)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_4)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_5)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_7)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_8)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_9)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_10)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_11)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_12)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_13)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_14)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_15)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_16)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_17)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_18)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_19)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_20)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_21)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_22)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_23)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_24)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_25)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_26)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_27)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_28)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_31)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m2)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2)) - (portRef B (instanceRef rd_en_fsm_i_o2_6)) - (portRef B (instanceRef rd_en_fsm_i_o2_5)) - (portRef B (instanceRef rd_en_fsm_i_o2_4)) - (portRef B (instanceRef rd_en_fsm_i_o2_15)) - )) - (net fifo_nr_next_6_2 (joined - (portRef Z (instanceRef fifo_nr_next_6_2)) - (portRef D (instanceRef fifo_nr_next_2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) + (portRef D (instanceRef mask_i_4_0_0_a2_0)) + (portRef D (instanceRef mask_i_4_0_0_a2_1)) + (portRef D (instanceRef mask_i_4_0_0_a2_2)) + (portRef D (instanceRef mask_i_4_0_0_a2_3)) + (portRef D (instanceRef mask_i_4_0_0_a2_4)) + (portRef D (instanceRef mask_i_4_0_0_a2_5)) + (portRef D (instanceRef mask_i_4_0_0_a2_6)) + (portRef D (instanceRef mask_i_4_0_0_a2_7)) + (portRef D (instanceRef mask_i_4_0_0_a2_8)) + (portRef D (instanceRef mask_i_4_0_0_a2_9)) + (portRef D (instanceRef mask_i_4_0_0_a2_11)) + (portRef D (instanceRef mask_i_4_0_0_a2_12)) + (portRef D (instanceRef mask_i_4_0_0_a2_13)) + (portRef D (instanceRef mask_i_4_0_0_a2_14)) + (portRef D (instanceRef mask_i_4_0_0_a2_19)) + (portRef D (instanceRef mask_i_4_0_0_a2_25)) + (portRef D (instanceRef mask_i_4_0_0_a2_26)) + (portRef D (instanceRef mask_i_4_0_0_a2_27)) + (portRef D (instanceRef mask_i_4_0_0_a2_30)) + (portRef D (instanceRef mask_i_4_0_0_a2_10)) + (portRef D (instanceRef mask_i_4_0_0_a2_16)) + (portRef D (instanceRef mask_i_4_0_0_a2_17)) + (portRef D (instanceRef mask_i_4_0_0_a2_18)) + (portRef D (instanceRef mask_i_4_0_0_a2_20)) + (portRef D (instanceRef mask_i_4_0_0_a2_21)) + (portRef D (instanceRef mask_i_4_0_0_a2_22)) + (portRef D (instanceRef mask_i_4_0_0_a2_23)) + (portRef D (instanceRef mask_i_4_0_0_a2_28)) + (portRef D (instanceRef mask_i_4_0_0_a2_29)) + (portRef D (instanceRef mask_i_4_0_0_a2_15)) + (portRef D (instanceRef mask_i_4_0_0_a2_31)) + (portRef D (instanceRef mask_i_4_0_0_a2_24)) )) (net fifo_nr_next_2 (joined (portRef Q (instanceRef fifo_nr_next_2)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_1_0_a2_0_a2_2)) - (portRef B (instanceRef data_finished_fsm_0_i_s_0_a2)) - (portRef C (instanceRef FSM_CURRENT_srsts_i_o2_2)) + (portRef A (instanceRef un1_FSM_CURRENT_i_a2_3)) (portRef D (instanceRef fifo_nr_1_2)) (portRef D (instanceRef fifo_nr_2)) )) (net fifo_nr_2 (joined (portRef Q (instanceRef fifo_nr_2)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_1)) + (portRef D (instanceRef rd_en_fsm_0_a2_i_o2_0_23)) + (portRef D (instanceRef rd_en_fsm_i_0_o2_0_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_16_bm_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_16_am_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_31_bm_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_31_am_1)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_2)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_3)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_4)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_5)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_7)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_8)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_9)) @@ -916593,15 +918066,11 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_27)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_28)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_1)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_2)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_3)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_4)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_5)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_7)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_8)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_9)) @@ -916625,10 +918094,8 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_27)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_28)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_1)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_10)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_11)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_12)) @@ -916648,24 +918115,148 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_26)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_27)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_28)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_29)) - (portRef A (instanceRef rd_en_fsm_i_o2_1_6)) - (portRef A (instanceRef rd_en_fsm_i_o2_0_2)) - (portRef A (instanceRef rd_en_fsm_i_o2_0_31)) - (portRef A (instanceRef rd_en_fsm_i_o2_1_27)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) + (portRef A (instanceRef mask_i_4_0_0_a2_1_25)) + (portRef A (instanceRef mask_i_4_0_0_a2_1_20)) + )) + (net fifo_nr_next_6_2 (joined + (portRef Z (instanceRef fifo_nr_next_6_2)) + (portRef D (instanceRef fifo_nr_next_2)) + )) + (net fifo_nr_next_3 (joined + (portRef Q (instanceRef fifo_nr_next_3)) + (portRef B (instanceRef un1_FSM_CURRENT_i_a2_3)) + (portRef D (instanceRef fifo_nr_1_3)) + (portRef D (instanceRef fifo_nr_2_3)) + (portRef D (instanceRef fifo_nr_3_3)) + (portRef D (instanceRef fifo_nr_3)) + )) + (net fifo_nr_3 (joined + (portRef Q (instanceRef fifo_nr_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_1)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_15)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_16)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_17)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_18)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_19)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_20)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_21)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_1)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_15)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_16)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_17)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_18)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_19)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_20)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_21)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_1)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_15)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_16)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_17)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_18)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_19)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_20)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_21)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) + (portRef A (instanceRef rd_en_fsm_i_0_o2_1_14)) + (portRef A (instanceRef rd_en_fsm_i_0_o2_0_7)) + (portRef A (instanceRef rd_en_fsm_i_0_o2_0_27)) + (portRef A (instanceRef rd_en_fsm_i_0_o2_1_19)) + )) + (net fifo_nr_next_6_3 (joined + (portRef Z (instanceRef fifo_nr_next_6_3)) + (portRef D (instanceRef fifo_nr_next_3)) + )) + (net fifo_nr_next_6_4 (joined + (portRef Z (instanceRef fifo_nr_next_6_4)) + (portRef D (instanceRef fifo_nr_next_4)) )) (net fifo_nr_next_4 (joined (portRef Q (instanceRef fifo_nr_next_4)) - (portRef C (instanceRef FSM_CURRENT_srsts_i_o2_3_2)) + (portRef C (instanceRef un1_FSM_CURRENT_i_a2_3)) (portRef D (instanceRef fifo_nr_1_4)) (portRef D (instanceRef fifo_nr_2_4)) - (portRef D (instanceRef fifo_nr_3_4)) - (portRef D (instanceRef fifo_nr_5_4)) (portRef D (instanceRef fifo_nr_4)) + (portRef D (instanceRef fifo_nr_3_4)) (portRef D (instanceRef fifo_nr_4_4)) + (portRef D (instanceRef fifo_nr_5_4)) (portRef D (instanceRef fifo_nr_6_4)) (portRef D (instanceRef fifo_nr_7_4)) )) + (net un1_fifo_nr_hex_0_2 (joined + (portRef Z (instanceRef un1_fifo_nr_hex_0_2)) + (portRef D (instanceRef fifo_nr_next_5)) + )) + (net fifo_nr_next_5 (joined + (portRef Q (instanceRef fifo_nr_next_5)) + (portRef D (instanceRef un1_FSM_CURRENT_i_a2_3)) + (portRef D (instanceRef fifo_nr_5)) + )) (net fifo_nr_7_4 (joined (portRef Q (instanceRef fifo_nr_7_4)) (portRef C (instanceRef un2_channel_hit_time_4_bm_0)) @@ -916750,208 +918341,13 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_29)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_31)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) (portRef C (instanceRef un2_channel_hit_time_7_bm_0)) (portRef C (instanceRef un2_channel_hit_time_7_am_0)) )) - (net fifo_nr_next_3 (joined - (portRef Q (instanceRef fifo_nr_next_3)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_o2_3_2)) - (portRef D (instanceRef fifo_nr_1_3)) - (portRef D (instanceRef fifo_nr_2_3)) - (portRef D (instanceRef fifo_nr_4_3)) - (portRef D (instanceRef fifo_nr_3)) - (portRef D (instanceRef fifo_nr_3_3)) - )) - (net fifo_nr_3_3 (joined - (portRef Q (instanceRef fifo_nr_3_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_9)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_15)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_16)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_17)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_18)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_19)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_20)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_27)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) - (portRef C0 (instanceRef un2_channel_hit_time_11_0)) - (portRef C0 (instanceRef un2_channel_hit_time_11_1)) - (portRef C0 (instanceRef un2_channel_hit_time_11_2)) - (portRef C0 (instanceRef un2_channel_hit_time_11_3)) - (portRef C0 (instanceRef un2_channel_hit_time_11_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_9)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_15)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_16)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_17)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_18)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_19)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_20)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_27)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_9)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2)) - )) - (net fifo_nr_3 (joined - (portRef Q (instanceRef fifo_nr_3)) - (portRef D (instanceRef rd_en_i_RNO_31)) - (portRef D (instanceRef rd_en_i_RNO_30)) - (portRef D (instanceRef rd_en_i_RNO_29)) - (portRef D (instanceRef rd_en_i_RNO_28)) - (portRef D (instanceRef rd_en_i_RNO_27)) - (portRef D (instanceRef rd_en_i_RNO_26)) - (portRef D (instanceRef rd_en_i_RNO_25)) - (portRef D (instanceRef rd_en_i_RNO_24)) - (portRef D (instanceRef rd_en_i_RNO_23)) - (portRef D (instanceRef rd_en_i_RNO_22)) - (portRef D (instanceRef rd_en_i_RNO_21)) - (portRef D (instanceRef rd_en_i_RNO_20)) - (portRef D (instanceRef rd_en_i_RNO_19)) - (portRef D (instanceRef rd_en_i_RNO_18)) - (portRef D (instanceRef rd_en_i_RNO_17)) - (portRef D (instanceRef rd_en_i_RNO_16)) - (portRef D (instanceRef rd_en_i_RNO_15)) - (portRef D (instanceRef rd_en_i_RNO_14)) - (portRef D (instanceRef rd_en_i_RNO_13)) - (portRef D (instanceRef rd_en_i_RNO_12)) - (portRef D (instanceRef rd_en_i_RNO_11)) - (portRef D (instanceRef rd_en_i_RNO_10)) - (portRef D (instanceRef rd_en_i_RNO_9)) - (portRef D (instanceRef rd_en_i_RNO_8)) - (portRef D (instanceRef rd_en_i_RNO_7)) - (portRef D (instanceRef rd_en_i_RNO_6)) - (portRef D (instanceRef rd_en_i_RNO_5)) - (portRef D (instanceRef rd_en_i_RNO_4)) - (portRef D (instanceRef rd_en_i_RNO_3)) - (portRef D (instanceRef rd_en_i_RNO_2)) - (portRef D (instanceRef rd_en_i_RNO_1)) - (portRef D (instanceRef rd_en_i_RNO_0)) - (portRef D (instanceRef mask_i_4_0_a2_0)) - (portRef D (instanceRef mask_i_4_0_a2_2)) - (portRef D (instanceRef mask_i_4_0_a2_4)) - (portRef D (instanceRef mask_i_4_0_a2_5)) - (portRef D (instanceRef mask_i_4_0_a2_6)) - (portRef D (instanceRef mask_i_4_0_a2_8)) - (portRef D (instanceRef mask_i_4_0_a2_9)) - (portRef D (instanceRef mask_i_4_0_a2_11)) - (portRef D (instanceRef mask_i_4_0_a2_12)) - (portRef D (instanceRef mask_i_4_0_a2_13)) - (portRef D (instanceRef mask_i_4_0_a2_15)) - (portRef D (instanceRef mask_i_4_0_a2_24)) - (portRef D (instanceRef mask_i_4_0_a2_29)) - (portRef D (instanceRef mask_i_4_0_a2_30)) - (portRef D (instanceRef mask_i_4_0_a2_31)) - (portRef D (instanceRef mask_i_4_0_a2_3)) - (portRef D (instanceRef mask_i_4_0_a2_7)) - (portRef D (instanceRef mask_i_4_0_a2_14)) - (portRef D (instanceRef mask_i_4_0_a2_25)) - (portRef D (instanceRef mask_i_4_0_a2_26)) - (portRef D (instanceRef mask_i_4_0_a2_27)) - (portRef D (instanceRef mask_i_4_0_a2_1)) - (portRef D (instanceRef mask_i_4_0_a2_10)) - (portRef D (instanceRef mask_i_4_0_a2_16)) - (portRef D (instanceRef mask_i_4_0_a2_17)) - (portRef D (instanceRef mask_i_4_0_a2_18)) - (portRef D (instanceRef mask_i_4_0_a2_19)) - (portRef D (instanceRef mask_i_4_0_a2_20)) - (portRef D (instanceRef mask_i_4_0_a2_21)) - (portRef D (instanceRef mask_i_4_0_a2_22)) - (portRef D (instanceRef mask_i_4_0_a2_23)) - (portRef D (instanceRef mask_i_4_0_a2_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_15)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_16)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_17)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_18)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_19)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_20)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_27)) - )) - (net fifo_nr_next_6_3 (joined - (portRef Z (instanceRef fifo_nr_next_6_3)) - (portRef D (instanceRef fifo_nr_next_3)) - )) - (net un1_fifo_nr_hex_0_2 (joined - (portRef Z (instanceRef un1_fifo_nr_hex_0_2)) - (portRef D (instanceRef fifo_nr_next_5)) - )) - (net fifo_nr_next_5 (joined - (portRef Q (instanceRef fifo_nr_next_5)) - (portRef D (instanceRef FSM_CURRENT_srsts_i_o2_3_2)) - (portRef D (instanceRef fifo_nr_5)) - )) (net fifo_nr_6_4 (joined (portRef Q (instanceRef fifo_nr_6_4)) (portRef C (instanceRef un2_channel_hit_time_7_bm_1)) @@ -917043,279 +918439,6 @@ (portRef C (instanceRef un2_channel_hit_time_22_bm_0)) (portRef C (instanceRef un2_channel_hit_time_22_am_0)) )) - (net fifo_nr_4_3 (joined - (portRef Q (instanceRef fifo_nr_4_3)) - (portRef C0 (instanceRef un2_channel_hit_time_4_0)) - (portRef C0 (instanceRef un2_channel_hit_time_4_1)) - (portRef C0 (instanceRef un2_channel_hit_time_4_2)) - (portRef C0 (instanceRef un2_channel_hit_time_4_3)) - (portRef C0 (instanceRef un2_channel_hit_time_4_4)) - (portRef C0 (instanceRef un2_channel_hit_time_4_5)) - (portRef C0 (instanceRef un2_channel_hit_time_4_6)) - (portRef C0 (instanceRef un2_channel_hit_time_4_7)) - (portRef C0 (instanceRef un2_channel_hit_time_4_8)) - (portRef C0 (instanceRef un2_channel_hit_time_4_9)) - (portRef C0 (instanceRef un2_channel_hit_time_4_10)) - (portRef C0 (instanceRef un2_channel_hit_time_7_0)) - (portRef C0 (instanceRef un2_channel_hit_time_7_1)) - (portRef C0 (instanceRef un2_channel_hit_time_7_2)) - (portRef C0 (instanceRef un2_channel_hit_time_7_3)) - (portRef C0 (instanceRef un2_channel_hit_time_7_4)) - (portRef C0 (instanceRef un2_channel_hit_time_7_5)) - (portRef C0 (instanceRef un2_channel_hit_time_7_6)) - (portRef C0 (instanceRef un2_channel_hit_time_7_7)) - (portRef C0 (instanceRef un2_channel_hit_time_7_8)) - (portRef C0 (instanceRef un2_channel_hit_time_7_9)) - (portRef C0 (instanceRef un2_channel_hit_time_7_10)) - (portRef C0 (instanceRef un2_channel_hit_time_11_4)) - (portRef C0 (instanceRef un2_channel_hit_time_11_5)) - (portRef C0 (instanceRef un2_channel_hit_time_11_6)) - (portRef C0 (instanceRef un2_channel_hit_time_11_7)) - (portRef C0 (instanceRef un2_channel_hit_time_11_8)) - (portRef C0 (instanceRef un2_channel_hit_time_11_9)) - (portRef C0 (instanceRef un2_channel_hit_time_14_0)) - (portRef C0 (instanceRef un2_channel_hit_time_14_1)) - (portRef C0 (instanceRef un2_channel_hit_time_14_2)) - (portRef C0 (instanceRef un2_channel_hit_time_14_3)) - (portRef C0 (instanceRef un2_channel_hit_time_14_4)) - (portRef C0 (instanceRef un2_channel_hit_time_14_5)) - (portRef C0 (instanceRef un2_channel_hit_time_14_6)) - (portRef C0 (instanceRef un2_channel_hit_time_14_7)) - (portRef C0 (instanceRef un2_channel_hit_time_14_8)) - (portRef C0 (instanceRef un2_channel_hit_time_14_9)) - (portRef C0 (instanceRef un2_channel_hit_time_14_10)) - (portRef C0 (instanceRef un2_channel_hit_time_19_0)) - (portRef C0 (instanceRef un2_channel_hit_time_19_1)) - (portRef C0 (instanceRef un2_channel_hit_time_19_2)) - (portRef C0 (instanceRef un2_channel_hit_time_19_3)) - (portRef C0 (instanceRef un2_channel_hit_time_19_4)) - (portRef C0 (instanceRef un2_channel_hit_time_19_5)) - (portRef C0 (instanceRef un2_channel_hit_time_19_6)) - (portRef C0 (instanceRef un2_channel_hit_time_19_7)) - (portRef C0 (instanceRef un2_channel_hit_time_19_8)) - (portRef C0 (instanceRef un2_channel_hit_time_19_9)) - (portRef C0 (instanceRef un2_channel_hit_time_19_10)) - (portRef C0 (instanceRef un2_channel_hit_time_22_0)) - (portRef C0 (instanceRef un2_channel_hit_time_22_1)) - (portRef C0 (instanceRef un2_channel_hit_time_22_2)) - (portRef C0 (instanceRef un2_channel_hit_time_22_3)) - (portRef C0 (instanceRef un2_channel_hit_time_22_4)) - (portRef C0 (instanceRef un2_channel_hit_time_22_5)) - (portRef C0 (instanceRef un2_channel_hit_time_22_6)) - (portRef C0 (instanceRef un2_channel_hit_time_22_7)) - (portRef C0 (instanceRef un2_channel_hit_time_22_8)) - (portRef C0 (instanceRef un2_channel_hit_time_22_9)) - (portRef C0 (instanceRef un2_channel_hit_time_22_10)) - (portRef C0 (instanceRef un2_channel_hit_time_26_0)) - (portRef C0 (instanceRef un2_channel_hit_time_26_1)) - (portRef C0 (instanceRef un2_channel_hit_time_26_2)) - (portRef C0 (instanceRef un2_channel_hit_time_26_3)) - (portRef C0 (instanceRef un2_channel_hit_time_26_4)) - (portRef C0 (instanceRef un2_channel_hit_time_26_5)) - (portRef C0 (instanceRef un2_channel_hit_time_26_6)) - (portRef C0 (instanceRef un2_channel_hit_time_26_7)) - (portRef C0 (instanceRef un2_channel_hit_time_26_8)) - (portRef C0 (instanceRef un2_channel_hit_time_26_9)) - (portRef C0 (instanceRef un2_channel_hit_time_26_10)) - (portRef C0 (instanceRef un2_channel_hit_time_29_0)) - (portRef C0 (instanceRef un2_channel_hit_time_29_1)) - (portRef C0 (instanceRef un2_channel_hit_time_29_2)) - (portRef C0 (instanceRef un2_channel_hit_time_29_3)) - (portRef C0 (instanceRef un2_channel_hit_time_29_4)) - (portRef C0 (instanceRef un2_channel_hit_time_29_5)) - (portRef C0 (instanceRef un2_channel_hit_time_29_6)) - (portRef C0 (instanceRef un2_channel_hit_time_29_7)) - (portRef C0 (instanceRef un2_channel_hit_time_29_8)) - (portRef C0 (instanceRef un2_channel_hit_time_29_9)) - (portRef C0 (instanceRef un2_channel_hit_time_29_10)) - )) - (net fifo_nr_4_4 (joined - (portRef Q (instanceRef fifo_nr_4_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_24)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_25)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_25)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_26)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_26)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_27)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_27)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_28)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_0)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_0)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_1)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_2)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_3)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_3)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_10)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_10)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_11)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_11)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_23)) - )) - (net fifo_nr_4 (joined - (portRef Q (instanceRef fifo_nr_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_0)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_0)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_1)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_2)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_3)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_3)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_10)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_10)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_11)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_11)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_24)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_24)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_25)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_25)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_26)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_26)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_27)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_27)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_28)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_28)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_31)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_am)) - (portRef B (instanceRef rd_en_fsm_i_o2_1_6)) - (portRef B (instanceRef rd_en_fsm_i_o2_0_2)) - (portRef B (instanceRef rd_en_fsm_i_o2_0_31)) - (portRef B (instanceRef rd_en_fsm_i_o2_1_27)) - )) - (net fifo_nr_next_6_4 (joined - (portRef Z (instanceRef fifo_nr_next_6_4)) - (portRef D (instanceRef fifo_nr_next_4)) - )) (net fifo_nr_5_4 (joined (portRef Q (instanceRef fifo_nr_5_4)) (portRef C (instanceRef un2_channel_hit_time_22_bm_1)) @@ -917407,12 +918530,191 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_11)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_11)) )) - (net fifo_nr_3_4 (joined - (portRef Q (instanceRef fifo_nr_3_4)) + (net fifo_nr_4_4 (joined + (portRef Q (instanceRef fifo_nr_4_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_25)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_25)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_28)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_23)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_24)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_24)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_25)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_25)) + )) + (net fifo_nr_3_3 (joined + (portRef Q (instanceRef fifo_nr_3_3)) + (portRef C0 (instanceRef un2_channel_hit_time_4_0)) + (portRef C0 (instanceRef un2_channel_hit_time_4_1)) + (portRef C0 (instanceRef un2_channel_hit_time_4_2)) + (portRef C0 (instanceRef un2_channel_hit_time_4_3)) + (portRef C0 (instanceRef un2_channel_hit_time_4_4)) + (portRef C0 (instanceRef un2_channel_hit_time_4_5)) + (portRef C0 (instanceRef un2_channel_hit_time_4_6)) + (portRef C0 (instanceRef un2_channel_hit_time_4_7)) + (portRef C0 (instanceRef un2_channel_hit_time_4_8)) + (portRef C0 (instanceRef un2_channel_hit_time_4_9)) + (portRef C0 (instanceRef un2_channel_hit_time_4_10)) + (portRef C0 (instanceRef un2_channel_hit_time_7_0)) + (portRef C0 (instanceRef un2_channel_hit_time_7_1)) + (portRef C0 (instanceRef un2_channel_hit_time_7_2)) + (portRef C0 (instanceRef un2_channel_hit_time_7_3)) + (portRef C0 (instanceRef un2_channel_hit_time_7_4)) + (portRef C0 (instanceRef un2_channel_hit_time_7_5)) + (portRef C0 (instanceRef un2_channel_hit_time_7_6)) + (portRef C0 (instanceRef un2_channel_hit_time_7_7)) + (portRef C0 (instanceRef un2_channel_hit_time_7_8)) + (portRef C0 (instanceRef un2_channel_hit_time_7_9)) + (portRef C0 (instanceRef un2_channel_hit_time_7_10)) + (portRef C0 (instanceRef un2_channel_hit_time_11_0)) + (portRef C0 (instanceRef un2_channel_hit_time_11_1)) + (portRef C0 (instanceRef un2_channel_hit_time_11_2)) + (portRef C0 (instanceRef un2_channel_hit_time_11_3)) + (portRef C0 (instanceRef un2_channel_hit_time_11_4)) + (portRef C0 (instanceRef un2_channel_hit_time_11_5)) + (portRef C0 (instanceRef un2_channel_hit_time_11_6)) + (portRef C0 (instanceRef un2_channel_hit_time_11_7)) + (portRef C0 (instanceRef un2_channel_hit_time_11_8)) + (portRef C0 (instanceRef un2_channel_hit_time_11_9)) + (portRef C0 (instanceRef un2_channel_hit_time_11_10)) + (portRef C0 (instanceRef un2_channel_hit_time_14_0)) + (portRef C0 (instanceRef un2_channel_hit_time_14_1)) + (portRef C0 (instanceRef un2_channel_hit_time_14_2)) + (portRef C0 (instanceRef un2_channel_hit_time_14_3)) + (portRef C0 (instanceRef un2_channel_hit_time_14_4)) + (portRef C0 (instanceRef un2_channel_hit_time_14_5)) + (portRef C0 (instanceRef un2_channel_hit_time_14_6)) + (portRef C0 (instanceRef un2_channel_hit_time_14_7)) + (portRef C0 (instanceRef un2_channel_hit_time_14_8)) + (portRef C0 (instanceRef un2_channel_hit_time_14_9)) + (portRef C0 (instanceRef un2_channel_hit_time_14_10)) + (portRef C0 (instanceRef un2_channel_hit_time_19_0)) + (portRef C0 (instanceRef un2_channel_hit_time_19_1)) + (portRef C0 (instanceRef un2_channel_hit_time_19_2)) + (portRef C0 (instanceRef un2_channel_hit_time_19_3)) + (portRef C0 (instanceRef un2_channel_hit_time_19_4)) + (portRef C0 (instanceRef un2_channel_hit_time_19_5)) + (portRef C0 (instanceRef un2_channel_hit_time_19_6)) + (portRef C0 (instanceRef un2_channel_hit_time_19_7)) + (portRef C0 (instanceRef un2_channel_hit_time_19_8)) + (portRef C0 (instanceRef un2_channel_hit_time_19_9)) + (portRef C0 (instanceRef un2_channel_hit_time_19_10)) + (portRef C0 (instanceRef un2_channel_hit_time_22_0)) + (portRef C0 (instanceRef un2_channel_hit_time_22_1)) + (portRef C0 (instanceRef un2_channel_hit_time_22_2)) + (portRef C0 (instanceRef un2_channel_hit_time_22_3)) + (portRef C0 (instanceRef un2_channel_hit_time_22_4)) + (portRef C0 (instanceRef un2_channel_hit_time_22_5)) + (portRef C0 (instanceRef un2_channel_hit_time_22_6)) + (portRef C0 (instanceRef un2_channel_hit_time_22_7)) + (portRef C0 (instanceRef un2_channel_hit_time_22_8)) + (portRef C0 (instanceRef un2_channel_hit_time_22_9)) + (portRef C0 (instanceRef un2_channel_hit_time_22_10)) + (portRef C0 (instanceRef un2_channel_hit_time_26_0)) + (portRef C0 (instanceRef un2_channel_hit_time_26_1)) + (portRef C0 (instanceRef un2_channel_hit_time_26_2)) + (portRef C0 (instanceRef un2_channel_hit_time_26_3)) + (portRef C0 (instanceRef un2_channel_hit_time_26_4)) + (portRef C0 (instanceRef un2_channel_hit_time_26_5)) + (portRef C0 (instanceRef un2_channel_hit_time_26_6)) + (portRef C0 (instanceRef un2_channel_hit_time_26_7)) + (portRef C0 (instanceRef un2_channel_hit_time_26_8)) + (portRef C0 (instanceRef un2_channel_hit_time_26_9)) + (portRef C0 (instanceRef un2_channel_hit_time_26_10)) + (portRef C0 (instanceRef un2_channel_hit_time_29_0)) + (portRef C0 (instanceRef un2_channel_hit_time_29_1)) + (portRef C0 (instanceRef un2_channel_hit_time_29_2)) + (portRef C0 (instanceRef un2_channel_hit_time_29_3)) + (portRef C0 (instanceRef un2_channel_hit_time_29_4)) + (portRef C0 (instanceRef un2_channel_hit_time_29_5)) + (portRef C0 (instanceRef un2_channel_hit_time_29_6)) + (portRef C0 (instanceRef un2_channel_hit_time_29_7)) + (portRef C0 (instanceRef un2_channel_hit_time_29_8)) + (portRef C0 (instanceRef un2_channel_hit_time_29_9)) + (portRef C0 (instanceRef un2_channel_hit_time_29_10)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2)) + )) + (net fifo_nr_3_4 (joined + (portRef Q (instanceRef fifo_nr_3_4)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_26)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_26)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_27)) @@ -917421,10 +918723,6 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_29)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_31)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_1)) @@ -917485,10 +918783,6 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_29)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_1)) @@ -917498,9 +918792,123 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_3)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_3)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_10)) )) (net fifo_nr_2_3 (joined (portRef Q (instanceRef fifo_nr_2_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_1)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_15)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_16)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_17)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_18)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_19)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_20)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_21)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_1)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_15)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_16)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_17)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_18)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_19)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_20)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_21)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_31)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) + )) + (net fifo_nr_1_3 (joined + (portRef Q (instanceRef fifo_nr_1_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_0)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_1)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_2)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_3)) @@ -917510,6 +918918,12 @@ (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_7)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_8)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_15)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_16)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_17)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_18)) @@ -917524,8 +918938,6 @@ (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_27)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_28)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_1)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_2)) @@ -917556,12 +918968,8 @@ (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_27)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_28)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_0)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_3)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_10)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_11)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_12)) @@ -917574,31 +918982,107 @@ (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_19)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_20)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_27)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) + )) + (net fifo_nr_4 (joined + (portRef Q (instanceRef fifo_nr_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_25)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_25)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_28)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_28)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_31)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_am)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) + (portRef B (instanceRef rd_en_fsm_i_0_o2_1_14)) + (portRef B (instanceRef rd_en_fsm_i_0_o2_0_7)) + (portRef B (instanceRef rd_en_fsm_i_0_o2_0_27)) + (portRef B (instanceRef rd_en_fsm_i_0_o2_1_19)) )) (net fifo_nr_2_4 (joined (portRef Q (instanceRef fifo_nr_2_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_10)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_10)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_11)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_11)) @@ -917638,10 +919122,6 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_29)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_31)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_1)) @@ -917676,6 +919156,101 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_15)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_24)) + )) + (net fifo_nr_1_1 (joined + (portRef Q (instanceRef fifo_nr_1_1)) + (portRef SD (instanceRef un2_channel_hit_time_16_0)) + (portRef SD (instanceRef un2_channel_hit_time_16_1)) + (portRef SD (instanceRef un2_channel_hit_time_16_2)) + (portRef SD (instanceRef un2_channel_hit_time_16_3)) + (portRef SD (instanceRef un2_channel_hit_time_16_4)) + (portRef SD (instanceRef un2_channel_hit_time_16_5)) + (portRef SD (instanceRef un2_channel_hit_time_16_6)) + (portRef SD (instanceRef un2_channel_hit_time_16_7)) + (portRef SD (instanceRef un2_channel_hit_time_16_8)) + (portRef SD (instanceRef un2_channel_hit_time_16_9)) + (portRef SD (instanceRef un2_channel_hit_time_16_10)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_3)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_4)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_5)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_7)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_8)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_9)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_20)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_21)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_22)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_23)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_24)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_25)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_26)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_27)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_28)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_29)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) + (portRef SD (instanceRef un2_channel_hit_time_31_0)) + (portRef SD (instanceRef un2_channel_hit_time_31_1)) + (portRef SD (instanceRef un2_channel_hit_time_31_2)) + (portRef SD (instanceRef un2_channel_hit_time_31_3)) + (portRef SD (instanceRef un2_channel_hit_time_31_4)) + (portRef SD (instanceRef un2_channel_hit_time_31_5)) + (portRef SD (instanceRef un2_channel_hit_time_31_6)) + (portRef SD (instanceRef un2_channel_hit_time_31_7)) + (portRef SD (instanceRef un2_channel_hit_time_31_8)) + (portRef SD (instanceRef un2_channel_hit_time_31_9)) + (portRef SD (instanceRef un2_channel_hit_time_31_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_3)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_4)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_5)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_7)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_8)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_9)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_10)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_11)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_12)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_13)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_14)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_15)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_16)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_17)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_18)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_19)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_20)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_21)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_22)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_23)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_24)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_25)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_26)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_27)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_28)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_29)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_31)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m3_i_m2)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) )) (net fifo_nr_1_2 (joined (portRef Q (instanceRef fifo_nr_1_2)) @@ -917690,13 +919265,10 @@ (portRef SD (instanceRef un2_channel_hit_time_8_8)) (portRef SD (instanceRef un2_channel_hit_time_8_9)) (portRef SD (instanceRef un2_channel_hit_time_8_10)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_1)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_2)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_3)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_4)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_5)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_7)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_8)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_9)) @@ -917720,8 +919292,10 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_27)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_28)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) (portRef SD (instanceRef un2_channel_hit_time_15_0)) (portRef SD (instanceRef un2_channel_hit_time_15_1)) (portRef SD (instanceRef un2_channel_hit_time_15_2)) @@ -917763,117 +919337,16 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_7)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_8)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_9)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_29)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_31)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m2)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m2)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m2)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m2)) - )) - (net fifo_nr_1_3 (joined - (portRef Q (instanceRef fifo_nr_1_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_9)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_9)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_15)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_16)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_17)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_18)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_19)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_20)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_27)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_9)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_15)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_16)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_17)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_18)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_19)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_20)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_27)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_15)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m3_i_m2)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m3_i_m2)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m3_i_m2)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m3_i_m2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) )) (net fifo_nr_1_4 (joined (portRef Q (instanceRef fifo_nr_1_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_24)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_25)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_25)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) @@ -917884,10 +919357,6 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_1)) @@ -917947,6 +919416,26 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_9)) )) (net fifo_nr_5 (joined (portRef Q (instanceRef fifo_nr_5)) @@ -917991,9 +919480,10 @@ (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_27)) (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_28)) (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_29)) - (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_31)) - (portRef A (instanceRef mask_i_4_0_a2_2_20)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) + (portRef B (instanceRef mask_i_4_0_0_a2_1_25)) + (portRef B (instanceRef mask_i_4_0_0_a2_1_20)) )) (net empty_channels_0 (joined (portRef Q (instanceRef empty_channels_0)) @@ -918123,8 +919613,16 @@ (portRef Q (instanceRef empty_channels_31)) (portRef B (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28_bm)) )) - (net N_7_i (joined - (portRef Z (instanceRef Data_Out_MUX_data_wr_reg_4_N_7_i)) + (net fee_data_write_i (joined + (portRef Q (instanceRef data_wr_reg)) + (portRef fee_data_write_i) + )) + (net data_out_reg_22_0_iv_i_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0)) + (portRef D (instanceRef data_out_reg_0)) + )) + (net N_2578_i (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_2_RNI6MFM)) (portRef SP (instanceRef data_out_reg_31)) (portRef SP (instanceRef data_out_reg_30)) (portRef SP (instanceRef data_out_reg_29)) @@ -918157,230 +919655,221 @@ (portRef SP (instanceRef data_out_reg_2)) (portRef SP (instanceRef data_out_reg_1)) (portRef SP (instanceRef data_out_reg_0)) - (portRef D (instanceRef data_wr_reg)) - )) - (net fee_data_write_i (joined - (portRef Q (instanceRef data_wr_reg)) - (portRef fee_data_write_i) - )) - (net data_out_reg_22_0 (joined - (portRef Z (instanceRef data_out_reg_RNO_0)) - (portRef D (instanceRef data_out_reg_0)) )) (net fee_data_i_0 (joined (portRef Q (instanceRef data_out_reg_0)) (portRef (member fee_data_i 31)) )) - (net data_out_reg_22_1 (joined - (portRef Z (instanceRef data_out_reg_RNO_1)) + (net data_out_reg_22_0_i_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_1)) (portRef D (instanceRef data_out_reg_1)) )) (net fee_data_i_1 (joined (portRef Q (instanceRef data_out_reg_1)) (portRef (member fee_data_i 30)) )) - (net data_out_reg_22_2 (joined - (portRef Z (instanceRef data_out_reg_RNO_2)) + (net data_out_reg_22_0_i_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_2)) (portRef D (instanceRef data_out_reg_2)) )) (net fee_data_i_2 (joined (portRef Q (instanceRef data_out_reg_2)) (portRef (member fee_data_i 29)) )) - (net data_out_reg_22_3 (joined - (portRef Z (instanceRef data_out_reg_RNO_3)) + (net data_out_reg_22_0_i_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_3)) (portRef D (instanceRef data_out_reg_3)) )) (net fee_data_i_3 (joined (portRef Q (instanceRef data_out_reg_3)) (portRef (member fee_data_i 28)) )) - (net data_out_reg_22_4 (joined - (portRef Z (instanceRef data_out_reg_RNO_4)) + (net data_out_reg_22_0_i_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_4)) (portRef D (instanceRef data_out_reg_4)) )) (net fee_data_i_4 (joined (portRef Q (instanceRef data_out_reg_4)) (portRef (member fee_data_i 27)) )) - (net data_out_reg_22_5 (joined - (portRef Z (instanceRef data_out_reg_RNO_5)) + (net data_out_reg_22_0_i_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_5)) (portRef D (instanceRef data_out_reg_5)) )) (net fee_data_i_5 (joined (portRef Q (instanceRef data_out_reg_5)) (portRef (member fee_data_i 26)) )) - (net data_out_reg_22_6 (joined - (portRef Z (instanceRef data_out_reg_RNO_6)) + (net data_out_reg_22_0_iv_i_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6)) (portRef D (instanceRef data_out_reg_6)) )) (net fee_data_i_6 (joined (portRef Q (instanceRef data_out_reg_6)) (portRef (member fee_data_i 25)) )) - (net data_out_reg_22_7 (joined - (portRef Z (instanceRef data_out_reg_RNO_7)) + (net data_out_reg_22_0_iv_i_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7)) (portRef D (instanceRef data_out_reg_7)) )) (net fee_data_i_7 (joined (portRef Q (instanceRef data_out_reg_7)) (portRef (member fee_data_i 24)) )) - (net data_out_reg_22_8 (joined - (portRef Z (instanceRef data_out_reg_RNO_8)) + (net data_out_reg_22_0_iv_i_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8)) (portRef D (instanceRef data_out_reg_8)) )) (net fee_data_i_8 (joined (portRef Q (instanceRef data_out_reg_8)) (portRef (member fee_data_i 23)) )) - (net data_out_reg_22_9 (joined - (portRef Z (instanceRef data_out_reg_RNO_9)) + (net data_out_reg_22_0_iv_i_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_9)) (portRef D (instanceRef data_out_reg_9)) )) (net fee_data_i_9 (joined (portRef Q (instanceRef data_out_reg_9)) (portRef (member fee_data_i 22)) )) - (net data_out_reg_22_10 (joined - (portRef Z (instanceRef data_out_reg_RNO_10)) + (net data_out_reg_22_0_iv_i_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_10)) (portRef D (instanceRef data_out_reg_10)) )) (net fee_data_i_10 (joined (portRef Q (instanceRef data_out_reg_10)) (portRef (member fee_data_i 21)) )) - (net data_out_reg_22_11 (joined - (portRef Z (instanceRef data_out_reg_RNO_11)) + (net data_out_reg_22_0_iv_i_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_11)) (portRef D (instanceRef data_out_reg_11)) )) (net fee_data_i_11 (joined (portRef Q (instanceRef data_out_reg_11)) (portRef (member fee_data_i 20)) )) - (net data_out_reg_22_12 (joined - (portRef Z (instanceRef data_out_reg_RNO_12)) + (net data_out_reg_22_0_iv_i_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_12)) (portRef D (instanceRef data_out_reg_12)) )) (net fee_data_i_12 (joined (portRef Q (instanceRef data_out_reg_12)) (portRef (member fee_data_i 19)) )) - (net data_out_reg_22_13 (joined - (portRef Z (instanceRef data_out_reg_RNO_13)) + (net data_out_reg_22_0_iv_i_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_13)) (portRef D (instanceRef data_out_reg_13)) )) (net fee_data_i_13 (joined (portRef Q (instanceRef data_out_reg_13)) (portRef (member fee_data_i 18)) )) - (net data_out_reg_22_14 (joined - (portRef Z (instanceRef data_out_reg_RNO_14)) + (net data_out_reg_22_0_iv_i_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_14)) (portRef D (instanceRef data_out_reg_14)) )) (net fee_data_i_14 (joined (portRef Q (instanceRef data_out_reg_14)) (portRef (member fee_data_i 17)) )) - (net data_out_reg_22_15 (joined - (portRef Z (instanceRef data_out_reg_RNO_15)) + (net data_out_reg_22_0_iv_i_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_15)) (portRef D (instanceRef data_out_reg_15)) )) (net fee_data_i_15 (joined (portRef Q (instanceRef data_out_reg_15)) (portRef (member fee_data_i 16)) )) - (net data_out_reg_22_16 (joined - (portRef Z (instanceRef data_out_reg_RNO_16)) + (net data_out_reg_22_0_iv_i_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_16)) (portRef D (instanceRef data_out_reg_16)) )) (net fee_data_i_16 (joined (portRef Q (instanceRef data_out_reg_16)) (portRef (member fee_data_i 15)) )) - (net data_out_reg_22_17 (joined - (portRef Z (instanceRef data_out_reg_RNO_17)) + (net data_out_reg_22_0_iv_i_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_17)) (portRef D (instanceRef data_out_reg_17)) )) (net fee_data_i_17 (joined (portRef Q (instanceRef data_out_reg_17)) (portRef (member fee_data_i 14)) )) - (net data_out_reg_22_18 (joined - (portRef Z (instanceRef data_out_reg_RNO_18)) + (net data_out_reg_22_0_iv_i_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_18)) (portRef D (instanceRef data_out_reg_18)) )) (net fee_data_i_18 (joined (portRef Q (instanceRef data_out_reg_18)) (portRef (member fee_data_i 13)) )) - (net data_out_reg_22_19 (joined - (portRef Z (instanceRef data_out_reg_RNO_19)) + (net data_out_reg_22_0_iv_i_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_19)) (portRef D (instanceRef data_out_reg_19)) )) (net fee_data_i_19 (joined (portRef Q (instanceRef data_out_reg_19)) (portRef (member fee_data_i 12)) )) - (net data_out_reg_22_20 (joined - (portRef Z (instanceRef data_out_reg_RNO_20)) + (net data_out_reg_22_0_iv_i_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_20)) (portRef D (instanceRef data_out_reg_20)) )) (net fee_data_i_20 (joined (portRef Q (instanceRef data_out_reg_20)) (portRef (member fee_data_i 11)) )) - (net data_out_reg_22_21 (joined - (portRef Z (instanceRef data_out_reg_RNO_21)) + (net data_out_reg_22_0_iv_i_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_21)) (portRef D (instanceRef data_out_reg_21)) )) (net fee_data_i_21 (joined (portRef Q (instanceRef data_out_reg_21)) (portRef (member fee_data_i 10)) )) - (net data_out_reg_22_22 (joined - (portRef Z (instanceRef data_out_reg_RNO_22)) + (net data_out_reg_22_0_iv_i_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_22)) (portRef D (instanceRef data_out_reg_22)) )) (net fee_data_i_22 (joined (portRef Q (instanceRef data_out_reg_22)) (portRef (member fee_data_i 9)) )) - (net data_out_reg_22_23 (joined - (portRef Z (instanceRef data_out_reg_RNO_23)) + (net data_out_reg_22_0_iv_i_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_23)) (portRef D (instanceRef data_out_reg_23)) )) (net fee_data_i_23 (joined (portRef Q (instanceRef data_out_reg_23)) (portRef (member fee_data_i 8)) )) - (net data_out_reg_22_24 (joined - (portRef Z (instanceRef data_out_reg_RNO_24)) + (net data_out_reg_22_1_iv_i_24 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_24)) (portRef D (instanceRef data_out_reg_24)) )) (net fee_data_i_24 (joined (portRef Q (instanceRef data_out_reg_24)) (portRef (member fee_data_i 7)) )) - (net data_out_reg_22_25 (joined - (portRef Z (instanceRef data_out_reg_RNO_25)) + (net data_out_reg_22_0_i_25 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_25)) (portRef D (instanceRef data_out_reg_25)) )) (net fee_data_i_25 (joined (portRef Q (instanceRef data_out_reg_25)) (portRef (member fee_data_i 6)) )) - (net data_out_reg_22_26 (joined - (portRef Z (instanceRef data_out_reg_RNO_26)) + (net data_out_reg_22_0_i_26 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_26)) (portRef D (instanceRef data_out_reg_26)) )) (net fee_data_i_26 (joined (portRef Q (instanceRef data_out_reg_26)) (portRef (member fee_data_i 5)) )) - (net data_out_reg_22_27 (joined - (portRef Z (instanceRef data_out_reg_RNO_27)) + (net data_out_reg_22_1_iv_i_27 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_27)) (portRef D (instanceRef data_out_reg_27)) )) (net fee_data_i_27 (joined @@ -918395,24 +919884,20 @@ (portRef Q (instanceRef data_out_reg_28)) (portRef (member fee_data_i 3)) )) - (net data_out_reg_22_29 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_f0_29)) - (portRef D (instanceRef data_out_reg_29)) - )) (net fee_data_i_29 (joined (portRef Q (instanceRef data_out_reg_29)) (portRef (member fee_data_i 2)) )) (net data_out_reg_22_30 (joined - (portRef Z (instanceRef data_out_reg_RNO_30)) + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_30)) (portRef D (instanceRef data_out_reg_30)) )) (net fee_data_i_30 (joined (portRef Q (instanceRef data_out_reg_30)) (portRef (member fee_data_i 1)) )) - (net data_out_reg_22_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_f0_31)) + (net data_out_reg_22_0_i_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_31)) (portRef D (instanceRef data_out_reg_31)) )) (net fee_data_i_31 (joined @@ -918433,355 +919918,355 @@ )) (net stat_reg_32 (joined (portRef (member stat_reg 0) (instanceRef The_Reference_Time)) - (portRef D (instanceRef mask_i_4_0_0)) + (portRef D (instanceRef mask_i_4_0_0_0)) (portRef D (instanceRef channel_empty_reg_0)) (portRef D (instanceRef empty_channels_0)) (portRef stat_reg_32) )) (net channel_empty_reg_0 (joined (portRef Q (instanceRef channel_empty_reg_0)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_am)) )) (net stat_reg_33 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef mask_i_4_0_1)) + (portRef D (instanceRef mask_i_4_0_0_1)) (portRef D (instanceRef channel_empty_reg_1)) (portRef D (instanceRef empty_channels_1)) (portRef stat_reg_33) )) (net channel_empty_reg_1 (joined (portRef Q (instanceRef channel_empty_reg_1)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_am)) )) (net stat_reg_34 (joined (portRef stat_reg_0 (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef mask_i_4_0_2)) + (portRef D (instanceRef mask_i_4_0_0_2)) (portRef D (instanceRef channel_empty_reg_2)) (portRef D (instanceRef empty_channels_2)) (portRef stat_reg_34) )) (net channel_empty_reg_2 (joined (portRef Q (instanceRef channel_empty_reg_2)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_am)) )) (net stat_reg_35 (joined (portRef stat_reg_0 (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef mask_i_4_0_3)) + (portRef D (instanceRef mask_i_4_0_0_3)) (portRef D (instanceRef channel_empty_reg_3)) (portRef D (instanceRef empty_channels_3)) (portRef stat_reg_35) )) (net channel_empty_reg_3 (joined (portRef Q (instanceRef channel_empty_reg_3)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_am)) )) (net stat_reg_36 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_4_Channels)) - (portRef D (instanceRef mask_i_4_0_4)) + (portRef D (instanceRef mask_i_4_0_0_4)) (portRef D (instanceRef channel_empty_reg_4)) (portRef D (instanceRef empty_channels_4)) (portRef stat_reg_36) )) (net channel_empty_reg_4 (joined (portRef Q (instanceRef channel_empty_reg_4)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_am)) )) (net stat_reg_37 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_5_Channels)) - (portRef D (instanceRef mask_i_4_0_5)) + (portRef D (instanceRef mask_i_4_0_0_5)) (portRef D (instanceRef channel_empty_reg_5)) (portRef D (instanceRef empty_channels_5)) (portRef stat_reg_37) )) (net channel_empty_reg_5 (joined (portRef Q (instanceRef channel_empty_reg_5)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_am)) )) (net stat_reg_38 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_6_Channels)) - (portRef D (instanceRef mask_i_4_0_6)) + (portRef D (instanceRef mask_i_4_0_0_6)) (portRef D (instanceRef channel_empty_reg_6)) (portRef D (instanceRef empty_channels_6)) (portRef stat_reg_38) )) (net channel_empty_reg_6 (joined (portRef Q (instanceRef channel_empty_reg_6)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_am)) )) (net stat_reg_39 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_7_Channels)) - (portRef D (instanceRef mask_i_4_0_7)) + (portRef D (instanceRef mask_i_4_0_0_7)) (portRef D (instanceRef channel_empty_reg_7)) (portRef D (instanceRef empty_channels_7)) (portRef stat_reg_39) )) (net channel_empty_reg_7 (joined (portRef Q (instanceRef channel_empty_reg_7)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_am)) )) (net stat_reg_40 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_8_Channels)) - (portRef D (instanceRef mask_i_4_0_8)) + (portRef D (instanceRef mask_i_4_0_0_8)) (portRef D (instanceRef channel_empty_reg_8)) (portRef D (instanceRef empty_channels_8)) (portRef stat_reg_40) )) (net channel_empty_reg_8 (joined (portRef Q (instanceRef channel_empty_reg_8)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_bm)) )) (net stat_reg_41 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_9_Channels)) - (portRef D (instanceRef mask_i_4_0_9)) + (portRef D (instanceRef mask_i_4_0_0_9)) (portRef D (instanceRef channel_empty_reg_9)) (portRef D (instanceRef empty_channels_9)) (portRef stat_reg_41) )) (net channel_empty_reg_9 (joined (portRef Q (instanceRef channel_empty_reg_9)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_bm)) )) (net stat_reg_42 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef mask_i_4_0_10)) + (portRef D (instanceRef mask_i_4_0_0_10)) (portRef D (instanceRef channel_empty_reg_10)) (portRef D (instanceRef empty_channels_10)) (portRef stat_reg_42) )) (net channel_empty_reg_10 (joined (portRef Q (instanceRef channel_empty_reg_10)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_bm)) )) (net stat_reg_43 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef mask_i_4_0_11)) + (portRef D (instanceRef mask_i_4_0_0_11)) (portRef D (instanceRef channel_empty_reg_11)) (portRef D (instanceRef empty_channels_11)) (portRef stat_reg_43) )) (net channel_empty_reg_11 (joined (portRef Q (instanceRef channel_empty_reg_11)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_bm)) )) (net stat_reg_44 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef mask_i_4_0_12)) + (portRef D (instanceRef mask_i_4_0_0_12)) (portRef D (instanceRef channel_empty_reg_12)) (portRef D (instanceRef empty_channels_12)) (portRef stat_reg_44) )) (net channel_empty_reg_12 (joined (portRef Q (instanceRef channel_empty_reg_12)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_bm)) )) (net stat_reg_45 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef mask_i_4_0_13)) + (portRef D (instanceRef mask_i_4_0_0_13)) (portRef D (instanceRef channel_empty_reg_13)) (portRef D (instanceRef empty_channels_13)) (portRef stat_reg_45) )) (net channel_empty_reg_13 (joined (portRef Q (instanceRef channel_empty_reg_13)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_bm)) )) (net stat_reg_46 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef mask_i_4_0_14)) + (portRef D (instanceRef mask_i_4_0_0_14)) (portRef D (instanceRef channel_empty_reg_14)) (portRef D (instanceRef empty_channels_14)) (portRef stat_reg_46) )) (net channel_empty_reg_14 (joined (portRef Q (instanceRef channel_empty_reg_14)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_bm)) )) (net stat_reg_47 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_15_Channels)) - (portRef D (instanceRef mask_i_4_0_15)) + (portRef D (instanceRef mask_i_4_0_0_15)) (portRef D (instanceRef channel_empty_reg_15)) (portRef D (instanceRef empty_channels_15)) (portRef stat_reg_47) )) (net channel_empty_reg_15 (joined (portRef Q (instanceRef channel_empty_reg_15)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_bm)) )) (net stat_reg_48 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_16_Channels)) - (portRef D (instanceRef mask_i_4_0_16)) + (portRef D (instanceRef mask_i_4_0_0_16)) (portRef D (instanceRef channel_empty_reg_16)) (portRef D (instanceRef empty_channels_16)) (portRef stat_reg_48) )) (net channel_empty_reg_16 (joined (portRef Q (instanceRef channel_empty_reg_16)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_am)) )) (net stat_reg_49 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_17_Channels)) - (portRef D (instanceRef mask_i_4_0_17)) + (portRef D (instanceRef mask_i_4_0_0_17)) (portRef D (instanceRef channel_empty_reg_17)) (portRef D (instanceRef empty_channels_17)) (portRef stat_reg_49) )) (net channel_empty_reg_17 (joined (portRef Q (instanceRef channel_empty_reg_17)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_am)) )) (net stat_reg_50 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_18_Channels)) - (portRef D (instanceRef mask_i_4_0_18)) + (portRef D (instanceRef mask_i_4_0_0_18)) (portRef D (instanceRef channel_empty_reg_18)) (portRef D (instanceRef empty_channels_18)) (portRef stat_reg_50) )) (net channel_empty_reg_18 (joined (portRef Q (instanceRef channel_empty_reg_18)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_am)) )) (net stat_reg_51 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_19_Channels)) - (portRef D (instanceRef mask_i_4_0_19)) + (portRef D (instanceRef mask_i_4_0_0_19)) (portRef D (instanceRef channel_empty_reg_19)) (portRef D (instanceRef empty_channels_19)) (portRef stat_reg_51) )) (net channel_empty_reg_19 (joined (portRef Q (instanceRef channel_empty_reg_19)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_am)) )) (net stat_reg_52 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_20_Channels)) - (portRef D (instanceRef mask_i_4_0_20)) + (portRef D (instanceRef mask_i_4_0_0_20)) (portRef D (instanceRef channel_empty_reg_20)) (portRef D (instanceRef empty_channels_20)) (portRef stat_reg_52) )) (net channel_empty_reg_20 (joined (portRef Q (instanceRef channel_empty_reg_20)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_am)) )) (net stat_reg_53 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef mask_i_4_0_21)) + (portRef D (instanceRef mask_i_4_0_0_21)) (portRef D (instanceRef channel_empty_reg_21)) (portRef D (instanceRef empty_channels_21)) (portRef stat_reg_53) )) (net channel_empty_reg_21 (joined (portRef Q (instanceRef channel_empty_reg_21)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_am)) )) (net stat_reg_54 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_22_Channels)) - (portRef D (instanceRef mask_i_4_0_22)) + (portRef D (instanceRef mask_i_4_0_0_22)) (portRef D (instanceRef channel_empty_reg_22)) (portRef D (instanceRef empty_channels_22)) (portRef stat_reg_54) )) (net channel_empty_reg_22 (joined (portRef Q (instanceRef channel_empty_reg_22)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_am)) )) (net stat_reg_55 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef mask_i_4_0_23)) + (portRef D (instanceRef mask_i_4_0_0_23)) (portRef D (instanceRef channel_empty_reg_23)) (portRef D (instanceRef empty_channels_23)) (portRef stat_reg_55) )) (net channel_empty_reg_23 (joined (portRef Q (instanceRef channel_empty_reg_23)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_am)) )) (net stat_reg_56 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_24_Channels)) - (portRef D (instanceRef mask_i_4_0_24)) + (portRef D (instanceRef mask_i_4_0_0_24)) (portRef D (instanceRef channel_empty_reg_24)) (portRef D (instanceRef empty_channels_24)) (portRef stat_reg_56) )) (net channel_empty_reg_24 (joined (portRef Q (instanceRef channel_empty_reg_24)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_bm)) )) (net stat_reg_57 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef mask_i_4_0_25)) + (portRef D (instanceRef mask_i_4_0_0_25)) (portRef D (instanceRef channel_empty_reg_25)) (portRef D (instanceRef empty_channels_25)) (portRef stat_reg_57) )) (net channel_empty_reg_25 (joined (portRef Q (instanceRef channel_empty_reg_25)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_bm)) )) (net stat_reg_58 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef mask_i_4_0_26)) + (portRef D (instanceRef mask_i_4_0_0_26)) (portRef D (instanceRef channel_empty_reg_26)) (portRef D (instanceRef empty_channels_26)) (portRef stat_reg_58) )) (net channel_empty_reg_26 (joined (portRef Q (instanceRef channel_empty_reg_26)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_bm)) )) (net stat_reg_59 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_27_Channels)) - (portRef D (instanceRef mask_i_4_0_27)) + (portRef D (instanceRef mask_i_4_0_0_27)) (portRef D (instanceRef channel_empty_reg_27)) (portRef D (instanceRef empty_channels_27)) (portRef stat_reg_59) )) (net channel_empty_reg_27 (joined (portRef Q (instanceRef channel_empty_reg_27)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_bm)) )) (net stat_reg_60 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef mask_i_4_0_28)) + (portRef D (instanceRef mask_i_4_0_0_28)) (portRef D (instanceRef channel_empty_reg_28)) (portRef D (instanceRef empty_channels_28)) (portRef stat_reg_60) )) (net channel_empty_reg_28 (joined (portRef Q (instanceRef channel_empty_reg_28)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_bm)) )) (net stat_reg_61 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef mask_i_4_0_29)) + (portRef D (instanceRef mask_i_4_0_0_29)) (portRef D (instanceRef channel_empty_reg_29)) (portRef D (instanceRef empty_channels_29)) (portRef stat_reg_61) )) (net channel_empty_reg_29 (joined (portRef Q (instanceRef channel_empty_reg_29)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_bm)) )) (net stat_reg_62 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef mask_i_4_0_30)) + (portRef D (instanceRef mask_i_4_0_0_30)) (portRef D (instanceRef channel_empty_reg_30)) (portRef D (instanceRef empty_channels_30)) (portRef stat_reg_62) )) (net channel_empty_reg_30 (joined (portRef Q (instanceRef channel_empty_reg_30)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_bm)) )) (net stat_reg_63 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef mask_i_4_0_31)) + (portRef D (instanceRef mask_i_4_0_0_31)) (portRef D (instanceRef channel_empty_reg_31)) (portRef D (instanceRef empty_channels_31)) (portRef stat_reg_63) )) (net channel_empty_reg_31 (joined (portRef Q (instanceRef channel_empty_reg_31)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_bm)) )) (net channel_data_i_9_0 (joined (portRef (member channel_data_i_9 31) (instanceRef GEN_Channels_9_Channels)) @@ -920394,42 +921879,6 @@ (portRef Q (instanceRef channel_data_reg_31_0)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_0)) )) - (net channel_data_i_31_1 (joined - (portRef (member channel_data_i_31 30) (instanceRef GEN_Channels_31_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_bm_1)) - (portRef D (instanceRef channel_data_reg_31_1)) - )) - (net channel_data_reg_31_1 (joined - (portRef Q (instanceRef channel_data_reg_31_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) - )) - (net channel_data_i_3_2 (joined - (portRef (member channel_data_i_3 29) (instanceRef GEN_Channels_3_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_am_2)) - (portRef D (instanceRef channel_data_reg_3_2)) - )) - (net channel_data_reg_3_2 (joined - (portRef Q (instanceRef channel_data_reg_3_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_2)) - )) - (net channel_data_i_31_3 (joined - (portRef (member channel_data_i_31 28) (instanceRef GEN_Channels_31_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_bm_3)) - (portRef D (instanceRef channel_data_reg_31_3)) - )) - (net channel_data_reg_31_3 (joined - (portRef Q (instanceRef channel_data_reg_31_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_3)) - )) - (net channel_data_i_30_0 (joined - (portRef (member channel_data_i_30 31) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_0)) - (portRef D (instanceRef channel_data_reg_30_0)) - )) - (net channel_data_reg_30_0 (joined - (portRef Q (instanceRef channel_data_reg_30_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_0)) - )) (net channel_data_i_3_0 (joined (portRef (member channel_data_i_3 31) (instanceRef GEN_Channels_3_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_am_0)) @@ -920448,6 +921897,15 @@ (portRef Q (instanceRef channel_data_reg_3_1)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_1)) )) + (net channel_data_i_31_1 (joined + (portRef (member channel_data_i_31 30) (instanceRef GEN_Channels_31_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_bm_1)) + (portRef D (instanceRef channel_data_reg_31_1)) + )) + (net channel_data_reg_31_1 (joined + (portRef Q (instanceRef channel_data_reg_31_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) + )) (net channel_data_i_31_2 (joined (portRef (member channel_data_i_31 29) (instanceRef GEN_Channels_31_Channels)) (portRef B (instanceRef un2_channel_hit_time_29_bm_2)) @@ -920457,13 +921915,23 @@ (portRef Q (instanceRef channel_data_reg_31_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) )) - (net channel_data_i_31_26 (joined - (portRef (member channel_data_i_31 5) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_26)) + (net channel_data_i_31_4 (joined + (portRef (member channel_data_i_31 27) (instanceRef GEN_Channels_31_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_bm_4)) + (portRef D (instanceRef channel_data_reg_31_4)) )) - (net channel_data_reg_31_26 (joined - (portRef Q (instanceRef channel_data_reg_31_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_26)) + (net channel_data_reg_31_4 (joined + (portRef Q (instanceRef channel_data_reg_31_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) + )) + (net channel_data_i_31_5 (joined + (portRef (member channel_data_i_31 26) (instanceRef GEN_Channels_31_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_bm_5)) + (portRef D (instanceRef channel_data_reg_31_5)) + )) + (net channel_data_reg_31_5 (joined + (portRef Q (instanceRef channel_data_reg_31_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) )) (net channel_data_i_30_2 (joined (portRef (member channel_data_i_30 29) (instanceRef GEN_Channels_30_Channels)) @@ -920474,6 +921942,15 @@ (portRef Q (instanceRef channel_data_reg_30_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_2)) )) + (net channel_data_i_3_2 (joined + (portRef (member channel_data_i_3 29) (instanceRef GEN_Channels_3_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_am_2)) + (portRef D (instanceRef channel_data_reg_3_2)) + )) + (net channel_data_reg_3_2 (joined + (portRef Q (instanceRef channel_data_reg_3_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_2)) + )) (net channel_data_i_3_3 (joined (portRef (member channel_data_i_3 28) (instanceRef GEN_Channels_3_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_am_3)) @@ -920483,23 +921960,14 @@ (portRef Q (instanceRef channel_data_reg_3_3)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_3)) )) - (net channel_data_i_3_4 (joined - (portRef (member channel_data_i_3 27) (instanceRef GEN_Channels_3_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_am_4)) - (portRef D (instanceRef channel_data_reg_3_4)) - )) - (net channel_data_reg_3_4 (joined - (portRef Q (instanceRef channel_data_reg_3_4)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_4)) - )) - (net channel_data_i_31_4 (joined - (portRef (member channel_data_i_31 27) (instanceRef GEN_Channels_31_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_bm_4)) - (portRef D (instanceRef channel_data_reg_31_4)) + (net channel_data_i_31_3 (joined + (portRef (member channel_data_i_31 28) (instanceRef GEN_Channels_31_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_bm_3)) + (portRef D (instanceRef channel_data_reg_31_3)) )) - (net channel_data_reg_31_4 (joined - (portRef Q (instanceRef channel_data_reg_31_4)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) + (net channel_data_reg_31_3 (joined + (portRef Q (instanceRef channel_data_reg_31_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_3)) )) (net channel_data_i_3_5 (joined (portRef (member channel_data_i_3 26) (instanceRef GEN_Channels_3_Channels)) @@ -920510,15 +921978,6 @@ (portRef Q (instanceRef channel_data_reg_3_5)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_5)) )) - (net channel_data_i_31_5 (joined - (portRef (member channel_data_i_31 26) (instanceRef GEN_Channels_31_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_bm_5)) - (portRef D (instanceRef channel_data_reg_31_5)) - )) - (net channel_data_reg_31_5 (joined - (portRef Q (instanceRef channel_data_reg_31_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) - )) (net channel_data_i_3_6 (joined (portRef (member channel_data_i_3 25) (instanceRef GEN_Channels_3_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_am_6)) @@ -920546,6 +922005,50 @@ (portRef Q (instanceRef channel_data_reg_31_7)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_7)) )) + (net channel_data_i_3_9 (joined + (portRef (member channel_data_i_3 22) (instanceRef GEN_Channels_3_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_am_9)) + (portRef D (instanceRef channel_data_reg_3_9)) + )) + (net channel_data_reg_3_9 (joined + (portRef Q (instanceRef channel_data_reg_3_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_9)) + )) + (net channel_data_i_31_10 (joined + (portRef (member channel_data_i_31 21) (instanceRef GEN_Channels_31_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_bm_10)) + (portRef D (instanceRef channel_data_reg_31_10)) + )) + (net channel_data_reg_31_10 (joined + (portRef Q (instanceRef channel_data_reg_31_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_10)) + )) + (net channel_data_i_31_12 (joined + (portRef (member channel_data_i_31 19) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_12)) + )) + (net channel_data_reg_31_12 (joined + (portRef Q (instanceRef channel_data_reg_31_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_12)) + )) + (net channel_data_i_30_3 (joined + (portRef (member channel_data_i_30 28) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_3)) + (portRef D (instanceRef channel_data_reg_30_3)) + )) + (net channel_data_reg_30_3 (joined + (portRef Q (instanceRef channel_data_reg_30_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_3)) + )) + (net channel_data_i_3_4 (joined + (portRef (member channel_data_i_3 27) (instanceRef GEN_Channels_3_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_am_4)) + (portRef D (instanceRef channel_data_reg_3_4)) + )) + (net channel_data_reg_3_4 (joined + (portRef Q (instanceRef channel_data_reg_3_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_4)) + )) (net channel_data_i_3_7 (joined (portRef (member channel_data_i_3 24) (instanceRef GEN_Channels_3_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_am_7)) @@ -920555,6 +922058,15 @@ (portRef Q (instanceRef channel_data_reg_3_7)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_7)) )) + (net channel_data_i_3_8 (joined + (portRef (member channel_data_i_3 23) (instanceRef GEN_Channels_3_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_am_8)) + (portRef D (instanceRef channel_data_reg_3_8)) + )) + (net channel_data_reg_3_8 (joined + (portRef Q (instanceRef channel_data_reg_3_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_8)) + )) (net channel_data_i_31_8 (joined (portRef (member channel_data_i_31 23) (instanceRef GEN_Channels_31_Channels)) (portRef B (instanceRef un2_channel_hit_time_29_bm_8)) @@ -920573,14 +922085,22 @@ (portRef Q (instanceRef channel_data_reg_31_9)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_9)) )) - (net channel_data_i_31_10 (joined - (portRef (member channel_data_i_31 21) (instanceRef GEN_Channels_31_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_bm_10)) - (portRef D (instanceRef channel_data_reg_31_10)) + (net channel_data_i_3_10 (joined + (portRef (member channel_data_i_3 21) (instanceRef GEN_Channels_3_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_am_10)) + (portRef D (instanceRef channel_data_reg_3_10)) )) - (net channel_data_reg_31_10 (joined - (portRef Q (instanceRef channel_data_reg_31_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_10)) + (net channel_data_reg_3_10 (joined + (portRef Q (instanceRef channel_data_reg_3_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_10)) + )) + (net channel_data_i_3_11 (joined + (portRef (member channel_data_i_3 20) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_11)) + )) + (net channel_data_reg_3_11 (joined + (portRef Q (instanceRef channel_data_reg_3_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_11)) )) (net channel_data_i_31_11 (joined (portRef (member channel_data_i_31 20) (instanceRef GEN_Channels_31_Channels)) @@ -920598,32 +922118,62 @@ (portRef Q (instanceRef channel_data_reg_31_13)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_13)) )) - (net channel_data_i_30_1 (joined - (portRef (member channel_data_i_30 30) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_1)) - (portRef D (instanceRef channel_data_reg_30_1)) + (net channel_data_i_31_15 (joined + (portRef (member channel_data_i_31 16) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_15)) )) - (net channel_data_reg_30_1 (joined - (portRef Q (instanceRef channel_data_reg_30_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_1)) + (net channel_data_reg_31_15 (joined + (portRef Q (instanceRef channel_data_reg_31_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_15)) )) - (net channel_data_i_3_8 (joined - (portRef (member channel_data_i_3 23) (instanceRef GEN_Channels_3_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_am_8)) - (portRef D (instanceRef channel_data_reg_3_8)) + (net channel_data_i_30_10 (joined + (portRef (member channel_data_i_30 21) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_10)) + (portRef D (instanceRef channel_data_reg_30_10)) )) - (net channel_data_reg_3_8 (joined - (portRef Q (instanceRef channel_data_reg_3_8)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_8)) + (net channel_data_reg_30_10 (joined + (portRef Q (instanceRef channel_data_reg_30_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_10)) )) - (net channel_data_i_3_10 (joined - (portRef (member channel_data_i_3 21) (instanceRef GEN_Channels_3_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_am_10)) - (portRef D (instanceRef channel_data_reg_3_10)) + (net channel_data_i_3_12 (joined + (portRef (member channel_data_i_3 19) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_12)) )) - (net channel_data_reg_3_10 (joined - (portRef Q (instanceRef channel_data_reg_3_10)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_10)) + (net channel_data_reg_3_12 (joined + (portRef Q (instanceRef channel_data_reg_3_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_12)) + )) + (net channel_data_i_3_14 (joined + (portRef (member channel_data_i_3 17) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_14)) + )) + (net channel_data_reg_3_14 (joined + (portRef Q (instanceRef channel_data_reg_3_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_14)) + )) + (net channel_data_i_31_14 (joined + (portRef (member channel_data_i_31 17) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_14)) + )) + (net channel_data_reg_31_14 (joined + (portRef Q (instanceRef channel_data_reg_31_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_14)) + )) + (net channel_data_i_3_16 (joined + (portRef (member channel_data_i_3 15) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_16)) + )) + (net channel_data_reg_3_16 (joined + (portRef Q (instanceRef channel_data_reg_3_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_16)) + )) + (net channel_data_i_31_16 (joined + (portRef (member channel_data_i_31 15) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_16)) + )) + (net channel_data_reg_31_16 (joined + (portRef Q (instanceRef channel_data_reg_31_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) )) (net channel_data_i_31_17 (joined (portRef (member channel_data_i_31 14) (instanceRef GEN_Channels_31_Channels)) @@ -920633,62 +922183,104 @@ (portRef Q (instanceRef channel_data_reg_31_17)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) )) - (net channel_data_i_30_11 (joined - (portRef (member channel_data_i_30 20) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_11)) + (net channel_data_i_3_19 (joined + (portRef (member channel_data_i_3 12) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_19)) )) - (net channel_data_reg_30_11 (joined - (portRef Q (instanceRef channel_data_reg_30_11)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_11)) + (net channel_data_reg_3_19 (joined + (portRef Q (instanceRef channel_data_reg_3_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_19)) )) - (net channel_data_i_3_11 (joined - (portRef (member channel_data_i_3 20) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_11)) + (net channel_data_i_31_20 (joined + (portRef (member channel_data_i_31 11) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_20)) )) - (net channel_data_reg_3_11 (joined - (portRef Q (instanceRef channel_data_reg_3_11)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_11)) + (net channel_data_reg_31_20 (joined + (portRef Q (instanceRef channel_data_reg_31_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_20)) )) - (net channel_data_i_31_12 (joined - (portRef (member channel_data_i_31 19) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_12)) + (net channel_data_i_31_21 (joined + (portRef (member channel_data_i_31 10) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_21)) )) - (net channel_data_reg_31_12 (joined - (portRef Q (instanceRef channel_data_reg_31_12)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_12)) + (net channel_data_reg_31_21 (joined + (portRef Q (instanceRef channel_data_reg_31_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_21)) )) - (net channel_data_i_31_14 (joined - (portRef (member channel_data_i_31 17) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_14)) + (net channel_data_i_31_23 (joined + (portRef (member channel_data_i_31 8) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_23)) )) - (net channel_data_reg_31_14 (joined - (portRef Q (instanceRef channel_data_reg_31_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_14)) + (net channel_data_reg_31_23 (joined + (portRef Q (instanceRef channel_data_reg_31_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_23)) )) - (net channel_data_i_31_24 (joined - (portRef (member channel_data_i_31 7) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_24)) + (net channel_data_i_31_28 (joined + (portRef (member channel_data_i_31 3) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_28)) )) - (net channel_data_reg_31_24 (joined - (portRef Q (instanceRef channel_data_reg_31_24)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_24)) + (net channel_data_reg_31_28 (joined + (portRef Q (instanceRef channel_data_reg_31_28)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_28)) )) - (net channel_data_i_30_3 (joined - (portRef (member channel_data_i_30 28) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_3)) - (portRef D (instanceRef channel_data_reg_30_3)) + (net channel_data_i_30_4 (joined + (portRef (member channel_data_i_30 27) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_4)) + (portRef D (instanceRef channel_data_reg_30_4)) )) - (net channel_data_reg_30_3 (joined - (portRef Q (instanceRef channel_data_reg_30_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_3)) + (net channel_data_reg_30_4 (joined + (portRef Q (instanceRef channel_data_reg_30_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_4)) )) - (net channel_data_i_3_12 (joined - (portRef (member channel_data_i_3 19) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_12)) + (net channel_data_i_3_18 (joined + (portRef (member channel_data_i_3 13) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_18)) )) - (net channel_data_reg_3_12 (joined - (portRef Q (instanceRef channel_data_reg_3_12)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_12)) + (net channel_data_reg_3_18 (joined + (portRef Q (instanceRef channel_data_reg_3_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_18)) + )) + (net channel_data_i_3_22 (joined + (portRef (member channel_data_i_3 9) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_22)) + )) + (net channel_data_reg_3_22 (joined + (portRef Q (instanceRef channel_data_reg_3_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_22)) + )) + (net channel_data_i_31_22 (joined + (portRef (member channel_data_i_31 9) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_22)) + )) + (net channel_data_reg_31_22 (joined + (portRef Q (instanceRef channel_data_reg_31_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_22)) + )) + (net channel_data_i_30_0 (joined + (portRef (member channel_data_i_30 31) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_0)) + (portRef D (instanceRef channel_data_reg_30_0)) + )) + (net channel_data_reg_30_0 (joined + (portRef Q (instanceRef channel_data_reg_30_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_0)) + )) + (net channel_data_i_30_8 (joined + (portRef (member channel_data_i_30 23) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_8)) + (portRef D (instanceRef channel_data_reg_30_8)) + )) + (net channel_data_reg_30_8 (joined + (portRef Q (instanceRef channel_data_reg_30_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_8)) + )) + (net channel_data_i_30_12 (joined + (portRef (member channel_data_i_30 19) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_12)) + )) + (net channel_data_reg_30_12 (joined + (portRef Q (instanceRef channel_data_reg_30_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_12)) )) (net channel_data_i_3_15 (joined (portRef (member channel_data_i_3 16) (instanceRef GEN_Channels_3_Channels)) @@ -920698,46 +922290,29 @@ (portRef Q (instanceRef channel_data_reg_3_15)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_15)) )) - (net channel_data_i_31_15 (joined - (portRef (member channel_data_i_31 16) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_15)) - )) - (net channel_data_reg_31_15 (joined - (portRef Q (instanceRef channel_data_reg_31_15)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_15)) - )) - (net channel_data_i_3_16 (joined - (portRef (member channel_data_i_3 15) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_16)) - )) - (net channel_data_reg_3_16 (joined - (portRef Q (instanceRef channel_data_reg_3_16)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_16)) - )) - (net channel_data_i_31_16 (joined - (portRef (member channel_data_i_31 15) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_16)) + (net channel_data_i_3_24 (joined + (portRef (member channel_data_i_3 7) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_24)) )) - (net channel_data_reg_31_16 (joined - (portRef Q (instanceRef channel_data_reg_31_16)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) + (net channel_data_reg_3_24 (joined + (portRef Q (instanceRef channel_data_reg_3_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_24)) )) - (net channel_data_i_31_19 (joined - (portRef (member channel_data_i_31 12) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_19)) + (net channel_data_i_3_26 (joined + (portRef (member channel_data_i_3 5) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_26)) )) - (net channel_data_reg_31_19 (joined - (portRef Q (instanceRef channel_data_reg_31_19)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_19)) + (net channel_data_reg_3_26 (joined + (portRef Q (instanceRef channel_data_reg_3_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_26)) )) - (net channel_data_i_30_5 (joined - (portRef (member channel_data_i_30 26) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_5)) - (portRef D (instanceRef channel_data_reg_30_5)) + (net channel_data_i_31_27 (joined + (portRef (member channel_data_i_31 4) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_27)) )) - (net channel_data_reg_30_5 (joined - (portRef Q (instanceRef channel_data_reg_30_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_5)) + (net channel_data_reg_31_27 (joined + (portRef Q (instanceRef channel_data_reg_31_27)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_27)) )) (net channel_data_i_30_9 (joined (portRef (member channel_data_i_30 22) (instanceRef GEN_Channels_30_Channels)) @@ -920756,80 +922331,96 @@ (portRef Q (instanceRef channel_data_reg_3_17)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_17)) )) - (net channel_data_i_3_18 (joined - (portRef (member channel_data_i_3 13) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_18)) + (net channel_data_i_31_19 (joined + (portRef (member channel_data_i_31 12) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_19)) )) - (net channel_data_reg_3_18 (joined - (portRef Q (instanceRef channel_data_reg_3_18)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_18)) + (net channel_data_reg_31_19 (joined + (portRef Q (instanceRef channel_data_reg_31_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_19)) )) - (net channel_data_i_31_18 (joined - (portRef (member channel_data_i_31 13) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_18)) + (net channel_data_i_31_24 (joined + (portRef (member channel_data_i_31 7) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_24)) )) - (net channel_data_reg_31_18 (joined - (portRef Q (instanceRef channel_data_reg_31_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_18)) + (net channel_data_reg_31_24 (joined + (portRef Q (instanceRef channel_data_reg_31_24)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_24)) )) - (net channel_data_i_31_22 (joined - (portRef (member channel_data_i_31 9) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_22)) + (net channel_data_i_3_25 (joined + (portRef (member channel_data_i_3 6) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_25)) )) - (net channel_data_reg_31_22 (joined - (portRef Q (instanceRef channel_data_reg_31_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_22)) + (net channel_data_reg_3_25 (joined + (portRef Q (instanceRef channel_data_reg_3_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_25)) )) - (net channel_data_i_31_23 (joined - (portRef (member channel_data_i_31 8) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_23)) + (net channel_data_i_31_25 (joined + (portRef (member channel_data_i_31 6) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_25)) )) - (net channel_data_reg_31_23 (joined - (portRef Q (instanceRef channel_data_reg_31_23)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_23)) + (net channel_data_reg_31_25 (joined + (portRef Q (instanceRef channel_data_reg_31_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_25)) )) - (net channel_data_i_30_4 (joined - (portRef (member channel_data_i_30 27) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_4)) - (portRef D (instanceRef channel_data_reg_30_4)) + (net channel_data_i_31_29 (joined + (portRef (member channel_data_i_31 2) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_29)) )) - (net channel_data_reg_30_4 (joined - (portRef Q (instanceRef channel_data_reg_30_4)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_4)) + (net channel_data_reg_31_29 (joined + (portRef Q (instanceRef channel_data_reg_31_29)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_29)) )) - (net channel_data_i_30_6 (joined - (portRef (member channel_data_i_30 25) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_6)) - (portRef D (instanceRef channel_data_reg_30_6)) + (net channel_data_i_31_30 (joined + (portRef (member channel_data_i_31 1) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_30)) )) - (net channel_data_reg_30_6 (joined - (portRef Q (instanceRef channel_data_reg_30_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_6)) + (net channel_data_reg_31_30 (joined + (portRef Q (instanceRef channel_data_reg_31_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) )) - (net channel_data_i_3_9 (joined - (portRef (member channel_data_i_3 22) (instanceRef GEN_Channels_3_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_am_9)) - (portRef D (instanceRef channel_data_reg_3_9)) + (net channel_data_i_31_31 (joined + (portRef (member channel_data_i_31 0) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_31)) )) - (net channel_data_reg_3_9 (joined - (portRef Q (instanceRef channel_data_reg_3_9)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_9)) + (net channel_data_reg_31_31 (joined + (portRef Q (instanceRef channel_data_reg_31_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_31)) )) - (net channel_data_i_30_12 (joined - (portRef (member channel_data_i_30 19) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_12)) + (net channel_data_i_30_1 (joined + (portRef (member channel_data_i_30 30) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_1)) + (portRef D (instanceRef channel_data_reg_30_1)) )) - (net channel_data_reg_30_12 (joined - (portRef Q (instanceRef channel_data_reg_30_12)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_12)) + (net channel_data_reg_30_1 (joined + (portRef Q (instanceRef channel_data_reg_30_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_1)) )) - (net channel_data_i_30_13 (joined - (portRef (member channel_data_i_30 18) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_13)) + (net channel_data_i_30_5 (joined + (portRef (member channel_data_i_30 26) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_5)) + (portRef D (instanceRef channel_data_reg_30_5)) )) - (net channel_data_reg_30_13 (joined - (portRef Q (instanceRef channel_data_reg_30_13)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_13)) + (net channel_data_reg_30_5 (joined + (portRef Q (instanceRef channel_data_reg_30_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_5)) + )) + (net channel_data_i_30_7 (joined + (portRef (member channel_data_i_30 24) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_7)) + (portRef D (instanceRef channel_data_reg_30_7)) + )) + (net channel_data_reg_30_7 (joined + (portRef Q (instanceRef channel_data_reg_30_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_7)) + )) + (net channel_data_i_30_11 (joined + (portRef (member channel_data_i_30 20) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_11)) + )) + (net channel_data_reg_30_11 (joined + (portRef Q (instanceRef channel_data_reg_30_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_11)) )) (net channel_data_i_3_13 (joined (portRef (member channel_data_i_3 18) (instanceRef GEN_Channels_3_Channels)) @@ -920839,37 +922430,21 @@ (portRef Q (instanceRef channel_data_reg_3_13)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_13)) )) - (net channel_data_i_30_14 (joined - (portRef (member channel_data_i_30 17) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_14)) - )) - (net channel_data_reg_30_14 (joined - (portRef Q (instanceRef channel_data_reg_30_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_14)) - )) - (net channel_data_i_3_14 (joined - (portRef (member channel_data_i_3 17) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_14)) - )) - (net channel_data_reg_3_14 (joined - (portRef Q (instanceRef channel_data_reg_3_14)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_14)) - )) - (net channel_data_i_31_20 (joined - (portRef (member channel_data_i_31 11) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_20)) + (net channel_data_i_31_18 (joined + (portRef (member channel_data_i_31 13) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_18)) )) - (net channel_data_reg_31_20 (joined - (portRef Q (instanceRef channel_data_reg_31_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_20)) + (net channel_data_reg_31_18 (joined + (portRef Q (instanceRef channel_data_reg_31_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_18)) )) - (net channel_data_i_31_21 (joined - (portRef (member channel_data_i_31 10) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_21)) + (net channel_data_i_30_13 (joined + (portRef (member channel_data_i_30 18) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_13)) )) - (net channel_data_reg_31_21 (joined - (portRef Q (instanceRef channel_data_reg_31_21)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_21)) + (net channel_data_reg_30_13 (joined + (portRef Q (instanceRef channel_data_reg_30_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_13)) )) (net channel_data_i_30_15 (joined (portRef (member channel_data_i_30 16) (instanceRef GEN_Channels_30_Channels)) @@ -920879,48 +922454,6 @@ (portRef Q (instanceRef channel_data_reg_30_15)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_15)) )) - (net channel_data_i_3_21 (joined - (portRef (member channel_data_i_3 10) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_21)) - )) - (net channel_data_reg_3_21 (joined - (portRef Q (instanceRef channel_data_reg_3_21)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_21)) - )) - (net channel_data_i_31_27 (joined - (portRef (member channel_data_i_31 4) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_27)) - )) - (net channel_data_reg_31_27 (joined - (portRef Q (instanceRef channel_data_reg_31_27)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_27)) - )) - (net channel_data_i_31_29 (joined - (portRef (member channel_data_i_31 2) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_29)) - )) - (net channel_data_reg_31_29 (joined - (portRef Q (instanceRef channel_data_reg_31_29)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_29)) - )) - (net channel_data_i_30_7 (joined - (portRef (member channel_data_i_30 24) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_7)) - (portRef D (instanceRef channel_data_reg_30_7)) - )) - (net channel_data_reg_30_7 (joined - (portRef Q (instanceRef channel_data_reg_30_7)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_7)) - )) - (net channel_data_i_30_10 (joined - (portRef (member channel_data_i_30 21) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_10)) - (portRef D (instanceRef channel_data_reg_30_10)) - )) - (net channel_data_reg_30_10 (joined - (portRef Q (instanceRef channel_data_reg_30_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_10)) - )) (net channel_data_i_30_16 (joined (portRef (member channel_data_i_30 15) (instanceRef GEN_Channels_30_Channels)) (portRef D (instanceRef channel_data_reg_30_16)) @@ -920929,13 +922462,21 @@ (portRef Q (instanceRef channel_data_reg_30_16)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_16)) )) - (net channel_data_i_30_20 (joined - (portRef (member channel_data_i_30 11) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_20)) + (net channel_data_i_30_17 (joined + (portRef (member channel_data_i_30 14) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_17)) )) - (net channel_data_reg_30_20 (joined - (portRef Q (instanceRef channel_data_reg_30_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_20)) + (net channel_data_reg_30_17 (joined + (portRef Q (instanceRef channel_data_reg_30_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_17)) + )) + (net channel_data_i_30_19 (joined + (portRef (member channel_data_i_30 12) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_19)) + )) + (net channel_data_reg_30_19 (joined + (portRef Q (instanceRef channel_data_reg_30_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_19)) )) (net channel_data_i_3_20 (joined (portRef (member channel_data_i_3 11) (instanceRef GEN_Channels_3_Channels)) @@ -920945,14 +922486,6 @@ (portRef Q (instanceRef channel_data_reg_3_20)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_20)) )) - (net channel_data_i_3_22 (joined - (portRef (member channel_data_i_3 9) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_22)) - )) - (net channel_data_reg_3_22 (joined - (portRef Q (instanceRef channel_data_reg_3_22)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_22)) - )) (net channel_data_i_3_23 (joined (portRef (member channel_data_i_3 8) (instanceRef GEN_Channels_3_Channels)) (portRef D (instanceRef channel_data_reg_3_23)) @@ -920961,70 +922494,30 @@ (portRef Q (instanceRef channel_data_reg_3_23)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_23)) )) - (net channel_data_i_3_24 (joined - (portRef (member channel_data_i_3 7) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_24)) - )) - (net channel_data_reg_3_24 (joined - (portRef Q (instanceRef channel_data_reg_3_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_24)) - )) - (net channel_data_i_3_25 (joined - (portRef (member channel_data_i_3 6) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_25)) - )) - (net channel_data_reg_3_25 (joined - (portRef Q (instanceRef channel_data_reg_3_25)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_25)) - )) - (net channel_data_i_31_25 (joined - (portRef (member channel_data_i_31 6) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_25)) - )) - (net channel_data_reg_31_25 (joined - (portRef Q (instanceRef channel_data_reg_31_25)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_25)) - )) - (net channel_data_i_3_26 (joined - (portRef (member channel_data_i_3 5) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_26)) - )) - (net channel_data_reg_3_26 (joined - (portRef Q (instanceRef channel_data_reg_3_26)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_26)) - )) - (net channel_data_i_31_28 (joined - (portRef (member channel_data_i_31 3) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_28)) - )) - (net channel_data_reg_31_28 (joined - (portRef Q (instanceRef channel_data_reg_31_28)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_28)) - )) - (net channel_data_i_31_31 (joined - (portRef (member channel_data_i_31 0) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_31)) + (net channel_data_i_31_26 (joined + (portRef (member channel_data_i_31 5) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_26)) )) - (net channel_data_reg_31_31 (joined - (portRef Q (instanceRef channel_data_reg_31_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_31)) + (net channel_data_reg_31_26 (joined + (portRef Q (instanceRef channel_data_reg_31_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_26)) )) - (net channel_data_i_30_8 (joined - (portRef (member channel_data_i_30 23) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_8)) - (portRef D (instanceRef channel_data_reg_30_8)) + (net channel_data_i_30_6 (joined + (portRef (member channel_data_i_30 25) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_6)) + (portRef D (instanceRef channel_data_reg_30_6)) )) - (net channel_data_reg_30_8 (joined - (portRef Q (instanceRef channel_data_reg_30_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_8)) + (net channel_data_reg_30_6 (joined + (portRef Q (instanceRef channel_data_reg_30_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_6)) )) - (net channel_data_i_30_17 (joined - (portRef (member channel_data_i_30 14) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_17)) + (net channel_data_i_30_14 (joined + (portRef (member channel_data_i_30 17) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_14)) )) - (net channel_data_reg_30_17 (joined - (portRef Q (instanceRef channel_data_reg_30_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_17)) + (net channel_data_reg_30_14 (joined + (portRef Q (instanceRef channel_data_reg_30_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_14)) )) (net channel_data_i_30_18 (joined (portRef (member channel_data_i_30 13) (instanceRef GEN_Channels_30_Channels)) @@ -921034,29 +922527,21 @@ (portRef Q (instanceRef channel_data_reg_30_18)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_18)) )) - (net channel_data_i_3_19 (joined - (portRef (member channel_data_i_3 12) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_19)) - )) - (net channel_data_reg_3_19 (joined - (portRef Q (instanceRef channel_data_reg_3_19)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_19)) - )) - (net channel_data_i_31_30 (joined - (portRef (member channel_data_i_31 1) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_30)) + (net channel_data_i_30_20 (joined + (portRef (member channel_data_i_30 11) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_20)) )) - (net channel_data_reg_31_30 (joined - (portRef Q (instanceRef channel_data_reg_31_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) + (net channel_data_reg_30_20 (joined + (portRef Q (instanceRef channel_data_reg_30_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_20)) )) - (net channel_data_i_30_19 (joined - (portRef (member channel_data_i_30 12) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_19)) + (net channel_data_i_3_21 (joined + (portRef (member channel_data_i_3 10) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_21)) )) - (net channel_data_reg_30_19 (joined - (portRef Q (instanceRef channel_data_reg_30_19)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_19)) + (net channel_data_reg_3_21 (joined + (portRef Q (instanceRef channel_data_reg_3_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_21)) )) (net channel_data_i_30_21 (joined (portRef (member channel_data_i_30 10) (instanceRef GEN_Channels_30_Channels)) @@ -921106,14 +922591,6 @@ (portRef Q (instanceRef channel_data_reg_30_26)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_26)) )) - (net channel_data_i_30_27 (joined - (portRef (member channel_data_i_30 4) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_27)) - )) - (net channel_data_reg_30_27 (joined - (portRef Q (instanceRef channel_data_reg_30_27)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_27)) - )) (net channel_data_i_3_27 (joined (portRef (member channel_data_i_3 4) (instanceRef GEN_Channels_3_Channels)) (portRef D (instanceRef channel_data_reg_3_27)) @@ -921122,6 +922599,14 @@ (portRef Q (instanceRef channel_data_reg_3_27)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_27)) )) + (net channel_data_i_30_27 (joined + (portRef (member channel_data_i_30 4) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_27)) + )) + (net channel_data_reg_30_27 (joined + (portRef Q (instanceRef channel_data_reg_30_27)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_27)) + )) (net channel_data_i_30_28 (joined (portRef (member channel_data_i_30 3) (instanceRef GEN_Channels_30_Channels)) (portRef D (instanceRef channel_data_reg_30_28)) @@ -921138,14 +922623,6 @@ (portRef Q (instanceRef channel_data_reg_3_28)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_28)) )) - (net channel_data_i_3_29 (joined - (portRef (member channel_data_i_3 2) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_29)) - )) - (net channel_data_reg_3_29 (joined - (portRef Q (instanceRef channel_data_reg_3_29)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_29)) - )) (net channel_data_i_30_29 (joined (portRef (member channel_data_i_30 2) (instanceRef GEN_Channels_30_Channels)) (portRef D (instanceRef channel_data_reg_30_29)) @@ -921154,13 +922631,13 @@ (portRef Q (instanceRef channel_data_reg_30_29)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_29)) )) - (net channel_data_i_30_30 (joined - (portRef (member channel_data_i_30 1) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_30)) + (net channel_data_i_3_29 (joined + (portRef (member channel_data_i_3 2) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_29)) )) - (net channel_data_reg_30_30 (joined - (portRef Q (instanceRef channel_data_reg_30_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) + (net channel_data_reg_3_29 (joined + (portRef Q (instanceRef channel_data_reg_3_29)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_29)) )) (net channel_data_i_3_30 (joined (portRef (member channel_data_i_3 1) (instanceRef GEN_Channels_3_Channels)) @@ -921170,6 +922647,14 @@ (portRef Q (instanceRef channel_data_reg_3_30)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_30)) )) + (net channel_data_i_30_30 (joined + (portRef (member channel_data_i_30 1) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_30)) + )) + (net channel_data_reg_30_30 (joined + (portRef Q (instanceRef channel_data_reg_30_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) + )) (net channel_data_i_30_31 (joined (portRef (member channel_data_i_30 0) (instanceRef GEN_Channels_30_Channels)) (portRef D (instanceRef channel_data_reg_30_31)) @@ -921186,6 +922671,15 @@ (portRef Q (instanceRef channel_data_reg_3_31)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_31)) )) + (net channel_data_i_2_0 (joined + (portRef (member channel_data_i_2 31) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_0)) + (portRef D (instanceRef channel_data_reg_2_0)) + )) + (net channel_data_reg_2_0 (joined + (portRef Q (instanceRef channel_data_reg_2_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_0)) + )) (net channel_data_i_29_0 (joined (portRef (member channel_data_i_29 31) (instanceRef GEN_Channels_29_Channels)) (portRef B (instanceRef un2_channel_hit_time_22_bm_0)) @@ -921195,14 +922689,14 @@ (portRef Q (instanceRef channel_data_reg_29_0)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_0)) )) - (net channel_data_i_2_0 (joined - (portRef (member channel_data_i_2 31) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_0)) - (portRef D (instanceRef channel_data_reg_2_0)) + (net channel_data_i_2_1 (joined + (portRef (member channel_data_i_2 30) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_1)) + (portRef D (instanceRef channel_data_reg_2_1)) )) - (net channel_data_reg_2_0 (joined - (portRef Q (instanceRef channel_data_reg_2_0)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_0)) + (net channel_data_reg_2_1 (joined + (portRef Q (instanceRef channel_data_reg_2_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_1)) )) (net channel_data_i_29_1 (joined (portRef (member channel_data_i_29 30) (instanceRef GEN_Channels_29_Channels)) @@ -921222,6 +922716,15 @@ (portRef Q (instanceRef channel_data_reg_29_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_2)) )) + (net channel_data_i_2_2 (joined + (portRef (member channel_data_i_2 29) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_2)) + (portRef D (instanceRef channel_data_reg_2_2)) + )) + (net channel_data_reg_2_2 (joined + (portRef Q (instanceRef channel_data_reg_2_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_2)) + )) (net channel_data_i_29_3 (joined (portRef (member channel_data_i_29 28) (instanceRef GEN_Channels_29_Channels)) (portRef B (instanceRef un2_channel_hit_time_22_bm_3)) @@ -921231,24 +922734,6 @@ (portRef Q (instanceRef channel_data_reg_29_3)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_3)) )) - (net channel_data_i_28_4 (joined - (portRef (member channel_data_i_28 27) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_4)) - (portRef D (instanceRef channel_data_reg_28_4)) - )) - (net channel_data_reg_28_4 (joined - (portRef Q (instanceRef channel_data_reg_28_4)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_4)) - )) - (net channel_data_i_2_4 (joined - (portRef (member channel_data_i_2 27) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_4)) - (portRef D (instanceRef channel_data_reg_2_4)) - )) - (net channel_data_reg_2_4 (joined - (portRef Q (instanceRef channel_data_reg_2_4)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_4)) - )) (net channel_data_i_29_4 (joined (portRef (member channel_data_i_29 27) (instanceRef GEN_Channels_29_Channels)) (portRef B (instanceRef un2_channel_hit_time_22_bm_4)) @@ -921258,6 +922743,15 @@ (portRef Q (instanceRef channel_data_reg_29_4)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_4)) )) + (net channel_data_i_29_5 (joined + (portRef (member channel_data_i_29 26) (instanceRef GEN_Channels_29_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_bm_5)) + (portRef D (instanceRef channel_data_reg_29_5)) + )) + (net channel_data_reg_29_5 (joined + (portRef Q (instanceRef channel_data_reg_29_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_5)) + )) (net channel_data_i_29_6 (joined (portRef (member channel_data_i_29 25) (instanceRef GEN_Channels_29_Channels)) (portRef B (instanceRef un2_channel_hit_time_22_bm_6)) @@ -921276,14 +922770,23 @@ (portRef Q (instanceRef channel_data_reg_29_7)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_7)) )) - (net channel_data_i_28_0 (joined - (portRef (member channel_data_i_28 31) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_0)) - (portRef D (instanceRef channel_data_reg_28_0)) + (net channel_data_i_21_2 (joined + (portRef (member channel_data_i_21 29) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_2)) + (portRef D (instanceRef channel_data_reg_21_2)) )) - (net channel_data_reg_28_0 (joined - (portRef Q (instanceRef channel_data_reg_28_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_0)) + (net channel_data_reg_21_2 (joined + (portRef Q (instanceRef channel_data_reg_21_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_2)) + )) + (net channel_data_i_21_3 (joined + (portRef (member channel_data_i_21 28) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_3)) + (portRef D (instanceRef channel_data_reg_21_3)) + )) + (net channel_data_reg_21_3 (joined + (portRef Q (instanceRef channel_data_reg_21_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_3)) )) (net channel_data_i_2_3 (joined (portRef (member channel_data_i_2 28) (instanceRef GEN_Channels_2_Channels)) @@ -921294,50 +922797,23 @@ (portRef Q (instanceRef channel_data_reg_2_3)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_3)) )) - (net channel_data_i_29_5 (joined - (portRef (member channel_data_i_29 26) (instanceRef GEN_Channels_29_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_bm_5)) - (portRef D (instanceRef channel_data_reg_29_5)) - )) - (net channel_data_reg_29_5 (joined - (portRef Q (instanceRef channel_data_reg_29_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_5)) - )) - (net channel_data_i_29_8 (joined - (portRef (member channel_data_i_29 23) (instanceRef GEN_Channels_29_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_bm_8)) - (portRef D (instanceRef channel_data_reg_29_8)) - )) - (net channel_data_reg_29_8 (joined - (portRef Q (instanceRef channel_data_reg_29_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_8)) - )) - (net channel_data_i_28_6 (joined - (portRef (member channel_data_i_28 25) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_6)) - (portRef D (instanceRef channel_data_reg_28_6)) - )) - (net channel_data_reg_28_6 (joined - (portRef Q (instanceRef channel_data_reg_28_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_6)) - )) - (net channel_data_i_27_0 (joined - (portRef (member channel_data_i_27 31) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_0)) - (portRef D (instanceRef channel_data_reg_27_0)) + (net channel_data_i_2_4 (joined + (portRef (member channel_data_i_2 27) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_4)) + (portRef D (instanceRef channel_data_reg_2_4)) )) - (net channel_data_reg_27_0 (joined - (portRef Q (instanceRef channel_data_reg_27_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) + (net channel_data_reg_2_4 (joined + (portRef Q (instanceRef channel_data_reg_2_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_4)) )) - (net channel_data_i_2_1 (joined - (portRef (member channel_data_i_2 30) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_1)) - (portRef D (instanceRef channel_data_reg_2_1)) + (net channel_data_i_2_5 (joined + (portRef (member channel_data_i_2 26) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_5)) + (portRef D (instanceRef channel_data_reg_2_5)) )) - (net channel_data_reg_2_1 (joined - (portRef Q (instanceRef channel_data_reg_2_1)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_1)) + (net channel_data_reg_2_5 (joined + (portRef Q (instanceRef channel_data_reg_2_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_5)) )) (net channel_data_i_29_9 (joined (portRef (member channel_data_i_29 22) (instanceRef GEN_Channels_29_Channels)) @@ -921348,14 +922824,32 @@ (portRef Q (instanceRef channel_data_reg_29_9)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_9)) )) - (net channel_data_i_28_5 (joined - (portRef (member channel_data_i_28 26) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_5)) - (portRef D (instanceRef channel_data_reg_28_5)) + (net channel_data_i_28_4 (joined + (portRef (member channel_data_i_28 27) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_4)) + (portRef D (instanceRef channel_data_reg_28_4)) )) - (net channel_data_reg_28_5 (joined - (portRef Q (instanceRef channel_data_reg_28_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_5)) + (net channel_data_reg_28_4 (joined + (portRef Q (instanceRef channel_data_reg_28_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_4)) + )) + (net channel_data_i_28_7 (joined + (portRef (member channel_data_i_28 24) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_7)) + (portRef D (instanceRef channel_data_reg_28_7)) + )) + (net channel_data_reg_28_7 (joined + (portRef Q (instanceRef channel_data_reg_28_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_7)) + )) + (net channel_data_i_21_5 (joined + (portRef (member channel_data_i_21 26) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_5)) + (portRef D (instanceRef channel_data_reg_21_5)) + )) + (net channel_data_reg_21_5 (joined + (portRef Q (instanceRef channel_data_reg_21_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_5)) )) (net channel_data_i_2_6 (joined (portRef (member channel_data_i_2 25) (instanceRef GEN_Channels_2_Channels)) @@ -921366,6 +922860,42 @@ (portRef Q (instanceRef channel_data_reg_2_6)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_6)) )) + (net channel_data_i_2_7 (joined + (portRef (member channel_data_i_2 24) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_7)) + (portRef D (instanceRef channel_data_reg_2_7)) + )) + (net channel_data_reg_2_7 (joined + (portRef Q (instanceRef channel_data_reg_2_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_7)) + )) + (net channel_data_i_29_8 (joined + (portRef (member channel_data_i_29 23) (instanceRef GEN_Channels_29_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_bm_8)) + (portRef D (instanceRef channel_data_reg_29_8)) + )) + (net channel_data_reg_29_8 (joined + (portRef Q (instanceRef channel_data_reg_29_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_8)) + )) + (net channel_data_i_28_8 (joined + (portRef (member channel_data_i_28 23) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_8)) + (portRef D (instanceRef channel_data_reg_28_8)) + )) + (net channel_data_reg_28_8 (joined + (portRef Q (instanceRef channel_data_reg_28_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_8)) + )) + (net channel_data_i_2_9 (joined + (portRef (member channel_data_i_2 22) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_9)) + (portRef D (instanceRef channel_data_reg_2_9)) + )) + (net channel_data_reg_2_9 (joined + (portRef Q (instanceRef channel_data_reg_2_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_9)) + )) (net channel_data_i_29_10 (joined (portRef (member channel_data_i_29 21) (instanceRef GEN_Channels_29_Channels)) (portRef B (instanceRef un2_channel_hit_time_22_bm_10)) @@ -921375,6 +922905,15 @@ (portRef Q (instanceRef channel_data_reg_29_10)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_10)) )) + (net channel_data_i_2_10 (joined + (portRef (member channel_data_i_2 21) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_10)) + (portRef D (instanceRef channel_data_reg_2_10)) + )) + (net channel_data_reg_2_10 (joined + (portRef Q (instanceRef channel_data_reg_2_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_10)) + )) (net channel_data_i_29_11 (joined (portRef (member channel_data_i_29 20) (instanceRef GEN_Channels_29_Channels)) (portRef D (instanceRef channel_data_reg_29_11)) @@ -921383,49 +922922,6 @@ (portRef Q (instanceRef channel_data_reg_29_11)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_11)) )) - (net channel_data_i_28_1 (joined - (portRef (member channel_data_i_28 30) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_1)) - (portRef D (instanceRef channel_data_reg_28_1)) - )) - (net channel_data_reg_28_1 (joined - (portRef Q (instanceRef channel_data_reg_28_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_1)) - )) - (net channel_data_i_28_14 (joined - (portRef (member channel_data_i_28 17) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_14)) - )) - (net channel_data_reg_28_14 (joined - (portRef Q (instanceRef channel_data_reg_28_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) - )) - (net channel_data_i_28_16 (joined - (portRef (member channel_data_i_28 15) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_16)) - )) - (net channel_data_reg_28_16 (joined - (portRef Q (instanceRef channel_data_reg_28_16)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) - )) - (net channel_data_i_21_0 (joined - (portRef (member channel_data_i_21 31) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_0)) - (portRef D (instanceRef channel_data_reg_21_0)) - )) - (net channel_data_reg_21_0 (joined - (portRef Q (instanceRef channel_data_reg_21_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_0)) - )) - (net channel_data_i_2_2 (joined - (portRef (member channel_data_i_2 29) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_2)) - (portRef D (instanceRef channel_data_reg_2_2)) - )) - (net channel_data_reg_2_2 (joined - (portRef Q (instanceRef channel_data_reg_2_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_2)) - )) (net channel_data_i_29_12 (joined (portRef (member channel_data_i_29 19) (instanceRef GEN_Channels_29_Channels)) (portRef D (instanceRef channel_data_reg_29_12)) @@ -921434,51 +922930,6 @@ (portRef Q (instanceRef channel_data_reg_29_12)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_12)) )) - (net channel_data_i_21_3 (joined - (portRef (member channel_data_i_21 28) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_3)) - (portRef D (instanceRef channel_data_reg_21_3)) - )) - (net channel_data_reg_21_3 (joined - (portRef Q (instanceRef channel_data_reg_21_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_3)) - )) - (net channel_data_i_21_4 (joined - (portRef (member channel_data_i_21 27) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_4)) - (portRef D (instanceRef channel_data_reg_21_4)) - )) - (net channel_data_reg_21_4 (joined - (portRef Q (instanceRef channel_data_reg_21_4)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_4)) - )) - (net channel_data_i_21_5 (joined - (portRef (member channel_data_i_21 26) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_5)) - (portRef D (instanceRef channel_data_reg_21_5)) - )) - (net channel_data_reg_21_5 (joined - (portRef Q (instanceRef channel_data_reg_21_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_5)) - )) - (net channel_data_i_2_5 (joined - (portRef (member channel_data_i_2 26) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_5)) - (portRef D (instanceRef channel_data_reg_2_5)) - )) - (net channel_data_reg_2_5 (joined - (portRef Q (instanceRef channel_data_reg_2_5)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_5)) - )) - (net channel_data_i_2_9 (joined - (portRef (member channel_data_i_2 22) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_9)) - (portRef D (instanceRef channel_data_reg_2_9)) - )) - (net channel_data_reg_2_9 (joined - (portRef Q (instanceRef channel_data_reg_2_9)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_9)) - )) (net channel_data_i_29_13 (joined (portRef (member channel_data_i_29 18) (instanceRef GEN_Channels_29_Channels)) (portRef D (instanceRef channel_data_reg_29_13)) @@ -921495,84 +922946,38 @@ (portRef Q (instanceRef channel_data_reg_29_14)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_14)) )) - (net channel_data_i_28_7 (joined - (portRef (member channel_data_i_28 24) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_7)) - (portRef D (instanceRef channel_data_reg_28_7)) - )) - (net channel_data_reg_28_7 (joined - (portRef Q (instanceRef channel_data_reg_28_7)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_7)) - )) - (net channel_data_i_21_6 (joined - (portRef (member channel_data_i_21 25) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_6)) - (portRef D (instanceRef channel_data_reg_21_6)) - )) - (net channel_data_reg_21_6 (joined - (portRef Q (instanceRef channel_data_reg_21_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_6)) - )) - (net channel_data_i_21_7 (joined - (portRef (member channel_data_i_21 24) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_7)) - (portRef D (instanceRef channel_data_reg_21_7)) - )) - (net channel_data_reg_21_7 (joined - (portRef Q (instanceRef channel_data_reg_21_7)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_7)) - )) - (net channel_data_i_2_7 (joined - (portRef (member channel_data_i_2 24) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_7)) - (portRef D (instanceRef channel_data_reg_2_7)) - )) - (net channel_data_reg_2_7 (joined - (portRef Q (instanceRef channel_data_reg_2_7)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_7)) - )) - (net channel_data_i_29_17 (joined - (portRef (member channel_data_i_29 14) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_17)) - )) - (net channel_data_reg_29_17 (joined - (portRef Q (instanceRef channel_data_reg_29_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) - )) - (net channel_data_i_21_8 (joined - (portRef (member channel_data_i_21 23) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_8)) - (portRef D (instanceRef channel_data_reg_21_8)) + (net channel_data_i_29_22 (joined + (portRef (member channel_data_i_29 9) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_22)) )) - (net channel_data_reg_21_8 (joined - (portRef Q (instanceRef channel_data_reg_21_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_8)) + (net channel_data_reg_29_22 (joined + (portRef Q (instanceRef channel_data_reg_29_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) )) - (net channel_data_i_2_8 (joined - (portRef (member channel_data_i_2 23) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_8)) - (portRef D (instanceRef channel_data_reg_2_8)) + (net channel_data_i_28_3 (joined + (portRef (member channel_data_i_28 28) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_3)) + (portRef D (instanceRef channel_data_reg_28_3)) )) - (net channel_data_reg_2_8 (joined - (portRef Q (instanceRef channel_data_reg_2_8)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_8)) + (net channel_data_reg_28_3 (joined + (portRef Q (instanceRef channel_data_reg_28_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_3)) )) - (net channel_data_i_2_10 (joined - (portRef (member channel_data_i_2 21) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_10)) - (portRef D (instanceRef channel_data_reg_2_10)) + (net channel_data_i_2_11 (joined + (portRef (member channel_data_i_2 20) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_11)) )) - (net channel_data_reg_2_10 (joined - (portRef Q (instanceRef channel_data_reg_2_10)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_10)) + (net channel_data_reg_2_11 (joined + (portRef Q (instanceRef channel_data_reg_2_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_11)) )) - (net channel_data_i_2_13 (joined - (portRef (member channel_data_i_2 18) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_13)) + (net channel_data_i_29_16 (joined + (portRef (member channel_data_i_29 15) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_16)) )) - (net channel_data_reg_2_13 (joined - (portRef Q (instanceRef channel_data_reg_2_13)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) + (net channel_data_reg_29_16 (joined + (portRef Q (instanceRef channel_data_reg_29_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) )) (net channel_data_i_29_19 (joined (portRef (member channel_data_i_29 12) (instanceRef GEN_Channels_29_Channels)) @@ -921598,30 +923003,39 @@ (portRef Q (instanceRef channel_data_reg_29_21)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_21)) )) - (net channel_data_i_29_22 (joined - (portRef (member channel_data_i_29 9) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_22)) + (net channel_data_i_28_6 (joined + (portRef (member channel_data_i_28 25) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_6)) + (portRef D (instanceRef channel_data_reg_28_6)) )) - (net channel_data_reg_29_22 (joined - (portRef Q (instanceRef channel_data_reg_29_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) + (net channel_data_reg_28_6 (joined + (portRef Q (instanceRef channel_data_reg_28_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_6)) )) - (net channel_data_i_28_8 (joined - (portRef (member channel_data_i_28 23) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_8)) - (portRef D (instanceRef channel_data_reg_28_8)) + (net channel_data_i_28_14 (joined + (portRef (member channel_data_i_28 17) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_14)) )) - (net channel_data_reg_28_8 (joined - (portRef Q (instanceRef channel_data_reg_28_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_8)) + (net channel_data_reg_28_14 (joined + (portRef Q (instanceRef channel_data_reg_28_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) )) - (net channel_data_i_2_11 (joined - (portRef (member channel_data_i_2 20) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_11)) + (net channel_data_i_21_7 (joined + (portRef (member channel_data_i_21 24) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_7)) + (portRef D (instanceRef channel_data_reg_21_7)) )) - (net channel_data_reg_2_11 (joined - (portRef Q (instanceRef channel_data_reg_2_11)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_11)) + (net channel_data_reg_21_7 (joined + (portRef Q (instanceRef channel_data_reg_21_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_7)) + )) + (net channel_data_i_2_14 (joined + (portRef (member channel_data_i_2 17) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_14)) + )) + (net channel_data_reg_2_14 (joined + (portRef Q (instanceRef channel_data_reg_2_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) )) (net channel_data_i_29_23 (joined (portRef (member channel_data_i_29 8) (instanceRef GEN_Channels_29_Channels)) @@ -921639,21 +923053,23 @@ (portRef Q (instanceRef channel_data_reg_29_25)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_25)) )) - (net channel_data_i_28_12 (joined - (portRef (member channel_data_i_28 19) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_12)) + (net channel_data_i_21_8 (joined + (portRef (member channel_data_i_21 23) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_8)) + (portRef D (instanceRef channel_data_reg_21_8)) )) - (net channel_data_reg_28_12 (joined - (portRef Q (instanceRef channel_data_reg_28_12)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_12)) + (net channel_data_reg_21_8 (joined + (portRef Q (instanceRef channel_data_reg_21_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_8)) )) - (net channel_data_i_2_12 (joined - (portRef (member channel_data_i_2 19) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_12)) + (net channel_data_i_2_8 (joined + (portRef (member channel_data_i_2 23) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_8)) + (portRef D (instanceRef channel_data_reg_2_8)) )) - (net channel_data_reg_2_12 (joined - (portRef Q (instanceRef channel_data_reg_2_12)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_12)) + (net channel_data_reg_2_8 (joined + (portRef Q (instanceRef channel_data_reg_2_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_8)) )) (net channel_data_i_29_15 (joined (portRef (member channel_data_i_29 16) (instanceRef GEN_Channels_29_Channels)) @@ -921663,13 +923079,46 @@ (portRef Q (instanceRef channel_data_reg_29_15)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_15)) )) - (net channel_data_i_29_16 (joined - (portRef (member channel_data_i_29 15) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_16)) + (net channel_data_i_29_17 (joined + (portRef (member channel_data_i_29 14) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_17)) )) - (net channel_data_reg_29_16 (joined - (portRef Q (instanceRef channel_data_reg_29_16)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) + (net channel_data_reg_29_17 (joined + (portRef Q (instanceRef channel_data_reg_29_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) + )) + (net channel_data_i_29_26 (joined + (portRef (member channel_data_i_29 5) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_26)) + )) + (net channel_data_reg_29_26 (joined + (portRef Q (instanceRef channel_data_reg_29_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) + )) + (net channel_data_i_29_29 (joined + (portRef (member channel_data_i_29 2) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_29)) + )) + (net channel_data_reg_29_29 (joined + (portRef Q (instanceRef channel_data_reg_29_29)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) + )) + (net channel_data_i_28_1 (joined + (portRef (member channel_data_i_28 30) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_1)) + (portRef D (instanceRef channel_data_reg_28_1)) + )) + (net channel_data_reg_28_1 (joined + (portRef Q (instanceRef channel_data_reg_28_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_1)) + )) + (net channel_data_i_2_15 (joined + (portRef (member channel_data_i_2 16) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_15)) + )) + (net channel_data_reg_2_15 (joined + (portRef Q (instanceRef channel_data_reg_2_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_15)) )) (net channel_data_i_29_18 (joined (portRef (member channel_data_i_29 13) (instanceRef GEN_Channels_29_Channels)) @@ -921679,13 +923128,13 @@ (portRef Q (instanceRef channel_data_reg_29_18)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) )) - (net channel_data_i_29_26 (joined - (portRef (member channel_data_i_29 5) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_26)) + (net channel_data_i_29_24 (joined + (portRef (member channel_data_i_29 7) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_24)) )) - (net channel_data_reg_29_26 (joined - (portRef Q (instanceRef channel_data_reg_29_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) + (net channel_data_reg_29_24 (joined + (portRef Q (instanceRef channel_data_reg_29_24)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) )) (net channel_data_i_29_27 (joined (portRef (member channel_data_i_29 4) (instanceRef GEN_Channels_29_Channels)) @@ -921695,6 +923144,14 @@ (portRef Q (instanceRef channel_data_reg_29_27)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_27)) )) + (net channel_data_i_29_28 (joined + (portRef (member channel_data_i_29 3) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_28)) + )) + (net channel_data_reg_29_28 (joined + (portRef Q (instanceRef channel_data_reg_29_28)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_28)) + )) (net channel_data_i_29_30 (joined (portRef (member channel_data_i_29 1) (instanceRef GEN_Channels_29_Channels)) (portRef D (instanceRef channel_data_reg_29_30)) @@ -921703,13 +923160,14 @@ (portRef Q (instanceRef channel_data_reg_29_30)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) )) - (net channel_data_i_29_31 (joined - (portRef (member channel_data_i_29 0) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_31)) + (net channel_data_i_28_0 (joined + (portRef (member channel_data_i_28 31) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_0)) + (portRef D (instanceRef channel_data_reg_28_0)) )) - (net channel_data_reg_29_31 (joined - (portRef Q (instanceRef channel_data_reg_29_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) + (net channel_data_reg_28_0 (joined + (portRef Q (instanceRef channel_data_reg_28_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_0)) )) (net channel_data_i_28_2 (joined (portRef (member channel_data_i_28 29) (instanceRef GEN_Channels_28_Channels)) @@ -921720,14 +923178,14 @@ (portRef Q (instanceRef channel_data_reg_28_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_2)) )) - (net channel_data_i_28_3 (joined - (portRef (member channel_data_i_28 28) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_3)) - (portRef D (instanceRef channel_data_reg_28_3)) + (net channel_data_i_28_5 (joined + (portRef (member channel_data_i_28 26) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_5)) + (portRef D (instanceRef channel_data_reg_28_5)) )) - (net channel_data_reg_28_3 (joined - (portRef Q (instanceRef channel_data_reg_28_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_3)) + (net channel_data_reg_28_5 (joined + (portRef Q (instanceRef channel_data_reg_28_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_5)) )) (net channel_data_i_28_9 (joined (portRef (member channel_data_i_28 22) (instanceRef GEN_Channels_28_Channels)) @@ -921738,39 +923196,22 @@ (portRef Q (instanceRef channel_data_reg_28_9)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_9)) )) - (net channel_data_i_28_13 (joined - (portRef (member channel_data_i_28 18) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_13)) - )) - (net channel_data_reg_28_13 (joined - (portRef Q (instanceRef channel_data_reg_28_13)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_13)) - )) - (net channel_data_i_21_9 (joined - (portRef (member channel_data_i_21 22) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_9)) - (portRef D (instanceRef channel_data_reg_21_9)) - )) - (net channel_data_reg_21_9 (joined - (portRef Q (instanceRef channel_data_reg_21_9)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_9)) - )) - (net channel_data_i_21_10 (joined - (portRef (member channel_data_i_21 21) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_10)) - (portRef D (instanceRef channel_data_reg_21_10)) + (net channel_data_i_28_10 (joined + (portRef (member channel_data_i_28 21) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_10)) + (portRef D (instanceRef channel_data_reg_28_10)) )) - (net channel_data_reg_21_10 (joined - (portRef Q (instanceRef channel_data_reg_21_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_10)) + (net channel_data_reg_28_10 (joined + (portRef Q (instanceRef channel_data_reg_28_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_10)) )) - (net channel_data_i_2_14 (joined - (portRef (member channel_data_i_2 17) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_14)) + (net channel_data_i_28_11 (joined + (portRef (member channel_data_i_28 20) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_11)) )) - (net channel_data_reg_2_14 (joined - (portRef Q (instanceRef channel_data_reg_2_14)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) + (net channel_data_reg_28_11 (joined + (portRef Q (instanceRef channel_data_reg_28_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_11)) )) (net channel_data_i_28_15 (joined (portRef (member channel_data_i_28 16) (instanceRef GEN_Channels_28_Channels)) @@ -921780,6 +923221,56 @@ (portRef Q (instanceRef channel_data_reg_28_15)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) )) + (net channel_data_i_21_4 (joined + (portRef (member channel_data_i_21 27) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_4)) + (portRef D (instanceRef channel_data_reg_21_4)) + )) + (net channel_data_reg_21_4 (joined + (portRef Q (instanceRef channel_data_reg_21_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_4)) + )) + (net channel_data_i_2_12 (joined + (portRef (member channel_data_i_2 19) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_12)) + )) + (net channel_data_reg_2_12 (joined + (portRef Q (instanceRef channel_data_reg_2_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_12)) + )) + (net channel_data_i_28_12 (joined + (portRef (member channel_data_i_28 19) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_12)) + )) + (net channel_data_reg_28_12 (joined + (portRef Q (instanceRef channel_data_reg_28_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_12)) + )) + (net channel_data_i_28_13 (joined + (portRef (member channel_data_i_28 18) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_13)) + )) + (net channel_data_reg_28_13 (joined + (portRef Q (instanceRef channel_data_reg_28_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_13)) + )) + (net channel_data_i_28_20 (joined + (portRef (member channel_data_i_28 11) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_20)) + )) + (net channel_data_reg_28_20 (joined + (portRef Q (instanceRef channel_data_reg_28_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) + )) + (net channel_data_i_27_3 (joined + (portRef (member channel_data_i_27 28) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_3)) + (portRef D (instanceRef channel_data_reg_27_3)) + )) + (net channel_data_reg_27_3 (joined + (portRef Q (instanceRef channel_data_reg_27_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_3)) + )) (net channel_data_i_2_16 (joined (portRef (member channel_data_i_2 15) (instanceRef GEN_Channels_2_Channels)) (portRef D (instanceRef channel_data_reg_2_16)) @@ -921788,30 +923279,46 @@ (portRef Q (instanceRef channel_data_reg_2_16)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_16)) )) - (net channel_data_i_29_24 (joined - (portRef (member channel_data_i_29 7) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_24)) + (net channel_data_i_28_16 (joined + (portRef (member channel_data_i_28 15) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_16)) )) - (net channel_data_reg_29_24 (joined - (portRef Q (instanceRef channel_data_reg_29_24)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) + (net channel_data_reg_28_16 (joined + (portRef Q (instanceRef channel_data_reg_28_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) )) - (net channel_data_i_29_28 (joined - (portRef (member channel_data_i_29 3) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_28)) + (net channel_data_i_28_21 (joined + (portRef (member channel_data_i_28 10) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_21)) )) - (net channel_data_reg_29_28 (joined - (portRef Q (instanceRef channel_data_reg_29_28)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_28)) + (net channel_data_reg_28_21 (joined + (portRef Q (instanceRef channel_data_reg_28_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) )) - (net channel_data_i_28_10 (joined - (portRef (member channel_data_i_28 21) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_10)) - (portRef D (instanceRef channel_data_reg_28_10)) + (net channel_data_i_27_8 (joined + (portRef (member channel_data_i_27 23) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_8)) + (portRef D (instanceRef channel_data_reg_27_8)) )) - (net channel_data_reg_28_10 (joined - (portRef Q (instanceRef channel_data_reg_28_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_10)) + (net channel_data_reg_27_8 (joined + (portRef Q (instanceRef channel_data_reg_27_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_8)) + )) + (net channel_data_i_2_13 (joined + (portRef (member channel_data_i_2 18) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_13)) + )) + (net channel_data_reg_2_13 (joined + (portRef Q (instanceRef channel_data_reg_2_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) + )) + (net channel_data_i_29_31 (joined + (portRef (member channel_data_i_29 0) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_31)) + )) + (net channel_data_reg_29_31 (joined + (portRef Q (instanceRef channel_data_reg_29_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) )) (net channel_data_i_28_17 (joined (portRef (member channel_data_i_28 14) (instanceRef GEN_Channels_28_Channels)) @@ -921837,72 +923344,6 @@ (portRef Q (instanceRef channel_data_reg_28_19)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_19)) )) - (net channel_data_i_28_20 (joined - (portRef (member channel_data_i_28 11) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_20)) - )) - (net channel_data_reg_28_20 (joined - (portRef Q (instanceRef channel_data_reg_28_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) - )) - (net channel_data_i_28_21 (joined - (portRef (member channel_data_i_28 10) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_21)) - )) - (net channel_data_reg_28_21 (joined - (portRef Q (instanceRef channel_data_reg_28_21)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) - )) - (net channel_data_i_28_25 (joined - (portRef (member channel_data_i_28 6) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_25)) - )) - (net channel_data_reg_28_25 (joined - (portRef Q (instanceRef channel_data_reg_28_25)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_25)) - )) - (net channel_data_i_28_26 (joined - (portRef (member channel_data_i_28 5) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_26)) - )) - (net channel_data_reg_28_26 (joined - (portRef Q (instanceRef channel_data_reg_28_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_26)) - )) - (net channel_data_i_27_3 (joined - (portRef (member channel_data_i_27 28) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_3)) - (portRef D (instanceRef channel_data_reg_27_3)) - )) - (net channel_data_reg_27_3 (joined - (portRef Q (instanceRef channel_data_reg_27_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_3)) - )) - (net channel_data_i_21_1 (joined - (portRef (member channel_data_i_21 30) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_1)) - (portRef D (instanceRef channel_data_reg_21_1)) - )) - (net channel_data_reg_21_1 (joined - (portRef Q (instanceRef channel_data_reg_21_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_1)) - )) - (net channel_data_i_21_11 (joined - (portRef (member channel_data_i_21 20) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_11)) - )) - (net channel_data_reg_21_11 (joined - (portRef Q (instanceRef channel_data_reg_21_11)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_11)) - )) - (net channel_data_i_2_18 (joined - (portRef (member channel_data_i_2 13) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_18)) - )) - (net channel_data_reg_2_18 (joined - (portRef Q (instanceRef channel_data_reg_2_18)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_18)) - )) (net channel_data_i_28_22 (joined (portRef (member channel_data_i_28 9) (instanceRef GEN_Channels_28_Channels)) (portRef D (instanceRef channel_data_reg_28_22)) @@ -921927,6 +923368,22 @@ (portRef Q (instanceRef channel_data_reg_28_24)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) )) + (net channel_data_i_28_25 (joined + (portRef (member channel_data_i_28 6) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_25)) + )) + (net channel_data_reg_28_25 (joined + (portRef Q (instanceRef channel_data_reg_28_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_25)) + )) + (net channel_data_i_28_26 (joined + (portRef (member channel_data_i_28 5) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_26)) + )) + (net channel_data_reg_28_26 (joined + (portRef Q (instanceRef channel_data_reg_28_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_26)) + )) (net channel_data_i_28_27 (joined (portRef (member channel_data_i_28 4) (instanceRef GEN_Channels_28_Channels)) (portRef D (instanceRef channel_data_reg_28_27)) @@ -921935,13 +923392,38 @@ (portRef Q (instanceRef channel_data_reg_28_27)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_27)) )) - (net channel_data_i_28_29 (joined - (portRef (member channel_data_i_28 2) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_29)) + (net channel_data_i_28_28 (joined + (portRef (member channel_data_i_28 3) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_28)) )) - (net channel_data_reg_28_29 (joined - (portRef Q (instanceRef channel_data_reg_28_29)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) + (net channel_data_reg_28_28 (joined + (portRef Q (instanceRef channel_data_reg_28_28)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) + )) + (net channel_data_i_28_30 (joined + (portRef (member channel_data_i_28 1) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_30)) + )) + (net channel_data_reg_28_30 (joined + (portRef Q (instanceRef channel_data_reg_28_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) + )) + (net channel_data_i_28_31 (joined + (portRef (member channel_data_i_28 0) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_31)) + )) + (net channel_data_reg_28_31 (joined + (portRef Q (instanceRef channel_data_reg_28_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) + )) + (net channel_data_i_27_0 (joined + (portRef (member channel_data_i_27 31) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_0)) + (portRef D (instanceRef channel_data_reg_27_0)) + )) + (net channel_data_reg_27_0 (joined + (portRef Q (instanceRef channel_data_reg_27_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) )) (net channel_data_i_27_1 (joined (portRef (member channel_data_i_27 30) (instanceRef GEN_Channels_27_Channels)) @@ -921952,30 +923434,6 @@ (portRef Q (instanceRef channel_data_reg_27_1)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_1)) )) - (net channel_data_i_2_25 (joined - (portRef (member channel_data_i_2 6) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_25)) - )) - (net channel_data_reg_2_25 (joined - (portRef Q (instanceRef channel_data_reg_2_25)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_25)) - )) - (net channel_data_i_29_29 (joined - (portRef (member channel_data_i_29 2) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_29)) - )) - (net channel_data_reg_29_29 (joined - (portRef Q (instanceRef channel_data_reg_29_29)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) - )) - (net channel_data_i_28_11 (joined - (portRef (member channel_data_i_28 20) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_11)) - )) - (net channel_data_reg_28_11 (joined - (portRef Q (instanceRef channel_data_reg_28_11)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_11)) - )) (net channel_data_i_27_2 (joined (portRef (member channel_data_i_27 29) (instanceRef GEN_Channels_27_Channels)) (portRef B (instanceRef un2_channel_hit_time_26_bm_2)) @@ -921985,6 +923443,24 @@ (portRef Q (instanceRef channel_data_reg_27_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_2)) )) + (net channel_data_i_27_4 (joined + (portRef (member channel_data_i_27 27) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_4)) + (portRef D (instanceRef channel_data_reg_27_4)) + )) + (net channel_data_reg_27_4 (joined + (portRef Q (instanceRef channel_data_reg_27_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_4)) + )) + (net channel_data_i_27_5 (joined + (portRef (member channel_data_i_27 26) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_5)) + (portRef D (instanceRef channel_data_reg_27_5)) + )) + (net channel_data_reg_27_5 (joined + (portRef Q (instanceRef channel_data_reg_27_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_5)) + )) (net channel_data_i_27_6 (joined (portRef (member channel_data_i_27 25) (instanceRef GEN_Channels_27_Channels)) (portRef B (instanceRef un2_channel_hit_time_26_bm_6)) @@ -922012,6 +923488,15 @@ (portRef Q (instanceRef channel_data_reg_27_9)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_9)) )) + (net channel_data_i_27_10 (joined + (portRef (member channel_data_i_27 21) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_10)) + (portRef D (instanceRef channel_data_reg_27_10)) + )) + (net channel_data_reg_27_10 (joined + (portRef Q (instanceRef channel_data_reg_27_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_10)) + )) (net channel_data_i_27_11 (joined (portRef (member channel_data_i_27 20) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_11)) @@ -922036,74 +923521,6 @@ (portRef Q (instanceRef channel_data_reg_27_13)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_13)) )) - (net channel_data_i_2_27 (joined - (portRef (member channel_data_i_2 4) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_27)) - )) - (net channel_data_reg_2_27 (joined - (portRef Q (instanceRef channel_data_reg_2_27)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_27)) - )) - (net channel_data_i_28_28 (joined - (portRef (member channel_data_i_28 3) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_28)) - )) - (net channel_data_reg_28_28 (joined - (portRef Q (instanceRef channel_data_reg_28_28)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) - )) - (net channel_data_i_28_30 (joined - (portRef (member channel_data_i_28 1) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_30)) - )) - (net channel_data_reg_28_30 (joined - (portRef Q (instanceRef channel_data_reg_28_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) - )) - (net channel_data_i_28_31 (joined - (portRef (member channel_data_i_28 0) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_31)) - )) - (net channel_data_reg_28_31 (joined - (portRef Q (instanceRef channel_data_reg_28_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) - )) - (net channel_data_i_27_4 (joined - (portRef (member channel_data_i_27 27) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_4)) - (portRef D (instanceRef channel_data_reg_27_4)) - )) - (net channel_data_reg_27_4 (joined - (portRef Q (instanceRef channel_data_reg_27_4)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_4)) - )) - (net channel_data_i_27_5 (joined - (portRef (member channel_data_i_27 26) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_5)) - (portRef D (instanceRef channel_data_reg_27_5)) - )) - (net channel_data_reg_27_5 (joined - (portRef Q (instanceRef channel_data_reg_27_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_5)) - )) - (net channel_data_i_27_8 (joined - (portRef (member channel_data_i_27 23) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_8)) - (portRef D (instanceRef channel_data_reg_27_8)) - )) - (net channel_data_reg_27_8 (joined - (portRef Q (instanceRef channel_data_reg_27_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_8)) - )) - (net channel_data_i_27_10 (joined - (portRef (member channel_data_i_27 21) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_10)) - (portRef D (instanceRef channel_data_reg_27_10)) - )) - (net channel_data_reg_27_10 (joined - (portRef Q (instanceRef channel_data_reg_27_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_10)) - )) (net channel_data_i_27_14 (joined (portRef (member channel_data_i_27 17) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_14)) @@ -922136,6 +923553,14 @@ (portRef Q (instanceRef channel_data_reg_27_17)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_17)) )) + (net channel_data_i_27_18 (joined + (portRef (member channel_data_i_27 13) (instanceRef GEN_Channels_27_Channels)) + (portRef D (instanceRef channel_data_reg_27_18)) + )) + (net channel_data_reg_27_18 (joined + (portRef Q (instanceRef channel_data_reg_27_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_18)) + )) (net channel_data_i_27_19 (joined (portRef (member channel_data_i_27 12) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_19)) @@ -922160,6 +923585,14 @@ (portRef Q (instanceRef channel_data_reg_27_21)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_21)) )) + (net channel_data_i_27_22 (joined + (portRef (member channel_data_i_27 9) (instanceRef GEN_Channels_27_Channels)) + (portRef D (instanceRef channel_data_reg_27_22)) + )) + (net channel_data_reg_27_22 (joined + (portRef Q (instanceRef channel_data_reg_27_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_22)) + )) (net channel_data_i_27_23 (joined (portRef (member channel_data_i_27 8) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_23)) @@ -922176,56 +923609,6 @@ (portRef Q (instanceRef channel_data_reg_27_24)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_24)) )) - (net channel_data_i_27_26 (joined - (portRef (member channel_data_i_27 5) (instanceRef GEN_Channels_27_Channels)) - (portRef D (instanceRef channel_data_reg_27_26)) - )) - (net channel_data_reg_27_26 (joined - (portRef Q (instanceRef channel_data_reg_27_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_26)) - )) - (net channel_data_i_26_1 (joined - (portRef (member channel_data_i_26 30) (instanceRef GEN_Channels_26_Channels)) - (portRef B (instanceRef un2_channel_hit_time_11_bm_1)) - (portRef D (instanceRef channel_data_reg_26_1)) - )) - (net channel_data_reg_26_1 (joined - (portRef Q (instanceRef channel_data_reg_26_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) - )) - (net channel_data_i_26_2 (joined - (portRef (member channel_data_i_26 29) (instanceRef GEN_Channels_26_Channels)) - (portRef B (instanceRef un2_channel_hit_time_11_bm_2)) - (portRef D (instanceRef channel_data_reg_26_2)) - )) - (net channel_data_reg_26_2 (joined - (portRef Q (instanceRef channel_data_reg_26_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) - )) - (net channel_data_i_2_17 (joined - (portRef (member channel_data_i_2 14) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_17)) - )) - (net channel_data_reg_2_17 (joined - (portRef Q (instanceRef channel_data_reg_2_17)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_17)) - )) - (net channel_data_i_27_18 (joined - (portRef (member channel_data_i_27 13) (instanceRef GEN_Channels_27_Channels)) - (portRef D (instanceRef channel_data_reg_27_18)) - )) - (net channel_data_reg_27_18 (joined - (portRef Q (instanceRef channel_data_reg_27_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_18)) - )) - (net channel_data_i_27_22 (joined - (portRef (member channel_data_i_27 9) (instanceRef GEN_Channels_27_Channels)) - (portRef D (instanceRef channel_data_reg_27_22)) - )) - (net channel_data_reg_27_22 (joined - (portRef Q (instanceRef channel_data_reg_27_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_22)) - )) (net channel_data_i_27_25 (joined (portRef (member channel_data_i_27 6) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_25)) @@ -922234,6 +923617,14 @@ (portRef Q (instanceRef channel_data_reg_27_25)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_25)) )) + (net channel_data_i_27_26 (joined + (portRef (member channel_data_i_27 5) (instanceRef GEN_Channels_27_Channels)) + (portRef D (instanceRef channel_data_reg_27_26)) + )) + (net channel_data_reg_27_26 (joined + (portRef Q (instanceRef channel_data_reg_27_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_26)) + )) (net channel_data_i_27_27 (joined (portRef (member channel_data_i_27 4) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_27)) @@ -922283,6 +923674,24 @@ (portRef Q (instanceRef channel_data_reg_26_0)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_0)) )) + (net channel_data_i_26_1 (joined + (portRef (member channel_data_i_26 30) (instanceRef GEN_Channels_26_Channels)) + (portRef B (instanceRef un2_channel_hit_time_11_bm_1)) + (portRef D (instanceRef channel_data_reg_26_1)) + )) + (net channel_data_reg_26_1 (joined + (portRef Q (instanceRef channel_data_reg_26_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) + )) + (net channel_data_i_26_2 (joined + (portRef (member channel_data_i_26 29) (instanceRef GEN_Channels_26_Channels)) + (portRef B (instanceRef un2_channel_hit_time_11_bm_2)) + (portRef D (instanceRef channel_data_reg_26_2)) + )) + (net channel_data_reg_26_2 (joined + (portRef Q (instanceRef channel_data_reg_26_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) + )) (net channel_data_i_26_3 (joined (portRef (member channel_data_i_26 28) (instanceRef GEN_Channels_26_Channels)) (portRef B (instanceRef un2_channel_hit_time_11_bm_3)) @@ -922403,14 +923812,6 @@ (portRef Q (instanceRef channel_data_reg_26_16)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_16)) )) - (net channel_data_i_26_17 (joined - (portRef (member channel_data_i_26 14) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef channel_data_reg_26_17)) - )) - (net channel_data_reg_26_17 (joined - (portRef Q (instanceRef channel_data_reg_26_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_17)) - )) (net channel_data_i_26_18 (joined (portRef (member channel_data_i_26 13) (instanceRef GEN_Channels_26_Channels)) (portRef D (instanceRef channel_data_reg_26_18)) @@ -922427,14 +923828,6 @@ (portRef Q (instanceRef channel_data_reg_26_19)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_19)) )) - (net channel_data_i_26_20 (joined - (portRef (member channel_data_i_26 11) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef channel_data_reg_26_20)) - )) - (net channel_data_reg_26_20 (joined - (portRef Q (instanceRef channel_data_reg_26_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) - )) (net channel_data_i_26_21 (joined (portRef (member channel_data_i_26 10) (instanceRef GEN_Channels_26_Channels)) (portRef D (instanceRef channel_data_reg_26_21)) @@ -922443,22 +923836,6 @@ (portRef Q (instanceRef channel_data_reg_26_21)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_21)) )) - (net channel_data_i_26_22 (joined - (portRef (member channel_data_i_26 9) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef channel_data_reg_26_22)) - )) - (net channel_data_reg_26_22 (joined - (portRef Q (instanceRef channel_data_reg_26_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_22)) - )) - (net channel_data_i_26_23 (joined - (portRef (member channel_data_i_26 8) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef channel_data_reg_26_23)) - )) - (net channel_data_reg_26_23 (joined - (portRef Q (instanceRef channel_data_reg_26_23)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_23)) - )) (net channel_data_i_26_24 (joined (portRef (member channel_data_i_26 7) (instanceRef GEN_Channels_26_Channels)) (portRef D (instanceRef channel_data_reg_26_24)) @@ -922475,14 +923852,6 @@ (portRef Q (instanceRef channel_data_reg_26_25)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_25)) )) - (net channel_data_i_26_26 (joined - (portRef (member channel_data_i_26 5) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef channel_data_reg_26_26)) - )) - (net channel_data_reg_26_26 (joined - (portRef Q (instanceRef channel_data_reg_26_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_26)) - )) (net channel_data_i_26_27 (joined (portRef (member channel_data_i_26 4) (instanceRef GEN_Channels_26_Channels)) (portRef D (instanceRef channel_data_reg_26_27)) @@ -922569,24 +923938,6 @@ (portRef Q (instanceRef channel_data_reg_25_5)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_5)) )) - (net channel_data_i_25_6 (joined - (portRef (member channel_data_i_25 25) (instanceRef GEN_Channels_25_Channels)) - (portRef B (instanceRef un2_channel_hit_time_19_bm_6)) - (portRef D (instanceRef channel_data_reg_25_6)) - )) - (net channel_data_reg_25_6 (joined - (portRef Q (instanceRef channel_data_reg_25_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_6)) - )) - (net channel_data_i_25_7 (joined - (portRef (member channel_data_i_25 24) (instanceRef GEN_Channels_25_Channels)) - (portRef B (instanceRef un2_channel_hit_time_19_bm_7)) - (portRef D (instanceRef channel_data_reg_25_7)) - )) - (net channel_data_reg_25_7 (joined - (portRef Q (instanceRef channel_data_reg_25_7)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_7)) - )) (net channel_data_i_25_8 (joined (portRef (member channel_data_i_25 23) (instanceRef GEN_Channels_25_Channels)) (portRef B (instanceRef un2_channel_hit_time_19_bm_8)) @@ -922596,15 +923947,6 @@ (portRef Q (instanceRef channel_data_reg_25_8)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_8)) )) - (net channel_data_i_25_9 (joined - (portRef (member channel_data_i_25 22) (instanceRef GEN_Channels_25_Channels)) - (portRef B (instanceRef un2_channel_hit_time_19_bm_9)) - (portRef D (instanceRef channel_data_reg_25_9)) - )) - (net channel_data_reg_25_9 (joined - (portRef Q (instanceRef channel_data_reg_25_9)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_9)) - )) (net channel_data_i_25_10 (joined (portRef (member channel_data_i_25 21) (instanceRef GEN_Channels_25_Channels)) (portRef B (instanceRef un2_channel_hit_time_19_bm_10)) @@ -922630,37 +923972,21 @@ (portRef Q (instanceRef channel_data_reg_25_12)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_12)) )) - (net channel_data_i_25_13 (joined - (portRef (member channel_data_i_25 18) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_13)) - )) - (net channel_data_reg_25_13 (joined - (portRef Q (instanceRef channel_data_reg_25_13)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_13)) - )) - (net channel_data_i_25_14 (joined - (portRef (member channel_data_i_25 17) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_14)) - )) - (net channel_data_reg_25_14 (joined - (portRef Q (instanceRef channel_data_reg_25_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_14)) - )) - (net channel_data_i_25_15 (joined - (portRef (member channel_data_i_25 16) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_15)) + (net channel_data_i_25_16 (joined + (portRef (member channel_data_i_25 15) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_16)) )) - (net channel_data_reg_25_15 (joined - (portRef Q (instanceRef channel_data_reg_25_15)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_15)) + (net channel_data_reg_25_16 (joined + (portRef Q (instanceRef channel_data_reg_25_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_16)) )) - (net channel_data_i_25_18 (joined - (portRef (member channel_data_i_25 13) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_18)) + (net channel_data_i_25_17 (joined + (portRef (member channel_data_i_25 14) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_17)) )) - (net channel_data_reg_25_18 (joined - (portRef Q (instanceRef channel_data_reg_25_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_18)) + (net channel_data_reg_25_17 (joined + (portRef Q (instanceRef channel_data_reg_25_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_17)) )) (net channel_data_i_25_19 (joined (portRef (member channel_data_i_25 12) (instanceRef GEN_Channels_25_Channels)) @@ -922670,6 +923996,32 @@ (portRef Q (instanceRef channel_data_reg_25_19)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_19)) )) + (net channel_data_i_25_20 (joined + (portRef (member channel_data_i_25 11) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_20)) + )) + (net channel_data_reg_25_20 (joined + (portRef Q (instanceRef channel_data_reg_25_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_20)) + )) + (net channel_data_i_24_0 (joined + (portRef (member channel_data_i_24 31) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_0)) + (portRef D (instanceRef channel_data_reg_24_0)) + )) + (net channel_data_reg_24_0 (joined + (portRef Q (instanceRef channel_data_reg_24_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_0)) + )) + (net channel_data_i_24_6 (joined + (portRef (member channel_data_i_24 25) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_6)) + (portRef D (instanceRef channel_data_reg_24_6)) + )) + (net channel_data_reg_24_6 (joined + (portRef Q (instanceRef channel_data_reg_24_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_6)) + )) (net channel_data_i_24_7 (joined (portRef (member channel_data_i_24 24) (instanceRef GEN_Channels_24_Channels)) (portRef B (instanceRef un2_channel_hit_time_4_bm_7)) @@ -922688,78 +924040,98 @@ (portRef Q (instanceRef channel_data_reg_24_8)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_8)) )) - (net channel_data_i_2_19 (joined - (portRef (member channel_data_i_2 12) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_19)) + (net channel_data_i_24_9 (joined + (portRef (member channel_data_i_24 22) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_9)) + (portRef D (instanceRef channel_data_reg_24_9)) )) - (net channel_data_reg_2_19 (joined - (portRef Q (instanceRef channel_data_reg_2_19)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_19)) + (net channel_data_reg_24_9 (joined + (portRef Q (instanceRef channel_data_reg_24_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_9)) )) - (net channel_data_i_25_21 (joined - (portRef (member channel_data_i_25 10) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_21)) + (net channel_data_i_24_10 (joined + (portRef (member channel_data_i_24 21) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_10)) + (portRef D (instanceRef channel_data_reg_24_10)) )) - (net channel_data_reg_25_21 (joined - (portRef Q (instanceRef channel_data_reg_25_21)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_21)) + (net channel_data_reg_24_10 (joined + (portRef Q (instanceRef channel_data_reg_24_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_10)) )) - (net channel_data_i_24_11 (joined - (portRef (member channel_data_i_24 20) (instanceRef GEN_Channels_24_Channels)) - (portRef D (instanceRef channel_data_reg_24_11)) + (net channel_data_i_21_1 (joined + (portRef (member channel_data_i_21 30) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_1)) + (portRef D (instanceRef channel_data_reg_21_1)) )) - (net channel_data_reg_24_11 (joined - (portRef Q (instanceRef channel_data_reg_24_11)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_11)) + (net channel_data_reg_21_1 (joined + (portRef Q (instanceRef channel_data_reg_21_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_1)) )) - (net channel_data_i_2_20 (joined - (portRef (member channel_data_i_2 11) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_20)) + (net channel_data_i_21_6 (joined + (portRef (member channel_data_i_21 25) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_6)) + (portRef D (instanceRef channel_data_reg_21_6)) )) - (net channel_data_reg_2_20 (joined - (portRef Q (instanceRef channel_data_reg_2_20)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_20)) + (net channel_data_reg_21_6 (joined + (portRef Q (instanceRef channel_data_reg_21_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_6)) )) - (net channel_data_i_25_20 (joined - (portRef (member channel_data_i_25 11) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_20)) + (net channel_data_i_2_17 (joined + (portRef (member channel_data_i_2 14) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_17)) )) - (net channel_data_reg_25_20 (joined - (portRef Q (instanceRef channel_data_reg_25_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_20)) + (net channel_data_reg_2_17 (joined + (portRef Q (instanceRef channel_data_reg_2_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_17)) )) - (net channel_data_i_24_0 (joined - (portRef (member channel_data_i_24 31) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_0)) - (portRef D (instanceRef channel_data_reg_24_0)) + (net channel_data_i_28_29 (joined + (portRef (member channel_data_i_28 2) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_29)) )) - (net channel_data_reg_24_0 (joined - (portRef Q (instanceRef channel_data_reg_24_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_0)) + (net channel_data_reg_28_29 (joined + (portRef Q (instanceRef channel_data_reg_28_29)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) )) - (net channel_data_i_2_15 (joined - (portRef (member channel_data_i_2 16) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_15)) + (net channel_data_i_26_17 (joined + (portRef (member channel_data_i_26 14) (instanceRef GEN_Channels_26_Channels)) + (portRef D (instanceRef channel_data_reg_26_17)) )) - (net channel_data_reg_2_15 (joined - (portRef Q (instanceRef channel_data_reg_2_15)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_15)) + (net channel_data_reg_26_17 (joined + (portRef Q (instanceRef channel_data_reg_26_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_17)) )) - (net channel_data_i_25_16 (joined - (portRef (member channel_data_i_25 15) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_16)) + (net channel_data_i_25_15 (joined + (portRef (member channel_data_i_25 16) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_15)) )) - (net channel_data_reg_25_16 (joined - (portRef Q (instanceRef channel_data_reg_25_16)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_16)) + (net channel_data_reg_25_15 (joined + (portRef Q (instanceRef channel_data_reg_25_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_15)) )) - (net channel_data_i_25_17 (joined - (portRef (member channel_data_i_25 14) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_17)) + (net channel_data_i_23_15 (joined + (portRef (member channel_data_i_23 16) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_15)) )) - (net channel_data_reg_25_17 (joined - (portRef Q (instanceRef channel_data_reg_25_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_17)) + (net channel_data_reg_23_15 (joined + (portRef Q (instanceRef channel_data_reg_23_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_15)) + )) + (net channel_data_i_23_20 (joined + (portRef (member channel_data_i_23 11) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_20)) + )) + (net channel_data_reg_23_20 (joined + (portRef Q (instanceRef channel_data_reg_23_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_20)) + )) + (net channel_data_i_21_9 (joined + (portRef (member channel_data_i_21 22) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_9)) + (portRef D (instanceRef channel_data_reg_21_9)) + )) + (net channel_data_reg_21_9 (joined + (portRef Q (instanceRef channel_data_reg_21_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_9)) )) (net channel_data_i_2_21 (joined (portRef (member channel_data_i_2 10) (instanceRef GEN_Channels_2_Channels)) @@ -922769,47 +924141,72 @@ (portRef Q (instanceRef channel_data_reg_2_21)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_21)) )) - (net channel_data_i_25_22 (joined - (portRef (member channel_data_i_25 9) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_22)) + (net channel_data_i_26_23 (joined + (portRef (member channel_data_i_26 8) (instanceRef GEN_Channels_26_Channels)) + (portRef D (instanceRef channel_data_reg_26_23)) )) - (net channel_data_reg_25_22 (joined - (portRef Q (instanceRef channel_data_reg_25_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_22)) + (net channel_data_reg_26_23 (joined + (portRef Q (instanceRef channel_data_reg_26_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_23)) )) - (net channel_data_i_25_23 (joined - (portRef (member channel_data_i_25 8) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_23)) + (net channel_data_i_26_26 (joined + (portRef (member channel_data_i_26 5) (instanceRef GEN_Channels_26_Channels)) + (portRef D (instanceRef channel_data_reg_26_26)) )) - (net channel_data_reg_25_23 (joined - (portRef Q (instanceRef channel_data_reg_25_23)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_23)) + (net channel_data_reg_26_26 (joined + (portRef Q (instanceRef channel_data_reg_26_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_26)) )) - (net channel_data_i_25_24 (joined - (portRef (member channel_data_i_25 7) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_24)) + (net channel_data_i_25_6 (joined + (portRef (member channel_data_i_25 25) (instanceRef GEN_Channels_25_Channels)) + (portRef B (instanceRef un2_channel_hit_time_19_bm_6)) + (portRef D (instanceRef channel_data_reg_25_6)) )) - (net channel_data_reg_25_24 (joined - (portRef Q (instanceRef channel_data_reg_25_24)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_24)) + (net channel_data_reg_25_6 (joined + (portRef Q (instanceRef channel_data_reg_25_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_6)) )) - (net channel_data_i_24_2 (joined - (portRef (member channel_data_i_24 29) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_2)) - (portRef D (instanceRef channel_data_reg_24_2)) + (net channel_data_i_25_31 (joined + (portRef (member channel_data_i_25 0) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_31)) )) - (net channel_data_reg_24_2 (joined - (portRef Q (instanceRef channel_data_reg_24_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_2)) + (net channel_data_reg_25_31 (joined + (portRef Q (instanceRef channel_data_reg_25_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) )) - (net channel_data_i_24_5 (joined - (portRef (member channel_data_i_24 26) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_5)) - (portRef D (instanceRef channel_data_reg_24_5)) + (net channel_data_i_23_17 (joined + (portRef (member channel_data_i_23 14) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_17)) )) - (net channel_data_reg_24_5 (joined - (portRef Q (instanceRef channel_data_reg_24_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_5)) + (net channel_data_reg_23_17 (joined + (portRef Q (instanceRef channel_data_reg_23_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_17)) + )) + (net channel_data_i_23_19 (joined + (portRef (member channel_data_i_23 12) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_19)) + )) + (net channel_data_reg_23_19 (joined + (portRef Q (instanceRef channel_data_reg_23_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_19)) + )) + (net channel_data_i_22_2 (joined + (portRef (member channel_data_i_22 29) (instanceRef GEN_Channels_22_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_am_2)) + (portRef D (instanceRef channel_data_reg_22_2)) + )) + (net channel_data_reg_22_2 (joined + (portRef Q (instanceRef channel_data_reg_22_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_2)) + )) + (net channel_data_i_21_0 (joined + (portRef (member channel_data_i_21 31) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_0)) + (portRef D (instanceRef channel_data_reg_21_0)) + )) + (net channel_data_reg_21_0 (joined + (portRef Q (instanceRef channel_data_reg_21_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_0)) )) (net channel_data_i_2_22 (joined (portRef (member channel_data_i_2 9) (instanceRef GEN_Channels_2_Channels)) @@ -922827,6 +924224,129 @@ (portRef Q (instanceRef channel_data_reg_26_28)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_28)) )) + (net channel_data_i_25_7 (joined + (portRef (member channel_data_i_25 24) (instanceRef GEN_Channels_25_Channels)) + (portRef B (instanceRef un2_channel_hit_time_19_bm_7)) + (portRef D (instanceRef channel_data_reg_25_7)) + )) + (net channel_data_reg_25_7 (joined + (portRef Q (instanceRef channel_data_reg_25_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_7)) + )) + (net channel_data_i_2_18 (joined + (portRef (member channel_data_i_2 13) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_18)) + )) + (net channel_data_reg_2_18 (joined + (portRef Q (instanceRef channel_data_reg_2_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_18)) + )) + (net channel_data_i_26_20 (joined + (portRef (member channel_data_i_26 11) (instanceRef GEN_Channels_26_Channels)) + (portRef D (instanceRef channel_data_reg_26_20)) + )) + (net channel_data_reg_26_20 (joined + (portRef Q (instanceRef channel_data_reg_26_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) + )) + (net channel_data_i_25_13 (joined + (portRef (member channel_data_i_25 18) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_13)) + )) + (net channel_data_reg_25_13 (joined + (portRef Q (instanceRef channel_data_reg_25_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_13)) + )) + (net channel_data_i_25_14 (joined + (portRef (member channel_data_i_25 17) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_14)) + )) + (net channel_data_reg_25_14 (joined + (portRef Q (instanceRef channel_data_reg_25_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_14)) + )) + (net channel_data_i_25_22 (joined + (portRef (member channel_data_i_25 9) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_22)) + )) + (net channel_data_reg_25_22 (joined + (portRef Q (instanceRef channel_data_reg_25_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_22)) + )) + (net channel_data_i_24_5 (joined + (portRef (member channel_data_i_24 26) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_5)) + (portRef D (instanceRef channel_data_reg_24_5)) + )) + (net channel_data_reg_24_5 (joined + (portRef Q (instanceRef channel_data_reg_24_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_5)) + )) + (net channel_data_i_2_19 (joined + (portRef (member channel_data_i_2 12) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_19)) + )) + (net channel_data_reg_2_19 (joined + (portRef Q (instanceRef channel_data_reg_2_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_19)) + )) + (net channel_data_i_26_22 (joined + (portRef (member channel_data_i_26 9) (instanceRef GEN_Channels_26_Channels)) + (portRef D (instanceRef channel_data_reg_26_22)) + )) + (net channel_data_reg_26_22 (joined + (portRef Q (instanceRef channel_data_reg_26_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_22)) + )) + (net channel_data_i_25_9 (joined + (portRef (member channel_data_i_25 22) (instanceRef GEN_Channels_25_Channels)) + (portRef B (instanceRef un2_channel_hit_time_19_bm_9)) + (portRef D (instanceRef channel_data_reg_25_9)) + )) + (net channel_data_reg_25_9 (joined + (portRef Q (instanceRef channel_data_reg_25_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_9)) + )) + (net channel_data_i_25_18 (joined + (portRef (member channel_data_i_25 13) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_18)) + )) + (net channel_data_reg_25_18 (joined + (portRef Q (instanceRef channel_data_reg_25_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_18)) + )) + (net channel_data_i_25_21 (joined + (portRef (member channel_data_i_25 10) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_21)) + )) + (net channel_data_reg_25_21 (joined + (portRef Q (instanceRef channel_data_reg_25_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_21)) + )) + (net channel_data_i_2_23 (joined + (portRef (member channel_data_i_2 8) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_23)) + )) + (net channel_data_reg_2_23 (joined + (portRef Q (instanceRef channel_data_reg_2_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_23)) + )) + (net channel_data_i_25_23 (joined + (portRef (member channel_data_i_25 8) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_23)) + )) + (net channel_data_reg_25_23 (joined + (portRef Q (instanceRef channel_data_reg_25_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_23)) + )) + (net channel_data_i_25_24 (joined + (portRef (member channel_data_i_25 7) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_24)) + )) + (net channel_data_reg_25_24 (joined + (portRef Q (instanceRef channel_data_reg_25_24)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_24)) + )) (net channel_data_i_25_25 (joined (portRef (member channel_data_i_25 6) (instanceRef GEN_Channels_25_Channels)) (portRef D (instanceRef channel_data_reg_25_25)) @@ -922875,14 +924395,6 @@ (portRef Q (instanceRef channel_data_reg_25_30)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_30)) )) - (net channel_data_i_25_31 (joined - (portRef (member channel_data_i_25 0) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_31)) - )) - (net channel_data_reg_25_31 (joined - (portRef Q (instanceRef channel_data_reg_25_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) - )) (net channel_data_i_24_1 (joined (portRef (member channel_data_i_24 30) (instanceRef GEN_Channels_24_Channels)) (portRef B (instanceRef un2_channel_hit_time_4_bm_1)) @@ -922892,6 +924404,15 @@ (portRef Q (instanceRef channel_data_reg_24_1)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_1)) )) + (net channel_data_i_24_2 (joined + (portRef (member channel_data_i_24 29) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_2)) + (portRef D (instanceRef channel_data_reg_24_2)) + )) + (net channel_data_reg_24_2 (joined + (portRef Q (instanceRef channel_data_reg_24_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_2)) + )) (net channel_data_i_24_3 (joined (portRef (member channel_data_i_24 28) (instanceRef GEN_Channels_24_Channels)) (portRef B (instanceRef un2_channel_hit_time_4_bm_3)) @@ -922910,32 +924431,13 @@ (portRef Q (instanceRef channel_data_reg_24_4)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_4)) )) - (net channel_data_i_24_6 (joined - (portRef (member channel_data_i_24 25) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_6)) - (portRef D (instanceRef channel_data_reg_24_6)) - )) - (net channel_data_reg_24_6 (joined - (portRef Q (instanceRef channel_data_reg_24_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_6)) - )) - (net channel_data_i_24_9 (joined - (portRef (member channel_data_i_24 22) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_9)) - (portRef D (instanceRef channel_data_reg_24_9)) - )) - (net channel_data_reg_24_9 (joined - (portRef Q (instanceRef channel_data_reg_24_9)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_9)) - )) - (net channel_data_i_24_10 (joined - (portRef (member channel_data_i_24 21) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_10)) - (portRef D (instanceRef channel_data_reg_24_10)) + (net channel_data_i_24_11 (joined + (portRef (member channel_data_i_24 20) (instanceRef GEN_Channels_24_Channels)) + (portRef D (instanceRef channel_data_reg_24_11)) )) - (net channel_data_reg_24_10 (joined - (portRef Q (instanceRef channel_data_reg_24_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_10)) + (net channel_data_reg_24_11 (joined + (portRef Q (instanceRef channel_data_reg_24_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_11)) )) (net channel_data_i_24_12 (joined (portRef (member channel_data_i_24 19) (instanceRef GEN_Channels_24_Channels)) @@ -923001,6 +924503,14 @@ (portRef Q (instanceRef channel_data_reg_24_19)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_19)) )) + (net channel_data_i_2_20 (joined + (portRef (member channel_data_i_2 11) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_20)) + )) + (net channel_data_reg_2_20 (joined + (portRef Q (instanceRef channel_data_reg_2_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_20)) + )) (net channel_data_i_24_20 (joined (portRef (member channel_data_i_24 11) (instanceRef GEN_Channels_24_Channels)) (portRef D (instanceRef channel_data_reg_24_20)) @@ -923081,14 +924591,6 @@ (portRef Q (instanceRef channel_data_reg_24_29)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_29)) )) - (net channel_data_i_24_30 (joined - (portRef (member channel_data_i_24 1) (instanceRef GEN_Channels_24_Channels)) - (portRef D (instanceRef channel_data_reg_24_30)) - )) - (net channel_data_reg_24_30 (joined - (portRef Q (instanceRef channel_data_reg_24_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) - )) (net channel_data_i_24_31 (joined (portRef (member channel_data_i_24 0) (instanceRef GEN_Channels_24_Channels)) (portRef D (instanceRef channel_data_reg_24_31)) @@ -923106,15 +924608,6 @@ (portRef Q (instanceRef channel_data_reg_23_0)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_0)) )) - (net channel_data_i_23_1 (joined - (portRef (member channel_data_i_23 30) (instanceRef GEN_Channels_23_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_am_1)) - (portRef D (instanceRef channel_data_reg_23_1)) - )) - (net channel_data_reg_23_1 (joined - (portRef Q (instanceRef channel_data_reg_23_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_1)) - )) (net channel_data_i_23_2 (joined (portRef (member channel_data_i_23 29) (instanceRef GEN_Channels_23_Channels)) (portRef B (instanceRef un2_channel_hit_time_29_am_2)) @@ -923196,45 +924689,110 @@ (portRef Q (instanceRef channel_data_reg_23_10)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_10)) )) - (net channel_data_i_23_11 (joined - (portRef (member channel_data_i_23 20) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_11)) + (net channel_data_i_23_11 (joined + (portRef (member channel_data_i_23 20) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_11)) + )) + (net channel_data_reg_23_11 (joined + (portRef Q (instanceRef channel_data_reg_23_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_11)) + )) + (net channel_data_i_23_12 (joined + (portRef (member channel_data_i_23 19) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_12)) + )) + (net channel_data_reg_23_12 (joined + (portRef Q (instanceRef channel_data_reg_23_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_12)) + )) + (net channel_data_i_23_13 (joined + (portRef (member channel_data_i_23 18) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_13)) + )) + (net channel_data_reg_23_13 (joined + (portRef Q (instanceRef channel_data_reg_23_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_13)) + )) + (net channel_data_i_23_14 (joined + (portRef (member channel_data_i_23 17) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_14)) + )) + (net channel_data_reg_23_14 (joined + (portRef Q (instanceRef channel_data_reg_23_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_14)) + )) + (net channel_data_i_23_18 (joined + (portRef (member channel_data_i_23 13) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_18)) + )) + (net channel_data_reg_23_18 (joined + (portRef Q (instanceRef channel_data_reg_23_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_18)) + )) + (net channel_data_i_23_22 (joined + (portRef (member channel_data_i_23 9) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_22)) + )) + (net channel_data_reg_23_22 (joined + (portRef Q (instanceRef channel_data_reg_23_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_22)) + )) + (net channel_data_i_23_25 (joined + (portRef (member channel_data_i_23 6) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_25)) + )) + (net channel_data_reg_23_25 (joined + (portRef Q (instanceRef channel_data_reg_23_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_25)) + )) + (net channel_data_i_23_26 (joined + (portRef (member channel_data_i_23 5) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_26)) + )) + (net channel_data_reg_23_26 (joined + (portRef Q (instanceRef channel_data_reg_23_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_26)) + )) + (net channel_data_i_21_14 (joined + (portRef (member channel_data_i_21 17) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_14)) )) - (net channel_data_reg_23_11 (joined - (portRef Q (instanceRef channel_data_reg_23_11)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_11)) + (net channel_data_reg_21_14 (joined + (portRef Q (instanceRef channel_data_reg_21_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_14)) )) - (net channel_data_i_23_12 (joined - (portRef (member channel_data_i_23 19) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_12)) + (net channel_data_i_21_18 (joined + (portRef (member channel_data_i_21 13) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_18)) )) - (net channel_data_reg_23_12 (joined - (portRef Q (instanceRef channel_data_reg_23_12)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_12)) + (net channel_data_reg_21_18 (joined + (portRef Q (instanceRef channel_data_reg_21_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_18)) )) - (net channel_data_i_23_13 (joined - (portRef (member channel_data_i_23 18) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_13)) + (net channel_data_i_2_24 (joined + (portRef (member channel_data_i_2 7) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_24)) )) - (net channel_data_reg_23_13 (joined - (portRef Q (instanceRef channel_data_reg_23_13)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_13)) + (net channel_data_reg_2_24 (joined + (portRef Q (instanceRef channel_data_reg_2_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_24)) )) - (net channel_data_i_23_14 (joined - (portRef (member channel_data_i_23 17) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_14)) + (net channel_data_i_24_30 (joined + (portRef (member channel_data_i_24 1) (instanceRef GEN_Channels_24_Channels)) + (portRef D (instanceRef channel_data_reg_24_30)) )) - (net channel_data_reg_23_14 (joined - (portRef Q (instanceRef channel_data_reg_23_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_14)) + (net channel_data_reg_24_30 (joined + (portRef Q (instanceRef channel_data_reg_24_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) )) - (net channel_data_i_23_15 (joined - (portRef (member channel_data_i_23 16) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_15)) + (net channel_data_i_23_1 (joined + (portRef (member channel_data_i_23 30) (instanceRef GEN_Channels_23_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_am_1)) + (portRef D (instanceRef channel_data_reg_23_1)) )) - (net channel_data_reg_23_15 (joined - (portRef Q (instanceRef channel_data_reg_23_15)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_15)) + (net channel_data_reg_23_1 (joined + (portRef Q (instanceRef channel_data_reg_23_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_1)) )) (net channel_data_i_23_16 (joined (portRef (member channel_data_i_23 15) (instanceRef GEN_Channels_23_Channels)) @@ -923244,38 +924802,6 @@ (portRef Q (instanceRef channel_data_reg_23_16)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_16)) )) - (net channel_data_i_23_17 (joined - (portRef (member channel_data_i_23 14) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_17)) - )) - (net channel_data_reg_23_17 (joined - (portRef Q (instanceRef channel_data_reg_23_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_17)) - )) - (net channel_data_i_23_18 (joined - (portRef (member channel_data_i_23 13) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_18)) - )) - (net channel_data_reg_23_18 (joined - (portRef Q (instanceRef channel_data_reg_23_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_18)) - )) - (net channel_data_i_23_19 (joined - (portRef (member channel_data_i_23 12) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_19)) - )) - (net channel_data_reg_23_19 (joined - (portRef Q (instanceRef channel_data_reg_23_19)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_19)) - )) - (net channel_data_i_23_20 (joined - (portRef (member channel_data_i_23 11) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_20)) - )) - (net channel_data_reg_23_20 (joined - (portRef Q (instanceRef channel_data_reg_23_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_20)) - )) (net channel_data_i_23_21 (joined (portRef (member channel_data_i_23 10) (instanceRef GEN_Channels_23_Channels)) (portRef D (instanceRef channel_data_reg_23_21)) @@ -923284,14 +924810,6 @@ (portRef Q (instanceRef channel_data_reg_23_21)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_21)) )) - (net channel_data_i_23_22 (joined - (portRef (member channel_data_i_23 9) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_22)) - )) - (net channel_data_reg_23_22 (joined - (portRef Q (instanceRef channel_data_reg_23_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_22)) - )) (net channel_data_i_23_23 (joined (portRef (member channel_data_i_23 8) (instanceRef GEN_Channels_23_Channels)) (portRef D (instanceRef channel_data_reg_23_23)) @@ -923300,23 +924818,6 @@ (portRef Q (instanceRef channel_data_reg_23_23)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_23)) )) - (net channel_data_i_21_2 (joined - (portRef (member channel_data_i_21 29) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_2)) - (portRef D (instanceRef channel_data_reg_21_2)) - )) - (net channel_data_reg_21_2 (joined - (portRef Q (instanceRef channel_data_reg_21_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_2)) - )) - (net channel_data_i_2_24 (joined - (portRef (member channel_data_i_2 7) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_24)) - )) - (net channel_data_reg_2_24 (joined - (portRef Q (instanceRef channel_data_reg_2_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_24)) - )) (net channel_data_i_23_24 (joined (portRef (member channel_data_i_23 7) (instanceRef GEN_Channels_23_Channels)) (portRef D (instanceRef channel_data_reg_23_24)) @@ -923325,22 +924826,6 @@ (portRef Q (instanceRef channel_data_reg_23_24)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_24)) )) - (net channel_data_i_23_25 (joined - (portRef (member channel_data_i_23 6) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_25)) - )) - (net channel_data_reg_23_25 (joined - (portRef Q (instanceRef channel_data_reg_23_25)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_25)) - )) - (net channel_data_i_23_26 (joined - (portRef (member channel_data_i_23 5) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_26)) - )) - (net channel_data_reg_23_26 (joined - (portRef Q (instanceRef channel_data_reg_23_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_26)) - )) (net channel_data_i_23_27 (joined (portRef (member channel_data_i_23 4) (instanceRef GEN_Channels_23_Channels)) (portRef D (instanceRef channel_data_reg_23_27)) @@ -923365,6 +924850,22 @@ (portRef Q (instanceRef channel_data_reg_23_29)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_29)) )) + (net channel_data_i_23_30 (joined + (portRef (member channel_data_i_23 1) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_30)) + )) + (net channel_data_reg_23_30 (joined + (portRef Q (instanceRef channel_data_reg_23_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) + )) + (net channel_data_i_23_31 (joined + (portRef (member channel_data_i_23 0) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_31)) + )) + (net channel_data_reg_23_31 (joined + (portRef Q (instanceRef channel_data_reg_23_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_31)) + )) (net channel_data_i_22_0 (joined (portRef (member channel_data_i_22 31) (instanceRef GEN_Channels_22_Channels)) (portRef B (instanceRef un2_channel_hit_time_14_am_0)) @@ -923392,48 +924893,6 @@ (portRef Q (instanceRef channel_data_reg_22_3)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_3)) )) - (net channel_data_i_22_6 (joined - (portRef (member channel_data_i_22 25) (instanceRef GEN_Channels_22_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_am_6)) - (portRef D (instanceRef channel_data_reg_22_6)) - )) - (net channel_data_reg_22_6 (joined - (portRef Q (instanceRef channel_data_reg_22_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_6)) - )) - (net channel_data_i_2_28 (joined - (portRef (member channel_data_i_2 3) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_28)) - )) - (net channel_data_reg_2_28 (joined - (portRef Q (instanceRef channel_data_reg_2_28)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_28)) - )) - (net channel_data_i_23_30 (joined - (portRef (member channel_data_i_23 1) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_30)) - )) - (net channel_data_reg_23_30 (joined - (portRef Q (instanceRef channel_data_reg_23_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) - )) - (net channel_data_i_23_31 (joined - (portRef (member channel_data_i_23 0) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_31)) - )) - (net channel_data_reg_23_31 (joined - (portRef Q (instanceRef channel_data_reg_23_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_31)) - )) - (net channel_data_i_22_2 (joined - (portRef (member channel_data_i_22 29) (instanceRef GEN_Channels_22_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_am_2)) - (portRef D (instanceRef channel_data_reg_22_2)) - )) - (net channel_data_reg_22_2 (joined - (portRef Q (instanceRef channel_data_reg_22_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_2)) - )) (net channel_data_i_22_4 (joined (portRef (member channel_data_i_22 27) (instanceRef GEN_Channels_22_Channels)) (portRef B (instanceRef un2_channel_hit_time_14_am_4)) @@ -923452,6 +924911,15 @@ (portRef Q (instanceRef channel_data_reg_22_5)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_5)) )) + (net channel_data_i_22_6 (joined + (portRef (member channel_data_i_22 25) (instanceRef GEN_Channels_22_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_am_6)) + (portRef D (instanceRef channel_data_reg_22_6)) + )) + (net channel_data_reg_22_6 (joined + (portRef Q (instanceRef channel_data_reg_22_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_6)) + )) (net channel_data_i_22_7 (joined (portRef (member channel_data_i_22 24) (instanceRef GEN_Channels_22_Channels)) (portRef B (instanceRef un2_channel_hit_time_14_am_7)) @@ -923600,6 +925068,14 @@ (portRef Q (instanceRef channel_data_reg_22_24)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_24)) )) + (net channel_data_i_22_25 (joined + (portRef (member channel_data_i_22 6) (instanceRef GEN_Channels_22_Channels)) + (portRef D (instanceRef channel_data_reg_22_25)) + )) + (net channel_data_reg_22_25 (joined + (portRef Q (instanceRef channel_data_reg_22_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_25)) + )) (net channel_data_i_22_26 (joined (portRef (member channel_data_i_22 5) (instanceRef GEN_Channels_22_Channels)) (portRef D (instanceRef channel_data_reg_22_26)) @@ -923616,46 +925092,6 @@ (portRef Q (instanceRef channel_data_reg_22_27)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_27)) )) - (net channel_data_i_21_12 (joined - (portRef (member channel_data_i_21 19) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_12)) - )) - (net channel_data_reg_21_12 (joined - (portRef Q (instanceRef channel_data_reg_21_12)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_12)) - )) - (net channel_data_i_21_17 (joined - (portRef (member channel_data_i_21 14) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_17)) - )) - (net channel_data_reg_21_17 (joined - (portRef Q (instanceRef channel_data_reg_21_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_17)) - )) - (net channel_data_i_21_19 (joined - (portRef (member channel_data_i_21 12) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_19)) - )) - (net channel_data_reg_21_19 (joined - (portRef Q (instanceRef channel_data_reg_21_19)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_19)) - )) - (net channel_data_i_2_23 (joined - (portRef (member channel_data_i_2 8) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_23)) - )) - (net channel_data_reg_2_23 (joined - (portRef Q (instanceRef channel_data_reg_2_23)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_23)) - )) - (net channel_data_i_22_25 (joined - (portRef (member channel_data_i_22 6) (instanceRef GEN_Channels_22_Channels)) - (portRef D (instanceRef channel_data_reg_22_25)) - )) - (net channel_data_reg_22_25 (joined - (portRef Q (instanceRef channel_data_reg_22_25)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_25)) - )) (net channel_data_i_22_28 (joined (portRef (member channel_data_i_22 3) (instanceRef GEN_Channels_22_Channels)) (portRef D (instanceRef channel_data_reg_22_28)) @@ -923680,6 +925116,39 @@ (portRef Q (instanceRef channel_data_reg_22_30)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_30)) )) + (net channel_data_i_22_31 (joined + (portRef (member channel_data_i_22 0) (instanceRef GEN_Channels_22_Channels)) + (portRef D (instanceRef channel_data_reg_22_31)) + )) + (net channel_data_reg_22_31 (joined + (portRef Q (instanceRef channel_data_reg_22_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) + )) + (net channel_data_i_21_10 (joined + (portRef (member channel_data_i_21 21) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_10)) + (portRef D (instanceRef channel_data_reg_21_10)) + )) + (net channel_data_reg_21_10 (joined + (portRef Q (instanceRef channel_data_reg_21_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_10)) + )) + (net channel_data_i_21_11 (joined + (portRef (member channel_data_i_21 20) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_11)) + )) + (net channel_data_reg_21_11 (joined + (portRef Q (instanceRef channel_data_reg_21_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_11)) + )) + (net channel_data_i_21_12 (joined + (portRef (member channel_data_i_21 19) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_12)) + )) + (net channel_data_reg_21_12 (joined + (portRef Q (instanceRef channel_data_reg_21_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_12)) + )) (net channel_data_i_21_13 (joined (portRef (member channel_data_i_21 18) (instanceRef GEN_Channels_21_Channels)) (portRef D (instanceRef channel_data_reg_21_13)) @@ -923688,14 +925157,6 @@ (portRef Q (instanceRef channel_data_reg_21_13)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_13)) )) - (net channel_data_i_21_14 (joined - (portRef (member channel_data_i_21 17) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_14)) - )) - (net channel_data_reg_21_14 (joined - (portRef Q (instanceRef channel_data_reg_21_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_14)) - )) (net channel_data_i_21_15 (joined (portRef (member channel_data_i_21 16) (instanceRef GEN_Channels_21_Channels)) (portRef D (instanceRef channel_data_reg_21_15)) @@ -923712,6 +925173,30 @@ (portRef Q (instanceRef channel_data_reg_21_16)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_16)) )) + (net channel_data_i_21_17 (joined + (portRef (member channel_data_i_21 14) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_17)) + )) + (net channel_data_reg_21_17 (joined + (portRef Q (instanceRef channel_data_reg_21_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_17)) + )) + (net channel_data_i_21_19 (joined + (portRef (member channel_data_i_21 12) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_19)) + )) + (net channel_data_reg_21_19 (joined + (portRef Q (instanceRef channel_data_reg_21_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_19)) + )) + (net channel_data_i_21_20 (joined + (portRef (member channel_data_i_21 11) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_20)) + )) + (net channel_data_reg_21_20 (joined + (portRef Q (instanceRef channel_data_reg_21_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_20)) + )) (net channel_data_i_21_21 (joined (portRef (member channel_data_i_21 10) (instanceRef GEN_Channels_21_Channels)) (portRef D (instanceRef channel_data_reg_21_21)) @@ -923720,6 +925205,14 @@ (portRef Q (instanceRef channel_data_reg_21_21)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_21)) )) + (net channel_data_i_21_22 (joined + (portRef (member channel_data_i_21 9) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_22)) + )) + (net channel_data_reg_21_22 (joined + (portRef Q (instanceRef channel_data_reg_21_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_22)) + )) (net channel_data_i_21_23 (joined (portRef (member channel_data_i_21 8) (instanceRef GEN_Channels_21_Channels)) (portRef D (instanceRef channel_data_reg_21_23)) @@ -923736,54 +925229,6 @@ (portRef Q (instanceRef channel_data_reg_21_24)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_24)) )) - (net channel_data_i_2_26 (joined - (portRef (member channel_data_i_2 5) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_26)) - )) - (net channel_data_reg_2_26 (joined - (portRef Q (instanceRef channel_data_reg_2_26)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_26)) - )) - (net channel_data_i_22_31 (joined - (portRef (member channel_data_i_22 0) (instanceRef GEN_Channels_22_Channels)) - (portRef D (instanceRef channel_data_reg_22_31)) - )) - (net channel_data_reg_22_31 (joined - (portRef Q (instanceRef channel_data_reg_22_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) - )) - (net channel_data_i_21_18 (joined - (portRef (member channel_data_i_21 13) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_18)) - )) - (net channel_data_reg_21_18 (joined - (portRef Q (instanceRef channel_data_reg_21_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_18)) - )) - (net channel_data_i_21_20 (joined - (portRef (member channel_data_i_21 11) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_20)) - )) - (net channel_data_reg_21_20 (joined - (portRef Q (instanceRef channel_data_reg_21_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_20)) - )) - (net channel_data_i_21_22 (joined - (portRef (member channel_data_i_21 9) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_22)) - )) - (net channel_data_reg_21_22 (joined - (portRef Q (instanceRef channel_data_reg_21_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_22)) - )) - (net channel_data_i_21_25 (joined - (portRef (member channel_data_i_21 6) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_25)) - )) - (net channel_data_reg_21_25 (joined - (portRef Q (instanceRef channel_data_reg_21_25)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_25)) - )) (net channel_data_i_21_26 (joined (portRef (member channel_data_i_21 5) (instanceRef GEN_Channels_21_Channels)) (portRef D (instanceRef channel_data_reg_21_26)) @@ -923816,22 +925261,6 @@ (portRef Q (instanceRef channel_data_reg_21_29)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_29)) )) - (net channel_data_i_21_30 (joined - (portRef (member channel_data_i_21 1) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_30)) - )) - (net channel_data_reg_21_30 (joined - (portRef Q (instanceRef channel_data_reg_21_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) - )) - (net channel_data_i_21_31 (joined - (portRef (member channel_data_i_21 0) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_31)) - )) - (net channel_data_reg_21_31 (joined - (portRef Q (instanceRef channel_data_reg_21_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) - )) (net channel_data_i_20_0 (joined (portRef (member channel_data_i_20 31) (instanceRef GEN_Channels_20_Channels)) (portRef B (instanceRef un2_channel_hit_time_7_am_0)) @@ -923859,15 +925288,6 @@ (portRef Q (instanceRef channel_data_reg_20_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_2)) )) - (net channel_data_i_20_3 (joined - (portRef (member channel_data_i_20 28) (instanceRef GEN_Channels_20_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_am_3)) - (portRef D (instanceRef channel_data_reg_20_3)) - )) - (net channel_data_reg_20_3 (joined - (portRef Q (instanceRef channel_data_reg_20_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_3)) - )) (net channel_data_i_20_4 (joined (portRef (member channel_data_i_20 27) (instanceRef GEN_Channels_20_Channels)) (portRef B (instanceRef un2_channel_hit_time_7_am_4)) @@ -923904,15 +925324,6 @@ (portRef Q (instanceRef channel_data_reg_20_7)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_7)) )) - (net channel_data_i_20_8 (joined - (portRef (member channel_data_i_20 23) (instanceRef GEN_Channels_20_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_am_8)) - (portRef D (instanceRef channel_data_reg_20_8)) - )) - (net channel_data_reg_20_8 (joined - (portRef Q (instanceRef channel_data_reg_20_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_8)) - )) (net channel_data_i_20_9 (joined (portRef (member channel_data_i_20 22) (instanceRef GEN_Channels_20_Channels)) (portRef B (instanceRef un2_channel_hit_time_7_am_9)) @@ -923922,6 +925333,48 @@ (portRef Q (instanceRef channel_data_reg_20_9)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_9)) )) + (net channel_data_i_2_25 (joined + (portRef (member channel_data_i_2 6) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_25)) + )) + (net channel_data_reg_2_25 (joined + (portRef Q (instanceRef channel_data_reg_2_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_25)) + )) + (net channel_data_i_21_25 (joined + (portRef (member channel_data_i_21 6) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_25)) + )) + (net channel_data_reg_21_25 (joined + (portRef Q (instanceRef channel_data_reg_21_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_25)) + )) + (net channel_data_i_21_30 (joined + (portRef (member channel_data_i_21 1) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_30)) + )) + (net channel_data_reg_21_30 (joined + (portRef Q (instanceRef channel_data_reg_21_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) + )) + (net channel_data_i_20_3 (joined + (portRef (member channel_data_i_20 28) (instanceRef GEN_Channels_20_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_am_3)) + (portRef D (instanceRef channel_data_reg_20_3)) + )) + (net channel_data_reg_20_3 (joined + (portRef Q (instanceRef channel_data_reg_20_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_3)) + )) + (net channel_data_i_20_8 (joined + (portRef (member channel_data_i_20 23) (instanceRef GEN_Channels_20_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_am_8)) + (portRef D (instanceRef channel_data_reg_20_8)) + )) + (net channel_data_reg_20_8 (joined + (portRef Q (instanceRef channel_data_reg_20_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_8)) + )) (net channel_data_i_20_10 (joined (portRef (member channel_data_i_20 21) (instanceRef GEN_Channels_20_Channels)) (portRef B (instanceRef un2_channel_hit_time_7_am_10)) @@ -924059,6 +925512,14 @@ (portRef Q (instanceRef channel_data_reg_20_26)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_26)) )) + (net channel_data_i_2_26 (joined + (portRef (member channel_data_i_2 5) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_26)) + )) + (net channel_data_reg_2_26 (joined + (portRef Q (instanceRef channel_data_reg_2_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_26)) + )) (net channel_data_i_20_27 (joined (portRef (member channel_data_i_20 4) (instanceRef GEN_Channels_20_Channels)) (portRef D (instanceRef channel_data_reg_20_27)) @@ -924067,6 +925528,22 @@ (portRef Q (instanceRef channel_data_reg_20_27)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_27)) )) + (net channel_data_i_2_27 (joined + (portRef (member channel_data_i_2 4) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_27)) + )) + (net channel_data_reg_2_27 (joined + (portRef Q (instanceRef channel_data_reg_2_27)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_27)) + )) + (net channel_data_i_2_28 (joined + (portRef (member channel_data_i_2 3) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_28)) + )) + (net channel_data_reg_2_28 (joined + (portRef Q (instanceRef channel_data_reg_2_28)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_28)) + )) (net channel_data_i_20_28 (joined (portRef (member channel_data_i_20 3) (instanceRef GEN_Channels_20_Channels)) (portRef D (instanceRef channel_data_reg_20_28)) @@ -924075,14 +925552,6 @@ (portRef Q (instanceRef channel_data_reg_20_28)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_28)) )) - (net channel_data_i_20_29 (joined - (portRef (member channel_data_i_20 2) (instanceRef GEN_Channels_20_Channels)) - (portRef D (instanceRef channel_data_reg_20_29)) - )) - (net channel_data_reg_20_29 (joined - (portRef Q (instanceRef channel_data_reg_20_29)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_29)) - )) (net channel_data_i_2_29 (joined (portRef (member channel_data_i_2 2) (instanceRef GEN_Channels_2_Channels)) (portRef D (instanceRef channel_data_reg_2_29)) @@ -924091,13 +925560,13 @@ (portRef Q (instanceRef channel_data_reg_2_29)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_29)) )) - (net channel_data_i_20_30 (joined - (portRef (member channel_data_i_20 1) (instanceRef GEN_Channels_20_Channels)) - (portRef D (instanceRef channel_data_reg_20_30)) + (net channel_data_i_20_29 (joined + (portRef (member channel_data_i_20 2) (instanceRef GEN_Channels_20_Channels)) + (portRef D (instanceRef channel_data_reg_20_29)) )) - (net channel_data_reg_20_30 (joined - (portRef Q (instanceRef channel_data_reg_20_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) + (net channel_data_reg_20_29 (joined + (portRef Q (instanceRef channel_data_reg_20_29)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_29)) )) (net channel_data_i_2_30 (joined (portRef (member channel_data_i_2 1) (instanceRef GEN_Channels_2_Channels)) @@ -924115,6 +925584,22 @@ (portRef Q (instanceRef channel_data_reg_2_31)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_31)) )) + (net channel_data_i_21_31 (joined + (portRef (member channel_data_i_21 0) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_31)) + )) + (net channel_data_reg_21_31 (joined + (portRef Q (instanceRef channel_data_reg_21_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) + )) + (net channel_data_i_20_30 (joined + (portRef (member channel_data_i_20 1) (instanceRef GEN_Channels_20_Channels)) + (portRef D (instanceRef channel_data_reg_20_30)) + )) + (net channel_data_reg_20_30 (joined + (portRef Q (instanceRef channel_data_reg_20_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) + )) (net channel_data_i_20_31 (joined (portRef (member channel_data_i_20 0) (instanceRef GEN_Channels_20_Channels)) (portRef D (instanceRef channel_data_reg_20_31)) @@ -924141,24 +925626,6 @@ (portRef Q (instanceRef channel_data_reg_19_0)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_0)) )) - (net channel_data_i_19_2 (joined - (portRef (member channel_data_i_19 29) (instanceRef GEN_Channels_19_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_am_2)) - (portRef D (instanceRef channel_data_reg_19_2)) - )) - (net channel_data_reg_19_2 (joined - (portRef Q (instanceRef channel_data_reg_19_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_2)) - )) - (net channel_data_i_16_0 (joined - (portRef (member channel_data_i_16 31) (instanceRef GEN_Channels_16_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_am_0)) - (portRef D (instanceRef channel_data_reg_16_0)) - )) - (net channel_data_reg_16_0 (joined - (portRef Q (instanceRef channel_data_reg_16_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_0)) - )) (net channel_data_i_1_1 (joined (portRef (member channel_data_i_1 30) (instanceRef GEN_Channels_1_Channels)) (portRef A (instanceRef un2_channel_hit_time_19_am_1)) @@ -924186,14 +925653,14 @@ (portRef Q (instanceRef channel_data_reg_1_2)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_2)) )) - (net channel_data_i_19_3 (joined - (portRef (member channel_data_i_19 28) (instanceRef GEN_Channels_19_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_am_3)) - (portRef D (instanceRef channel_data_reg_19_3)) + (net channel_data_i_19_2 (joined + (portRef (member channel_data_i_19 29) (instanceRef GEN_Channels_19_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_am_2)) + (portRef D (instanceRef channel_data_reg_19_2)) )) - (net channel_data_reg_19_3 (joined - (portRef Q (instanceRef channel_data_reg_19_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_3)) + (net channel_data_reg_19_2 (joined + (portRef Q (instanceRef channel_data_reg_19_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_2)) )) (net channel_data_i_1_3 (joined (portRef (member channel_data_i_1 28) (instanceRef GEN_Channels_1_Channels)) @@ -924204,6 +925671,15 @@ (portRef Q (instanceRef channel_data_reg_1_3)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_3)) )) + (net channel_data_i_19_3 (joined + (portRef (member channel_data_i_19 28) (instanceRef GEN_Channels_19_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_am_3)) + (portRef D (instanceRef channel_data_reg_19_3)) + )) + (net channel_data_reg_19_3 (joined + (portRef Q (instanceRef channel_data_reg_19_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_3)) + )) (net channel_data_i_1_4 (joined (portRef (member channel_data_i_1 27) (instanceRef GEN_Channels_1_Channels)) (portRef A (instanceRef un2_channel_hit_time_19_am_4)) @@ -924222,15 +925698,6 @@ (portRef Q (instanceRef channel_data_reg_19_4)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_4)) )) - (net channel_data_i_1_5 (joined - (portRef (member channel_data_i_1 26) (instanceRef GEN_Channels_1_Channels)) - (portRef A (instanceRef un2_channel_hit_time_19_am_5)) - (portRef D (instanceRef channel_data_reg_1_5)) - )) - (net channel_data_reg_1_5 (joined - (portRef Q (instanceRef channel_data_reg_1_5)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_5)) - )) (net channel_data_i_19_5 (joined (portRef (member channel_data_i_19 26) (instanceRef GEN_Channels_19_Channels)) (portRef B (instanceRef un2_channel_hit_time_26_am_5)) @@ -924240,14 +925707,14 @@ (portRef Q (instanceRef channel_data_reg_19_5)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_5)) )) - (net channel_data_i_1_6 (joined - (portRef (member channel_data_i_1 25) (instanceRef GEN_Channels_1_Channels)) - (portRef A (instanceRef un2_channel_hit_time_19_am_6)) - (portRef D (instanceRef channel_data_reg_1_6)) + (net channel_data_i_1_5 (joined + (portRef (member channel_data_i_1 26) (instanceRef GEN_Channels_1_Channels)) + (portRef A (instanceRef un2_channel_hit_time_19_am_5)) + (portRef D (instanceRef channel_data_reg_1_5)) )) - (net channel_data_reg_1_6 (joined - (portRef Q (instanceRef channel_data_reg_1_6)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_6)) + (net channel_data_reg_1_5 (joined + (portRef Q (instanceRef channel_data_reg_1_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_5)) )) (net channel_data_i_19_6 (joined (portRef (member channel_data_i_19 25) (instanceRef GEN_Channels_19_Channels)) @@ -924258,6 +925725,15 @@ (portRef Q (instanceRef channel_data_reg_19_6)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_6)) )) + (net channel_data_i_1_6 (joined + (portRef (member channel_data_i_1 25) (instanceRef GEN_Channels_1_Channels)) + (portRef A (instanceRef un2_channel_hit_time_19_am_6)) + (portRef D (instanceRef channel_data_reg_1_6)) + )) + (net channel_data_reg_1_6 (joined + (portRef Q (instanceRef channel_data_reg_1_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_6)) + )) (net channel_data_i_19_7 (joined (portRef (member channel_data_i_19 24) (instanceRef GEN_Channels_19_Channels)) (portRef B (instanceRef un2_channel_hit_time_26_am_7)) @@ -924267,14 +925743,14 @@ (portRef Q (instanceRef channel_data_reg_19_7)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_7)) )) - (net channel_data_i_19_8 (joined - (portRef (member channel_data_i_19 23) (instanceRef GEN_Channels_19_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_am_8)) - (portRef D (instanceRef channel_data_reg_19_8)) + (net channel_data_i_1_7 (joined + (portRef (member channel_data_i_1 24) (instanceRef GEN_Channels_1_Channels)) + (portRef A (instanceRef un2_channel_hit_time_19_am_7)) + (portRef D (instanceRef channel_data_reg_1_7)) )) - (net channel_data_reg_19_8 (joined - (portRef Q (instanceRef channel_data_reg_19_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_8)) + (net channel_data_reg_1_7 (joined + (portRef Q (instanceRef channel_data_reg_1_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_7)) )) (net channel_data_i_1_8 (joined (portRef (member channel_data_i_1 23) (instanceRef GEN_Channels_1_Channels)) @@ -924285,6 +925761,15 @@ (portRef Q (instanceRef channel_data_reg_1_8)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_8)) )) + (net channel_data_i_19_8 (joined + (portRef (member channel_data_i_19 23) (instanceRef GEN_Channels_19_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_am_8)) + (portRef D (instanceRef channel_data_reg_19_8)) + )) + (net channel_data_reg_19_8 (joined + (portRef Q (instanceRef channel_data_reg_19_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_8)) + )) (net channel_data_i_19_9 (joined (portRef (member channel_data_i_19 22) (instanceRef GEN_Channels_19_Channels)) (portRef B (instanceRef un2_channel_hit_time_26_am_9)) @@ -924383,14 +925868,6 @@ (portRef Q (instanceRef channel_data_reg_19_20)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_20)) )) - (net channel_data_i_19_21 (joined - (portRef (member channel_data_i_19 10) (instanceRef GEN_Channels_19_Channels)) - (portRef D (instanceRef channel_data_reg_19_21)) - )) - (net channel_data_reg_19_21 (joined - (portRef Q (instanceRef channel_data_reg_19_21)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_21)) - )) (net channel_data_i_19_22 (joined (portRef (member channel_data_i_19 9) (instanceRef GEN_Channels_19_Channels)) (portRef D (instanceRef channel_data_reg_19_22)) @@ -924586,22 +926063,6 @@ (portRef Q (instanceRef channel_data_reg_18_12)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_12)) )) - (net channel_data_i_18_13 (joined - (portRef (member channel_data_i_18 18) (instanceRef GEN_Channels_18_Channels)) - (portRef D (instanceRef channel_data_reg_18_13)) - )) - (net channel_data_reg_18_13 (joined - (portRef Q (instanceRef channel_data_reg_18_13)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) - )) - (net channel_data_i_18_14 (joined - (portRef (member channel_data_i_18 17) (instanceRef GEN_Channels_18_Channels)) - (portRef D (instanceRef channel_data_reg_18_14)) - )) - (net channel_data_reg_18_14 (joined - (portRef Q (instanceRef channel_data_reg_18_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) - )) (net channel_data_i_18_15 (joined (portRef (member channel_data_i_18 16) (instanceRef GEN_Channels_18_Channels)) (portRef D (instanceRef channel_data_reg_18_15)) @@ -924610,6 +926071,31 @@ (portRef Q (instanceRef channel_data_reg_18_15)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_15)) )) + (net channel_data_i_15_6 (joined + (portRef (member channel_data_i_15 25) (instanceRef GEN_Channels_15_Channels)) + (portRef A (instanceRef un2_channel_hit_time_29_bm_6)) + (portRef D (instanceRef channel_data_reg_15_6)) + )) + (net channel_data_reg_15_6 (joined + (portRef Q (instanceRef channel_data_reg_15_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_6)) + )) + (net channel_data_i_1_12 (joined + (portRef (member channel_data_i_1 19) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_12)) + )) + (net channel_data_reg_1_12 (joined + (portRef Q (instanceRef channel_data_reg_1_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_12)) + )) + (net channel_data_i_18_13 (joined + (portRef (member channel_data_i_18 18) (instanceRef GEN_Channels_18_Channels)) + (portRef D (instanceRef channel_data_reg_18_13)) + )) + (net channel_data_reg_18_13 (joined + (portRef Q (instanceRef channel_data_reg_18_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) + )) (net channel_data_i_18_16 (joined (portRef (member channel_data_i_18 15) (instanceRef GEN_Channels_18_Channels)) (portRef D (instanceRef channel_data_reg_18_16)) @@ -924837,6 +926323,92 @@ (portRef Q (instanceRef channel_data_reg_17_10)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_am_10)) )) + (net channel_data_i_17_18 (joined + (portRef (member channel_data_i_17 13) (instanceRef GEN_Channels_17_Channels)) + (portRef D (instanceRef channel_data_reg_17_18)) + )) + (net channel_data_reg_17_18 (joined + (portRef Q (instanceRef channel_data_reg_17_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_am_18)) + )) + (net channel_data_i_16_0 (joined + (portRef (member channel_data_i_16 31) (instanceRef GEN_Channels_16_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_am_0)) + (portRef D (instanceRef channel_data_reg_16_0)) + )) + (net channel_data_reg_16_0 (joined + (portRef Q (instanceRef channel_data_reg_16_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_0)) + )) + (net channel_data_i_16_2 (joined + (portRef (member channel_data_i_16 29) (instanceRef GEN_Channels_16_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_am_2)) + (portRef D (instanceRef channel_data_reg_16_2)) + )) + (net channel_data_reg_16_2 (joined + (portRef Q (instanceRef channel_data_reg_16_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_2)) + )) + (net channel_data_i_16_5 (joined + (portRef (member channel_data_i_16 26) (instanceRef GEN_Channels_16_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_am_5)) + (portRef D (instanceRef channel_data_reg_16_5)) + )) + (net channel_data_reg_16_5 (joined + (portRef Q (instanceRef channel_data_reg_16_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_5)) + )) + (net channel_data_i_16_6 (joined + (portRef (member channel_data_i_16 25) (instanceRef GEN_Channels_16_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_am_6)) + (portRef D (instanceRef channel_data_reg_16_6)) + )) + (net channel_data_reg_16_6 (joined + (portRef Q (instanceRef channel_data_reg_16_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_6)) + )) + (net channel_data_i_1_9 (joined + (portRef (member channel_data_i_1 22) (instanceRef GEN_Channels_1_Channels)) + (portRef A (instanceRef un2_channel_hit_time_19_am_9)) + (portRef D (instanceRef channel_data_reg_1_9)) + )) + (net channel_data_reg_1_9 (joined + (portRef Q (instanceRef channel_data_reg_1_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_9)) + )) + (net channel_data_i_1_10 (joined + (portRef (member channel_data_i_1 21) (instanceRef GEN_Channels_1_Channels)) + (portRef A (instanceRef un2_channel_hit_time_19_am_10)) + (portRef D (instanceRef channel_data_reg_1_10)) + )) + (net channel_data_reg_1_10 (joined + (portRef Q (instanceRef channel_data_reg_1_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_10)) + )) + (net channel_data_i_1_11 (joined + (portRef (member channel_data_i_1 20) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_11)) + )) + (net channel_data_reg_1_11 (joined + (portRef Q (instanceRef channel_data_reg_1_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_11)) + )) + (net channel_data_i_19_21 (joined + (portRef (member channel_data_i_19 10) (instanceRef GEN_Channels_19_Channels)) + (portRef D (instanceRef channel_data_reg_19_21)) + )) + (net channel_data_reg_19_21 (joined + (portRef Q (instanceRef channel_data_reg_19_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_21)) + )) + (net channel_data_i_18_14 (joined + (portRef (member channel_data_i_18 17) (instanceRef GEN_Channels_18_Channels)) + (portRef D (instanceRef channel_data_reg_18_14)) + )) + (net channel_data_reg_18_14 (joined + (portRef Q (instanceRef channel_data_reg_18_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) + )) (net channel_data_i_17_11 (joined (portRef (member channel_data_i_17 20) (instanceRef GEN_Channels_17_Channels)) (portRef D (instanceRef channel_data_reg_17_11)) @@ -924893,14 +926465,6 @@ (portRef Q (instanceRef channel_data_reg_17_17)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_am_17)) )) - (net channel_data_i_17_18 (joined - (portRef (member channel_data_i_17 13) (instanceRef GEN_Channels_17_Channels)) - (portRef D (instanceRef channel_data_reg_17_18)) - )) - (net channel_data_reg_17_18 (joined - (portRef Q (instanceRef channel_data_reg_17_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_am_18)) - )) (net channel_data_i_17_19 (joined (portRef (member channel_data_i_17 12) (instanceRef GEN_Channels_17_Channels)) (portRef D (instanceRef channel_data_reg_17_19)) @@ -925014,15 +926578,6 @@ (portRef Q (instanceRef channel_data_reg_16_1)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_1)) )) - (net channel_data_i_16_2 (joined - (portRef (member channel_data_i_16 29) (instanceRef GEN_Channels_16_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_am_2)) - (portRef D (instanceRef channel_data_reg_16_2)) - )) - (net channel_data_reg_16_2 (joined - (portRef Q (instanceRef channel_data_reg_16_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_2)) - )) (net channel_data_i_16_3 (joined (portRef (member channel_data_i_16 28) (instanceRef GEN_Channels_16_Channels)) (portRef B (instanceRef un2_channel_hit_time_4_am_3)) @@ -925041,24 +926596,6 @@ (portRef Q (instanceRef channel_data_reg_16_4)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_4)) )) - (net channel_data_i_16_5 (joined - (portRef (member channel_data_i_16 26) (instanceRef GEN_Channels_16_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_am_5)) - (portRef D (instanceRef channel_data_reg_16_5)) - )) - (net channel_data_reg_16_5 (joined - (portRef Q (instanceRef channel_data_reg_16_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_5)) - )) - (net channel_data_i_16_6 (joined - (portRef (member channel_data_i_16 25) (instanceRef GEN_Channels_16_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_am_6)) - (portRef D (instanceRef channel_data_reg_16_6)) - )) - (net channel_data_reg_16_6 (joined - (portRef Q (instanceRef channel_data_reg_16_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_6)) - )) (net channel_data_i_16_7 (joined (portRef (member channel_data_i_16 24) (instanceRef GEN_Channels_16_Channels)) (portRef B (instanceRef un2_channel_hit_time_4_am_7)) @@ -925167,14 +926704,6 @@ (portRef Q (instanceRef channel_data_reg_16_19)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_19)) )) - (net channel_data_i_16_20 (joined - (portRef (member channel_data_i_16 11) (instanceRef GEN_Channels_16_Channels)) - (portRef D (instanceRef channel_data_reg_16_20)) - )) - (net channel_data_reg_16_20 (joined - (portRef Q (instanceRef channel_data_reg_16_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_20)) - )) (net channel_data_i_16_21 (joined (portRef (member channel_data_i_16 10) (instanceRef GEN_Channels_16_Channels)) (portRef D (instanceRef channel_data_reg_16_21)) @@ -925272,24 +926801,6 @@ (portRef Q (instanceRef channel_data_reg_15_0)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_0)) )) - (net channel_data_i_15_1 (joined - (portRef (member channel_data_i_15 30) (instanceRef GEN_Channels_15_Channels)) - (portRef A (instanceRef un2_channel_hit_time_29_bm_1)) - (portRef D (instanceRef channel_data_reg_15_1)) - )) - (net channel_data_reg_15_1 (joined - (portRef Q (instanceRef channel_data_reg_15_1)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) - )) - (net channel_data_i_15_2 (joined - (portRef (member channel_data_i_15 29) (instanceRef GEN_Channels_15_Channels)) - (portRef A (instanceRef un2_channel_hit_time_29_bm_2)) - (portRef D (instanceRef channel_data_reg_15_2)) - )) - (net channel_data_reg_15_2 (joined - (portRef Q (instanceRef channel_data_reg_15_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) - )) (net channel_data_i_15_3 (joined (portRef (member channel_data_i_15 28) (instanceRef GEN_Channels_15_Channels)) (portRef A (instanceRef un2_channel_hit_time_29_bm_3)) @@ -925308,6 +926819,48 @@ (portRef Q (instanceRef channel_data_reg_15_4)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) )) + (net channel_data_i_15_17 (joined + (portRef (member channel_data_i_15 14) (instanceRef GEN_Channels_15_Channels)) + (portRef D (instanceRef channel_data_reg_15_17)) + )) + (net channel_data_reg_15_17 (joined + (portRef Q (instanceRef channel_data_reg_15_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) + )) + (net channel_data_i_1_18 (joined + (portRef (member channel_data_i_1 13) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_18)) + )) + (net channel_data_reg_1_18 (joined + (portRef Q (instanceRef channel_data_reg_1_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_18)) + )) + (net channel_data_i_16_20 (joined + (portRef (member channel_data_i_16 11) (instanceRef GEN_Channels_16_Channels)) + (portRef D (instanceRef channel_data_reg_16_20)) + )) + (net channel_data_reg_16_20 (joined + (portRef Q (instanceRef channel_data_reg_16_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_20)) + )) + (net channel_data_i_15_1 (joined + (portRef (member channel_data_i_15 30) (instanceRef GEN_Channels_15_Channels)) + (portRef A (instanceRef un2_channel_hit_time_29_bm_1)) + (portRef D (instanceRef channel_data_reg_15_1)) + )) + (net channel_data_reg_15_1 (joined + (portRef Q (instanceRef channel_data_reg_15_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) + )) + (net channel_data_i_15_2 (joined + (portRef (member channel_data_i_15 29) (instanceRef GEN_Channels_15_Channels)) + (portRef A (instanceRef un2_channel_hit_time_29_bm_2)) + (portRef D (instanceRef channel_data_reg_15_2)) + )) + (net channel_data_reg_15_2 (joined + (portRef Q (instanceRef channel_data_reg_15_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) + )) (net channel_data_i_15_5 (joined (portRef (member channel_data_i_15 26) (instanceRef GEN_Channels_15_Channels)) (portRef A (instanceRef un2_channel_hit_time_29_bm_5)) @@ -925317,15 +926870,6 @@ (portRef Q (instanceRef channel_data_reg_15_5)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) )) - (net channel_data_i_15_6 (joined - (portRef (member channel_data_i_15 25) (instanceRef GEN_Channels_15_Channels)) - (portRef A (instanceRef un2_channel_hit_time_29_bm_6)) - (portRef D (instanceRef channel_data_reg_15_6)) - )) - (net channel_data_reg_15_6 (joined - (portRef Q (instanceRef channel_data_reg_15_6)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_6)) - )) (net channel_data_i_15_7 (joined (portRef (member channel_data_i_15 24) (instanceRef GEN_Channels_15_Channels)) (portRef A (instanceRef un2_channel_hit_time_29_bm_7)) @@ -925410,14 +926954,6 @@ (portRef Q (instanceRef channel_data_reg_15_16)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) )) - (net channel_data_i_15_17 (joined - (portRef (member channel_data_i_15 14) (instanceRef GEN_Channels_15_Channels)) - (portRef D (instanceRef channel_data_reg_15_17)) - )) - (net channel_data_reg_15_17 (joined - (portRef Q (instanceRef channel_data_reg_15_17)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) - )) (net channel_data_i_15_18 (joined (portRef (member channel_data_i_15 13) (instanceRef GEN_Channels_15_Channels)) (portRef D (instanceRef channel_data_reg_15_18)) @@ -925669,6 +927205,14 @@ (portRef Q (instanceRef channel_data_reg_14_15)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_15)) )) + (net channel_data_i_14_16 (joined + (portRef (member channel_data_i_14 15) (instanceRef GEN_Channels_14_Channels)) + (portRef D (instanceRef channel_data_reg_14_16)) + )) + (net channel_data_reg_14_16 (joined + (portRef Q (instanceRef channel_data_reg_14_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_16)) + )) (net channel_data_i_14_17 (joined (portRef (member channel_data_i_14 14) (instanceRef GEN_Channels_14_Channels)) (portRef D (instanceRef channel_data_reg_14_17)) @@ -925693,6 +927237,14 @@ (portRef Q (instanceRef channel_data_reg_14_19)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_19)) )) + (net channel_data_i_14_20 (joined + (portRef (member channel_data_i_14 11) (instanceRef GEN_Channels_14_Channels)) + (portRef D (instanceRef channel_data_reg_14_20)) + )) + (net channel_data_reg_14_20 (joined + (portRef Q (instanceRef channel_data_reg_14_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_20)) + )) (net channel_data_i_14_21 (joined (portRef (member channel_data_i_14 10) (instanceRef GEN_Channels_14_Channels)) (portRef D (instanceRef channel_data_reg_14_21)) @@ -925701,40 +927253,21 @@ (portRef Q (instanceRef channel_data_reg_14_21)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_21)) )) - (net channel_data_i_13_0 (joined - (portRef (member channel_data_i_13 31) (instanceRef GEN_Channels_13_Channels)) - (portRef A (instanceRef un2_channel_hit_time_22_bm_0)) - (portRef D (instanceRef channel_data_reg_13_0)) - )) - (net channel_data_reg_13_0 (joined - (portRef Q (instanceRef channel_data_reg_13_0)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_0)) - )) - (net channel_data_i_13_1 (joined - (portRef (member channel_data_i_13 30) (instanceRef GEN_Channels_13_Channels)) - (portRef A (instanceRef un2_channel_hit_time_22_bm_1)) - (portRef D (instanceRef channel_data_reg_13_1)) - )) - (net channel_data_reg_13_1 (joined - (portRef Q (instanceRef channel_data_reg_13_1)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_1)) - )) - (net channel_data_i_1_7 (joined - (portRef (member channel_data_i_1 24) (instanceRef GEN_Channels_1_Channels)) - (portRef A (instanceRef un2_channel_hit_time_19_am_7)) - (portRef D (instanceRef channel_data_reg_1_7)) + (net channel_data_i_14_22 (joined + (portRef (member channel_data_i_14 9) (instanceRef GEN_Channels_14_Channels)) + (portRef D (instanceRef channel_data_reg_14_22)) )) - (net channel_data_reg_1_7 (joined - (portRef Q (instanceRef channel_data_reg_1_7)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_7)) + (net channel_data_reg_14_22 (joined + (portRef Q (instanceRef channel_data_reg_14_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_22)) )) - (net channel_data_i_14_20 (joined - (portRef (member channel_data_i_14 11) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef channel_data_reg_14_20)) + (net channel_data_i_14_23 (joined + (portRef (member channel_data_i_14 8) (instanceRef GEN_Channels_14_Channels)) + (portRef D (instanceRef channel_data_reg_14_23)) )) - (net channel_data_reg_14_20 (joined - (portRef Q (instanceRef channel_data_reg_14_20)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_20)) + (net channel_data_reg_14_23 (joined + (portRef Q (instanceRef channel_data_reg_14_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_23)) )) (net channel_data_i_14_24 (joined (portRef (member channel_data_i_14 7) (instanceRef GEN_Channels_14_Channels)) @@ -925760,56 +927293,6 @@ (portRef Q (instanceRef channel_data_reg_14_26)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_26)) )) - (net channel_data_i_14_29 (joined - (portRef (member channel_data_i_14 2) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef channel_data_reg_14_29)) - )) - (net channel_data_reg_14_29 (joined - (portRef Q (instanceRef channel_data_reg_14_29)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_29)) - )) - (net channel_data_i_13_2 (joined - (portRef (member channel_data_i_13 29) (instanceRef GEN_Channels_13_Channels)) - (portRef A (instanceRef un2_channel_hit_time_22_bm_2)) - (portRef D (instanceRef channel_data_reg_13_2)) - )) - (net channel_data_reg_13_2 (joined - (portRef Q (instanceRef channel_data_reg_13_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_2)) - )) - (net channel_data_i_1_9 (joined - (portRef (member channel_data_i_1 22) (instanceRef GEN_Channels_1_Channels)) - (portRef A (instanceRef un2_channel_hit_time_19_am_9)) - (portRef D (instanceRef channel_data_reg_1_9)) - )) - (net channel_data_reg_1_9 (joined - (portRef Q (instanceRef channel_data_reg_1_9)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_9)) - )) - (net channel_data_i_14_16 (joined - (portRef (member channel_data_i_14 15) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef channel_data_reg_14_16)) - )) - (net channel_data_reg_14_16 (joined - (portRef Q (instanceRef channel_data_reg_14_16)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_16)) - )) - (net channel_data_i_14_22 (joined - (portRef (member channel_data_i_14 9) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef channel_data_reg_14_22)) - )) - (net channel_data_reg_14_22 (joined - (portRef Q (instanceRef channel_data_reg_14_22)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_22)) - )) - (net channel_data_i_14_23 (joined - (portRef (member channel_data_i_14 8) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef channel_data_reg_14_23)) - )) - (net channel_data_reg_14_23 (joined - (portRef Q (instanceRef channel_data_reg_14_23)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_23)) - )) (net channel_data_i_14_27 (joined (portRef (member channel_data_i_14 4) (instanceRef GEN_Channels_14_Channels)) (portRef D (instanceRef channel_data_reg_14_27)) @@ -925826,6 +927309,14 @@ (portRef Q (instanceRef channel_data_reg_14_28)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_28)) )) + (net channel_data_i_14_29 (joined + (portRef (member channel_data_i_14 2) (instanceRef GEN_Channels_14_Channels)) + (portRef D (instanceRef channel_data_reg_14_29)) + )) + (net channel_data_reg_14_29 (joined + (portRef Q (instanceRef channel_data_reg_14_29)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_29)) + )) (net channel_data_i_14_30 (joined (portRef (member channel_data_i_14 1) (instanceRef GEN_Channels_14_Channels)) (portRef D (instanceRef channel_data_reg_14_30)) @@ -925842,6 +927333,33 @@ (portRef Q (instanceRef channel_data_reg_14_31)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_31)) )) + (net channel_data_i_13_0 (joined + (portRef (member channel_data_i_13 31) (instanceRef GEN_Channels_13_Channels)) + (portRef A (instanceRef un2_channel_hit_time_22_bm_0)) + (portRef D (instanceRef channel_data_reg_13_0)) + )) + (net channel_data_reg_13_0 (joined + (portRef Q (instanceRef channel_data_reg_13_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_0)) + )) + (net channel_data_i_13_1 (joined + (portRef (member channel_data_i_13 30) (instanceRef GEN_Channels_13_Channels)) + (portRef A (instanceRef un2_channel_hit_time_22_bm_1)) + (portRef D (instanceRef channel_data_reg_13_1)) + )) + (net channel_data_reg_13_1 (joined + (portRef Q (instanceRef channel_data_reg_13_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_1)) + )) + (net channel_data_i_13_2 (joined + (portRef (member channel_data_i_13 29) (instanceRef GEN_Channels_13_Channels)) + (portRef A (instanceRef un2_channel_hit_time_22_bm_2)) + (portRef D (instanceRef channel_data_reg_13_2)) + )) + (net channel_data_reg_13_2 (joined + (portRef Q (instanceRef channel_data_reg_13_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_2)) + )) (net channel_data_i_13_3 (joined (portRef (member channel_data_i_13 28) (instanceRef GEN_Channels_13_Channels)) (portRef A (instanceRef un2_channel_hit_time_22_bm_3)) @@ -925930,48 +927448,6 @@ (portRef Q (instanceRef channel_data_reg_13_12)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_12)) )) - (net channel_data_i_13_16 (joined - (portRef (member channel_data_i_13 15) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_16)) - )) - (net channel_data_reg_13_16 (joined - (portRef Q (instanceRef channel_data_reg_13_16)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) - )) - (net channel_data_i_13_18 (joined - (portRef (member channel_data_i_13 13) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_18)) - )) - (net channel_data_reg_13_18 (joined - (portRef Q (instanceRef channel_data_reg_13_18)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) - )) - (net channel_data_i_12_8 (joined - (portRef (member channel_data_i_12 23) (instanceRef GEN_Channels_12_Channels)) - (portRef A (instanceRef un2_channel_hit_time_7_bm_8)) - (portRef D (instanceRef channel_data_reg_12_8)) - )) - (net channel_data_reg_12_8 (joined - (portRef Q (instanceRef channel_data_reg_12_8)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_8)) - )) - (net channel_data_i_1_10 (joined - (portRef (member channel_data_i_1 21) (instanceRef GEN_Channels_1_Channels)) - (portRef A (instanceRef un2_channel_hit_time_19_am_10)) - (portRef D (instanceRef channel_data_reg_1_10)) - )) - (net channel_data_reg_1_10 (joined - (portRef Q (instanceRef channel_data_reg_1_10)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_10)) - )) - (net channel_data_i_1_11 (joined - (portRef (member channel_data_i_1 20) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_11)) - )) - (net channel_data_reg_1_11 (joined - (portRef Q (instanceRef channel_data_reg_1_11)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_11)) - )) (net channel_data_i_13_13 (joined (portRef (member channel_data_i_13 18) (instanceRef GEN_Channels_13_Channels)) (portRef D (instanceRef channel_data_reg_13_13)) @@ -925996,6 +927472,14 @@ (portRef Q (instanceRef channel_data_reg_13_15)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_15)) )) + (net channel_data_i_13_16 (joined + (portRef (member channel_data_i_13 15) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_16)) + )) + (net channel_data_reg_13_16 (joined + (portRef Q (instanceRef channel_data_reg_13_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) + )) (net channel_data_i_13_17 (joined (portRef (member channel_data_i_13 14) (instanceRef GEN_Channels_13_Channels)) (portRef D (instanceRef channel_data_reg_13_17)) @@ -926004,6 +927488,14 @@ (portRef Q (instanceRef channel_data_reg_13_17)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) )) + (net channel_data_i_13_18 (joined + (portRef (member channel_data_i_13 13) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_18)) + )) + (net channel_data_reg_13_18 (joined + (portRef Q (instanceRef channel_data_reg_13_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) + )) (net channel_data_i_13_19 (joined (portRef (member channel_data_i_13 12) (instanceRef GEN_Channels_13_Channels)) (portRef D (instanceRef channel_data_reg_13_19)) @@ -926036,6 +927528,22 @@ (portRef Q (instanceRef channel_data_reg_13_22)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) )) + (net channel_data_i_13_23 (joined + (portRef (member channel_data_i_13 8) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_23)) + )) + (net channel_data_reg_13_23 (joined + (portRef Q (instanceRef channel_data_reg_13_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_23)) + )) + (net channel_data_i_13_24 (joined + (portRef (member channel_data_i_13 7) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_24)) + )) + (net channel_data_reg_13_24 (joined + (portRef Q (instanceRef channel_data_reg_13_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) + )) (net channel_data_i_13_25 (joined (portRef (member channel_data_i_13 6) (instanceRef GEN_Channels_13_Channels)) (portRef D (instanceRef channel_data_reg_13_25)) @@ -926044,13 +927552,13 @@ (portRef Q (instanceRef channel_data_reg_13_25)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_25)) )) - (net channel_data_i_13_28 (joined - (portRef (member channel_data_i_13 3) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_28)) + (net channel_data_i_13_26 (joined + (portRef (member channel_data_i_13 5) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_26)) )) - (net channel_data_reg_13_28 (joined - (portRef Q (instanceRef channel_data_reg_13_28)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_28)) + (net channel_data_reg_13_26 (joined + (portRef Q (instanceRef channel_data_reg_13_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) )) (net channel_data_i_13_30 (joined (portRef (member channel_data_i_13 1) (instanceRef GEN_Channels_13_Channels)) @@ -926060,6 +927568,14 @@ (portRef Q (instanceRef channel_data_reg_13_30)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) )) + (net channel_data_i_13_31 (joined + (portRef (member channel_data_i_13 0) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_31)) + )) + (net channel_data_reg_13_31 (joined + (portRef Q (instanceRef channel_data_reg_13_31)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) + )) (net channel_data_i_12_0 (joined (portRef (member channel_data_i_12 31) (instanceRef GEN_Channels_12_Channels)) (portRef A (instanceRef un2_channel_hit_time_7_bm_0)) @@ -926078,6 +927594,15 @@ (portRef Q (instanceRef channel_data_reg_12_1)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_1)) )) + (net channel_data_i_12_2 (joined + (portRef (member channel_data_i_12 29) (instanceRef GEN_Channels_12_Channels)) + (portRef A (instanceRef un2_channel_hit_time_7_bm_2)) + (portRef D (instanceRef channel_data_reg_12_2)) + )) + (net channel_data_reg_12_2 (joined + (portRef Q (instanceRef channel_data_reg_12_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_2)) + )) (net channel_data_i_12_3 (joined (portRef (member channel_data_i_12 28) (instanceRef GEN_Channels_12_Channels)) (portRef A (instanceRef un2_channel_hit_time_7_bm_3)) @@ -926123,6 +927648,15 @@ (portRef Q (instanceRef channel_data_reg_12_7)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_7)) )) + (net channel_data_i_12_8 (joined + (portRef (member channel_data_i_12 23) (instanceRef GEN_Channels_12_Channels)) + (portRef A (instanceRef un2_channel_hit_time_7_bm_8)) + (portRef D (instanceRef channel_data_reg_12_8)) + )) + (net channel_data_reg_12_8 (joined + (portRef Q (instanceRef channel_data_reg_12_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_8)) + )) (net channel_data_i_12_9 (joined (portRef (member channel_data_i_12 22) (instanceRef GEN_Channels_12_Channels)) (portRef A (instanceRef un2_channel_hit_time_7_bm_9)) @@ -926149,6 +927683,30 @@ (portRef Q (instanceRef channel_data_reg_12_11)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_11)) )) + (net channel_data_i_1_13 (joined + (portRef (member channel_data_i_1 18) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_13)) + )) + (net channel_data_reg_1_13 (joined + (portRef Q (instanceRef channel_data_reg_1_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_13)) + )) + (net channel_data_i_13_27 (joined + (portRef (member channel_data_i_13 4) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_27)) + )) + (net channel_data_reg_13_27 (joined + (portRef Q (instanceRef channel_data_reg_13_27)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_27)) + )) + (net channel_data_i_13_29 (joined + (portRef (member channel_data_i_13 2) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_29)) + )) + (net channel_data_reg_13_29 (joined + (portRef Q (instanceRef channel_data_reg_13_29)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) + )) (net channel_data_i_12_12 (joined (portRef (member channel_data_i_12 19) (instanceRef GEN_Channels_12_Channels)) (portRef D (instanceRef channel_data_reg_12_12)) @@ -926173,21 +927731,13 @@ (portRef Q (instanceRef channel_data_reg_12_14)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) )) - (net channel_data_i_1_15 (joined - (portRef (member channel_data_i_1 16) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_15)) - )) - (net channel_data_reg_1_15 (joined - (portRef Q (instanceRef channel_data_reg_1_15)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_15)) - )) - (net channel_data_i_13_23 (joined - (portRef (member channel_data_i_13 8) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_23)) + (net channel_data_i_12_15 (joined + (portRef (member channel_data_i_12 16) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_15)) )) - (net channel_data_reg_13_23 (joined - (portRef Q (instanceRef channel_data_reg_13_23)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_23)) + (net channel_data_reg_12_15 (joined + (portRef Q (instanceRef channel_data_reg_12_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) )) (net channel_data_i_12_16 (joined (portRef (member channel_data_i_12 15) (instanceRef GEN_Channels_12_Channels)) @@ -926197,14 +927747,6 @@ (portRef Q (instanceRef channel_data_reg_12_16)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) )) - (net channel_data_i_12_18 (joined - (portRef (member channel_data_i_12 13) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_18)) - )) - (net channel_data_reg_12_18 (joined - (portRef Q (instanceRef channel_data_reg_12_18)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_18)) - )) (net channel_data_i_12_19 (joined (portRef (member channel_data_i_12 12) (instanceRef GEN_Channels_12_Channels)) (portRef D (instanceRef channel_data_reg_12_19)) @@ -926213,72 +927755,128 @@ (portRef Q (instanceRef channel_data_reg_12_19)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_19)) )) - (net channel_data_i_12_24 (joined - (portRef (member channel_data_i_12 7) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_24)) + (net channel_data_i_12_20 (joined + (portRef (member channel_data_i_12 11) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_20)) )) - (net channel_data_reg_12_24 (joined - (portRef Q (instanceRef channel_data_reg_12_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) + (net channel_data_reg_12_20 (joined + (portRef Q (instanceRef channel_data_reg_12_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) )) - (net channel_data_i_11_2 (joined - (portRef (member channel_data_i_11 29) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_2)) - (portRef D (instanceRef channel_data_reg_11_2)) + (net channel_data_i_12_21 (joined + (portRef (member channel_data_i_12 10) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_21)) )) - (net channel_data_reg_11_2 (joined - (portRef Q (instanceRef channel_data_reg_11_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_2)) + (net channel_data_reg_12_21 (joined + (portRef Q (instanceRef channel_data_reg_12_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) )) - (net channel_data_i_11_3 (joined - (portRef (member channel_data_i_11 28) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_3)) - (portRef D (instanceRef channel_data_reg_11_3)) + (net channel_data_i_12_28 (joined + (portRef (member channel_data_i_12 3) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_28)) )) - (net channel_data_reg_11_3 (joined - (portRef Q (instanceRef channel_data_reg_11_3)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_3)) + (net channel_data_reg_12_28 (joined + (portRef Q (instanceRef channel_data_reg_12_28)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) )) - (net channel_data_i_1_17 (joined - (portRef (member channel_data_i_1 14) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_17)) + (net channel_data_i_12_30 (joined + (portRef (member channel_data_i_12 1) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_30)) )) - (net channel_data_reg_1_17 (joined - (portRef Q (instanceRef channel_data_reg_1_17)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_17)) + (net channel_data_reg_12_30 (joined + (portRef Q (instanceRef channel_data_reg_12_30)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) )) - (net channel_data_i_13_24 (joined - (portRef (member channel_data_i_13 7) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_24)) + (net channel_data_i_11_0 (joined + (portRef (member channel_data_i_11 31) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_0)) + (portRef D (instanceRef channel_data_reg_11_0)) )) - (net channel_data_reg_13_24 (joined - (portRef Q (instanceRef channel_data_reg_13_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) + (net channel_data_reg_11_0 (joined + (portRef Q (instanceRef channel_data_reg_11_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) )) - (net channel_data_i_13_31 (joined - (portRef (member channel_data_i_13 0) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_31)) + (net channel_data_i_11_11 (joined + (portRef (member channel_data_i_11 20) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_11)) )) - (net channel_data_reg_13_31 (joined - (portRef Q (instanceRef channel_data_reg_13_31)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) + (net channel_data_reg_11_11 (joined + (portRef Q (instanceRef channel_data_reg_11_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_11)) )) - (net channel_data_i_12_2 (joined - (portRef (member channel_data_i_12 29) (instanceRef GEN_Channels_12_Channels)) - (portRef A (instanceRef un2_channel_hit_time_7_bm_2)) - (portRef D (instanceRef channel_data_reg_12_2)) + (net channel_data_i_10_1 (joined + (portRef (member channel_data_i_10 30) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_1)) + (portRef D (instanceRef channel_data_reg_10_1)) )) - (net channel_data_reg_12_2 (joined - (portRef Q (instanceRef channel_data_reg_12_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_2)) + (net channel_data_reg_10_1 (joined + (portRef Q (instanceRef channel_data_reg_10_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) )) - (net channel_data_i_12_17 (joined - (portRef (member channel_data_i_12 14) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_17)) + (net channel_data_i_10_2 (joined + (portRef (member channel_data_i_10 29) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_2)) + (portRef D (instanceRef channel_data_reg_10_2)) )) - (net channel_data_reg_12_17 (joined - (portRef Q (instanceRef channel_data_reg_12_17)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_17)) + (net channel_data_reg_10_2 (joined + (portRef Q (instanceRef channel_data_reg_10_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) + )) + (net channel_data_i_1_14 (joined + (portRef (member channel_data_i_1 17) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_14)) + )) + (net channel_data_reg_1_14 (joined + (portRef Q (instanceRef channel_data_reg_1_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_14)) + )) + (net channel_data_i_12_18 (joined + (portRef (member channel_data_i_12 13) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_18)) + )) + (net channel_data_reg_12_18 (joined + (portRef Q (instanceRef channel_data_reg_12_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_18)) + )) + (net channel_data_i_11_12 (joined + (portRef (member channel_data_i_11 19) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_12)) + )) + (net channel_data_reg_11_12 (joined + (portRef Q (instanceRef channel_data_reg_11_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_12)) + )) + (net channel_data_i_1_20 (joined + (portRef (member channel_data_i_1 11) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_20)) + )) + (net channel_data_reg_1_20 (joined + (portRef Q (instanceRef channel_data_reg_1_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_20)) + )) + (net channel_data_i_1_23 (joined + (portRef (member channel_data_i_1 8) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_23)) + )) + (net channel_data_reg_1_23 (joined + (portRef Q (instanceRef channel_data_reg_1_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_23)) + )) + (net channel_data_i_1_24 (joined + (portRef (member channel_data_i_1 7) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_24)) + )) + (net channel_data_reg_1_24 (joined + (portRef Q (instanceRef channel_data_reg_1_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_24)) + )) + (net channel_data_i_13_28 (joined + (portRef (member channel_data_i_13 3) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_28)) + )) + (net channel_data_reg_13_28 (joined + (portRef Q (instanceRef channel_data_reg_13_28)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_28)) )) (net channel_data_i_12_22 (joined (portRef (member channel_data_i_12 9) (instanceRef GEN_Channels_12_Channels)) @@ -926296,31 +927894,13 @@ (portRef Q (instanceRef channel_data_reg_12_23)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_23)) )) - (net channel_data_i_11_4 (joined - (portRef (member channel_data_i_11 27) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_4)) - (portRef D (instanceRef channel_data_reg_11_4)) - )) - (net channel_data_reg_11_4 (joined - (portRef Q (instanceRef channel_data_reg_11_4)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_4)) - )) - (net channel_data_i_11_10 (joined - (portRef (member channel_data_i_11 21) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_10)) - (portRef D (instanceRef channel_data_reg_11_10)) - )) - (net channel_data_reg_11_10 (joined - (portRef Q (instanceRef channel_data_reg_11_10)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_10)) - )) - (net channel_data_i_1_12 (joined - (portRef (member channel_data_i_1 19) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_12)) + (net channel_data_i_12_24 (joined + (portRef (member channel_data_i_12 7) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_24)) )) - (net channel_data_reg_1_12 (joined - (portRef Q (instanceRef channel_data_reg_1_12)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_12)) + (net channel_data_reg_12_24 (joined + (portRef Q (instanceRef channel_data_reg_12_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) )) (net channel_data_i_12_25 (joined (portRef (member channel_data_i_12 6) (instanceRef GEN_Channels_12_Channels)) @@ -926346,71 +927926,6 @@ (portRef Q (instanceRef channel_data_reg_12_27)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_27)) )) - (net channel_data_i_11_6 (joined - (portRef (member channel_data_i_11 25) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_6)) - (portRef D (instanceRef channel_data_reg_11_6)) - )) - (net channel_data_reg_11_6 (joined - (portRef Q (instanceRef channel_data_reg_11_6)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_6)) - )) - (net channel_data_i_1_16 (joined - (portRef (member channel_data_i_1 15) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_16)) - )) - (net channel_data_reg_1_16 (joined - (portRef Q (instanceRef channel_data_reg_1_16)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_16)) - )) - (net channel_data_i_13_26 (joined - (portRef (member channel_data_i_13 5) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_26)) - )) - (net channel_data_reg_13_26 (joined - (portRef Q (instanceRef channel_data_reg_13_26)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) - )) - (net channel_data_i_13_27 (joined - (portRef (member channel_data_i_13 4) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_27)) - )) - (net channel_data_reg_13_27 (joined - (portRef Q (instanceRef channel_data_reg_13_27)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_27)) - )) - (net channel_data_i_12_15 (joined - (portRef (member channel_data_i_12 16) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_15)) - )) - (net channel_data_reg_12_15 (joined - (portRef Q (instanceRef channel_data_reg_12_15)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) - )) - (net channel_data_i_12_20 (joined - (portRef (member channel_data_i_12 11) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_20)) - )) - (net channel_data_reg_12_20 (joined - (portRef Q (instanceRef channel_data_reg_12_20)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) - )) - (net channel_data_i_12_28 (joined - (portRef (member channel_data_i_12 3) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_28)) - )) - (net channel_data_reg_12_28 (joined - (portRef Q (instanceRef channel_data_reg_12_28)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) - )) - (net channel_data_i_12_30 (joined - (portRef (member channel_data_i_12 1) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_30)) - )) - (net channel_data_reg_12_30 (joined - (portRef Q (instanceRef channel_data_reg_12_30)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) - )) (net channel_data_i_12_31 (joined (portRef (member channel_data_i_12 0) (instanceRef GEN_Channels_12_Channels)) (portRef D (instanceRef channel_data_reg_12_31)) @@ -926419,6 +927934,33 @@ (portRef Q (instanceRef channel_data_reg_12_31)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) )) + (net channel_data_i_11_1 (joined + (portRef (member channel_data_i_11 30) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_1)) + (portRef D (instanceRef channel_data_reg_11_1)) + )) + (net channel_data_reg_11_1 (joined + (portRef Q (instanceRef channel_data_reg_11_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_1)) + )) + (net channel_data_i_11_3 (joined + (portRef (member channel_data_i_11 28) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_3)) + (portRef D (instanceRef channel_data_reg_11_3)) + )) + (net channel_data_reg_11_3 (joined + (portRef Q (instanceRef channel_data_reg_11_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_3)) + )) + (net channel_data_i_11_4 (joined + (portRef (member channel_data_i_11 27) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_4)) + (portRef D (instanceRef channel_data_reg_11_4)) + )) + (net channel_data_reg_11_4 (joined + (portRef Q (instanceRef channel_data_reg_11_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_4)) + )) (net channel_data_i_11_5 (joined (portRef (member channel_data_i_11 26) (instanceRef GEN_Channels_11_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_bm_5)) @@ -926428,6 +927970,33 @@ (portRef Q (instanceRef channel_data_reg_11_5)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_5)) )) + (net channel_data_i_11_6 (joined + (portRef (member channel_data_i_11 25) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_6)) + (portRef D (instanceRef channel_data_reg_11_6)) + )) + (net channel_data_reg_11_6 (joined + (portRef Q (instanceRef channel_data_reg_11_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_6)) + )) + (net channel_data_i_11_7 (joined + (portRef (member channel_data_i_11 24) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_7)) + (portRef D (instanceRef channel_data_reg_11_7)) + )) + (net channel_data_reg_11_7 (joined + (portRef Q (instanceRef channel_data_reg_11_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_7)) + )) + (net channel_data_i_11_8 (joined + (portRef (member channel_data_i_11 23) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_8)) + (portRef D (instanceRef channel_data_reg_11_8)) + )) + (net channel_data_reg_11_8 (joined + (portRef Q (instanceRef channel_data_reg_11_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_8)) + )) (net channel_data_i_11_9 (joined (portRef (member channel_data_i_11 22) (instanceRef GEN_Channels_11_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_bm_9)) @@ -926437,62 +928006,6 @@ (portRef Q (instanceRef channel_data_reg_11_9)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_9)) )) - (net channel_data_i_11_14 (joined - (portRef (member channel_data_i_11 17) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_14)) - )) - (net channel_data_reg_11_14 (joined - (portRef Q (instanceRef channel_data_reg_11_14)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_14)) - )) - (net channel_data_i_11_17 (joined - (portRef (member channel_data_i_11 14) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_17)) - )) - (net channel_data_reg_11_17 (joined - (portRef Q (instanceRef channel_data_reg_11_17)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_17)) - )) - (net channel_data_i_1_18 (joined - (portRef (member channel_data_i_1 13) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_18)) - )) - (net channel_data_reg_1_18 (joined - (portRef Q (instanceRef channel_data_reg_1_18)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_18)) - )) - (net channel_data_i_12_21 (joined - (portRef (member channel_data_i_12 10) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_21)) - )) - (net channel_data_reg_12_21 (joined - (portRef Q (instanceRef channel_data_reg_12_21)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) - )) - (net channel_data_i_12_29 (joined - (portRef (member channel_data_i_12 2) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_29)) - )) - (net channel_data_reg_12_29 (joined - (portRef Q (instanceRef channel_data_reg_12_29)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) - )) - (net channel_data_i_11_11 (joined - (portRef (member channel_data_i_11 20) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_11)) - )) - (net channel_data_reg_11_11 (joined - (portRef Q (instanceRef channel_data_reg_11_11)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_11)) - )) - (net channel_data_i_11_12 (joined - (portRef (member channel_data_i_11 19) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_12)) - )) - (net channel_data_reg_11_12 (joined - (portRef Q (instanceRef channel_data_reg_11_12)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_12)) - )) (net channel_data_i_11_13 (joined (portRef (member channel_data_i_11 18) (instanceRef GEN_Channels_11_Channels)) (portRef D (instanceRef channel_data_reg_11_13)) @@ -926501,114 +928014,104 @@ (portRef Q (instanceRef channel_data_reg_11_13)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_13)) )) - (net channel_data_i_11_19 (joined - (portRef (member channel_data_i_11 12) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_19)) - )) - (net channel_data_reg_11_19 (joined - (portRef Q (instanceRef channel_data_reg_11_19)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_19)) - )) - (net channel_data_i_11_23 (joined - (portRef (member channel_data_i_11 8) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_23)) + (net channel_data_i_11_15 (joined + (portRef (member channel_data_i_11 16) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_15)) )) - (net channel_data_reg_11_23 (joined - (portRef Q (instanceRef channel_data_reg_11_23)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_23)) + (net channel_data_reg_11_15 (joined + (portRef Q (instanceRef channel_data_reg_11_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_15)) )) - (net channel_data_i_10_7 (joined - (portRef (member channel_data_i_10 24) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_7)) - (portRef D (instanceRef channel_data_reg_10_7)) + (net channel_data_i_11_16 (joined + (portRef (member channel_data_i_11 15) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_16)) )) - (net channel_data_reg_10_7 (joined - (portRef Q (instanceRef channel_data_reg_10_7)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_7)) + (net channel_data_reg_11_16 (joined + (portRef Q (instanceRef channel_data_reg_11_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_16)) )) - (net channel_data_i_10_11 (joined - (portRef (member channel_data_i_10 20) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_11)) + (net channel_data_i_10_3 (joined + (portRef (member channel_data_i_10 28) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_3)) + (portRef D (instanceRef channel_data_reg_10_3)) )) - (net channel_data_reg_10_11 (joined - (portRef Q (instanceRef channel_data_reg_10_11)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_11)) + (net channel_data_reg_10_3 (joined + (portRef Q (instanceRef channel_data_reg_10_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_3)) )) - (net channel_data_i_10_12 (joined - (portRef (member channel_data_i_10 19) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_12)) + (net channel_data_i_1_16 (joined + (portRef (member channel_data_i_1 15) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_16)) )) - (net channel_data_reg_10_12 (joined - (portRef Q (instanceRef channel_data_reg_10_12)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_12)) + (net channel_data_reg_1_16 (joined + (portRef Q (instanceRef channel_data_reg_1_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_16)) )) - (net channel_data_i_1_22 (joined - (portRef (member channel_data_i_1 9) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_22)) + (net channel_data_i_1_17 (joined + (portRef (member channel_data_i_1 14) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_17)) )) - (net channel_data_reg_1_22 (joined - (portRef Q (instanceRef channel_data_reg_1_22)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_22)) + (net channel_data_reg_1_17 (joined + (portRef Q (instanceRef channel_data_reg_1_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_17)) )) - (net channel_data_i_13_29 (joined - (portRef (member channel_data_i_13 2) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_29)) + (net channel_data_i_12_17 (joined + (portRef (member channel_data_i_12 14) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_17)) )) - (net channel_data_reg_13_29 (joined - (portRef Q (instanceRef channel_data_reg_13_29)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) + (net channel_data_reg_12_17 (joined + (portRef Q (instanceRef channel_data_reg_12_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_17)) )) - (net channel_data_i_11_0 (joined - (portRef (member channel_data_i_11 31) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_0)) - (portRef D (instanceRef channel_data_reg_11_0)) + (net channel_data_i_12_29 (joined + (portRef (member channel_data_i_12 2) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_29)) )) - (net channel_data_reg_11_0 (joined - (portRef Q (instanceRef channel_data_reg_11_0)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) + (net channel_data_reg_12_29 (joined + (portRef Q (instanceRef channel_data_reg_12_29)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) )) - (net channel_data_i_11_1 (joined - (portRef (member channel_data_i_11 30) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_1)) - (portRef D (instanceRef channel_data_reg_11_1)) + (net channel_data_i_11_2 (joined + (portRef (member channel_data_i_11 29) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_2)) + (portRef D (instanceRef channel_data_reg_11_2)) )) - (net channel_data_reg_11_1 (joined - (portRef Q (instanceRef channel_data_reg_11_1)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_1)) + (net channel_data_reg_11_2 (joined + (portRef Q (instanceRef channel_data_reg_11_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_2)) )) - (net channel_data_i_11_7 (joined - (portRef (member channel_data_i_11 24) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_7)) - (portRef D (instanceRef channel_data_reg_11_7)) + (net channel_data_i_11_10 (joined + (portRef (member channel_data_i_11 21) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_10)) + (portRef D (instanceRef channel_data_reg_11_10)) )) - (net channel_data_reg_11_7 (joined - (portRef Q (instanceRef channel_data_reg_11_7)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_7)) + (net channel_data_reg_11_10 (joined + (portRef Q (instanceRef channel_data_reg_11_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_10)) )) - (net channel_data_i_11_8 (joined - (portRef (member channel_data_i_11 23) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_8)) - (portRef D (instanceRef channel_data_reg_11_8)) + (net channel_data_i_11_14 (joined + (portRef (member channel_data_i_11 17) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_14)) )) - (net channel_data_reg_11_8 (joined - (portRef Q (instanceRef channel_data_reg_11_8)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_8)) + (net channel_data_reg_11_14 (joined + (portRef Q (instanceRef channel_data_reg_11_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_14)) )) - (net channel_data_i_11_15 (joined - (portRef (member channel_data_i_11 16) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_15)) + (net channel_data_i_1_15 (joined + (portRef (member channel_data_i_1 16) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_15)) )) - (net channel_data_reg_11_15 (joined - (portRef Q (instanceRef channel_data_reg_11_15)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_15)) + (net channel_data_reg_1_15 (joined + (portRef Q (instanceRef channel_data_reg_1_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_15)) )) - (net channel_data_i_11_16 (joined - (portRef (member channel_data_i_11 15) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_16)) + (net channel_data_i_11_17 (joined + (portRef (member channel_data_i_11 14) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_17)) )) - (net channel_data_reg_11_16 (joined - (portRef Q (instanceRef channel_data_reg_11_16)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_16)) + (net channel_data_reg_11_17 (joined + (portRef Q (instanceRef channel_data_reg_11_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_17)) )) (net channel_data_i_11_18 (joined (portRef (member channel_data_i_11 13) (instanceRef GEN_Channels_11_Channels)) @@ -926618,56 +928121,13 @@ (portRef Q (instanceRef channel_data_reg_11_18)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_18)) )) - (net channel_data_i_11_26 (joined - (portRef (member channel_data_i_11 5) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_26)) - )) - (net channel_data_reg_11_26 (joined - (portRef Q (instanceRef channel_data_reg_11_26)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_26)) - )) - (net channel_data_i_11_30 (joined - (portRef (member channel_data_i_11 1) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_30)) - )) - (net channel_data_reg_11_30 (joined - (portRef Q (instanceRef channel_data_reg_11_30)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) - )) - (net channel_data_i_10_1 (joined - (portRef (member channel_data_i_10 30) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_1)) - (portRef D (instanceRef channel_data_reg_10_1)) - )) - (net channel_data_reg_10_1 (joined - (portRef Q (instanceRef channel_data_reg_10_1)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) - )) - (net channel_data_i_10_5 (joined - (portRef (member channel_data_i_10 26) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_5)) - (portRef D (instanceRef channel_data_reg_10_5)) - )) - (net channel_data_reg_10_5 (joined - (portRef Q (instanceRef channel_data_reg_10_5)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_5)) - )) - (net channel_data_i_10_10 (joined - (portRef (member channel_data_i_10 21) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_10)) - (portRef D (instanceRef channel_data_reg_10_10)) - )) - (net channel_data_reg_10_10 (joined - (portRef Q (instanceRef channel_data_reg_10_10)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_10)) - )) - (net channel_data_i_1_13 (joined - (portRef (member channel_data_i_1 18) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_13)) + (net channel_data_i_11_19 (joined + (portRef (member channel_data_i_11 12) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_19)) )) - (net channel_data_reg_1_13 (joined - (portRef Q (instanceRef channel_data_reg_1_13)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_13)) + (net channel_data_reg_11_19 (joined + (portRef Q (instanceRef channel_data_reg_11_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_19)) )) (net channel_data_i_1_19 (joined (portRef (member channel_data_i_1 12) (instanceRef GEN_Channels_1_Channels)) @@ -926701,6 +928161,22 @@ (portRef Q (instanceRef channel_data_reg_11_22)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_22)) )) + (net channel_data_i_11_23 (joined + (portRef (member channel_data_i_11 8) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_23)) + )) + (net channel_data_reg_11_23 (joined + (portRef Q (instanceRef channel_data_reg_11_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_23)) + )) + (net channel_data_i_11_24 (joined + (portRef (member channel_data_i_11 7) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_24)) + )) + (net channel_data_reg_11_24 (joined + (portRef Q (instanceRef channel_data_reg_11_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_24)) + )) (net channel_data_i_11_25 (joined (portRef (member channel_data_i_11 6) (instanceRef GEN_Channels_11_Channels)) (portRef D (instanceRef channel_data_reg_11_25)) @@ -926709,13 +928185,21 @@ (portRef Q (instanceRef channel_data_reg_11_25)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_25)) )) - (net channel_data_i_11_27 (joined - (portRef (member channel_data_i_11 4) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_27)) + (net channel_data_i_11_26 (joined + (portRef (member channel_data_i_11 5) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_26)) )) - (net channel_data_reg_11_27 (joined - (portRef Q (instanceRef channel_data_reg_11_27)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_27)) + (net channel_data_reg_11_26 (joined + (portRef Q (instanceRef channel_data_reg_11_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_26)) + )) + (net channel_data_i_11_28 (joined + (portRef (member channel_data_i_11 3) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_28)) + )) + (net channel_data_reg_11_28 (joined + (portRef Q (instanceRef channel_data_reg_11_28)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_28)) )) (net channel_data_i_11_29 (joined (portRef (member channel_data_i_11 2) (instanceRef GEN_Channels_11_Channels)) @@ -926734,56 +928218,6 @@ (portRef Q (instanceRef channel_data_reg_10_0)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_0)) )) - (net channel_data_i_10_2 (joined - (portRef (member channel_data_i_10 29) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_2)) - (portRef D (instanceRef channel_data_reg_10_2)) - )) - (net channel_data_reg_10_2 (joined - (portRef Q (instanceRef channel_data_reg_10_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) - )) - (net channel_data_i_1_21 (joined - (portRef (member channel_data_i_1 10) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_21)) - )) - (net channel_data_reg_1_21 (joined - (portRef Q (instanceRef channel_data_reg_1_21)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_21)) - )) - (net channel_data_i_1_23 (joined - (portRef (member channel_data_i_1 8) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_23)) - )) - (net channel_data_reg_1_23 (joined - (portRef Q (instanceRef channel_data_reg_1_23)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_23)) - )) - (net channel_data_i_11_24 (joined - (portRef (member channel_data_i_11 7) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_24)) - )) - (net channel_data_reg_11_24 (joined - (portRef Q (instanceRef channel_data_reg_11_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_24)) - )) - (net channel_data_i_11_31 (joined - (portRef (member channel_data_i_11 0) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_31)) - )) - (net channel_data_reg_11_31 (joined - (portRef Q (instanceRef channel_data_reg_11_31)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) - )) - (net channel_data_i_10_3 (joined - (portRef (member channel_data_i_10 28) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_3)) - (portRef D (instanceRef channel_data_reg_10_3)) - )) - (net channel_data_reg_10_3 (joined - (portRef Q (instanceRef channel_data_reg_10_3)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_3)) - )) (net channel_data_i_10_4 (joined (portRef (member channel_data_i_10 27) (instanceRef GEN_Channels_10_Channels)) (portRef A (instanceRef un2_channel_hit_time_11_bm_4)) @@ -926793,6 +928227,15 @@ (portRef Q (instanceRef channel_data_reg_10_4)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_4)) )) + (net channel_data_i_10_5 (joined + (portRef (member channel_data_i_10 26) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_5)) + (portRef D (instanceRef channel_data_reg_10_5)) + )) + (net channel_data_reg_10_5 (joined + (portRef Q (instanceRef channel_data_reg_10_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_5)) + )) (net channel_data_i_10_6 (joined (portRef (member channel_data_i_10 25) (instanceRef GEN_Channels_10_Channels)) (portRef A (instanceRef un2_channel_hit_time_11_bm_6)) @@ -926802,6 +928245,15 @@ (portRef Q (instanceRef channel_data_reg_10_6)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_6)) )) + (net channel_data_i_10_7 (joined + (portRef (member channel_data_i_10 24) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_7)) + (portRef D (instanceRef channel_data_reg_10_7)) + )) + (net channel_data_reg_10_7 (joined + (portRef Q (instanceRef channel_data_reg_10_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_7)) + )) (net channel_data_i_10_8 (joined (portRef (member channel_data_i_10 23) (instanceRef GEN_Channels_10_Channels)) (portRef A (instanceRef un2_channel_hit_time_11_bm_8)) @@ -926820,6 +928272,31 @@ (portRef Q (instanceRef channel_data_reg_10_9)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_9)) )) + (net channel_data_i_10_10 (joined + (portRef (member channel_data_i_10 21) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_10)) + (portRef D (instanceRef channel_data_reg_10_10)) + )) + (net channel_data_reg_10_10 (joined + (portRef Q (instanceRef channel_data_reg_10_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_10)) + )) + (net channel_data_i_10_11 (joined + (portRef (member channel_data_i_10 20) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_11)) + )) + (net channel_data_reg_10_11 (joined + (portRef Q (instanceRef channel_data_reg_10_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_11)) + )) + (net channel_data_i_10_12 (joined + (portRef (member channel_data_i_10 19) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_12)) + )) + (net channel_data_reg_10_12 (joined + (portRef Q (instanceRef channel_data_reg_10_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_12)) + )) (net channel_data_i_10_13 (joined (portRef (member channel_data_i_10 18) (instanceRef GEN_Channels_10_Channels)) (portRef D (instanceRef channel_data_reg_10_13)) @@ -926836,13 +928313,13 @@ (portRef Q (instanceRef channel_data_reg_10_14)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_14)) )) - (net channel_data_i_1_14 (joined - (portRef (member channel_data_i_1 17) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_14)) + (net channel_data_i_10_15 (joined + (portRef (member channel_data_i_10 16) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_15)) )) - (net channel_data_reg_1_14 (joined - (portRef Q (instanceRef channel_data_reg_1_14)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_14)) + (net channel_data_reg_10_15 (joined + (portRef Q (instanceRef channel_data_reg_10_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_15)) )) (net channel_data_i_10_16 (joined (portRef (member channel_data_i_10 15) (instanceRef GEN_Channels_10_Channels)) @@ -926852,29 +928329,45 @@ (portRef Q (instanceRef channel_data_reg_10_16)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_16)) )) - (net channel_data_i_1_24 (joined - (portRef (member channel_data_i_1 7) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_24)) + (net channel_data_i_10_20 (joined + (portRef (member channel_data_i_10 11) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_20)) )) - (net channel_data_reg_1_24 (joined - (portRef Q (instanceRef channel_data_reg_1_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_24)) + (net channel_data_reg_10_20 (joined + (portRef Q (instanceRef channel_data_reg_10_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) )) - (net channel_data_i_11_28 (joined - (portRef (member channel_data_i_11 3) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_28)) + (net channel_data_i_1_21 (joined + (portRef (member channel_data_i_1 10) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_21)) )) - (net channel_data_reg_11_28 (joined - (portRef Q (instanceRef channel_data_reg_11_28)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_28)) + (net channel_data_reg_1_21 (joined + (portRef Q (instanceRef channel_data_reg_1_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_21)) )) - (net channel_data_i_10_15 (joined - (portRef (member channel_data_i_10 16) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_15)) + (net channel_data_i_1_22 (joined + (portRef (member channel_data_i_1 9) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_22)) )) - (net channel_data_reg_10_15 (joined - (portRef Q (instanceRef channel_data_reg_10_15)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_15)) + (net channel_data_reg_1_22 (joined + (portRef Q (instanceRef channel_data_reg_1_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_22)) + )) + (net channel_data_i_11_27 (joined + (portRef (member channel_data_i_11 4) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_27)) + )) + (net channel_data_reg_11_27 (joined + (portRef Q (instanceRef channel_data_reg_11_27)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_27)) + )) + (net channel_data_i_11_30 (joined + (portRef (member channel_data_i_11 1) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_30)) + )) + (net channel_data_reg_11_30 (joined + (portRef Q (instanceRef channel_data_reg_11_30)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) )) (net channel_data_i_10_17 (joined (portRef (member channel_data_i_10 14) (instanceRef GEN_Channels_10_Channels)) @@ -926900,22 +928393,6 @@ (portRef Q (instanceRef channel_data_reg_10_19)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_19)) )) - (net channel_data_i_10_20 (joined - (portRef (member channel_data_i_10 11) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_20)) - )) - (net channel_data_reg_10_20 (joined - (portRef Q (instanceRef channel_data_reg_10_20)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) - )) - (net channel_data_i_1_20 (joined - (portRef (member channel_data_i_1 11) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_20)) - )) - (net channel_data_reg_1_20 (joined - (portRef Q (instanceRef channel_data_reg_1_20)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_20)) - )) (net channel_data_i_10_21 (joined (portRef (member channel_data_i_10 10) (instanceRef GEN_Channels_10_Channels)) (portRef D (instanceRef channel_data_reg_10_21)) @@ -926948,21 +928425,13 @@ (portRef Q (instanceRef channel_data_reg_10_24)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_24)) )) - (net channel_data_i_1_25 (joined - (portRef (member channel_data_i_1 6) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_25)) - )) - (net channel_data_reg_1_25 (joined - (portRef Q (instanceRef channel_data_reg_1_25)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_25)) - )) - (net channel_data_i_10_25 (joined - (portRef (member channel_data_i_10 6) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_25)) + (net channel_data_i_1_26 (joined + (portRef (member channel_data_i_1 5) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_26)) )) - (net channel_data_reg_10_25 (joined - (portRef Q (instanceRef channel_data_reg_10_25)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_25)) + (net channel_data_reg_1_26 (joined + (portRef Q (instanceRef channel_data_reg_1_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_26)) )) (net channel_data_i_10_26 (joined (portRef (member channel_data_i_10 5) (instanceRef GEN_Channels_10_Channels)) @@ -926972,13 +928441,45 @@ (portRef Q (instanceRef channel_data_reg_10_26)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_26)) )) - (net channel_data_i_1_26 (joined - (portRef (member channel_data_i_1 5) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_26)) + (net channel_data_i_1_27 (joined + (portRef (member channel_data_i_1 4) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_27)) )) - (net channel_data_reg_1_26 (joined - (portRef Q (instanceRef channel_data_reg_1_26)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_26)) + (net channel_data_reg_1_27 (joined + (portRef Q (instanceRef channel_data_reg_1_27)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_27)) + )) + (net channel_data_i_1_28 (joined + (portRef (member channel_data_i_1 3) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_28)) + )) + (net channel_data_reg_1_28 (joined + (portRef Q (instanceRef channel_data_reg_1_28)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_28)) + )) + (net channel_data_i_11_31 (joined + (portRef (member channel_data_i_11 0) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_31)) + )) + (net channel_data_reg_11_31 (joined + (portRef Q (instanceRef channel_data_reg_11_31)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) + )) + (net channel_data_i_10_25 (joined + (portRef (member channel_data_i_10 6) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_25)) + )) + (net channel_data_reg_10_25 (joined + (portRef Q (instanceRef channel_data_reg_10_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_25)) + )) + (net channel_data_i_1_25 (joined + (portRef (member channel_data_i_1 6) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_25)) + )) + (net channel_data_reg_1_25 (joined + (portRef Q (instanceRef channel_data_reg_1_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_25)) )) (net channel_data_i_10_27 (joined (portRef (member channel_data_i_10 4) (instanceRef GEN_Channels_10_Channels)) @@ -926988,14 +928489,6 @@ (portRef Q (instanceRef channel_data_reg_10_27)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_27)) )) - (net channel_data_i_1_27 (joined - (portRef (member channel_data_i_1 4) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_27)) - )) - (net channel_data_reg_1_27 (joined - (portRef Q (instanceRef channel_data_reg_1_27)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_27)) - )) (net channel_data_i_10_28 (joined (portRef (member channel_data_i_10 3) (instanceRef GEN_Channels_10_Channels)) (portRef D (instanceRef channel_data_reg_10_28)) @@ -927004,14 +928497,6 @@ (portRef Q (instanceRef channel_data_reg_10_28)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_28)) )) - (net channel_data_i_1_28 (joined - (portRef (member channel_data_i_1 3) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_28)) - )) - (net channel_data_reg_1_28 (joined - (portRef Q (instanceRef channel_data_reg_1_28)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_28)) - )) (net channel_data_i_1_29 (joined (portRef (member channel_data_i_1 2) (instanceRef GEN_Channels_1_Channels)) (portRef D (instanceRef channel_data_reg_1_29)) @@ -927028,14 +928513,6 @@ (portRef Q (instanceRef channel_data_reg_10_29)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_29)) )) - (net channel_data_i_10_30 (joined - (portRef (member channel_data_i_10 1) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_30)) - )) - (net channel_data_reg_10_30 (joined - (portRef Q (instanceRef channel_data_reg_10_30)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) - )) (net channel_data_i_1_30 (joined (portRef (member channel_data_i_1 1) (instanceRef GEN_Channels_1_Channels)) (portRef D (instanceRef channel_data_reg_1_30)) @@ -927044,6 +928521,14 @@ (portRef Q (instanceRef channel_data_reg_1_30)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_30)) )) + (net channel_data_i_10_30 (joined + (portRef (member channel_data_i_10 1) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_30)) + )) + (net channel_data_reg_10_30 (joined + (portRef Q (instanceRef channel_data_reg_10_30)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) + )) (net channel_data_i_10_31 (joined (portRef (member channel_data_i_10 0) (instanceRef GEN_Channels_10_Channels)) (portRef D (instanceRef channel_data_reg_10_31)) @@ -927505,32 +928990,32 @@ (portRef B1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_9_0)) (portRef A (instanceRef un1_TW_pre_0)) )) - (net un1_i_2_axb_0 (joined + (net un1_i_3_axb_0 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_0)) (portRef A1 (instanceRef Statistics_Empty_Channel_Number_un2_i_0_0)) - (portRef A1 (instanceRef un1_i_2_cry_0_0)) + (portRef A1 (instanceRef un1_i_3_cry_0_0)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_31)) )) - (net un1_i_2_axb_1 (joined + (net un1_i_3_axb_1 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_1)) (portRef B1 (instanceRef Statistics_Empty_Channel_Number_un2_i_0_0)) - (portRef A0 (instanceRef un1_i_2_cry_1_0)) + (portRef A0 (instanceRef un1_i_3_cry_1_0)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_15)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_30)) )) - (net un1_i_2_axb_2 (joined + (net un1_i_3_axb_2 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_2)) (portRef C1 (instanceRef Statistics_Empty_Channel_Number_un2_i_0_0)) - (portRef A1 (instanceRef un1_i_2_cry_1_0)) + (portRef A1 (instanceRef un1_i_3_cry_1_0)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_7)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_14)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_22)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_29)) )) - (net un1_i_2_axb_3 (joined + (net un1_i_3_axb_3 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_3)) (portRef A0 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A0 (instanceRef un1_i_2_cry_3_0)) + (portRef A0 (instanceRef un1_i_3_cry_3_0)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_6)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_10)) @@ -927540,10 +929025,10 @@ (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_25)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28)) )) - (net un1_i_2_axb_4 (joined + (net un1_i_3_axb_4 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_4)) (portRef B0 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A1 (instanceRef un1_i_2_cry_3_0)) + (portRef A1 (instanceRef un1_i_3_cry_3_0)) (portRef C (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3_bm)) (portRef C (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3_am)) (portRef C (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_6_bm)) @@ -927562,239 +929047,191 @@ (portRef C (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28_am)) )) (net i_4_5 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_5)) + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_0_a2_5)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_5)) )) - (net un1_i_2_axb_5 (joined + (net un1_i_3_axb_5 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_5)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un2_i_0_0)) - (portRef A0 (instanceRef un1_i_2_cry_5_0)) + (portRef A0 (instanceRef un1_i_3_cry_5_0)) )) - (net un1_i_2_axb_6 (joined + (net un1_i_3_axb_6 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_6)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A1 (instanceRef un1_i_2_cry_5_0)) + (portRef A1 (instanceRef un1_i_3_cry_5_0)) )) - (net un1_i_2_axb_7 (joined + (net un1_i_3_axb_7 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_7)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A0 (instanceRef un1_i_2_cry_7_0)) + (portRef A0 (instanceRef un1_i_3_cry_7_0)) )) - (net un1_i_2_axb_8 (joined + (net un1_i_3_axb_8 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_8)) (portRef C1 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A1 (instanceRef un1_i_2_cry_7_0)) + (portRef A1 (instanceRef un1_i_3_cry_7_0)) )) - (net un1_i_2_axb_9 (joined + (net un1_i_3_axb_9 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_9)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A0 (instanceRef un1_i_2_cry_9_0)) + (portRef A0 (instanceRef un1_i_3_cry_9_0)) )) - (net un1_i_2_axb_10 (joined + (net un1_i_3_axb_10 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_10)) (portRef A1 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A1 (instanceRef un1_i_2_cry_9_0)) + (portRef A1 (instanceRef un1_i_3_cry_9_0)) )) - (net un1_i_2_axb_11 (joined + (net un1_i_3_axb_11 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_11)) (portRef B1 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A0 (instanceRef un1_i_2_cry_11_0)) + (portRef A0 (instanceRef un1_i_3_cry_11_0)) )) - (net un1_i_2_axb_12 (joined + (net un1_i_3_axb_12 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_12)) (portRef A0 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A1 (instanceRef un1_i_2_cry_11_0)) + (portRef A1 (instanceRef un1_i_3_cry_11_0)) )) - (net un1_i_2_axb_13 (joined + (net un1_i_3_axb_13 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_13)) (portRef B0 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A0 (instanceRef un1_i_2_cry_13_0)) + (portRef A0 (instanceRef un1_i_3_cry_13_0)) )) - (net un1_i_2_axb_14 (joined + (net un1_i_3_axb_14 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_14)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A1 (instanceRef un1_i_2_cry_13_0)) + (portRef A1 (instanceRef un1_i_3_cry_13_0)) )) - (net un1_i_2_axb_15 (joined + (net un1_i_3_axb_15 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_15)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A0 (instanceRef un1_i_2_cry_15_0)) + (portRef A0 (instanceRef un1_i_3_cry_15_0)) )) - (net un1_i_2_axb_16 (joined + (net un1_i_3_axb_16 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_16)) (portRef A1 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A1 (instanceRef un1_i_2_cry_15_0)) + (portRef A1 (instanceRef un1_i_3_cry_15_0)) )) - (net un1_i_2_axb_17 (joined + (net un1_i_3_axb_17 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_17)) (portRef B1 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A0 (instanceRef un1_i_2_cry_17_0)) + (portRef A0 (instanceRef un1_i_3_cry_17_0)) )) - (net un1_i_2_axb_18 (joined + (net un1_i_3_axb_18 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_18)) (portRef C1 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A1 (instanceRef un1_i_2_cry_17_0)) + (portRef A1 (instanceRef un1_i_3_cry_17_0)) )) - (net un1_i_2_axb_19 (joined + (net un1_i_3_axb_19 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_19)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A0 (instanceRef un1_i_2_cry_19_0)) + (portRef A0 (instanceRef un1_i_3_cry_19_0)) )) - (net un1_i_2_axb_20 (joined + (net un1_i_3_axb_20 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_20)) (portRef A0 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A1 (instanceRef un1_i_2_cry_19_0)) + (portRef A1 (instanceRef un1_i_3_cry_19_0)) )) - (net un1_i_2_axb_21 (joined + (net un1_i_3_axb_21 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_21)) (portRef B0 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A0 (instanceRef un1_i_2_cry_21_0)) + (portRef A0 (instanceRef un1_i_3_cry_21_0)) )) - (net un1_i_2_axb_22 (joined + (net un1_i_3_axb_22 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_22)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A1 (instanceRef un1_i_2_cry_21_0)) + (portRef A1 (instanceRef un1_i_3_cry_21_0)) )) - (net un1_i_2_axb_23 (joined + (net un1_i_3_axb_23 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_23)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A0 (instanceRef un1_i_2_cry_23_0)) + (portRef A0 (instanceRef un1_i_3_cry_23_0)) )) - (net un1_i_2_axb_24 (joined + (net un1_i_3_axb_24 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_24)) (portRef A1 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A1 (instanceRef un1_i_2_cry_23_0)) + (portRef A1 (instanceRef un1_i_3_cry_23_0)) )) - (net un1_i_2_axb_25 (joined + (net un1_i_3_axb_25 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_25)) (portRef B1 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A0 (instanceRef un1_i_2_cry_25_0)) + (portRef A0 (instanceRef un1_i_3_cry_25_0)) )) - (net un1_i_2_axb_26 (joined + (net un1_i_3_axb_26 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_26)) (portRef C1 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A1 (instanceRef un1_i_2_cry_25_0)) + (portRef A1 (instanceRef un1_i_3_cry_25_0)) )) - (net un1_i_2_axb_27 (joined + (net un1_i_3_axb_27 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_27)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A0 (instanceRef un1_i_2_cry_27_0)) + (portRef A0 (instanceRef un1_i_3_cry_27_0)) )) - (net un1_i_2_axb_28 (joined + (net un1_i_3_axb_28 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_28)) (portRef A0 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) - (portRef A1 (instanceRef un1_i_2_cry_27_0)) + (portRef A1 (instanceRef un1_i_3_cry_27_0)) )) - (net un1_i_2_axb_29 (joined + (net un1_i_3_axb_29 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_29)) (portRef B0 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) - (portRef A0 (instanceRef un1_i_2_cry_29_0)) + (portRef A0 (instanceRef un1_i_3_cry_29_0)) )) - (net un1_i_2_axb_30 (joined + (net un1_i_3_axb_30 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_30)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) - (portRef A1 (instanceRef un1_i_2_cry_29_0)) + (portRef A1 (instanceRef un1_i_3_cry_29_0)) )) - (net un1_i_2_axb_31 (joined + (net un1_i_3_axb_31 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_31)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) - (portRef A0 (instanceRef un1_i_2_s_31_0)) + (portRef A0 (instanceRef un1_i_3_s_31_0)) )) - (net N_157_i (joined + (net N_397_i (joined (portRef Z (instanceRef FSM_CURRENT_RNO_0)) (portRef D (instanceRef FSM_CURRENT_0)) )) - (net FSM_CURRENT_0 (joined - (portRef Q (instanceRef FSM_CURRENT_0)) - (portRef A (instanceRef FSM_CURRENT_RNO_5)) - (portRef A (instanceRef FSM_CURRENT_RNO_0)) - (portRef A (instanceRef fsm_debug_reg_RNO_0)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) - (portRef A (instanceRef updt_index_i_RNO)) - (portRef A (instanceRef rd_en_fsm_i_o2_1_19)) - (portRef A (instanceRef wr_ch_data_i_RNO)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_1_0)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_0)) - (portRef A (instanceRef readout_i_RNO)) - )) - (net FSM_CURRENT_2 (joined - (portRef Q (instanceRef FSM_CURRENT_2)) - (portRef B (instanceRef rd_en_fsm_i_a2_19)) - (portRef C (instanceRef readout_i_RNO)) - (portRef A (instanceRef fsm_debug_fsm_i_0_1_2)) - (portRef A (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_2_4)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_5_1)) - (portRef D (instanceRef FSM_CURRENT_1)) - )) - (net FSM_CURRENT_1 (joined - (portRef Q (instanceRef FSM_CURRENT_1)) - (portRef B (instanceRef FSM_CURRENT_RNO_0)) - (portRef A (instanceRef rd_en_fsm_i_a2_19)) - (portRef B (instanceRef readout_i_RNO)) - )) - (net FSM_CURRENT_5 (joined - (portRef Q (instanceRef FSM_CURRENT_5)) - (portRef A (instanceRef fsm_debug_reg_RNO_3)) - (portRef C (instanceRef fsm_debug_fsm_i_0_1_2)) - (portRef C (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_2_4)) - (portRef B (instanceRef wait_fsm_i_a3_0_a2_0_a2_0_0)) - (portRef D (instanceRef FSM_CURRENT_3)) - )) - (net FSM_CURRENT_3 (joined - (portRef Q (instanceRef FSM_CURRENT_3)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_1_0_a2_0_a2_2)) - (portRef A (instanceRef data_finished_fsm_0_i_s_0_a2)) - (portRef B (instanceRef rd_en_fsm_i_o2_1_19)) - (portRef B (instanceRef fsm_debug_fsm_i_0_1_2)) - (portRef B (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_2_4)) - (portRef A (instanceRef wait_fsm_i_a3_0_a2_0_a2_0_0)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_5_1)) - )) - (net N_532 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_4)) + (net FSM_CURRENT_nss_10 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_4)) (portRef D (instanceRef FSM_CURRENT_4)) )) - (net FSM_CURRENT_4 (joined - (portRef Q (instanceRef FSM_CURRENT_4)) - (portRef A (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_4)) - (portRef A (instanceRef wr_header_fsm_0_i_s_0)) - (portRef D (instanceRef readout_i_RNO)) - (portRef A (instanceRef fsm_debug_fsm_i_a3_0_a2_4_a2_1_3)) - (portRef D (instanceRef FSM_CURRENT_6)) - )) - (net N_2284_i (joined + (net N_2504_i (joined (portRef Z (instanceRef FSM_CURRENT_RNO_5)) (portRef D (instanceRef FSM_CURRENT_5)) )) - (net FSM_CURRENT_6 (joined - (portRef Q (instanceRef FSM_CURRENT_6)) - (portRef B (instanceRef FSM_CURRENT_RNO_5)) - (portRef B (instanceRef updt_index_i_RNO)) - (portRef B (instanceRef fsm_debug_reg_RNO_3)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_1)) - (portRef D (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_2_4)) - (portRef C (instanceRef wait_fsm_i_a3_0_a2_0_a2_0_0)) - )) (net FSM_CURRENT_7 (joined (portRef Q (instanceRef FSM_CURRENT_7)) + (portRef A (instanceRef fsm_debug_reg_RNO_3)) (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_14)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_5_1)) + (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_3_0_1)) + (portRef A (instanceRef fsm_debug_fsm_i_0_0_0)) )) - (net N_583_0 (joined + (net N_653_0 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_i_0_0_8)) (portRef D (instanceRef FSM_CURRENT_8)) )) - (net FSM_CURRENT_nss_5 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9)) + (net FSM_CURRENT_srsts_0_i_0_9 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_0_i_0_9)) (portRef D (instanceRef FSM_CURRENT_9)) )) (net FSM_CURRENT_9 (joined (portRef Q (instanceRef FSM_CURRENT_9)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_8)) (portRef A (instanceRef data_finished_fsm_0_i_s_0)) - (portRef C (instanceRef fsm_debug_fsm_i_a3_0_a2_4_a2_1_3)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_2_8)) + (portRef B (instanceRef fsm_debug_fsm_i_a3_0_a3_0_a2_0_3)) + (portRef A (instanceRef wr_status_fsm_1_sqmuxa_0_a2_0_a2_0_a2)) + )) + (net FSM_CURRENT_11 (joined + (portRef Q (instanceRef FSM_CURRENT_11)) + (portRef A (instanceRef fsm_debug_fsm_i_0_o2_2)) + (portRef D (instanceRef FSM_CURRENT_10)) + )) + (net FSM_CURRENT_10 (joined + (portRef Q (instanceRef FSM_CURRENT_10)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_8)) + (portRef A (instanceRef wait_i_RNO)) + (portRef A (instanceRef wrong_readout_fsm_0_sqmuxa_i_i_a2)) + (portRef B (instanceRef fsm_debug_fsm_i_0_o2_0_2)) )) - (net N_533 (joined + (net N_704 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_11)) (portRef D (instanceRef FSM_CURRENT_11)) )) @@ -927802,18 +929239,414 @@ (portRef Z (instanceRef FSM_CURRENT_srsts_0_i_0_12)) (portRef D (instanceRef FSM_CURRENT_12)) )) - (net N_43_i (joined + (net FSM_CURRENT_12 (joined + (portRef Q (instanceRef FSM_CURRENT_12)) + (portRef A (instanceRef fsm_debug_reg_RNO_1)) + (portRef B (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) + (portRef B (instanceRef wait_i_RNO)) + (portRef A (instanceRef fsm_debug_fsm_i_0_2_0)) + (portRef A (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_11)) + (portRef A (instanceRef fsm_debug_fsm_i_0_a2_0_2)) + )) + (net N_2509_i (joined (portRef Z (instanceRef FSM_CURRENT_RNO_13)) (portRef D (instanceRef FSM_CURRENT_13)) )) - (net N_45 (joined + (net FSM_CURRENT_srsts_0_i_0_14 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_0_i_0_14)) (portRef D (instanceRef FSM_CURRENT_14)) )) + (net i_s_0 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_i_0)) + )) + (net i_0 (joined + (portRef Q (instanceRef Data_Out_MUX_i_0)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef A (instanceRef data_out_reg_2_sqmuxa_0_a3)) + (portRef A (instanceRef data_out_reg_6_sqmuxa_0_a3)) + (portRef A (instanceRef data_out_reg_7_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_4_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_3_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_1_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_5_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_0_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_8_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_10_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_2_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_9_sqmuxa_0_a2)) + (portRef A (instanceRef stop_status_i_0_sqmuxa_0_o2_0)) + (portRef A (instanceRef un1_wr_header_i_2_i_o2_0)) + )) + (net i_s_1 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef D (instanceRef Data_Out_MUX_i_1)) + )) + (net i_1 (joined + (portRef Q (instanceRef Data_Out_MUX_i_1)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12)) + (portRef B (instanceRef data_out_reg_2_sqmuxa_0_a3)) + (portRef B (instanceRef data_out_reg_6_sqmuxa_0_a3)) + (portRef B (instanceRef data_out_reg_7_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_4_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_3_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_1_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_5_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_0_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_8_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_10_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_9_sqmuxa_0_a2)) + (portRef B (instanceRef stop_status_i_0_sqmuxa_0_o2_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6)) + (portRef B (instanceRef un1_wr_header_i_2_i_o2_0)) + )) + (net i_s_2 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef D (instanceRef Data_Out_MUX_i_2)) + )) + (net i_2 (joined + (portRef Q (instanceRef Data_Out_MUX_i_2)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12)) + (portRef C (instanceRef data_out_reg_2_sqmuxa_0_a3)) + (portRef C (instanceRef data_out_reg_6_sqmuxa_0_a3)) + (portRef C (instanceRef data_out_reg_7_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_4_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_3_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_1_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_5_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_0_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_8_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_10_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_9_sqmuxa_0_a2)) + (portRef C (instanceRef stop_status_i_0_sqmuxa_0_o2_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6)) + (portRef C (instanceRef un1_wr_header_i_2_i_o2_0)) + )) + (net i_s_3 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef D (instanceRef Data_Out_MUX_i_3)) + )) + (net i_3 (joined + (portRef Q (instanceRef Data_Out_MUX_i_3)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef A (instanceRef data_out_reg_2_sqmuxa_0_a2_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27)) + (portRef A (instanceRef un1_wr_header_i_2_i_0_tz)) + (portRef D (instanceRef stop_status_i_0_sqmuxa_0_o2_0)) + )) + (net i_s_4 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef D (instanceRef Data_Out_MUX_i_4)) + )) + (net i_4 (joined + (portRef Q (instanceRef Data_Out_MUX_i_4)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef A (instanceRef un1_wr_header_i_2_i_o2_1_6)) + )) + (net i_s_5 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef D (instanceRef Data_Out_MUX_i_5)) + )) + (net i_5 (joined + (portRef Q (instanceRef Data_Out_MUX_i_5)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef B (instanceRef un1_wr_header_i_2_i_o2_1_6)) + )) + (net i_s_6 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef D (instanceRef Data_Out_MUX_i_6)) + )) + (net i_6 (joined + (portRef Q (instanceRef Data_Out_MUX_i_6)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef C (instanceRef un1_wr_header_i_2_i_o2_1_6)) + )) + (net i_s_7 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef D (instanceRef Data_Out_MUX_i_7)) + )) + (net i_7 (joined + (portRef Q (instanceRef Data_Out_MUX_i_7)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef D (instanceRef un1_wr_header_i_2_i_o2_1_6)) + )) + (net i_s_8 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef D (instanceRef Data_Out_MUX_i_8)) + )) + (net i_8 (joined + (portRef Q (instanceRef Data_Out_MUX_i_8)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef A (instanceRef un1_wr_header_i_2_i_o2_1_7)) + )) + (net i_s_9 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef D (instanceRef Data_Out_MUX_i_9)) + )) + (net i_9 (joined + (portRef Q (instanceRef Data_Out_MUX_i_9)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef B (instanceRef un1_wr_header_i_2_i_o2_1_7)) + )) + (net i_s_10 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef D (instanceRef Data_Out_MUX_i_10)) + )) + (net i_10 (joined + (portRef Q (instanceRef Data_Out_MUX_i_10)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef C (instanceRef un1_wr_header_i_2_i_o2_1_7)) + )) + (net i_s_11 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef D (instanceRef Data_Out_MUX_i_11)) + )) + (net i_11 (joined + (portRef Q (instanceRef Data_Out_MUX_i_11)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef D (instanceRef un1_wr_header_i_2_i_o2_1_7)) + )) + (net i_s_12 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef D (instanceRef Data_Out_MUX_i_12)) + )) + (net i_12 (joined + (portRef Q (instanceRef Data_Out_MUX_i_12)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef A (instanceRef un1_wr_header_i_2_i_o2_1_8)) + )) + (net i_s_13 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef D (instanceRef Data_Out_MUX_i_13)) + )) + (net i_13 (joined + (portRef Q (instanceRef Data_Out_MUX_i_13)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef B (instanceRef un1_wr_header_i_2_i_o2_1_8)) + )) + (net i_s_14 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef D (instanceRef Data_Out_MUX_i_14)) + )) + (net i_14 (joined + (portRef Q (instanceRef Data_Out_MUX_i_14)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef C (instanceRef un1_wr_header_i_2_i_o2_1_8)) + )) + (net i_s_15 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef D (instanceRef Data_Out_MUX_i_15)) + )) + (net i_15 (joined + (portRef Q (instanceRef Data_Out_MUX_i_15)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef D (instanceRef un1_wr_header_i_2_i_o2_1_8)) + )) + (net i_s_16 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef D (instanceRef Data_Out_MUX_i_16)) + )) + (net i_16 (joined + (portRef Q (instanceRef Data_Out_MUX_i_16)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef A (instanceRef Data_Out_MUX_un5_wr_status_i_30_10)) + )) + (net i_s_17 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef D (instanceRef Data_Out_MUX_i_17)) + )) + (net i_17 (joined + (portRef Q (instanceRef Data_Out_MUX_i_17)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef B (instanceRef Data_Out_MUX_un5_wr_status_i_30_10)) + )) + (net i_s_18 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef D (instanceRef Data_Out_MUX_i_18)) + )) + (net i_18 (joined + (portRef Q (instanceRef Data_Out_MUX_i_18)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef C (instanceRef Data_Out_MUX_un5_wr_status_i_30_10)) + )) + (net i_s_19 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef D (instanceRef Data_Out_MUX_i_19)) + )) + (net i_19 (joined + (portRef Q (instanceRef Data_Out_MUX_i_19)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef D (instanceRef Data_Out_MUX_un5_wr_status_i_30_10)) + )) + (net i_s_20 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef D (instanceRef Data_Out_MUX_i_20)) + )) + (net i_20 (joined + (portRef Q (instanceRef Data_Out_MUX_i_20)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef A (instanceRef Data_Out_MUX_un5_wr_status_i_30_11)) + )) + (net i_s_21 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef D (instanceRef Data_Out_MUX_i_21)) + )) + (net i_21 (joined + (portRef Q (instanceRef Data_Out_MUX_i_21)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef B (instanceRef Data_Out_MUX_un5_wr_status_i_30_11)) + )) + (net i_s_22 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef D (instanceRef Data_Out_MUX_i_22)) + )) + (net i_22 (joined + (portRef Q (instanceRef Data_Out_MUX_i_22)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef C (instanceRef Data_Out_MUX_un5_wr_status_i_30_11)) + )) + (net i_s_23 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef D (instanceRef Data_Out_MUX_i_23)) + )) + (net i_23 (joined + (portRef Q (instanceRef Data_Out_MUX_i_23)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef D (instanceRef Data_Out_MUX_un5_wr_status_i_30_11)) + )) + (net i_s_24 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef D (instanceRef Data_Out_MUX_i_24)) + )) + (net i_24 (joined + (portRef Q (instanceRef Data_Out_MUX_i_24)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef A (instanceRef Data_Out_MUX_un5_wr_status_i_30_8)) + )) + (net i_s_25 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef D (instanceRef Data_Out_MUX_i_25)) + )) + (net i_25 (joined + (portRef Q (instanceRef Data_Out_MUX_i_25)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef B (instanceRef Data_Out_MUX_un5_wr_status_i_30_8)) + )) + (net i_s_26 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef D (instanceRef Data_Out_MUX_i_26)) + )) + (net i_26 (joined + (portRef Q (instanceRef Data_Out_MUX_i_26)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef C (instanceRef Data_Out_MUX_un5_wr_status_i_30_8)) + )) + (net i_s_27 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef D (instanceRef Data_Out_MUX_i_27)) + )) + (net i_27 (joined + (portRef Q (instanceRef Data_Out_MUX_i_27)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef D (instanceRef Data_Out_MUX_un5_wr_status_i_30_8)) + )) + (net i_s_28 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef D (instanceRef Data_Out_MUX_i_28)) + )) + (net i_28 (joined + (portRef Q (instanceRef Data_Out_MUX_i_28)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef A (instanceRef Data_Out_MUX_un5_wr_status_i_30_9)) + )) + (net i_s_29 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef D (instanceRef Data_Out_MUX_i_29)) + )) + (net i_29 (joined + (portRef Q (instanceRef Data_Out_MUX_i_29)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef B (instanceRef Data_Out_MUX_un5_wr_status_i_30_9)) + )) + (net i_s_30 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef D (instanceRef Data_Out_MUX_i_30)) + )) + (net i_30 (joined + (portRef Q (instanceRef Data_Out_MUX_i_30)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef C (instanceRef Data_Out_MUX_un5_wr_status_i_30_9)) + )) + (net i_s_31 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef D (instanceRef Data_Out_MUX_i_31)) + )) + (net i_31 (joined + (portRef Q (instanceRef Data_Out_MUX_i_31)) + (portRef A0 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef D (instanceRef Data_Out_MUX_un5_wr_status_i_30_9)) + )) (net un1_readout_i_0 (joined (portRef Z (instanceRef un1_readout_i)) (portRef B0 (instanceRef un1_readout_i_2_cry_0_0)) )) + (net readout_trigger_mode_200 (joined + (portRef readout_trigger_mode_200 (instanceRef Readout_trigger_mode_sync)) + (portRef A (instanceRef Coarse_Counter_Reset_reset_coarse_cnt_3_ss0_0_a3)) + ) + ) + (net un1_reset_tdc_2_sn (joined + (portRef un1_reset_tdc_2_sn (instanceRef Valid_timing_trigger_pulse)) + (portRef B (instanceRef Coarse_Counter_Reset_reset_coarse_cnt_3_ss0_0_a3)) + )) (net fifo_nr_hex_1_3 (joined (portRef (member fifo_nr_hex_1 0) (instanceRef GEN_1_ROM)) (portRef B (instanceRef fifo_nr_next_6_4)) @@ -927837,37 +929670,50 @@ (portRef C0 (instanceRef fifo_nr_next_6_m2_1)) (portRef C0 (instanceRef fifo_nr_next_6_m2_0)) )) - (net ctrl_reg_56 (joined - (portRef ctrl_reg_56) - (portRef B (instanceRef hit_in_i_28)) + (net ctrl_reg_58 (joined + (portRef ctrl_reg_58) + (portRef B (instanceRef hit_in_i_6)) )) - (net hit_in_i_28 (joined - (portRef Z (instanceRef hit_in_i_28)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_28_Channels)) + (net hit_in_i_6 (joined + (portRef Z (instanceRef hit_in_i_6)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_6_Channels)) )) - (net INP_c_13 (joined - (portRef (member inp_c 1)) - (portRef A (instanceRef hit_in_i_29)) - (portRef A (instanceRef hit_in_i_28)) + (net INP_c_2 (joined + (portRef (member inp_c 12)) + (portRef A (instanceRef hit_in_i_7)) + (portRef A (instanceRef hit_in_i_6)) )) - (net ctrl_reg_57 (joined - (portRef ctrl_reg_57) - (portRef B (instanceRef hit_in_i_29)) + (net ctrl_reg_59 (joined + (portRef ctrl_reg_59) + (portRef B (instanceRef hit_in_i_7)) )) - (net hit_in_i_29 (joined - (portRef Z (instanceRef hit_in_i_29)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_29_Channels)) + (net hit_in_i_7 (joined + (portRef Z (instanceRef hit_in_i_7)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_7_Channels)) )) - (net ctrl_reg_31 (joined - (portRef ctrl_reg_31) - (portRef B (instanceRef hit_in_i_3)) + (net ctrl_reg_76 (joined + (portRef ctrl_reg_76) + (portRef B (instanceRef hit_in_i_24)) )) - (net hit_in_i_3 (joined - (portRef Z (instanceRef hit_in_i_3)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_3_Channels)) + (net hit_in_i_24 (joined + (portRef Z (instanceRef hit_in_i_24)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_24_Channels)) )) - (net ctrl_reg_36 (joined - (portRef ctrl_reg_36) + (net INP_c_11 (joined + (portRef (member inp_c 3)) + (portRef A (instanceRef hit_in_i_25)) + (portRef A (instanceRef hit_in_i_24)) + )) + (net ctrl_reg_77 (joined + (portRef ctrl_reg_77) + (portRef B (instanceRef hit_in_i_25)) + )) + (net hit_in_i_25 (joined + (portRef Z (instanceRef hit_in_i_25)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_25_Channels)) + )) + (net ctrl_reg_60 (joined + (portRef ctrl_reg_60) (portRef B (instanceRef hit_in_i_8)) )) (net hit_in_i_8 (joined @@ -927879,313 +929725,239 @@ (portRef A (instanceRef hit_in_i_9)) (portRef A (instanceRef hit_in_i_8)) )) - (net ctrl_reg_37 (joined - (portRef ctrl_reg_37) + (net ctrl_reg_61 (joined + (portRef ctrl_reg_61) (portRef B (instanceRef hit_in_i_9)) )) (net hit_in_i_9 (joined (portRef Z (instanceRef hit_in_i_9)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_9_Channels)) )) - (net N_364 (joined + (net N_769 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_a2_0_2)) + (portRef A (instanceRef fsm_debug_fsm_i_0_2)) + (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_12)) + (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_14)) + )) + (net N_3062 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_i_0_0_a2_2_8)) + (portRef B (instanceRef fsm_debug_fsm_i_0_2)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) + )) + (net N_2716 (joined + (portRef Z (instanceRef wr_header_i_RNI41LN)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_1_24)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_2)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_6)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25)) + )) + (net N_2617 (joined + (portRef Z (instanceRef i_1_sqmuxa_0_a2)) + (portRef B (instanceRef un1_wr_header_i_2_i_2_RNI6MFM)) + (portRef C (instanceRef stop_status_i_0_sqmuxa_i)) + (portRef D (instanceRef data_out_reg_2_sqmuxa_0_a2_1)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27)) + )) + (net N_600 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_o2_0_2)) + (portRef D (instanceRef fsm_debug_fsm_i_0_1_0_2)) + (portRef A (instanceRef fsm_debug_fsm_i_0_4_0)) + (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_3_0_1)) + )) + (net N_529 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_o2_2)) + (portRef C (instanceRef fsm_debug_fsm_i_0_1_0_2)) + (portRef B (instanceRef fsm_debug_reg_RNO_1)) + (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_1)) + (portRef C (instanceRef wait_i_RNO)) + )) + (net N_528 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_i_i_0_o2_13)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_0)) + (portRef B (instanceRef fsm_debug_fsm_i_0_2_0)) )) - (net N_361 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_1_27)) - (portRef B (instanceRef rd_en_i_RNO_27)) - (portRef B (instanceRef rd_en_i_RNO_26)) - (portRef B (instanceRef rd_en_i_RNO_25)) - (portRef B (instanceRef rd_en_i_RNO_24)) + (net N_522 (joined + (portRef Z (instanceRef rd_en_fsm_i_0_o2_1_19)) + (portRef B (instanceRef rd_en_i_RNO_23)) + (portRef B (instanceRef rd_en_i_RNO_22)) + (portRef B (instanceRef rd_en_i_RNO_21)) + (portRef B (instanceRef rd_en_i_RNO_20)) (portRef B (instanceRef rd_en_i_RNO_19)) (portRef B (instanceRef rd_en_i_RNO_18)) (portRef B (instanceRef rd_en_i_RNO_17)) (portRef B (instanceRef rd_en_i_RNO_16)) - (portRef B (instanceRef mask_i_4_0_a2_24)) - (portRef B (instanceRef mask_i_4_0_a2_25)) - (portRef B (instanceRef mask_i_4_0_a2_26)) - (portRef B (instanceRef mask_i_4_0_a2_27)) - (portRef B (instanceRef mask_i_4_0_a2_16)) - (portRef B (instanceRef mask_i_4_0_a2_17)) - (portRef B (instanceRef mask_i_4_0_a2_18)) - (portRef B (instanceRef mask_i_4_0_a2_19)) - )) - (net N_360 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_0_31)) + (portRef A (instanceRef mask_i_4_0_0_a2_19)) + (portRef A (instanceRef mask_i_4_0_0_a2_16)) + (portRef A (instanceRef mask_i_4_0_0_a2_17)) + (portRef A (instanceRef mask_i_4_0_0_a2_18)) + (portRef A (instanceRef mask_i_4_0_0_a2_20)) + (portRef A (instanceRef mask_i_4_0_0_a2_21)) + (portRef A (instanceRef mask_i_4_0_0_a2_22)) + (portRef A (instanceRef mask_i_4_0_0_a2_23)) + )) + (net N_521 (joined + (portRef Z (instanceRef rd_en_fsm_i_0_o2_0_27)) (portRef B (instanceRef rd_en_i_RNO_31)) (portRef B (instanceRef rd_en_i_RNO_30)) (portRef B (instanceRef rd_en_i_RNO_29)) (portRef B (instanceRef rd_en_i_RNO_28)) - (portRef B (instanceRef rd_en_i_RNO_23)) - (portRef B (instanceRef rd_en_i_RNO_22)) - (portRef B (instanceRef rd_en_i_RNO_21)) - (portRef B (instanceRef rd_en_i_RNO_20)) - (portRef B (instanceRef mask_i_4_0_a2_29)) - (portRef B (instanceRef mask_i_4_0_a2_30)) - (portRef B (instanceRef mask_i_4_0_a2_31)) - (portRef B (instanceRef mask_i_4_0_a2_20)) - (portRef B (instanceRef mask_i_4_0_a2_21)) - (portRef B (instanceRef mask_i_4_0_a2_22)) - (portRef B (instanceRef mask_i_4_0_a2_23)) - (portRef B (instanceRef mask_i_4_0_a2_28)) - )) - (net N_359 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_15)) - (portRef A (instanceRef rd_en_i_RNO_31)) - (portRef A (instanceRef rd_en_i_RNO_27)) - (portRef A (instanceRef rd_en_i_RNO_23)) - (portRef A (instanceRef rd_en_i_RNO_19)) - (portRef B (instanceRef rd_en_i_RNO_15)) - (portRef B (instanceRef rd_en_i_RNO_11)) + (portRef B (instanceRef rd_en_i_RNO_27)) + (portRef B (instanceRef rd_en_i_RNO_26)) + (portRef B (instanceRef rd_en_i_RNO_25)) + (portRef B (instanceRef rd_en_i_RNO_24)) + (portRef A (instanceRef mask_i_4_0_0_a2_25)) + (portRef A (instanceRef mask_i_4_0_0_a2_26)) + (portRef A (instanceRef mask_i_4_0_0_a2_27)) + (portRef A (instanceRef mask_i_4_0_0_a2_30)) + (portRef A (instanceRef mask_i_4_0_0_a2_28)) + (portRef A (instanceRef mask_i_4_0_0_a2_29)) + (portRef A (instanceRef mask_i_4_0_0_a2_31)) + (portRef A (instanceRef mask_i_4_0_0_a2_24)) + )) + (net N_520 (joined + (portRef Z (instanceRef rd_en_fsm_i_0_o2_0_7)) (portRef B (instanceRef rd_en_i_RNO_7)) + (portRef B (instanceRef rd_en_i_RNO_6)) + (portRef B (instanceRef rd_en_i_RNO_5)) + (portRef B (instanceRef rd_en_i_RNO_4)) (portRef B (instanceRef rd_en_i_RNO_3)) - (portRef B (instanceRef mask_i_4_0_a2_11)) - (portRef B (instanceRef mask_i_4_0_a2_15)) - (portRef A (instanceRef mask_i_4_0_a2_31)) - (portRef B (instanceRef mask_i_4_0_a2_3)) - (portRef B (instanceRef mask_i_4_0_a2_7)) - (portRef A (instanceRef mask_i_4_0_a2_27)) - (portRef A (instanceRef mask_i_4_0_a2_19)) - (portRef A (instanceRef mask_i_4_0_a2_23)) - )) - (net N_358 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_0_2)) - (portRef A (instanceRef rd_en_i_RNO_11)) - (portRef B (instanceRef rd_en_i_RNO_10)) - (portRef B (instanceRef rd_en_i_RNO_9)) - (portRef B (instanceRef rd_en_i_RNO_8)) - (portRef A (instanceRef rd_en_i_RNO_3)) (portRef B (instanceRef rd_en_i_RNO_2)) (portRef B (instanceRef rd_en_i_RNO_1)) (portRef B (instanceRef rd_en_i_RNO_0)) - (portRef B (instanceRef mask_i_4_0_a2_0)) - (portRef B (instanceRef mask_i_4_0_a2_2)) - (portRef B (instanceRef mask_i_4_0_a2_8)) - (portRef B (instanceRef mask_i_4_0_a2_9)) - (portRef A (instanceRef mask_i_4_0_a2_11)) - (portRef A (instanceRef mask_i_4_0_a2_3)) - (portRef B (instanceRef mask_i_4_0_a2_1)) - (portRef B (instanceRef mask_i_4_0_a2_10)) - )) - (net N_357 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_4)) - (portRef A (instanceRef rd_en_i_RNO_28)) - (portRef A (instanceRef rd_en_i_RNO_24)) - (portRef A (instanceRef rd_en_i_RNO_20)) - (portRef A (instanceRef rd_en_i_RNO_16)) + (portRef A (instanceRef mask_i_4_0_0_a2_0)) + (portRef A (instanceRef mask_i_4_0_0_a2_1)) + (portRef A (instanceRef mask_i_4_0_0_a2_2)) + (portRef A (instanceRef mask_i_4_0_0_a2_3)) + (portRef A (instanceRef mask_i_4_0_0_a2_4)) + (portRef A (instanceRef mask_i_4_0_0_a2_5)) + (portRef A (instanceRef mask_i_4_0_0_a2_6)) + (portRef A (instanceRef mask_i_4_0_0_a2_7)) + )) + (net N_516 (joined + (portRef Z (instanceRef rd_en_fsm_i_0_o2_1_14)) + (portRef B (instanceRef rd_en_i_RNO_15)) + (portRef B (instanceRef rd_en_i_RNO_14)) + (portRef B (instanceRef rd_en_i_RNO_13)) (portRef B (instanceRef rd_en_i_RNO_12)) - (portRef A (instanceRef rd_en_i_RNO_8)) - (portRef B (instanceRef rd_en_i_RNO_4)) - (portRef A (instanceRef rd_en_i_RNO_0)) - (portRef A (instanceRef mask_i_4_0_a2_0)) - (portRef B (instanceRef mask_i_4_0_a2_4)) - (portRef A (instanceRef mask_i_4_0_a2_8)) - (portRef B (instanceRef mask_i_4_0_a2_12)) - (portRef A (instanceRef mask_i_4_0_a2_24)) - (portRef A (instanceRef mask_i_4_0_a2_16)) - (portRef A (instanceRef mask_i_4_0_a2_20)) - (portRef A (instanceRef mask_i_4_0_a2_28)) + (portRef B (instanceRef rd_en_i_RNO_11)) + (portRef B (instanceRef rd_en_i_RNO_10)) + (portRef B (instanceRef rd_en_i_RNO_9)) + (portRef B (instanceRef rd_en_i_RNO_8)) + (portRef A (instanceRef mask_i_4_0_0_a2_8)) + (portRef A (instanceRef mask_i_4_0_0_a2_9)) + (portRef A (instanceRef mask_i_4_0_0_a2_11)) + (portRef A (instanceRef mask_i_4_0_0_a2_12)) + (portRef A (instanceRef mask_i_4_0_0_a2_13)) + (portRef A (instanceRef mask_i_4_0_0_a2_14)) + (portRef A (instanceRef mask_i_4_0_0_a2_10)) + (portRef A (instanceRef mask_i_4_0_0_a2_15)) + )) + (net N_3063 (joined + (portRef Z (instanceRef readout_fsm_i_a3_0_a3_0_a2_0)) + (portRef B (instanceRef fsm_debug_reg_RNO_3)) + (portRef C (instanceRef fsm_debug_fsm_a3_0_a3_0_a2_4)) )) - (net N_356 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_5)) - (portRef A (instanceRef rd_en_i_RNO_29)) - (portRef A (instanceRef rd_en_i_RNO_25)) - (portRef A (instanceRef rd_en_i_RNO_21)) - (portRef A (instanceRef rd_en_i_RNO_17)) - (portRef B (instanceRef rd_en_i_RNO_13)) - (portRef A (instanceRef rd_en_i_RNO_9)) - (portRef B (instanceRef rd_en_i_RNO_5)) - (portRef A (instanceRef rd_en_i_RNO_1)) - (portRef B (instanceRef mask_i_4_0_a2_5)) - (portRef A (instanceRef mask_i_4_0_a2_9)) - (portRef B (instanceRef mask_i_4_0_a2_13)) - (portRef A (instanceRef mask_i_4_0_a2_29)) - (portRef A (instanceRef mask_i_4_0_a2_25)) - (portRef A (instanceRef mask_i_4_0_a2_1)) - (portRef A (instanceRef mask_i_4_0_a2_17)) - (portRef A (instanceRef mask_i_4_0_a2_21)) + (net N_767 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_4_1)) + (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_1)) )) - (net N_355 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_1_6)) - (portRef A (instanceRef rd_en_i_RNO_15)) - (portRef B (instanceRef rd_en_i_RNO_14)) - (portRef A (instanceRef rd_en_i_RNO_13)) - (portRef A (instanceRef rd_en_i_RNO_12)) - (portRef A (instanceRef rd_en_i_RNO_7)) - (portRef B (instanceRef rd_en_i_RNO_6)) - (portRef A (instanceRef rd_en_i_RNO_5)) - (portRef A (instanceRef rd_en_i_RNO_4)) - (portRef A (instanceRef mask_i_4_0_a2_4)) - (portRef A (instanceRef mask_i_4_0_a2_5)) - (portRef B (instanceRef mask_i_4_0_a2_6)) - (portRef A (instanceRef mask_i_4_0_a2_12)) - (portRef A (instanceRef mask_i_4_0_a2_13)) - (portRef A (instanceRef mask_i_4_0_a2_15)) - (portRef A (instanceRef mask_i_4_0_a2_7)) - (portRef B (instanceRef mask_i_4_0_a2_14)) + (net un1_i_3_cry_5_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_5_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_0_a2_5)) )) - (net N_354 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_6)) - (portRef A (instanceRef rd_en_i_RNO_30)) - (portRef A (instanceRef rd_en_i_RNO_26)) - (portRef A (instanceRef rd_en_i_RNO_22)) - (portRef A (instanceRef rd_en_i_RNO_18)) - (portRef A (instanceRef rd_en_i_RNO_14)) - (portRef A (instanceRef rd_en_i_RNO_10)) - (portRef A (instanceRef rd_en_i_RNO_6)) - (portRef A (instanceRef rd_en_i_RNO_2)) - (portRef A (instanceRef mask_i_4_0_a2_2)) - (portRef A (instanceRef mask_i_4_0_a2_6)) - (portRef A (instanceRef mask_i_4_0_a2_30)) - (portRef A (instanceRef mask_i_4_0_a2_14)) - (portRef A (instanceRef mask_i_4_0_a2_26)) - (portRef A (instanceRef mask_i_4_0_a2_10)) - (portRef A (instanceRef mask_i_4_0_a2_18)) - (portRef A (instanceRef mask_i_4_0_a2_22)) + (net ctrl_reg_67 (joined + (portRef ctrl_reg_67) + (portRef B (instanceRef hit_in_i_0_a3_15)) )) - (net trg_data_valid_i (joined - (portRef trg_data_valid_i) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_3_0)) - (portRef B (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_11)) - (portRef B (instanceRef fsm_debug_fsm_i_0_a2_0_2)) - (portRef C (instanceRef fsm_debug_fsm_i_0_RNO_2)) + (net hit_in_i_15 (joined + (portRef Z (instanceRef hit_in_i_0_a3_15)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_15_Channels)) )) - (net N_560 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_0_a2_0_2)) - (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_12)) - (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_14)) + (net ctrl_reg_63 (joined + (portRef ctrl_reg_63) + (portRef B (instanceRef hit_in_i_11)) )) - (net N_558 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_i_0_0_a2_1_8)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_0_0)) - (portRef A (instanceRef fsm_debug_fsm_i_0_2)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) + (net hit_in_i_11 (joined + (portRef Z (instanceRef hit_in_i_11)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_11_Channels)) )) - (net N_553 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_4_1)) - (portRef B (instanceRef fsm_debug_reg_RNO_1)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_1_0)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_0_0)) - (portRef C (instanceRef wait_i_RNO)) + (net INP_c_4 (joined + (portRef (member inp_c 10)) + (portRef A (instanceRef hit_in_i_10)) + (portRef A (instanceRef hit_in_i_11)) )) - (net N_548 (joined - (portRef Z (instanceRef mask_i_4_0_a2_2_20)) - (portRef C (instanceRef mask_i_4_0_a2_0)) - (portRef C (instanceRef mask_i_4_0_a2_2)) - (portRef C (instanceRef mask_i_4_0_a2_4)) - (portRef C (instanceRef mask_i_4_0_a2_5)) - (portRef C (instanceRef mask_i_4_0_a2_6)) - (portRef C (instanceRef mask_i_4_0_a2_8)) - (portRef C (instanceRef mask_i_4_0_a2_9)) - (portRef C (instanceRef mask_i_4_0_a2_11)) - (portRef C (instanceRef mask_i_4_0_a2_12)) - (portRef C (instanceRef mask_i_4_0_a2_13)) - (portRef C (instanceRef mask_i_4_0_a2_15)) - (portRef C (instanceRef mask_i_4_0_a2_24)) - (portRef C (instanceRef mask_i_4_0_a2_29)) - (portRef C (instanceRef mask_i_4_0_a2_30)) - (portRef C (instanceRef mask_i_4_0_a2_31)) - (portRef C (instanceRef mask_i_4_0_a2_3)) - (portRef C (instanceRef mask_i_4_0_a2_7)) - (portRef C (instanceRef mask_i_4_0_a2_14)) - (portRef C (instanceRef mask_i_4_0_a2_25)) - (portRef C (instanceRef mask_i_4_0_a2_26)) - (portRef C (instanceRef mask_i_4_0_a2_27)) - (portRef C (instanceRef mask_i_4_0_a2_1)) - (portRef C (instanceRef mask_i_4_0_a2_10)) - (portRef C (instanceRef mask_i_4_0_a2_16)) - (portRef C (instanceRef mask_i_4_0_a2_17)) - (portRef C (instanceRef mask_i_4_0_a2_18)) - (portRef C (instanceRef mask_i_4_0_a2_19)) - (portRef C (instanceRef mask_i_4_0_a2_20)) - (portRef C (instanceRef mask_i_4_0_a2_21)) - (portRef C (instanceRef mask_i_4_0_a2_22)) - (portRef C (instanceRef mask_i_4_0_a2_23)) - (portRef C (instanceRef mask_i_4_0_a2_28)) - )) - (net un1_i_2_cry_5_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_5_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_5)) + (net ctrl_reg_62 (joined + (portRef ctrl_reg_62) + (portRef B (instanceRef hit_in_i_10)) + )) + (net hit_in_i_10 (joined + (portRef Z (instanceRef hit_in_i_10)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_10_Channels)) + )) + (net ctrl_reg_55 (joined + (portRef ctrl_reg_55) + (portRef B (instanceRef hit_in_i_3)) + )) + (net hit_in_i_3 (joined + (portRef Z (instanceRef hit_in_i_3)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_3_Channels)) )) (net INP_c_0 (joined (portRef (member inp_c 14)) (portRef A (instanceRef hit_in_i_2)) (portRef A (instanceRef hit_in_i_3)) )) - (net ctrl_reg_30 (joined - (portRef ctrl_reg_30) + (net ctrl_reg_54 (joined + (portRef ctrl_reg_54) (portRef B (instanceRef hit_in_i_2)) )) (net hit_in_i_2 (joined (portRef Z (instanceRef hit_in_i_2)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_2_Channels)) )) - (net ctrl_reg_33 (joined - (portRef ctrl_reg_33) - (portRef B (instanceRef hit_in_i_5)) - )) - (net hit_in_i_5 (joined - (portRef Z (instanceRef hit_in_i_5)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_5_Channels)) - )) - (net INP_c_1 (joined - (portRef (member inp_c 13)) - (portRef A (instanceRef hit_in_i_4)) - (portRef A (instanceRef hit_in_i_5)) - )) - (net ctrl_reg_32 (joined - (portRef ctrl_reg_32) - (portRef B (instanceRef hit_in_i_4)) - )) - (net hit_in_i_4 (joined - (portRef Z (instanceRef hit_in_i_4)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_4_Channels)) - )) - (net ctrl_reg_35 (joined - (portRef ctrl_reg_35) - (portRef B (instanceRef hit_in_i_7)) - )) - (net hit_in_i_7 (joined - (portRef Z (instanceRef hit_in_i_7)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_7_Channels)) - )) - (net INP_c_2 (joined - (portRef (member inp_c 12)) - (portRef A (instanceRef hit_in_i_6)) - (portRef A (instanceRef hit_in_i_7)) - )) - (net ctrl_reg_34 (joined - (portRef ctrl_reg_34) - (portRef B (instanceRef hit_in_i_6)) - )) - (net hit_in_i_6 (joined - (portRef Z (instanceRef hit_in_i_6)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_6_Channels)) - )) - (net ctrl_reg_39 (joined - (portRef ctrl_reg_39) - (portRef B (instanceRef hit_in_i_11)) + (net ctrl_reg_69 (joined + (portRef ctrl_reg_69) + (portRef B (instanceRef hit_in_i_17)) )) - (net hit_in_i_11 (joined - (portRef Z (instanceRef hit_in_i_11)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_11_Channels)) + (net hit_in_i_17 (joined + (portRef Z (instanceRef hit_in_i_17)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_17_Channels)) )) - (net INP_c_4 (joined - (portRef (member inp_c 10)) - (portRef A (instanceRef hit_in_i_10)) - (portRef A (instanceRef hit_in_i_11)) + (net INP_c_7 (joined + (portRef (member inp_c 7)) + (portRef A (instanceRef hit_in_i_16)) + (portRef A (instanceRef hit_in_i_17)) )) - (net ctrl_reg_38 (joined - (portRef ctrl_reg_38) - (portRef B (instanceRef hit_in_i_10)) + (net ctrl_reg_68 (joined + (portRef ctrl_reg_68) + (portRef B (instanceRef hit_in_i_16)) )) - (net hit_in_i_10 (joined - (portRef Z (instanceRef hit_in_i_10)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_10_Channels)) + (net hit_in_i_16 (joined + (portRef Z (instanceRef hit_in_i_16)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_16_Channels)) )) - (net ctrl_reg_41 (joined - (portRef ctrl_reg_41) + (net ctrl_reg_65 (joined + (portRef ctrl_reg_65) (portRef B (instanceRef hit_in_i_13)) )) (net hit_in_i_13 (joined @@ -928197,58 +929969,37 @@ (portRef A (instanceRef hit_in_i_12)) (portRef A (instanceRef hit_in_i_13)) )) - (net ctrl_reg_40 (joined - (portRef ctrl_reg_40) + (net ctrl_reg_64 (joined + (portRef ctrl_reg_64) (portRef B (instanceRef hit_in_i_12)) )) (net hit_in_i_12 (joined (portRef Z (instanceRef hit_in_i_12)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_12_Channels)) )) - (net ctrl_reg_43 (joined - (portRef ctrl_reg_43) - (portRef B (instanceRef hit_in_i_15)) - )) - (net hit_in_i_15 (joined - (portRef Z (instanceRef hit_in_i_15)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_15_Channels)) - )) - (net INP_c_6 (joined - (portRef (member inp_c 8)) - (portRef A (instanceRef hit_in_i_14)) - (portRef A (instanceRef hit_in_i_15)) - )) - (net ctrl_reg_42 (joined - (portRef ctrl_reg_42) - (portRef B (instanceRef hit_in_i_14)) - )) - (net hit_in_i_14 (joined - (portRef Z (instanceRef hit_in_i_14)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_14_Channels)) - )) - (net ctrl_reg_45 (joined - (portRef ctrl_reg_45) - (portRef B (instanceRef hit_in_i_17)) + (net ctrl_reg_57 (joined + (portRef ctrl_reg_57) + (portRef B (instanceRef hit_in_i_5)) )) - (net hit_in_i_17 (joined - (portRef Z (instanceRef hit_in_i_17)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_17_Channels)) + (net hit_in_i_5 (joined + (portRef Z (instanceRef hit_in_i_5)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_5_Channels)) )) - (net INP_c_7 (joined - (portRef (member inp_c 7)) - (portRef A (instanceRef hit_in_i_16)) - (portRef A (instanceRef hit_in_i_17)) + (net INP_c_1 (joined + (portRef (member inp_c 13)) + (portRef A (instanceRef hit_in_i_4)) + (portRef A (instanceRef hit_in_i_5)) )) - (net ctrl_reg_44 (joined - (portRef ctrl_reg_44) - (portRef B (instanceRef hit_in_i_16)) + (net ctrl_reg_56 (joined + (portRef ctrl_reg_56) + (portRef B (instanceRef hit_in_i_4)) )) - (net hit_in_i_16 (joined - (portRef Z (instanceRef hit_in_i_16)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_16_Channels)) + (net hit_in_i_4 (joined + (portRef Z (instanceRef hit_in_i_4)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_4_Channels)) )) - (net ctrl_reg_47 (joined - (portRef ctrl_reg_47) + (net ctrl_reg_71 (joined + (portRef ctrl_reg_71) (portRef B (instanceRef hit_in_i_19)) )) (net hit_in_i_19 (joined @@ -928260,16 +930011,16 @@ (portRef A (instanceRef hit_in_i_18)) (portRef A (instanceRef hit_in_i_19)) )) - (net ctrl_reg_46 (joined - (portRef ctrl_reg_46) + (net ctrl_reg_70 (joined + (portRef ctrl_reg_70) (portRef B (instanceRef hit_in_i_18)) )) (net hit_in_i_18 (joined (portRef Z (instanceRef hit_in_i_18)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_18_Channels)) )) - (net ctrl_reg_49 (joined - (portRef ctrl_reg_49) + (net ctrl_reg_73 (joined + (portRef ctrl_reg_73) (portRef B (instanceRef hit_in_i_21)) )) (net hit_in_i_21 (joined @@ -928281,16 +930032,37 @@ (portRef A (instanceRef hit_in_i_20)) (portRef A (instanceRef hit_in_i_21)) )) - (net ctrl_reg_48 (joined - (portRef ctrl_reg_48) + (net ctrl_reg_72 (joined + (portRef ctrl_reg_72) (portRef B (instanceRef hit_in_i_20)) )) (net hit_in_i_20 (joined (portRef Z (instanceRef hit_in_i_20)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_20_Channels)) )) - (net ctrl_reg_51 (joined - (portRef ctrl_reg_51) + (net ctrl_reg_83 (joined + (portRef ctrl_reg_83) + (portRef B (instanceRef hit_in_i_31)) + )) + (net hit_in_i_31 (joined + (portRef Z (instanceRef hit_in_i_31)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_31_Channels)) + )) + (net INP_c_14 (joined + (portRef (member inp_c 0)) + (portRef A (instanceRef hit_in_i_30)) + (portRef A (instanceRef hit_in_i_31)) + )) + (net ctrl_reg_82 (joined + (portRef ctrl_reg_82) + (portRef B (instanceRef hit_in_i_30)) + )) + (net hit_in_i_30 (joined + (portRef Z (instanceRef hit_in_i_30)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_30_Channels)) + )) + (net ctrl_reg_75 (joined + (portRef ctrl_reg_75) (portRef B (instanceRef hit_in_i_23)) )) (net hit_in_i_23 (joined @@ -928302,37 +930074,16 @@ (portRef A (instanceRef hit_in_i_22)) (portRef A (instanceRef hit_in_i_23)) )) - (net ctrl_reg_50 (joined - (portRef ctrl_reg_50) + (net ctrl_reg_74 (joined + (portRef ctrl_reg_74) (portRef B (instanceRef hit_in_i_22)) )) (net hit_in_i_22 (joined (portRef Z (instanceRef hit_in_i_22)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_22_Channels)) )) - (net ctrl_reg_53 (joined - (portRef ctrl_reg_53) - (portRef B (instanceRef hit_in_i_25)) - )) - (net hit_in_i_25 (joined - (portRef Z (instanceRef hit_in_i_25)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_25_Channels)) - )) - (net INP_c_11 (joined - (portRef (member inp_c 3)) - (portRef A (instanceRef hit_in_i_24)) - (portRef A (instanceRef hit_in_i_25)) - )) - (net ctrl_reg_52 (joined - (portRef ctrl_reg_52) - (portRef B (instanceRef hit_in_i_24)) - )) - (net hit_in_i_24 (joined - (portRef Z (instanceRef hit_in_i_24)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_24_Channels)) - )) - (net ctrl_reg_55 (joined - (portRef ctrl_reg_55) + (net ctrl_reg_79 (joined + (portRef ctrl_reg_79) (portRef B (instanceRef hit_in_i_27)) )) (net hit_in_i_27 (joined @@ -928344,52 +930095,121 @@ (portRef A (instanceRef hit_in_i_26)) (portRef A (instanceRef hit_in_i_27)) )) - (net ctrl_reg_54 (joined - (portRef ctrl_reg_54) + (net ctrl_reg_78 (joined + (portRef ctrl_reg_78) (portRef B (instanceRef hit_in_i_26)) )) (net hit_in_i_26 (joined (portRef Z (instanceRef hit_in_i_26)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_26_Channels)) )) - (net ctrl_reg_59 (joined - (portRef ctrl_reg_59) - (portRef B (instanceRef hit_in_i_31)) + (net ctrl_reg_81 (joined + (portRef ctrl_reg_81) + (portRef B (instanceRef hit_in_i_29)) )) - (net hit_in_i_31 (joined - (portRef Z (instanceRef hit_in_i_31)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_31_Channels)) + (net hit_in_i_29 (joined + (portRef Z (instanceRef hit_in_i_29)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_29_Channels)) )) - (net INP_c_14 (joined - (portRef (member inp_c 0)) - (portRef A (instanceRef hit_in_i_30)) - (portRef A (instanceRef hit_in_i_31)) + (net INP_c_13 (joined + (portRef (member inp_c 1)) + (portRef A (instanceRef hit_in_i_28)) + (portRef A (instanceRef hit_in_i_29)) )) - (net ctrl_reg_58 (joined - (portRef ctrl_reg_58) - (portRef B (instanceRef hit_in_i_30)) + (net ctrl_reg_80 (joined + (portRef ctrl_reg_80) + (portRef B (instanceRef hit_in_i_28)) )) - (net hit_in_i_30 (joined - (portRef Z (instanceRef hit_in_i_30)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_30_Channels)) + (net hit_in_i_28 (joined + (portRef Z (instanceRef hit_in_i_28)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_28_Channels)) )) - (net ctrl_reg_29 (joined - (portRef ctrl_reg_29) + (net ctrl_reg_53 (joined + (portRef ctrl_reg_53) (portRef B (instanceRef hit_in_i_1)) )) (net hit_in_i_1 (joined (portRef Z (instanceRef hit_in_i_1)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_1_Channels)) )) + (net trg_data_valid_i (joined + (portRef trg_data_valid_i) + (portRef D (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) + (portRef C (instanceRef fsm_debug_fsm_i_0_2_0)) + (portRef B (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_11)) + (portRef B (instanceRef fsm_debug_fsm_i_0_a2_0_2)) + )) + (net fsm_debug_fsm_i_a3_0_a3_0_a2_0_3 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_a3_0_a3_0_a2_0_3)) + (portRef D (instanceRef fsm_debug_reg_RNO_3)) + )) + (net data_out_reg_22_0_iv_i_a3_0_0_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_23)) + )) (net un1_TW_pre_0 (joined (portRef Z (instanceRef un1_TW_pre_0)) - (portRef C (instanceRef Data_Out_MUX_data_wr_reg_4_m3)) + (portRef C (instanceRef Data_Out_MUX_data_wr_reg_4_m4)) )) - (net N_671 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_5_1)) - (portRef C (instanceRef fsm_debug_reg_RNO_3)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_4_0_1)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_0)) + (net INP_c_6 (joined + (portRef (member inp_c 8)) + (portRef A (instanceRef N_2892_i)) + (portRef A (instanceRef hit_in_i_0_a3_15)) + )) + (net ctrl_reg_66 (joined + (portRef ctrl_reg_66) + (portRef B (instanceRef N_2892_i)) + )) + (net N_2892_i (joined + (portRef Z (instanceRef N_2892_i)) + (portRef N_2892_i (instanceRef GEN_Channels_14_Channels)) + )) + (net N_3067 (joined + (portRef Z (instanceRef wr_header_fsm_0_i_s_0_a2)) + (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_9)) + )) + (net N_762 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_1_20)) + (portRef B (instanceRef mask_i_4_0_0_a2_4)) + (portRef B (instanceRef mask_i_4_0_0_a2_5)) + (portRef B (instanceRef mask_i_4_0_0_a2_6)) + (portRef B (instanceRef mask_i_4_0_0_a2_7)) + (portRef B (instanceRef mask_i_4_0_0_a2_12)) + (portRef B (instanceRef mask_i_4_0_0_a2_13)) + (portRef B (instanceRef mask_i_4_0_0_a2_14)) + (portRef B (instanceRef mask_i_4_0_0_a2_30)) + (portRef B (instanceRef mask_i_4_0_0_a2_20)) + (portRef B (instanceRef mask_i_4_0_0_a2_21)) + (portRef B (instanceRef mask_i_4_0_0_a2_22)) + (portRef B (instanceRef mask_i_4_0_0_a2_23)) + (portRef B (instanceRef mask_i_4_0_0_a2_28)) + (portRef B (instanceRef mask_i_4_0_0_a2_29)) + (portRef B (instanceRef mask_i_4_0_0_a2_15)) + (portRef B (instanceRef mask_i_4_0_0_a2_31)) + )) + (net N_761 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_1_25)) + (portRef B (instanceRef mask_i_4_0_0_a2_0)) + (portRef B (instanceRef mask_i_4_0_0_a2_1)) + (portRef B (instanceRef mask_i_4_0_0_a2_2)) + (portRef B (instanceRef mask_i_4_0_0_a2_3)) + (portRef B (instanceRef mask_i_4_0_0_a2_8)) + (portRef B (instanceRef mask_i_4_0_0_a2_9)) + (portRef B (instanceRef mask_i_4_0_0_a2_11)) + (portRef B (instanceRef mask_i_4_0_0_a2_19)) + (portRef B (instanceRef mask_i_4_0_0_a2_25)) + (portRef B (instanceRef mask_i_4_0_0_a2_26)) + (portRef B (instanceRef mask_i_4_0_0_a2_27)) + (portRef B (instanceRef mask_i_4_0_0_a2_10)) + (portRef B (instanceRef mask_i_4_0_0_a2_16)) + (portRef B (instanceRef mask_i_4_0_0_a2_17)) + (portRef B (instanceRef mask_i_4_0_0_a2_18)) + (portRef B (instanceRef mask_i_4_0_0_a2_24)) + )) + (net N_69 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_o2_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_27)) + (portRef D (instanceRef un1_wr_header_i_2_i_0_tz)) )) (net valid_notiming_trg_pulse (joined (portRef valid_notiming_trg_pulse (instanceRef edge_to_pulse_2)) @@ -928405,6 +930225,10 @@ (portRef Z (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0_RNO)) (portRef B0 (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0)) )) + (net FSM_CURRENT_srsts_i_0_0_a2_0_8 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_2_8)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_8)) + )) (net fifo_nr_hex_0_3 (joined (portRef (member fifo_nr_hex_0 0) (instanceRef GEN_0_ROM)) (portRef A (instanceRef fifo_nr_next_6_4)) @@ -928425,95 +930249,153 @@ )) (net trg_type_i_0 (joined (portRef (member trg_type_i 3)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_a2_0_8)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_o2_0_8)) )) (net trg_type_i_1 (joined (portRef (member trg_type_i 2)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_a2_0_8)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_o2_0_8)) )) (net trg_type_i_2 (joined (portRef (member trg_type_i 1)) - (portRef C (instanceRef FSM_CURRENT_srsts_i_a2_0_8)) + (portRef C (instanceRef FSM_CURRENT_srsts_i_o2_0_8)) )) (net trg_type_i_3 (joined (portRef (member trg_type_i 0)) - (portRef D (instanceRef FSM_CURRENT_srsts_i_a2_0_8)) + (portRef D (instanceRef FSM_CURRENT_srsts_i_o2_0_8)) )) - (net N_2434 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_i_a2_0_8)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_m2_0)) - (portRef B (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9)) + (net N_681_0 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_i_o2_0_8)) + (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_9)) + (portRef B (instanceRef fsm_debug_fsm_i_0_a2_0)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) )) - (net N_556 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_3_0)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_0)) + (net channel_full_i_8 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_8_Channels)) + (portRef A (instanceRef fifo_full_i_8)) )) - (net channel_full_i_4 (joined - (portRef (member channel_full_i 0) (instanceRef GEN_Channels_4_Channels)) - (portRef A (instanceRef fifo_full_i_4)) + (net channel_full_i_9 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_9_Channels)) + (portRef B (instanceRef fifo_full_i_8)) )) - (net channel_full_i_5 (joined - (portRef (member channel_full_i 0) (instanceRef GEN_Channels_5_Channels)) - (portRef B (instanceRef fifo_full_i_4)) + (net channel_full_i_10 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_10_Channels)) + (portRef C (instanceRef fifo_full_i_8)) )) - (net channel_full_i_6 (joined - (portRef (member channel_full_i 0) (instanceRef GEN_Channels_6_Channels)) - (portRef C (instanceRef fifo_full_i_4)) + (net channel_full_i_11 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef fifo_full_i_8)) )) - (net channel_full_i_7 (joined - (portRef (member channel_full_i 0) (instanceRef GEN_Channels_7_Channels)) - (portRef D (instanceRef fifo_full_i_4)) + (net fifo_full_i_8 (joined + (portRef Z (instanceRef fifo_full_i_8)) + (portRef A (instanceRef fifo_full_i)) + )) + (net channel_full_i_12 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_12_Channels)) + (portRef A (instanceRef fifo_full_i_9)) + )) + (net channel_full_i_13 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_13_Channels)) + (portRef B (instanceRef fifo_full_i_9)) + )) + (net channel_full_i_14 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_14_Channels)) + (portRef C (instanceRef fifo_full_i_9)) )) - (net fifo_full_i_4 (joined - (portRef Z (instanceRef fifo_full_i_4)) - (portRef B (instanceRef data_out_reg_RNO_1)) + (net channel_full_i_15 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_15_Channels)) + (portRef D (instanceRef fifo_full_i_9)) + )) + (net fifo_full_i_9 (joined + (portRef Z (instanceRef fifo_full_i_9)) + (portRef B (instanceRef fifo_full_i)) )) (net channel_full_i_0 (joined (portRef (member channel_full_i 0) (instanceRef The_Reference_Time)) - (portRef A (instanceRef fifo_full_i_5)) + (portRef A (instanceRef fifo_full_i_10)) )) (net channel_full_i_1 (joined (portRef (member channel_full_i 0) (instanceRef GEN_Channels_1_Channels)) - (portRef B (instanceRef fifo_full_i_5)) + (portRef B (instanceRef fifo_full_i_10)) )) (net channel_full_i_2 (joined (portRef (member channel_full_i 0) (instanceRef GEN_Channels_2_Channels)) - (portRef C (instanceRef fifo_full_i_5)) + (portRef C (instanceRef fifo_full_i_10)) )) (net channel_full_i_3 (joined (portRef (member channel_full_i 0) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef fifo_full_i_5)) + (portRef D (instanceRef fifo_full_i_10)) )) - (net fifo_full_i_5 (joined - (portRef Z (instanceRef fifo_full_i_5)) - (portRef C (instanceRef data_out_reg_RNO_1)) + (net fifo_full_i_10 (joined + (portRef Z (instanceRef fifo_full_i_10)) + (portRef C (instanceRef fifo_full_i)) )) - (net wait_fsm_i_a3_0_a2_0_a2_0 (joined - (portRef Z (instanceRef wait_fsm_i_a3_0_a2_0_a2_0_0)) - (portRef D (instanceRef wait_i_RNO)) + (net channel_full_i_4 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_4_Channels)) + (portRef A (instanceRef fifo_full_i_11)) )) - (net FSM_CURRENT_srsts_i_o2_3_2 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_i_o2_3_2)) - (portRef D (instanceRef FSM_CURRENT_srsts_i_1_0_a2_0_a2_2)) - (portRef D (instanceRef data_finished_fsm_0_i_s_0_a2)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_o2_2)) + (net channel_full_i_5 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_5_Channels)) + (portRef B (instanceRef fifo_full_i_11)) )) - (net fsm_debug_fsm_a3_0_a2_0_a2_2_4 (joined - (portRef Z (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_2_4)) - (portRef D (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_4)) + (net channel_full_i_6 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_6_Channels)) + (portRef C (instanceRef fifo_full_i_11)) )) - (net fsm_debug_fsm_i_0_1_2 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_0_1_2)) - (portRef B (instanceRef fsm_debug_fsm_i_0_2)) + (net channel_full_i_7 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_7_Channels)) + (portRef D (instanceRef fifo_full_i_11)) )) - (net fsm_debug_fsm_i_a3_0_a2_4_a2_1_3 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_a3_0_a2_4_a2_1_3)) - (portRef D (instanceRef fsm_debug_reg_RNO_3)) + (net fifo_full_i_11 (joined + (portRef Z (instanceRef fifo_full_i_11)) + (portRef D (instanceRef fifo_full_i)) + )) + (net un5_wr_status_i_30_8 (joined + (portRef Z (instanceRef Data_Out_MUX_un5_wr_status_i_30_8)) + (portRef A (instanceRef Data_Out_MUX_un5_wr_status_i_30)) + )) + (net un5_wr_status_i_30_9 (joined + (portRef Z (instanceRef Data_Out_MUX_un5_wr_status_i_30_9)) + (portRef B (instanceRef Data_Out_MUX_un5_wr_status_i_30)) + )) + (net un5_wr_status_i_30_10 (joined + (portRef Z (instanceRef Data_Out_MUX_un5_wr_status_i_30_10)) + (portRef C (instanceRef Data_Out_MUX_un5_wr_status_i_30)) + )) + (net un5_wr_status_i_30_11 (joined + (portRef Z (instanceRef Data_Out_MUX_un5_wr_status_i_30_11)) + (portRef D (instanceRef Data_Out_MUX_un5_wr_status_i_30)) + )) + (net un1_wr_header_i_2_i_o2_1_6 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_o2_1_6)) + (portRef A (instanceRef un1_wr_header_i_2_i_o2_1)) + )) + (net un1_wr_header_i_2_i_o2_1_7 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_o2_1_7)) + (portRef B (instanceRef un1_wr_header_i_2_i_o2_1)) + )) + (net un1_wr_header_i_2_i_o2_1_8 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_o2_1_8)) + (portRef C (instanceRef un1_wr_header_i_2_i_o2_1)) + )) + (net fsm_debug_fsm_i_0_0_0 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_0_0)) + (portRef B (instanceRef fsm_debug_fsm_i_0_4_0)) + )) + (net stat_reg_198 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_6)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6)) + (portRef stat_reg_198) + )) + (net stat_reg_326 (joined + (portRef Q (instanceRef wrong_readout_number_6)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6)) + (portRef stat_reg_326) )) - (net fsm_debug_fsm_i_i_0_a2_2_1 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_1)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_4_0_1)) + (net data_out_reg_22_0_iv_i_a3_0_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_6)) )) (net un1_trg_win_cnt_up_i_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_0_0)) @@ -928521,7 +930403,7 @@ )) (net reset_i_fast_r10 (joined (portRef reset_i_fast_r10) - (portRef D (instanceRef trg_win_cnt_up_i_2_0)) + (portRef D (instanceRef trg_win_cnt_up_i_1_0)) )) (net fifo_nr_hex_1_0 (joined (portRef (member fifo_nr_hex_1 3) (instanceRef GEN_1_ROM)) @@ -928595,112 +930477,257 @@ (portRef Z (instanceRef fifo_nr_next_6_m2_2)) (portRef C (instanceRef fifo_nr_next_6_2)) )) - (net un8_channel_empty_reg_21_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2)) + (net data_out_reg_3_7_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) )) - (net un8_channel_empty_reg_21_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2)) + (net data_out_reg_3_7_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) )) - (net N_430 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m2)) + (net N_1365 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) )) - (net un8_channel_empty_reg_18_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2)) + (net data_out_reg_3_7_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) )) - (net un8_channel_empty_reg_18_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2)) + (net data_out_reg_3_7_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) )) - (net N_429 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m2)) + (net N_1366 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) )) - (net un8_channel_empty_reg_13_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2)) + (net data_out_reg_3_11_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) )) - (net un8_channel_empty_reg_13_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2)) + (net data_out_reg_3_11_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) )) - (net N_428 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m2)) + (net N_1493 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) )) - (net un8_channel_empty_reg_10_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2)) + (net data_out_reg_3_11_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) )) - (net un8_channel_empty_reg_10_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2)) + (net data_out_reg_3_11_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) )) - (net N_427 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m2)) + (net N_1494 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) )) - (net un8_channel_empty_reg_6_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2)) + (net data_out_reg_3_14_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) )) - (net un8_channel_empty_reg_6_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2)) + (net data_out_reg_3_14_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) )) - (net N_426 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m2)) + (net N_1589 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) )) - (net un8_channel_empty_reg_28_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2)) + (net data_out_reg_3_14_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) )) - (net un8_channel_empty_reg_28_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2)) + (net data_out_reg_3_14_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) )) - (net N_425 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m2)) + (net N_1590 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) )) - (net un8_channel_empty_reg_25_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2)) + (net data_out_reg_3_19_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) )) - (net un8_channel_empty_reg_25_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2)) + (net data_out_reg_3_19_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) )) - (net N_424 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m2)) + (net N_1749 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) )) - (net un8_channel_empty_reg_3_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2)) + (net data_out_reg_3_19_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) )) - (net un8_channel_empty_reg_3_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2)) + (net data_out_reg_3_19_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) )) - (net N_420 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m2)) + (net N_1750 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) )) - (net trg_notiming_valid_i (joined - (portRef trg_notiming_valid_i) - (portRef trg_notiming_valid_i (instanceRef edge_to_pulse_2)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) - (portRef D (instanceRef fsm_debug_fsm_i_0_2)) - (portRef D (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_m2_0)) - (portRef C (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9)) - (portRef C (instanceRef wr_header_fsm_0_i_s_0)) - (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) + (net data_out_reg_3_22_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + )) + (net data_out_reg_3_22_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + )) + (net N_1845 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) + )) + (net data_out_reg_3_22_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) + )) + (net data_out_reg_3_22_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) + )) + (net N_1846 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) + )) + (net data_out_reg_3_26_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) + )) + (net data_out_reg_3_26_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) + )) + (net N_1973 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) + )) + (net data_out_reg_3_26_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) + )) + (net data_out_reg_3_26_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) + )) + (net N_1974 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_31)) + )) + (net data_out_reg_3_29_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) + )) + (net data_out_reg_3_29_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) + )) + (net N_2069 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) + )) + (net un8_channel_empty_reg_13_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_13_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2)) + )) + (net N_589 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_10_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_10_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2)) + )) + (net N_588 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_6_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_6_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2)) + )) + (net N_587 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_3_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_3_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2)) + )) + (net N_586 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_28_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_28_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2)) + )) + (net N_570 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_25_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_25_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2)) + )) + (net N_569 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_21_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_21_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2)) + )) + (net N_567 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_18_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_18_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2)) + )) + (net N_566 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m3_i_m2)) )) (net un7_empty_channels_28_am (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28_am)) @@ -928710,7 +930737,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28)) )) - (net N_2469 (joined + (net N_3150 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_29)) )) @@ -928722,7 +930749,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_25_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_25)) )) - (net N_2466 (joined + (net N_3147 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_25)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_29)) )) @@ -928734,7 +930761,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_21_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_21)) )) - (net N_2463 (joined + (net N_3144 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_21)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_22)) )) @@ -928746,7 +930773,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_18_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_18)) )) - (net N_2460 (joined + (net N_3141 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_18)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_22)) )) @@ -928758,7 +930785,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_13_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_13)) )) - (net N_2457 (joined + (net N_3138 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_13)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_14)) )) @@ -928770,7 +930797,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_10_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_10)) )) - (net N_2454 (joined + (net N_3135 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_10)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_14)) )) @@ -928782,7 +930809,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_6_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_6)) )) - (net N_2451 (joined + (net N_3132 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_6)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_7)) )) @@ -928794,7 +930821,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3)) )) - (net N_2448 (joined + (net N_3129 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_7)) )) @@ -928806,22 +930833,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_31)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_31)) )) - (net N_1959 (joined + (net N_2070 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_31)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_31)) )) - (net data_out_reg_3_29_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) - )) - (net data_out_reg_3_29_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) - )) - (net N_1958 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) - )) (net data_out_reg_3_29_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_29_29)) @@ -928830,7 +930845,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_29)) )) - (net N_1957 (joined + (net N_2068 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_29)) )) @@ -928842,7 +930857,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_28)) )) - (net N_1956 (joined + (net N_2067 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_28)) )) @@ -928854,7 +930869,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_27)) )) - (net N_1955 (joined + (net N_2066 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_27)) )) @@ -928866,7 +930881,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_26)) )) - (net N_1954 (joined + (net N_2065 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_26)) )) @@ -928878,7 +930893,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_25)) )) - (net N_1953 (joined + (net N_2064 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_25)) )) @@ -928890,7 +930905,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_24)) )) - (net N_1952 (joined + (net N_2063 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_24)) )) @@ -928902,7 +930917,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_23)) )) - (net N_1951 (joined + (net N_2062 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_23)) )) @@ -928914,7 +930929,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_22)) )) - (net N_1950 (joined + (net N_2061 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_22)) )) @@ -928926,7 +930941,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_21)) )) - (net N_1949 (joined + (net N_2060 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_21)) )) @@ -928938,7 +930953,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_20)) )) - (net N_1948 (joined + (net N_2059 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_20)) )) @@ -928950,7 +930965,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_19)) )) - (net N_1947 (joined + (net N_2058 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_19)) )) @@ -928962,7 +930977,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_18)) )) - (net N_1946 (joined + (net N_2057 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_18)) )) @@ -928974,7 +930989,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_17)) )) - (net N_1945 (joined + (net N_2056 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_17)) )) @@ -928986,7 +931001,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_16)) )) - (net N_1944 (joined + (net N_2055 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_16)) )) @@ -928998,7 +931013,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_15)) )) - (net N_1943 (joined + (net N_2054 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_15)) )) @@ -929010,7 +931025,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_14)) )) - (net N_1942 (joined + (net N_2053 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_14)) )) @@ -929022,7 +931037,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_13)) )) - (net N_1941 (joined + (net N_2052 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_13)) )) @@ -929034,7 +931049,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_12)) )) - (net N_1940 (joined + (net N_2051 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_12)) )) @@ -929046,7 +931061,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_11)) )) - (net N_1939 (joined + (net N_2050 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_11)) )) @@ -929058,7 +931073,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_10)) )) - (net N_1938 (joined + (net N_2049 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_10)) )) @@ -929070,7 +931085,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_9)) )) - (net N_1937 (joined + (net N_2048 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_9)) )) @@ -929082,7 +931097,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_8)) )) - (net N_1936 (joined + (net N_2047 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_8)) )) @@ -929094,7 +931109,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_7)) )) - (net N_1935 (joined + (net N_2046 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_7)) )) @@ -929106,7 +931121,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_6)) )) - (net N_1934 (joined + (net N_2045 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_6)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_6)) )) @@ -929118,7 +931133,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_5)) )) - (net N_1933 (joined + (net N_2044 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_5)) )) @@ -929130,7 +931145,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_4)) )) - (net N_1932 (joined + (net N_2043 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_4)) )) @@ -929142,7 +931157,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_3)) )) - (net N_1931 (joined + (net N_2042 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_3)) )) @@ -929154,7 +931169,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_2)) )) - (net N_1930 (joined + (net N_2041 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_2)) )) @@ -929166,9 +931181,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_1)) )) - (net N_1929 (joined + (net N_2040 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_31_bm_1)) )) (net data_out_reg_3_29_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_am_0)) @@ -929178,34 +931193,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_0)) )) - (net N_1928 (joined + (net N_2039 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_0)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_0)) )) - (net data_out_reg_3_26_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) - )) - (net data_out_reg_3_26_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) - )) - (net N_1863 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_31)) - )) - (net data_out_reg_3_26_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) - )) - (net data_out_reg_3_26_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) - )) - (net N_1862 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) - )) (net data_out_reg_3_26_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_29)) @@ -929214,7 +931205,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_29)) )) - (net N_1861 (joined + (net N_1972 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_29)) )) @@ -929226,7 +931217,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_28)) )) - (net N_1860 (joined + (net N_1971 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_28)) )) @@ -929238,7 +931229,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_27)) )) - (net N_1859 (joined + (net N_1970 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_27)) )) @@ -929250,7 +931241,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_26)) )) - (net N_1858 (joined + (net N_1969 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_26)) )) @@ -929262,7 +931253,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_25)) )) - (net N_1857 (joined + (net N_1968 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_25)) )) @@ -929274,7 +931265,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_24)) )) - (net N_1856 (joined + (net N_1967 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_24)) )) @@ -929286,7 +931277,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_23)) )) - (net N_1855 (joined + (net N_1966 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_23)) )) @@ -929298,7 +931289,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_22)) )) - (net N_1854 (joined + (net N_1965 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_22)) )) @@ -929310,7 +931301,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_21)) )) - (net N_1853 (joined + (net N_1964 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_21)) )) @@ -929322,7 +931313,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_20)) )) - (net N_1852 (joined + (net N_1963 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_20)) )) @@ -929334,7 +931325,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_19)) )) - (net N_1851 (joined + (net N_1962 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_19)) )) @@ -929346,7 +931337,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_18)) )) - (net N_1850 (joined + (net N_1961 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_18)) )) @@ -929358,7 +931349,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_17)) )) - (net N_1849 (joined + (net N_1960 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_17)) )) @@ -929370,7 +931361,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_16)) )) - (net N_1848 (joined + (net N_1959 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_16)) )) @@ -929382,7 +931373,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_15)) )) - (net N_1847 (joined + (net N_1958 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_15)) )) @@ -929394,7 +931385,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_14)) )) - (net N_1846 (joined + (net N_1957 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_14)) )) @@ -929406,7 +931397,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_13)) )) - (net N_1845 (joined + (net N_1956 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_13)) )) @@ -929418,7 +931409,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_12)) )) - (net N_1844 (joined + (net N_1955 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_12)) )) @@ -929430,7 +931421,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_11)) )) - (net N_1843 (joined + (net N_1954 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_11)) )) @@ -929442,7 +931433,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_10)) )) - (net N_1842 (joined + (net N_1953 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_10)) )) @@ -929454,7 +931445,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_9)) )) - (net N_1841 (joined + (net N_1952 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_9)) )) @@ -929466,7 +931457,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_8)) )) - (net N_1840 (joined + (net N_1951 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_8)) )) @@ -929478,7 +931469,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_7)) )) - (net N_1839 (joined + (net N_1950 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_7)) )) @@ -929490,7 +931481,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_6)) )) - (net N_1838 (joined + (net N_1949 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_6)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_6)) )) @@ -929502,7 +931493,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_5)) )) - (net N_1837 (joined + (net N_1948 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_5)) )) @@ -929514,7 +931505,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_4)) )) - (net N_1836 (joined + (net N_1947 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_4)) )) @@ -929526,7 +931517,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_3)) )) - (net N_1835 (joined + (net N_1946 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_3)) )) @@ -929538,7 +931529,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_2)) )) - (net N_1834 (joined + (net N_1945 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_2)) )) @@ -929550,45 +931541,21 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_1)) )) - (net N_1833 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_1)) - )) - (net data_out_reg_3_26_am_0 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_0)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) - )) - (net data_out_reg_3_26_bm_0 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) - )) - (net N_1832 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_0)) - )) - (net data_out_reg_3_22_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) - )) - (net data_out_reg_3_22_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) - )) - (net N_1735 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) + (net N_1944 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_31_bm_1)) )) - (net data_out_reg_3_22_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + (net data_out_reg_3_26_am_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_0)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) )) - (net data_out_reg_3_22_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + (net data_out_reg_3_26_bm_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) )) - (net N_1734 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) + (net N_1943 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_0)) )) (net data_out_reg_3_22_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_29)) @@ -929598,7 +931565,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_29)) )) - (net N_1733 (joined + (net N_1844 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_29)) )) @@ -929610,7 +931577,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_28)) )) - (net N_1732 (joined + (net N_1843 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_28)) )) @@ -929622,7 +931589,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_27)) )) - (net N_1731 (joined + (net N_1842 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_27)) )) @@ -929634,7 +931601,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_26)) )) - (net N_1730 (joined + (net N_1841 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_26)) )) @@ -929646,7 +931613,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_25)) )) - (net N_1729 (joined + (net N_1840 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_25)) )) @@ -929658,7 +931625,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_24)) )) - (net N_1728 (joined + (net N_1839 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_24)) )) @@ -929670,7 +931637,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_23)) )) - (net N_1727 (joined + (net N_1838 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_23)) )) @@ -929682,7 +931649,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_22)) )) - (net N_1726 (joined + (net N_1837 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_22)) )) @@ -929694,7 +931661,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_21)) )) - (net N_1725 (joined + (net N_1836 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_21)) )) @@ -929706,7 +931673,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_20)) )) - (net N_1724 (joined + (net N_1835 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_20)) )) @@ -929718,7 +931685,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_19)) )) - (net N_1723 (joined + (net N_1834 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_19)) )) @@ -929730,7 +931697,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_18)) )) - (net N_1722 (joined + (net N_1833 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_18)) )) @@ -929742,7 +931709,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_17)) )) - (net N_1721 (joined + (net N_1832 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_17)) )) @@ -929754,7 +931721,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_16)) )) - (net N_1720 (joined + (net N_1831 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_16)) )) @@ -929766,7 +931733,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_15)) )) - (net N_1719 (joined + (net N_1830 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_15)) )) @@ -929778,7 +931745,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_14)) )) - (net N_1718 (joined + (net N_1829 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_14)) )) @@ -929790,7 +931757,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_13)) )) - (net N_1717 (joined + (net N_1828 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_13)) )) @@ -929802,7 +931769,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_12)) )) - (net N_1716 (joined + (net N_1827 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_12)) )) @@ -929814,7 +931781,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_11)) )) - (net N_1715 (joined + (net N_1826 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_11)) )) @@ -929826,7 +931793,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_10)) )) - (net N_1714 (joined + (net N_1825 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_10)) )) @@ -929838,7 +931805,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_9)) )) - (net N_1713 (joined + (net N_1824 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_9)) )) @@ -929850,7 +931817,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_8)) )) - (net N_1712 (joined + (net N_1823 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_8)) )) @@ -929862,7 +931829,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_7)) )) - (net N_1711 (joined + (net N_1822 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_7)) )) @@ -929874,7 +931841,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_6)) )) - (net N_1710 (joined + (net N_1821 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_6)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) )) @@ -929886,7 +931853,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_5)) )) - (net N_1709 (joined + (net N_1820 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_5)) )) @@ -929898,7 +931865,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_4)) )) - (net N_1708 (joined + (net N_1819 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_4)) )) @@ -929910,7 +931877,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_3)) )) - (net N_1707 (joined + (net N_1818 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_3)) )) @@ -929922,7 +931889,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_2)) )) - (net N_1706 (joined + (net N_1817 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_2)) )) @@ -929934,9 +931901,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_1)) )) - (net N_1705 (joined + (net N_1816 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_31_am_1)) )) (net data_out_reg_3_22_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_0)) @@ -929946,34 +931913,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_0)) )) - (net N_1704 (joined + (net N_1815 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_0)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) )) - (net data_out_reg_3_19_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) - )) - (net data_out_reg_3_19_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) - )) - (net N_1639 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) - )) - (net data_out_reg_3_19_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) - )) - (net data_out_reg_3_19_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) - )) - (net N_1638 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) - )) (net data_out_reg_3_19_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_19_29)) @@ -929982,7 +931925,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_29)) )) - (net N_1637 (joined + (net N_1748 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_29)) )) @@ -929994,7 +931937,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_28)) )) - (net N_1636 (joined + (net N_1747 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_28)) )) @@ -930006,7 +931949,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_27)) )) - (net N_1635 (joined + (net N_1746 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_27)) )) @@ -930018,7 +931961,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_26)) )) - (net N_1634 (joined + (net N_1745 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_26)) )) @@ -930030,7 +931973,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_25)) )) - (net N_1633 (joined + (net N_1744 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_25)) )) @@ -930042,7 +931985,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_24)) )) - (net N_1632 (joined + (net N_1743 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_24)) )) @@ -930054,7 +931997,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_23)) )) - (net N_1631 (joined + (net N_1742 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_23)) )) @@ -930066,7 +932009,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_22)) )) - (net N_1630 (joined + (net N_1741 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_22)) )) @@ -930078,7 +932021,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_21)) )) - (net N_1629 (joined + (net N_1740 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_21)) )) @@ -930090,7 +932033,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_20)) )) - (net N_1628 (joined + (net N_1739 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_20)) )) @@ -930102,7 +932045,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_19)) )) - (net N_1627 (joined + (net N_1738 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_19)) )) @@ -930114,7 +932057,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_18)) )) - (net N_1626 (joined + (net N_1737 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_18)) )) @@ -930126,7 +932069,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_17)) )) - (net N_1625 (joined + (net N_1736 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_17)) )) @@ -930138,7 +932081,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_16)) )) - (net N_1624 (joined + (net N_1735 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_16)) )) @@ -930150,7 +932093,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_15)) )) - (net N_1623 (joined + (net N_1734 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_15)) )) @@ -930162,7 +932105,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_14)) )) - (net N_1622 (joined + (net N_1733 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_14)) )) @@ -930174,7 +932117,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_13)) )) - (net N_1621 (joined + (net N_1732 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_13)) )) @@ -930186,7 +932129,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_12)) )) - (net N_1620 (joined + (net N_1731 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_12)) )) @@ -930198,7 +932141,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_11)) )) - (net N_1619 (joined + (net N_1730 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_11)) )) @@ -930210,7 +932153,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_10)) )) - (net N_1618 (joined + (net N_1729 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_10)) )) @@ -930222,7 +932165,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_9)) )) - (net N_1617 (joined + (net N_1728 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_9)) )) @@ -930234,7 +932177,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_8)) )) - (net N_1616 (joined + (net N_1727 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_8)) )) @@ -930246,7 +932189,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_7)) )) - (net N_1615 (joined + (net N_1726 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_7)) )) @@ -930258,7 +932201,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_6)) )) - (net N_1614 (joined + (net N_1725 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_6)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) )) @@ -930270,7 +932213,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_5)) )) - (net N_1613 (joined + (net N_1724 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_5)) )) @@ -930282,7 +932225,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_4)) )) - (net N_1612 (joined + (net N_1723 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_4)) )) @@ -930294,7 +932237,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_3)) )) - (net N_1611 (joined + (net N_1722 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_3)) )) @@ -930306,7 +932249,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_2)) )) - (net N_1610 (joined + (net N_1721 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_2)) )) @@ -930318,9 +932261,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_1)) )) - (net N_1609 (joined + (net N_1720 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_31_am_1)) )) (net data_out_reg_3_19_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_0)) @@ -930330,34 +932273,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_0)) )) - (net N_1608 (joined + (net N_1719 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_0)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) )) - (net data_out_reg_3_14_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) - )) - (net data_out_reg_3_14_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) - )) - (net N_1479 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) - )) - (net data_out_reg_3_14_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) - )) - (net data_out_reg_3_14_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) - )) - (net N_1478 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) - )) (net data_out_reg_3_14_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_14_29)) @@ -930366,7 +932285,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_29)) )) - (net N_1477 (joined + (net N_1588 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_29)) )) @@ -930378,7 +932297,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_28)) )) - (net N_1476 (joined + (net N_1587 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_28)) )) @@ -930390,7 +932309,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_27)) )) - (net N_1475 (joined + (net N_1586 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_27)) )) @@ -930402,7 +932321,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_26)) )) - (net N_1474 (joined + (net N_1585 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_26)) )) @@ -930414,7 +932333,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_25)) )) - (net N_1473 (joined + (net N_1584 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_25)) )) @@ -930426,7 +932345,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_24)) )) - (net N_1472 (joined + (net N_1583 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_24)) )) @@ -930438,7 +932357,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_23)) )) - (net N_1471 (joined + (net N_1582 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_23)) )) @@ -930450,7 +932369,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_22)) )) - (net N_1470 (joined + (net N_1581 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_22)) )) @@ -930462,7 +932381,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_21)) )) - (net N_1469 (joined + (net N_1580 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_21)) )) @@ -930474,7 +932393,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_20)) )) - (net N_1468 (joined + (net N_1579 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_20)) )) @@ -930486,7 +932405,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_19)) )) - (net N_1467 (joined + (net N_1578 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_19)) )) @@ -930498,7 +932417,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_18)) )) - (net N_1466 (joined + (net N_1577 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_18)) )) @@ -930510,7 +932429,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_17)) )) - (net N_1465 (joined + (net N_1576 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_17)) )) @@ -930522,7 +932441,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_16)) )) - (net N_1464 (joined + (net N_1575 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_16)) )) @@ -930534,7 +932453,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_15)) )) - (net N_1463 (joined + (net N_1574 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_15)) )) @@ -930546,7 +932465,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_14)) )) - (net N_1462 (joined + (net N_1573 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_14)) )) @@ -930558,7 +932477,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_13)) )) - (net N_1461 (joined + (net N_1572 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_13)) )) @@ -930570,7 +932489,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_12)) )) - (net N_1460 (joined + (net N_1571 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_12)) )) @@ -930582,7 +932501,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_11)) )) - (net N_1459 (joined + (net N_1570 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_11)) )) @@ -930594,7 +932513,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_10)) )) - (net N_1458 (joined + (net N_1569 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_10)) )) @@ -930606,7 +932525,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_9)) )) - (net N_1457 (joined + (net N_1568 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_9)) )) @@ -930618,7 +932537,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_8)) )) - (net N_1456 (joined + (net N_1567 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_8)) )) @@ -930630,7 +932549,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_7)) )) - (net N_1455 (joined + (net N_1566 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_7)) )) @@ -930642,7 +932561,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_6)) )) - (net N_1454 (joined + (net N_1565 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_6)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) )) @@ -930654,7 +932573,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_5)) )) - (net N_1453 (joined + (net N_1564 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_5)) )) @@ -930666,7 +932585,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_4)) )) - (net N_1452 (joined + (net N_1563 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_4)) )) @@ -930678,7 +932597,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_3)) )) - (net N_1451 (joined + (net N_1562 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_3)) )) @@ -930690,7 +932609,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_2)) )) - (net N_1450 (joined + (net N_1561 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_2)) )) @@ -930702,9 +932621,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_1)) )) - (net N_1449 (joined + (net N_1560 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_16_bm_1)) )) (net data_out_reg_3_14_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_0)) @@ -930714,34 +932633,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_0)) )) - (net N_1448 (joined + (net N_1559 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_0)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) )) - (net data_out_reg_3_11_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) - )) - (net data_out_reg_3_11_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) - )) - (net N_1383 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) - )) - (net data_out_reg_3_11_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) - )) - (net data_out_reg_3_11_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) - )) - (net N_1382 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) - )) (net data_out_reg_3_11_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_11_29)) @@ -930750,7 +932645,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_29)) )) - (net N_1381 (joined + (net N_1492 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_29)) )) @@ -930762,7 +932657,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_28)) )) - (net N_1380 (joined + (net N_1491 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_28)) )) @@ -930774,7 +932669,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_27)) )) - (net N_1379 (joined + (net N_1490 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_27)) )) @@ -930786,7 +932681,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_26)) )) - (net N_1378 (joined + (net N_1489 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_26)) )) @@ -930798,7 +932693,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_25)) )) - (net N_1377 (joined + (net N_1488 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_25)) )) @@ -930810,7 +932705,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_24)) )) - (net N_1376 (joined + (net N_1487 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_24)) )) @@ -930822,7 +932717,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_23)) )) - (net N_1375 (joined + (net N_1486 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_23)) )) @@ -930834,7 +932729,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_22)) )) - (net N_1374 (joined + (net N_1485 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_22)) )) @@ -930846,7 +932741,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_21)) )) - (net N_1373 (joined + (net N_1484 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_21)) )) @@ -930858,7 +932753,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_20)) )) - (net N_1372 (joined + (net N_1483 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_20)) )) @@ -930870,7 +932765,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_19)) )) - (net N_1371 (joined + (net N_1482 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_19)) )) @@ -930882,7 +932777,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_18)) )) - (net N_1370 (joined + (net N_1481 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_18)) )) @@ -930894,7 +932789,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_17)) )) - (net N_1369 (joined + (net N_1480 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_17)) )) @@ -930906,7 +932801,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_16)) )) - (net N_1368 (joined + (net N_1479 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_16)) )) @@ -930918,7 +932813,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_15)) )) - (net N_1367 (joined + (net N_1478 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_15)) )) @@ -930930,7 +932825,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_14)) )) - (net N_1366 (joined + (net N_1477 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_14)) )) @@ -930942,7 +932837,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_13)) )) - (net N_1365 (joined + (net N_1476 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_13)) )) @@ -930954,7 +932849,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_12)) )) - (net N_1364 (joined + (net N_1475 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_12)) )) @@ -930966,7 +932861,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_11)) )) - (net N_1363 (joined + (net N_1474 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_11)) )) @@ -930978,7 +932873,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_10)) )) - (net N_1362 (joined + (net N_1473 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_10)) )) @@ -930990,7 +932885,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_9)) )) - (net N_1361 (joined + (net N_1472 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_9)) )) @@ -931002,7 +932897,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_8)) )) - (net N_1360 (joined + (net N_1471 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_8)) )) @@ -931014,7 +932909,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_7)) )) - (net N_1359 (joined + (net N_1470 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_7)) )) @@ -931026,7 +932921,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_6)) )) - (net N_1358 (joined + (net N_1469 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_6)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) )) @@ -931038,7 +932933,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_5)) )) - (net N_1357 (joined + (net N_1468 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_5)) )) @@ -931050,7 +932945,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_4)) )) - (net N_1356 (joined + (net N_1467 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_4)) )) @@ -931062,7 +932957,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_3)) )) - (net N_1355 (joined + (net N_1466 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_3)) )) @@ -931074,7 +932969,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_2)) )) - (net N_1354 (joined + (net N_1465 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_2)) )) @@ -931086,9 +932981,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_1)) )) - (net N_1353 (joined + (net N_1464 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_16_bm_1)) )) (net data_out_reg_3_11_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_0)) @@ -931098,34 +932993,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_0)) )) - (net N_1352 (joined + (net N_1463 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_0)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) )) - (net data_out_reg_3_7_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) - )) - (net data_out_reg_3_7_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) - )) - (net N_1255 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) - )) - (net data_out_reg_3_7_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) - )) - (net data_out_reg_3_7_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) - )) - (net N_1254 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) - )) (net data_out_reg_3_7_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_7_29)) @@ -931134,7 +933005,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_29)) )) - (net N_1253 (joined + (net N_1364 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_29)) )) @@ -931146,7 +933017,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_28)) )) - (net N_1252 (joined + (net N_1363 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_28)) )) @@ -931158,7 +933029,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_27)) )) - (net N_1251 (joined + (net N_1362 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_27)) )) @@ -931170,7 +933041,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_26)) )) - (net N_1250 (joined + (net N_1361 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_26)) )) @@ -931182,7 +933053,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_25)) )) - (net N_1249 (joined + (net N_1360 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_25)) )) @@ -931194,7 +933065,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_24)) )) - (net N_1248 (joined + (net N_1359 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_24)) )) @@ -931206,7 +933077,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_23)) )) - (net N_1247 (joined + (net N_1358 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_23)) )) @@ -931218,7 +933089,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_22)) )) - (net N_1246 (joined + (net N_1357 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_22)) )) @@ -931230,7 +933101,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_21)) )) - (net N_1245 (joined + (net N_1356 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_21)) )) @@ -931242,7 +933113,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_20)) )) - (net N_1244 (joined + (net N_1355 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_20)) )) @@ -931254,7 +933125,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_19)) )) - (net N_1243 (joined + (net N_1354 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_19)) )) @@ -931266,7 +933137,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_18)) )) - (net N_1242 (joined + (net N_1353 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_18)) )) @@ -931278,7 +933149,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_17)) )) - (net N_1241 (joined + (net N_1352 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_17)) )) @@ -931290,7 +933161,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_16)) )) - (net N_1240 (joined + (net N_1351 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_16)) )) @@ -931302,7 +933173,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_15)) )) - (net N_1239 (joined + (net N_1350 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_15)) )) @@ -931314,7 +933185,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_14)) )) - (net N_1238 (joined + (net N_1349 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_14)) )) @@ -931326,7 +933197,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_13)) )) - (net N_1237 (joined + (net N_1348 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_13)) )) @@ -931338,7 +933209,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_12)) )) - (net N_1236 (joined + (net N_1347 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_12)) )) @@ -931350,7 +933221,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_11)) )) - (net N_1235 (joined + (net N_1346 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_11)) )) @@ -931362,7 +933233,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_10)) )) - (net N_1234 (joined + (net N_1345 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_10)) )) @@ -931374,7 +933245,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_9)) )) - (net N_1233 (joined + (net N_1344 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_9)) )) @@ -931386,7 +933257,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_8)) )) - (net N_1232 (joined + (net N_1343 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_8)) )) @@ -931398,7 +933269,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_7)) )) - (net N_1231 (joined + (net N_1342 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_7)) )) @@ -931410,7 +933281,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_6)) )) - (net N_1230 (joined + (net N_1341 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_6)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) )) @@ -931422,7 +933293,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_5)) )) - (net N_1229 (joined + (net N_1340 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_5)) )) @@ -931434,7 +933305,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_4)) )) - (net N_1228 (joined + (net N_1339 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_4)) )) @@ -931446,7 +933317,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_3)) )) - (net N_1227 (joined + (net N_1338 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_3)) )) @@ -931458,7 +933329,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_2)) )) - (net N_1226 (joined + (net N_1337 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_2)) )) @@ -931470,9 +933341,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_1)) )) - (net N_1225 (joined + (net N_1336 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_16_am_1)) )) (net data_out_reg_3_7_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_0)) @@ -931482,7 +933353,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_0)) )) - (net N_1224 (joined + (net N_1335 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_0)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) )) @@ -931494,7 +933365,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_29_10)) )) - (net N_998 (joined + (net N_1109 (joined (portRef Z (instanceRef un2_channel_hit_time_29_10)) (portRef D1 (instanceRef un2_channel_hit_time_30_10)) )) @@ -931506,7 +933377,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_29_9)) )) - (net N_997 (joined + (net N_1108 (joined (portRef Z (instanceRef un2_channel_hit_time_29_9)) (portRef D1 (instanceRef un2_channel_hit_time_30_9)) )) @@ -931518,7 +933389,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_29_8)) )) - (net N_996 (joined + (net N_1107 (joined (portRef Z (instanceRef un2_channel_hit_time_29_8)) (portRef D1 (instanceRef un2_channel_hit_time_30_8)) )) @@ -931530,7 +933401,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_29_7)) )) - (net N_995 (joined + (net N_1106 (joined (portRef Z (instanceRef un2_channel_hit_time_29_7)) (portRef D1 (instanceRef un2_channel_hit_time_30_7)) )) @@ -931542,7 +933413,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_29_6)) )) - (net N_994 (joined + (net N_1105 (joined (portRef Z (instanceRef un2_channel_hit_time_29_6)) (portRef D1 (instanceRef un2_channel_hit_time_30_6)) )) @@ -931554,7 +933425,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_29_5)) )) - (net N_993 (joined + (net N_1104 (joined (portRef Z (instanceRef un2_channel_hit_time_29_5)) (portRef D1 (instanceRef un2_channel_hit_time_30_5)) )) @@ -931566,7 +933437,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_29_4)) )) - (net N_992 (joined + (net N_1103 (joined (portRef Z (instanceRef un2_channel_hit_time_29_4)) (portRef D1 (instanceRef un2_channel_hit_time_30_4)) )) @@ -931578,7 +933449,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_29_3)) )) - (net N_991 (joined + (net N_1102 (joined (portRef Z (instanceRef un2_channel_hit_time_29_3)) (portRef D1 (instanceRef un2_channel_hit_time_30_3)) )) @@ -931590,7 +933461,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_29_2)) )) - (net N_990 (joined + (net N_1101 (joined (portRef Z (instanceRef un2_channel_hit_time_29_2)) (portRef D1 (instanceRef un2_channel_hit_time_30_2)) )) @@ -931602,7 +933473,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_29_1)) )) - (net N_989 (joined + (net N_1100 (joined (portRef Z (instanceRef un2_channel_hit_time_29_1)) (portRef D1 (instanceRef un2_channel_hit_time_30_1)) )) @@ -931614,7 +933485,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_29_0)) )) - (net N_988 (joined + (net N_1099 (joined (portRef Z (instanceRef un2_channel_hit_time_29_0)) (portRef D1 (instanceRef un2_channel_hit_time_30_0)) )) @@ -931626,7 +933497,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_26_10)) )) - (net N_965 (joined + (net N_1076 (joined (portRef Z (instanceRef un2_channel_hit_time_26_10)) (portRef D0 (instanceRef un2_channel_hit_time_30_10)) )) @@ -931638,7 +933509,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_26_9)) )) - (net N_964 (joined + (net N_1075 (joined (portRef Z (instanceRef un2_channel_hit_time_26_9)) (portRef D0 (instanceRef un2_channel_hit_time_30_9)) )) @@ -931650,7 +933521,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_26_8)) )) - (net N_963 (joined + (net N_1074 (joined (portRef Z (instanceRef un2_channel_hit_time_26_8)) (portRef D0 (instanceRef un2_channel_hit_time_30_8)) )) @@ -931662,7 +933533,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_26_7)) )) - (net N_962 (joined + (net N_1073 (joined (portRef Z (instanceRef un2_channel_hit_time_26_7)) (portRef D0 (instanceRef un2_channel_hit_time_30_7)) )) @@ -931674,7 +933545,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_26_6)) )) - (net N_961 (joined + (net N_1072 (joined (portRef Z (instanceRef un2_channel_hit_time_26_6)) (portRef D0 (instanceRef un2_channel_hit_time_30_6)) )) @@ -931686,7 +933557,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_26_5)) )) - (net N_960 (joined + (net N_1071 (joined (portRef Z (instanceRef un2_channel_hit_time_26_5)) (portRef D0 (instanceRef un2_channel_hit_time_30_5)) )) @@ -931698,7 +933569,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_26_4)) )) - (net N_959 (joined + (net N_1070 (joined (portRef Z (instanceRef un2_channel_hit_time_26_4)) (portRef D0 (instanceRef un2_channel_hit_time_30_4)) )) @@ -931710,7 +933581,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_26_3)) )) - (net N_958 (joined + (net N_1069 (joined (portRef Z (instanceRef un2_channel_hit_time_26_3)) (portRef D0 (instanceRef un2_channel_hit_time_30_3)) )) @@ -931722,7 +933593,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_26_2)) )) - (net N_957 (joined + (net N_1068 (joined (portRef Z (instanceRef un2_channel_hit_time_26_2)) (portRef D0 (instanceRef un2_channel_hit_time_30_2)) )) @@ -931734,7 +933605,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_26_1)) )) - (net N_956 (joined + (net N_1067 (joined (portRef Z (instanceRef un2_channel_hit_time_26_1)) (portRef D0 (instanceRef un2_channel_hit_time_30_1)) )) @@ -931746,7 +933617,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_26_0)) )) - (net N_955 (joined + (net N_1066 (joined (portRef Z (instanceRef un2_channel_hit_time_26_0)) (portRef D0 (instanceRef un2_channel_hit_time_30_0)) )) @@ -931758,7 +933629,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_22_10)) )) - (net N_921 (joined + (net N_1032 (joined (portRef Z (instanceRef un2_channel_hit_time_22_10)) (portRef D1 (instanceRef un2_channel_hit_time_23_10)) )) @@ -931770,7 +933641,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_22_9)) )) - (net N_920 (joined + (net N_1031 (joined (portRef Z (instanceRef un2_channel_hit_time_22_9)) (portRef D1 (instanceRef un2_channel_hit_time_23_9)) )) @@ -931782,7 +933653,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_22_8)) )) - (net N_919 (joined + (net N_1030 (joined (portRef Z (instanceRef un2_channel_hit_time_22_8)) (portRef D1 (instanceRef un2_channel_hit_time_23_8)) )) @@ -931794,7 +933665,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_22_7)) )) - (net N_918 (joined + (net N_1029 (joined (portRef Z (instanceRef un2_channel_hit_time_22_7)) (portRef D1 (instanceRef un2_channel_hit_time_23_7)) )) @@ -931806,7 +933677,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_22_6)) )) - (net N_917 (joined + (net N_1028 (joined (portRef Z (instanceRef un2_channel_hit_time_22_6)) (portRef D1 (instanceRef un2_channel_hit_time_23_6)) )) @@ -931818,7 +933689,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_22_5)) )) - (net N_916 (joined + (net N_1027 (joined (portRef Z (instanceRef un2_channel_hit_time_22_5)) (portRef D1 (instanceRef un2_channel_hit_time_23_5)) )) @@ -931830,7 +933701,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_22_4)) )) - (net N_915 (joined + (net N_1026 (joined (portRef Z (instanceRef un2_channel_hit_time_22_4)) (portRef D1 (instanceRef un2_channel_hit_time_23_4)) )) @@ -931842,7 +933713,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_22_3)) )) - (net N_914 (joined + (net N_1025 (joined (portRef Z (instanceRef un2_channel_hit_time_22_3)) (portRef D1 (instanceRef un2_channel_hit_time_23_3)) )) @@ -931854,7 +933725,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_22_2)) )) - (net N_913 (joined + (net N_1024 (joined (portRef Z (instanceRef un2_channel_hit_time_22_2)) (portRef D1 (instanceRef un2_channel_hit_time_23_2)) )) @@ -931866,7 +933737,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_22_1)) )) - (net N_912 (joined + (net N_1023 (joined (portRef Z (instanceRef un2_channel_hit_time_22_1)) (portRef D1 (instanceRef un2_channel_hit_time_23_1)) )) @@ -931878,7 +933749,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_22_0)) )) - (net N_911 (joined + (net N_1022 (joined (portRef Z (instanceRef un2_channel_hit_time_22_0)) (portRef D1 (instanceRef un2_channel_hit_time_23_0)) )) @@ -931890,7 +933761,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_19_10)) )) - (net N_888 (joined + (net N_999 (joined (portRef Z (instanceRef un2_channel_hit_time_19_10)) (portRef D0 (instanceRef un2_channel_hit_time_23_10)) )) @@ -931902,7 +933773,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_19_9)) )) - (net N_887 (joined + (net N_998 (joined (portRef Z (instanceRef un2_channel_hit_time_19_9)) (portRef D0 (instanceRef un2_channel_hit_time_23_9)) )) @@ -931914,7 +933785,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_19_8)) )) - (net N_886 (joined + (net N_997 (joined (portRef Z (instanceRef un2_channel_hit_time_19_8)) (portRef D0 (instanceRef un2_channel_hit_time_23_8)) )) @@ -931926,7 +933797,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_19_7)) )) - (net N_885 (joined + (net N_996 (joined (portRef Z (instanceRef un2_channel_hit_time_19_7)) (portRef D0 (instanceRef un2_channel_hit_time_23_7)) )) @@ -931938,7 +933809,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_19_6)) )) - (net N_884 (joined + (net N_995 (joined (portRef Z (instanceRef un2_channel_hit_time_19_6)) (portRef D0 (instanceRef un2_channel_hit_time_23_6)) )) @@ -931950,7 +933821,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_19_5)) )) - (net N_883 (joined + (net N_994 (joined (portRef Z (instanceRef un2_channel_hit_time_19_5)) (portRef D0 (instanceRef un2_channel_hit_time_23_5)) )) @@ -931962,7 +933833,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_19_4)) )) - (net N_882 (joined + (net N_993 (joined (portRef Z (instanceRef un2_channel_hit_time_19_4)) (portRef D0 (instanceRef un2_channel_hit_time_23_4)) )) @@ -931974,7 +933845,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_19_3)) )) - (net N_881 (joined + (net N_992 (joined (portRef Z (instanceRef un2_channel_hit_time_19_3)) (portRef D0 (instanceRef un2_channel_hit_time_23_3)) )) @@ -931986,7 +933857,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_19_2)) )) - (net N_880 (joined + (net N_991 (joined (portRef Z (instanceRef un2_channel_hit_time_19_2)) (portRef D0 (instanceRef un2_channel_hit_time_23_2)) )) @@ -931998,7 +933869,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_19_1)) )) - (net N_879 (joined + (net N_990 (joined (portRef Z (instanceRef un2_channel_hit_time_19_1)) (portRef D0 (instanceRef un2_channel_hit_time_23_1)) )) @@ -932010,7 +933881,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_19_0)) )) - (net N_878 (joined + (net N_989 (joined (portRef Z (instanceRef un2_channel_hit_time_19_0)) (portRef D0 (instanceRef un2_channel_hit_time_23_0)) )) @@ -932022,7 +933893,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_14_10)) )) - (net N_833 (joined + (net N_944 (joined (portRef Z (instanceRef un2_channel_hit_time_14_10)) (portRef D1 (instanceRef un2_channel_hit_time_15_10)) )) @@ -932034,7 +933905,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_14_9)) )) - (net N_832 (joined + (net N_943 (joined (portRef Z (instanceRef un2_channel_hit_time_14_9)) (portRef D1 (instanceRef un2_channel_hit_time_15_9)) )) @@ -932046,7 +933917,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_14_8)) )) - (net N_831 (joined + (net N_942 (joined (portRef Z (instanceRef un2_channel_hit_time_14_8)) (portRef D1 (instanceRef un2_channel_hit_time_15_8)) )) @@ -932058,7 +933929,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_14_7)) )) - (net N_830 (joined + (net N_941 (joined (portRef Z (instanceRef un2_channel_hit_time_14_7)) (portRef D1 (instanceRef un2_channel_hit_time_15_7)) )) @@ -932070,7 +933941,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_14_6)) )) - (net N_829 (joined + (net N_940 (joined (portRef Z (instanceRef un2_channel_hit_time_14_6)) (portRef D1 (instanceRef un2_channel_hit_time_15_6)) )) @@ -932082,7 +933953,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_14_5)) )) - (net N_828 (joined + (net N_939 (joined (portRef Z (instanceRef un2_channel_hit_time_14_5)) (portRef D1 (instanceRef un2_channel_hit_time_15_5)) )) @@ -932094,7 +933965,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_14_4)) )) - (net N_827 (joined + (net N_938 (joined (portRef Z (instanceRef un2_channel_hit_time_14_4)) (portRef D1 (instanceRef un2_channel_hit_time_15_4)) )) @@ -932106,7 +933977,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_14_3)) )) - (net N_826 (joined + (net N_937 (joined (portRef Z (instanceRef un2_channel_hit_time_14_3)) (portRef D1 (instanceRef un2_channel_hit_time_15_3)) )) @@ -932118,7 +933989,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_14_2)) )) - (net N_825 (joined + (net N_936 (joined (portRef Z (instanceRef un2_channel_hit_time_14_2)) (portRef D1 (instanceRef un2_channel_hit_time_15_2)) )) @@ -932130,7 +934001,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_14_1)) )) - (net N_824 (joined + (net N_935 (joined (portRef Z (instanceRef un2_channel_hit_time_14_1)) (portRef D1 (instanceRef un2_channel_hit_time_15_1)) )) @@ -932142,7 +934013,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_14_0)) )) - (net N_823 (joined + (net N_934 (joined (portRef Z (instanceRef un2_channel_hit_time_14_0)) (portRef D1 (instanceRef un2_channel_hit_time_15_0)) )) @@ -932154,7 +934025,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_11_10)) )) - (net N_800 (joined + (net N_911 (joined (portRef Z (instanceRef un2_channel_hit_time_11_10)) (portRef D0 (instanceRef un2_channel_hit_time_15_10)) )) @@ -932166,7 +934037,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_11_9)) )) - (net N_799 (joined + (net N_910 (joined (portRef Z (instanceRef un2_channel_hit_time_11_9)) (portRef D0 (instanceRef un2_channel_hit_time_15_9)) )) @@ -932178,7 +934049,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_11_8)) )) - (net N_798 (joined + (net N_909 (joined (portRef Z (instanceRef un2_channel_hit_time_11_8)) (portRef D0 (instanceRef un2_channel_hit_time_15_8)) )) @@ -932190,7 +934061,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_11_7)) )) - (net N_797 (joined + (net N_908 (joined (portRef Z (instanceRef un2_channel_hit_time_11_7)) (portRef D0 (instanceRef un2_channel_hit_time_15_7)) )) @@ -932202,7 +934073,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_11_6)) )) - (net N_796 (joined + (net N_907 (joined (portRef Z (instanceRef un2_channel_hit_time_11_6)) (portRef D0 (instanceRef un2_channel_hit_time_15_6)) )) @@ -932214,7 +934085,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_11_5)) )) - (net N_795 (joined + (net N_906 (joined (portRef Z (instanceRef un2_channel_hit_time_11_5)) (portRef D0 (instanceRef un2_channel_hit_time_15_5)) )) @@ -932226,7 +934097,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_11_4)) )) - (net N_794 (joined + (net N_905 (joined (portRef Z (instanceRef un2_channel_hit_time_11_4)) (portRef D0 (instanceRef un2_channel_hit_time_15_4)) )) @@ -932238,7 +934109,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_11_3)) )) - (net N_793 (joined + (net N_904 (joined (portRef Z (instanceRef un2_channel_hit_time_11_3)) (portRef D0 (instanceRef un2_channel_hit_time_15_3)) )) @@ -932250,7 +934121,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_11_2)) )) - (net N_792 (joined + (net N_903 (joined (portRef Z (instanceRef un2_channel_hit_time_11_2)) (portRef D0 (instanceRef un2_channel_hit_time_15_2)) )) @@ -932262,7 +934133,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_11_1)) )) - (net N_791 (joined + (net N_902 (joined (portRef Z (instanceRef un2_channel_hit_time_11_1)) (portRef D0 (instanceRef un2_channel_hit_time_15_1)) )) @@ -932274,7 +934145,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_11_0)) )) - (net N_790 (joined + (net N_901 (joined (portRef Z (instanceRef un2_channel_hit_time_11_0)) (portRef D0 (instanceRef un2_channel_hit_time_15_0)) )) @@ -932286,7 +934157,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_7_10)) )) - (net N_756 (joined + (net N_867 (joined (portRef Z (instanceRef un2_channel_hit_time_7_10)) (portRef D1 (instanceRef un2_channel_hit_time_8_10)) )) @@ -932298,7 +934169,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_7_9)) )) - (net N_755 (joined + (net N_866 (joined (portRef Z (instanceRef un2_channel_hit_time_7_9)) (portRef D1 (instanceRef un2_channel_hit_time_8_9)) )) @@ -932310,7 +934181,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_7_8)) )) - (net N_754 (joined + (net N_865 (joined (portRef Z (instanceRef un2_channel_hit_time_7_8)) (portRef D1 (instanceRef un2_channel_hit_time_8_8)) )) @@ -932322,7 +934193,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_7_7)) )) - (net N_753 (joined + (net N_864 (joined (portRef Z (instanceRef un2_channel_hit_time_7_7)) (portRef D1 (instanceRef un2_channel_hit_time_8_7)) )) @@ -932334,7 +934205,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_7_6)) )) - (net N_752 (joined + (net N_863 (joined (portRef Z (instanceRef un2_channel_hit_time_7_6)) (portRef D1 (instanceRef un2_channel_hit_time_8_6)) )) @@ -932346,7 +934217,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_7_5)) )) - (net N_751 (joined + (net N_862 (joined (portRef Z (instanceRef un2_channel_hit_time_7_5)) (portRef D1 (instanceRef un2_channel_hit_time_8_5)) )) @@ -932358,7 +934229,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_7_4)) )) - (net N_750 (joined + (net N_861 (joined (portRef Z (instanceRef un2_channel_hit_time_7_4)) (portRef D1 (instanceRef un2_channel_hit_time_8_4)) )) @@ -932370,7 +934241,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_7_3)) )) - (net N_749 (joined + (net N_860 (joined (portRef Z (instanceRef un2_channel_hit_time_7_3)) (portRef D1 (instanceRef un2_channel_hit_time_8_3)) )) @@ -932382,7 +934253,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_7_2)) )) - (net N_748 (joined + (net N_859 (joined (portRef Z (instanceRef un2_channel_hit_time_7_2)) (portRef D1 (instanceRef un2_channel_hit_time_8_2)) )) @@ -932394,7 +934265,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_7_1)) )) - (net N_747 (joined + (net N_858 (joined (portRef Z (instanceRef un2_channel_hit_time_7_1)) (portRef D1 (instanceRef un2_channel_hit_time_8_1)) )) @@ -932406,183 +934277,181 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_7_0)) )) - (net N_746 (joined + (net N_857 (joined (portRef Z (instanceRef un2_channel_hit_time_7_0)) (portRef D1 (instanceRef un2_channel_hit_time_8_0)) )) - (net N_612_0 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_i_o2_2)) - (portRef D (instanceRef rd_en_fsm_i_o2_1_19)) + (net N_741 (joined + (portRef Z (instanceRef un1_FSM_CURRENT_i_a2)) + (portRef D (instanceRef rd_en_fsm_0_a2_i_o2_1_23)) )) - (net fsm_debug_fsm_i_i_0_a2_2_0 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_0)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_0)) + (net stop_status_i_0_sqmuxa_0_o2_0 (joined + (portRef Z (instanceRef stop_status_i_0_sqmuxa_0_o2_0)) + (portRef D (instanceRef stop_status_i_0_sqmuxa_i)) )) - (net trg_invalid_i (joined - (portRef trg_invalid_i) - (portRef C (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_m2_0)) - (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) + (net fsm_debug_fsm_i_0_2_0 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_2_0)) + (portRef C (instanceRef fsm_debug_fsm_i_0_4_0)) )) - (net N_370 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_m2_0)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_0_0)) + (net fsm_debug_fsm_i_i_0_a2_3_1 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_3_0_1)) + (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_1)) )) - (net N_458 (joined - (portRef Z (instanceRef mask_i_4_0_a2_28)) - (portRef A (instanceRef mask_i_4_0_28)) + (net N_636 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_24)) + (portRef A (instanceRef mask_i_4_0_0_24)) )) - (net N_512 (joined - (portRef Z (instanceRef mask_i_4_0_a2_23)) - (portRef A (instanceRef mask_i_4_0_23)) + (net data_out_reg_3_4_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) )) - (net N_511 (joined - (portRef Z (instanceRef mask_i_4_0_a2_22)) - (portRef A (instanceRef mask_i_4_0_22)) + (net data_out_reg_3_4_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) )) - (net N_510 (joined - (portRef Z (instanceRef mask_i_4_0_a2_21)) - (portRef A (instanceRef mask_i_4_0_21)) + (net N_1269 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) )) - (net N_509 (joined - (portRef Z (instanceRef mask_i_4_0_a2_20)) - (portRef A (instanceRef mask_i_4_0_20)) + (net data_out_reg_3_4_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) )) - (net N_508 (joined - (portRef Z (instanceRef mask_i_4_0_a2_19)) - (portRef A (instanceRef mask_i_4_0_19)) + (net data_out_reg_3_4_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) )) - (net N_507 (joined - (portRef Z (instanceRef mask_i_4_0_a2_18)) - (portRef A (instanceRef mask_i_4_0_18)) + (net N_1270 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) )) - (net N_506 (joined - (portRef Z (instanceRef mask_i_4_0_a2_17)) - (portRef A (instanceRef mask_i_4_0_17)) + (net N_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_wr_reg_4_m4)) + (portRef A (instanceRef un1_wr_header_i_2_i_a3_1)) )) - (net N_505 (joined - (portRef Z (instanceRef mask_i_4_0_a2_16)) - (portRef A (instanceRef mask_i_4_0_16)) + (net N_744 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_31)) + (portRef A (instanceRef mask_i_4_0_0_31)) )) - (net N_504 (joined - (portRef Z (instanceRef mask_i_4_0_a2_10)) - (portRef A (instanceRef mask_i_4_0_10)) + (net N_743 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_15)) + (portRef A (instanceRef mask_i_4_0_0_15)) )) - (net N_503 (joined - (portRef Z (instanceRef mask_i_4_0_a2_1)) - (portRef A (instanceRef mask_i_4_0_1)) + (net N_638 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_29)) + (portRef A (instanceRef mask_i_4_0_0_29)) )) - (net N_469 (joined - (portRef Z (instanceRef mask_i_4_0_a2_27)) - (portRef A (instanceRef mask_i_4_0_27)) + (net N_637 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_28)) + (portRef A (instanceRef mask_i_4_0_0_28)) )) - (net N_468 (joined - (portRef Z (instanceRef mask_i_4_0_a2_26)) - (portRef A (instanceRef mask_i_4_0_26)) + (net N_635 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_23)) + (portRef A (instanceRef mask_i_4_0_0_23)) )) - (net N_467 (joined - (portRef Z (instanceRef mask_i_4_0_a2_25)) - (portRef A (instanceRef mask_i_4_0_25)) + (net N_634 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_22)) + (portRef A (instanceRef mask_i_4_0_0_22)) )) - (net N_466 (joined - (portRef Z (instanceRef mask_i_4_0_a2_14)) - (portRef A (instanceRef mask_i_4_0_14)) + (net N_633 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_21)) + (portRef A (instanceRef mask_i_4_0_0_21)) )) - (net N_465 (joined - (portRef Z (instanceRef mask_i_4_0_a2_7)) - (portRef A (instanceRef mask_i_4_0_7)) + (net N_632 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_20)) + (portRef A (instanceRef mask_i_4_0_0_20)) )) - (net N_464 (joined - (portRef Z (instanceRef mask_i_4_0_a2_3)) - (portRef A (instanceRef mask_i_4_0_3)) + (net N_631 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_18)) + (portRef A (instanceRef mask_i_4_0_0_18)) )) - (net N_461 (joined - (portRef Z (instanceRef mask_i_4_0_a2_31)) - (portRef A (instanceRef mask_i_4_0_31)) + (net N_630 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_17)) + (portRef A (instanceRef mask_i_4_0_0_17)) )) - (net N_460 (joined - (portRef Z (instanceRef mask_i_4_0_a2_30)) - (portRef A (instanceRef mask_i_4_0_30)) + (net N_629 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_16)) + (portRef A (instanceRef mask_i_4_0_0_16)) )) - (net N_459 (joined - (portRef Z (instanceRef mask_i_4_0_a2_29)) - (portRef A (instanceRef mask_i_4_0_29)) + (net N_628 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_10)) + (portRef A (instanceRef mask_i_4_0_0_10)) )) - (net N_457 (joined - (portRef Z (instanceRef mask_i_4_0_a2_24)) - (portRef A (instanceRef mask_i_4_0_24)) + (net N_627 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_30)) + (portRef A (instanceRef mask_i_4_0_0_30)) )) - (net N_456 (joined - (portRef Z (instanceRef mask_i_4_0_a2_15)) - (portRef A (instanceRef mask_i_4_0_15)) + (net N_626 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_27)) + (portRef A (instanceRef mask_i_4_0_0_27)) )) - (net N_455 (joined - (portRef Z (instanceRef mask_i_4_0_a2_13)) - (portRef A (instanceRef mask_i_4_0_13)) + (net N_625 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_26)) + (portRef A (instanceRef mask_i_4_0_0_26)) )) - (net N_454 (joined - (portRef Z (instanceRef mask_i_4_0_a2_12)) - (portRef A (instanceRef mask_i_4_0_12)) + (net N_624 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_25)) + (portRef A (instanceRef mask_i_4_0_0_25)) )) - (net N_453 (joined - (portRef Z (instanceRef mask_i_4_0_a2_11)) - (portRef A (instanceRef mask_i_4_0_11)) + (net N_623 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_19)) + (portRef A (instanceRef mask_i_4_0_0_19)) )) - (net N_452 (joined - (portRef Z (instanceRef mask_i_4_0_a2_9)) - (portRef A (instanceRef mask_i_4_0_9)) + (net N_622 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_14)) + (portRef A (instanceRef mask_i_4_0_0_14)) )) - (net N_451 (joined - (portRef Z (instanceRef mask_i_4_0_a2_8)) - (portRef A (instanceRef mask_i_4_0_8)) + (net N_621 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_13)) + (portRef A (instanceRef mask_i_4_0_0_13)) )) - (net N_450 (joined - (portRef Z (instanceRef mask_i_4_0_a2_6)) - (portRef A (instanceRef mask_i_4_0_6)) + (net N_620 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_12)) + (portRef A (instanceRef mask_i_4_0_0_12)) )) - (net N_449 (joined - (portRef Z (instanceRef mask_i_4_0_a2_5)) - (portRef A (instanceRef mask_i_4_0_5)) + (net N_619 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_11)) + (portRef A (instanceRef mask_i_4_0_0_11)) )) - (net N_448 (joined - (portRef Z (instanceRef mask_i_4_0_a2_4)) - (portRef A (instanceRef mask_i_4_0_4)) + (net N_618 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_9)) + (portRef A (instanceRef mask_i_4_0_0_9)) )) - (net N_447 (joined - (portRef Z (instanceRef mask_i_4_0_a2_2)) - (portRef A (instanceRef mask_i_4_0_2)) + (net N_617 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_8)) + (portRef A (instanceRef mask_i_4_0_0_8)) )) - (net N_446 (joined - (portRef Z (instanceRef mask_i_4_0_a2_0)) - (portRef A (instanceRef mask_i_4_0_0)) + (net N_616 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_7)) + (portRef A (instanceRef mask_i_4_0_0_7)) )) - (net N_4 (joined - (portRef Z (instanceRef Data_Out_MUX_data_wr_reg_4_m3)) - (portRef A (instanceRef Data_Out_MUX_data_wr_reg_4_N_7_i)) + (net N_615 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_6)) + (portRef A (instanceRef mask_i_4_0_0_6)) )) - (net data_out_reg_3_4_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) + (net N_614 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_5)) + (portRef A (instanceRef mask_i_4_0_0_5)) )) - (net data_out_reg_3_4_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) + (net N_613 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_4)) + (portRef A (instanceRef mask_i_4_0_0_4)) )) - (net N_1159 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) + (net N_612 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_3)) + (portRef A (instanceRef mask_i_4_0_0_3)) )) - (net data_out_reg_3_4_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) + (net N_611 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_2)) + (portRef A (instanceRef mask_i_4_0_0_2)) )) - (net data_out_reg_3_4_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) + (net N_610 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_1)) + (portRef A (instanceRef mask_i_4_0_0_1)) )) - (net N_1158 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) + (net N_609 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_0)) + (portRef A (instanceRef mask_i_4_0_0_0)) )) (net data_out_reg_3_4_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_29)) @@ -932592,7 +934461,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_29)) )) - (net N_1157 (joined + (net N_1268 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_29)) )) @@ -932604,7 +934473,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_28)) )) - (net N_1156 (joined + (net N_1267 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_28)) )) @@ -932616,7 +934485,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_27)) )) - (net N_1155 (joined + (net N_1266 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_27)) )) @@ -932628,7 +934497,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_26)) )) - (net N_1154 (joined + (net N_1265 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_26)) )) @@ -932640,7 +934509,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_25)) )) - (net N_1153 (joined + (net N_1264 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_25)) )) @@ -932652,7 +934521,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_24)) )) - (net N_1152 (joined + (net N_1263 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_24)) )) @@ -932664,7 +934533,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_23)) )) - (net N_1151 (joined + (net N_1262 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_23)) )) @@ -932676,7 +934545,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_22)) )) - (net N_1150 (joined + (net N_1261 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_22)) )) @@ -932688,7 +934557,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_21)) )) - (net N_1149 (joined + (net N_1260 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_21)) )) @@ -932700,7 +934569,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_20)) )) - (net N_1148 (joined + (net N_1259 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_20)) )) @@ -932712,7 +934581,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_19)) )) - (net N_1147 (joined + (net N_1258 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_19)) )) @@ -932724,7 +934593,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_18)) )) - (net N_1146 (joined + (net N_1257 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_18)) )) @@ -932736,7 +934605,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_17)) )) - (net N_1145 (joined + (net N_1256 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_17)) )) @@ -932748,7 +934617,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_16)) )) - (net N_1144 (joined + (net N_1255 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_16)) )) @@ -932760,7 +934629,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_15)) )) - (net N_1143 (joined + (net N_1254 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_15)) )) @@ -932772,7 +934641,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_14)) )) - (net N_1142 (joined + (net N_1253 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_14)) )) @@ -932784,7 +934653,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_13)) )) - (net N_1141 (joined + (net N_1252 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_13)) )) @@ -932796,7 +934665,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_12)) )) - (net N_1140 (joined + (net N_1251 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_12)) )) @@ -932808,7 +934677,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_11)) )) - (net N_1139 (joined + (net N_1250 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_11)) )) @@ -932820,7 +934689,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_10)) )) - (net N_1138 (joined + (net N_1249 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_10)) )) @@ -932832,7 +934701,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_9)) )) - (net N_1137 (joined + (net N_1248 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_9)) )) @@ -932844,7 +934713,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_8)) )) - (net N_1136 (joined + (net N_1247 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_8)) )) @@ -932856,7 +934725,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_7)) )) - (net N_1135 (joined + (net N_1246 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_7)) )) @@ -932868,7 +934737,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_6)) )) - (net N_1134 (joined + (net N_1245 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_6)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) )) @@ -932880,7 +934749,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_5)) )) - (net N_1133 (joined + (net N_1244 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_5)) )) @@ -932892,7 +934761,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_4)) )) - (net N_1132 (joined + (net N_1243 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_4)) )) @@ -932904,7 +934773,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_3)) )) - (net N_1131 (joined + (net N_1242 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_3)) )) @@ -932916,7 +934785,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_2)) )) - (net N_1130 (joined + (net N_1241 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_2)) )) @@ -932928,9 +934797,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_1)) )) - (net N_1129 (joined + (net N_1240 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_16_am_1)) )) (net data_out_reg_3_4_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_0)) @@ -932940,7 +934809,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_0)) )) - (net N_1128 (joined + (net N_1239 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_0)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) )) @@ -932952,7 +934821,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_4_10)) )) - (net N_723 (joined + (net N_834 (joined (portRef Z (instanceRef un2_channel_hit_time_4_10)) (portRef D0 (instanceRef un2_channel_hit_time_8_10)) )) @@ -932964,7 +934833,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_4_9)) )) - (net N_722 (joined + (net N_833 (joined (portRef Z (instanceRef un2_channel_hit_time_4_9)) (portRef D0 (instanceRef un2_channel_hit_time_8_9)) )) @@ -932976,7 +934845,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_4_8)) )) - (net N_721 (joined + (net N_832 (joined (portRef Z (instanceRef un2_channel_hit_time_4_8)) (portRef D0 (instanceRef un2_channel_hit_time_8_8)) )) @@ -932988,7 +934857,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_4_7)) )) - (net N_720 (joined + (net N_831 (joined (portRef Z (instanceRef un2_channel_hit_time_4_7)) (portRef D0 (instanceRef un2_channel_hit_time_8_7)) )) @@ -933000,7 +934869,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_4_6)) )) - (net N_719 (joined + (net N_830 (joined (portRef Z (instanceRef un2_channel_hit_time_4_6)) (portRef D0 (instanceRef un2_channel_hit_time_8_6)) )) @@ -933012,7 +934881,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_4_5)) )) - (net N_718 (joined + (net N_829 (joined (portRef Z (instanceRef un2_channel_hit_time_4_5)) (portRef D0 (instanceRef un2_channel_hit_time_8_5)) )) @@ -933024,7 +934893,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_4_4)) )) - (net N_717 (joined + (net N_828 (joined (portRef Z (instanceRef un2_channel_hit_time_4_4)) (portRef D0 (instanceRef un2_channel_hit_time_8_4)) )) @@ -933036,7 +934905,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_4_3)) )) - (net N_716 (joined + (net N_827 (joined (portRef Z (instanceRef un2_channel_hit_time_4_3)) (portRef D0 (instanceRef un2_channel_hit_time_8_3)) )) @@ -933048,7 +934917,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_4_2)) )) - (net N_715 (joined + (net N_826 (joined (portRef Z (instanceRef un2_channel_hit_time_4_2)) (portRef D0 (instanceRef un2_channel_hit_time_8_2)) )) @@ -933060,7 +934929,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_4_1)) )) - (net N_714 (joined + (net N_825 (joined (portRef Z (instanceRef un2_channel_hit_time_4_1)) (portRef D0 (instanceRef un2_channel_hit_time_8_1)) )) @@ -933072,10 +934941,16 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_4_0)) )) - (net N_713 (joined + (net N_824 (joined (portRef Z (instanceRef un2_channel_hit_time_4_0)) (portRef D0 (instanceRef un2_channel_hit_time_8_0)) )) + (net trg_invalid_i (joined + (portRef trg_invalid_i) + (portRef C (instanceRef fsm_debug_fsm_i_0_a2_0)) + (portRef C (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) + (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) + )) (net FSM_CURRENT_srsts_i_0_0_a2_0_1_8 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) (portRef C (instanceRef FSM_CURRENT_srsts_i_0_0_8)) @@ -933084,15 +934959,29 @@ (portRef trg_timeout_detected_i) (portRef D (instanceRef FSM_CURRENT_srsts_0_i_0_12)) (portRef D (instanceRef FSM_CURRENT_srsts_0_i_0_14)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_3_0)) + (portRef D (instanceRef fsm_debug_fsm_i_0_2_0)) )) - (net N_537 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_0)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_0_0)) - )) - (net fsm_debug_fsm_i_i_0_a2_4_1 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_4_0_1)) - (portRef D (instanceRef fsm_debug_reg_RNO_1)) + (net N_51 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_o2_1)) + (portRef B (instanceRef stop_status_i_0_sqmuxa_i)) + (portRef C (instanceRef data_out_reg_2_sqmuxa_0_a2_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27)) + (portRef C (instanceRef un1_wr_header_i_2_i_0_tz)) + )) + (net un8_wr_status_i_30 (joined + (portRef Z (instanceRef Data_Out_MUX_un5_wr_status_i_30)) + (portRef A (instanceRef stop_status_i_0_sqmuxa_i)) + (portRef B (instanceRef data_out_reg_2_sqmuxa_0_a2_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27)) + (portRef B (instanceRef un1_wr_header_i_2_i_0_tz)) + )) + (net fifo_full_i_0 (joined + (portRef Z (instanceRef fifo_full_i)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_1)) + )) + (net fsm_debug_fsm_i_0_4_0 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_4_0)) + (portRef D (instanceRef fsm_debug_fsm_i_0_0)) )) (net fifo_nr_hex_0_0 (joined (portRef (member fifo_nr_hex_0 3) (instanceRef GEN_0_ROM)) @@ -933106,1869 +934995,4135 @@ (portRef (member fifo_nr_hex_0 1) (instanceRef GEN_0_ROM)) (portRef A (instanceRef fifo_nr_next_6_2)) )) - (net N_423 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2)) + (net N_1591 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) )) - (net N_422 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m2)) + (net N_1597 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) )) - (net N_421 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m2)) + (net N_1847 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) )) - (net N_418 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2)) + (net N_1853 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) + )) + (net N_1621 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) + )) + (net N_1877 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) + )) + (net N_2101 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) + )) + (net N_571 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2)) + )) + (net N_568 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2)) + )) + (net N_564 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m3_i_m2)) + )) + (net N_563 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m3_i_m2)) + )) + (net N_749 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_a2_0)) + (portRef B (instanceRef fsm_debug_fsm_i_0_0)) )) - (net N_2263 (joined + (net N_2389 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_29)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_30)) )) - (net N_2256 (joined + (net N_2382 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_22)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_30)) )) - (net N_2248 (joined + (net N_2374 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_14)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_15)) )) - (net N_2241 (joined + (net N_2367 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_7)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_15)) )) - (net N_1991 (joined + (net N_2102 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_31)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_31)) )) - (net N_1990 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) - )) - (net N_1989 (joined + (net N_2100 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_29)) )) - (net N_1988 (joined + (net N_2099 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_28)) )) - (net N_1987 (joined + (net N_2098 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_27)) )) - (net N_1986 (joined + (net N_2097 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_26)) )) - (net N_1985 (joined + (net N_2096 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_25)) )) - (net N_1984 (joined + (net N_2095 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_24)) )) - (net N_1983 (joined + (net N_2094 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_23)) )) - (net N_1982 (joined + (net N_2093 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_22)) )) - (net N_1981 (joined + (net N_2092 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_21)) )) - (net N_1980 (joined + (net N_2091 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_20)) )) - (net N_1979 (joined + (net N_2090 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_19)) )) - (net N_1978 (joined + (net N_2089 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_18)) )) - (net N_1977 (joined + (net N_2088 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_17)) )) - (net N_1976 (joined + (net N_2087 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_16)) )) - (net N_1975 (joined + (net N_2086 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_15)) )) - (net N_1974 (joined + (net N_2085 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_14)) )) - (net N_1973 (joined + (net N_2084 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_13)) )) - (net N_1972 (joined + (net N_2083 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_12)) )) - (net N_1971 (joined + (net N_2082 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_11)) )) - (net N_1970 (joined + (net N_2081 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_10)) )) - (net N_1969 (joined + (net N_2080 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_9)) )) - (net N_1968 (joined + (net N_2079 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_8)) )) - (net N_1967 (joined + (net N_2078 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_7)) )) - (net N_1966 (joined + (net N_2077 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_6)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) )) - (net N_1965 (joined + (net N_2076 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_5)) )) - (net N_1964 (joined + (net N_2075 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_4)) )) - (net N_1963 (joined + (net N_2074 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_3)) )) - (net N_1962 (joined + (net N_2073 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_2)) )) - (net N_1961 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) - )) - (net N_1960 (joined + (net N_2071 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_0)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) )) - (net N_1767 (joined + (net N_1878 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_31)) )) - (net N_1766 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) - )) - (net N_1765 (joined + (net N_1876 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_29)) )) - (net N_1764 (joined + (net N_1875 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_28)) )) - (net N_1763 (joined + (net N_1874 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_27)) )) - (net N_1762 (joined + (net N_1873 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_26)) )) - (net N_1761 (joined + (net N_1872 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_25)) )) - (net N_1760 (joined + (net N_1871 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_24)) )) - (net N_1759 (joined + (net N_1870 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_23)) )) - (net N_1758 (joined + (net N_1869 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_22)) )) - (net N_1757 (joined + (net N_1868 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_21)) )) - (net N_1756 (joined + (net N_1867 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_20)) )) - (net N_1755 (joined + (net N_1866 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_19)) )) - (net N_1754 (joined + (net N_1865 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_18)) )) - (net N_1753 (joined + (net N_1864 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_17)) )) - (net N_1752 (joined + (net N_1863 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_16)) )) - (net N_1751 (joined + (net N_1862 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_15)) )) - (net N_1750 (joined + (net N_1861 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_14)) )) - (net N_1749 (joined + (net N_1860 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_13)) )) - (net N_1748 (joined + (net N_1859 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_12)) )) - (net N_1747 (joined + (net N_1858 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_11)) )) - (net N_1746 (joined + (net N_1857 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_10)) )) - (net N_1745 (joined + (net N_1856 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_9)) )) - (net N_1744 (joined + (net N_1855 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_8)) )) - (net N_1743 (joined + (net N_1854 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_7)) )) - (net N_1742 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) - )) - (net N_1741 (joined + (net N_1852 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_5)) )) - (net N_1740 (joined + (net N_1851 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_4)) )) - (net N_1739 (joined + (net N_1850 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_3)) )) - (net N_1738 (joined + (net N_1849 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_2)) )) - (net N_1737 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) - )) - (net N_1736 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) - )) - (net N_1511 (joined + (net N_1622 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) )) - (net N_1510 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) - )) - (net N_1509 (joined + (net N_1620 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_29)) )) - (net N_1508 (joined + (net N_1619 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_28)) )) - (net N_1507 (joined + (net N_1618 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_27)) )) - (net N_1506 (joined + (net N_1617 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_26)) )) - (net N_1505 (joined + (net N_1616 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_25)) )) - (net N_1504 (joined + (net N_1615 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_24)) )) - (net N_1503 (joined + (net N_1614 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_23)) )) - (net N_1502 (joined + (net N_1613 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_22)) )) - (net N_1501 (joined + (net N_1612 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_21)) )) - (net N_1500 (joined + (net N_1611 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_20)) )) - (net N_1499 (joined + (net N_1610 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_19)) )) - (net N_1498 (joined + (net N_1609 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_18)) )) - (net N_1497 (joined + (net N_1608 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_17)) )) - (net N_1496 (joined + (net N_1607 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_16)) )) - (net N_1495 (joined + (net N_1606 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_15)) )) - (net N_1494 (joined + (net N_1605 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_14)) )) - (net N_1493 (joined + (net N_1604 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_13)) )) - (net N_1492 (joined + (net N_1603 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_12)) )) - (net N_1491 (joined + (net N_1602 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_11)) )) - (net N_1490 (joined + (net N_1601 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_10)) )) - (net N_1489 (joined + (net N_1600 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_9)) )) - (net N_1488 (joined + (net N_1599 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_8)) )) - (net N_1487 (joined + (net N_1598 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_7)) )) - (net N_1486 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) - )) - (net N_1485 (joined + (net N_1596 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_5)) )) - (net N_1484 (joined + (net N_1595 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_4)) )) - (net N_1483 (joined + (net N_1594 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_3)) )) - (net N_1482 (joined + (net N_1593 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_2)) )) - (net N_1481 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) - )) - (net N_1480 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) - )) - (net N_1009 (joined + (net N_1120 (joined (portRef Z (instanceRef un2_channel_hit_time_30_10)) (portRef D1 (instanceRef un2_channel_hit_time_31_10)) )) - (net N_1008 (joined + (net N_1119 (joined (portRef Z (instanceRef un2_channel_hit_time_30_9)) (portRef D1 (instanceRef un2_channel_hit_time_31_9)) )) - (net N_1007 (joined + (net N_1118 (joined (portRef Z (instanceRef un2_channel_hit_time_30_8)) (portRef D1 (instanceRef un2_channel_hit_time_31_8)) )) - (net N_1006 (joined + (net N_1117 (joined (portRef Z (instanceRef un2_channel_hit_time_30_7)) (portRef D1 (instanceRef un2_channel_hit_time_31_7)) )) - (net N_1005 (joined + (net N_1116 (joined (portRef Z (instanceRef un2_channel_hit_time_30_6)) (portRef D1 (instanceRef un2_channel_hit_time_31_6)) )) - (net N_1004 (joined + (net N_1115 (joined (portRef Z (instanceRef un2_channel_hit_time_30_5)) (portRef D1 (instanceRef un2_channel_hit_time_31_5)) )) - (net N_1003 (joined + (net N_1114 (joined (portRef Z (instanceRef un2_channel_hit_time_30_4)) (portRef D1 (instanceRef un2_channel_hit_time_31_4)) )) - (net N_1002 (joined + (net N_1113 (joined (portRef Z (instanceRef un2_channel_hit_time_30_3)) (portRef D1 (instanceRef un2_channel_hit_time_31_3)) )) - (net N_1001 (joined + (net N_1112 (joined (portRef Z (instanceRef un2_channel_hit_time_30_2)) (portRef D1 (instanceRef un2_channel_hit_time_31_2)) )) - (net N_1000 (joined + (net N_1111 (joined (portRef Z (instanceRef un2_channel_hit_time_30_1)) (portRef D1 (instanceRef un2_channel_hit_time_31_1)) )) - (net N_999 (joined + (net N_1110 (joined (portRef Z (instanceRef un2_channel_hit_time_30_0)) (portRef D1 (instanceRef un2_channel_hit_time_31_0)) )) - (net N_932 (joined + (net N_1043 (joined (portRef Z (instanceRef un2_channel_hit_time_23_10)) (portRef D0 (instanceRef un2_channel_hit_time_31_10)) )) - (net N_931 (joined + (net N_1042 (joined (portRef Z (instanceRef un2_channel_hit_time_23_9)) (portRef D0 (instanceRef un2_channel_hit_time_31_9)) )) - (net N_930 (joined + (net N_1041 (joined (portRef Z (instanceRef un2_channel_hit_time_23_8)) (portRef D0 (instanceRef un2_channel_hit_time_31_8)) )) - (net N_929 (joined + (net N_1040 (joined (portRef Z (instanceRef un2_channel_hit_time_23_7)) (portRef D0 (instanceRef un2_channel_hit_time_31_7)) )) - (net N_928 (joined + (net N_1039 (joined (portRef Z (instanceRef un2_channel_hit_time_23_6)) (portRef D0 (instanceRef un2_channel_hit_time_31_6)) )) - (net N_927 (joined + (net N_1038 (joined (portRef Z (instanceRef un2_channel_hit_time_23_5)) (portRef D0 (instanceRef un2_channel_hit_time_31_5)) )) - (net N_926 (joined + (net N_1037 (joined (portRef Z (instanceRef un2_channel_hit_time_23_4)) (portRef D0 (instanceRef un2_channel_hit_time_31_4)) )) - (net N_925 (joined + (net N_1036 (joined (portRef Z (instanceRef un2_channel_hit_time_23_3)) (portRef D0 (instanceRef un2_channel_hit_time_31_3)) )) - (net N_924 (joined + (net N_1035 (joined (portRef Z (instanceRef un2_channel_hit_time_23_2)) (portRef D0 (instanceRef un2_channel_hit_time_31_2)) )) - (net N_923 (joined + (net N_1034 (joined (portRef Z (instanceRef un2_channel_hit_time_23_1)) (portRef D0 (instanceRef un2_channel_hit_time_31_1)) )) - (net N_922 (joined + (net N_1033 (joined (portRef Z (instanceRef un2_channel_hit_time_23_0)) (portRef D0 (instanceRef un2_channel_hit_time_31_0)) )) - (net N_844 (joined + (net N_955 (joined (portRef Z (instanceRef un2_channel_hit_time_15_10)) (portRef D1 (instanceRef un2_channel_hit_time_16_10)) )) - (net N_843 (joined + (net N_954 (joined (portRef Z (instanceRef un2_channel_hit_time_15_9)) (portRef D1 (instanceRef un2_channel_hit_time_16_9)) )) - (net N_842 (joined + (net N_953 (joined (portRef Z (instanceRef un2_channel_hit_time_15_8)) (portRef D1 (instanceRef un2_channel_hit_time_16_8)) )) - (net N_841 (joined + (net N_952 (joined (portRef Z (instanceRef un2_channel_hit_time_15_7)) (portRef D1 (instanceRef un2_channel_hit_time_16_7)) )) - (net N_840 (joined + (net N_951 (joined (portRef Z (instanceRef un2_channel_hit_time_15_6)) (portRef D1 (instanceRef un2_channel_hit_time_16_6)) )) - (net N_839 (joined + (net N_950 (joined (portRef Z (instanceRef un2_channel_hit_time_15_5)) (portRef D1 (instanceRef un2_channel_hit_time_16_5)) )) - (net N_838 (joined + (net N_949 (joined (portRef Z (instanceRef un2_channel_hit_time_15_4)) (portRef D1 (instanceRef un2_channel_hit_time_16_4)) )) - (net N_837 (joined + (net N_948 (joined (portRef Z (instanceRef un2_channel_hit_time_15_3)) (portRef D1 (instanceRef un2_channel_hit_time_16_3)) )) - (net N_836 (joined + (net N_947 (joined (portRef Z (instanceRef un2_channel_hit_time_15_2)) (portRef D1 (instanceRef un2_channel_hit_time_16_2)) )) - (net N_835 (joined + (net N_946 (joined (portRef Z (instanceRef un2_channel_hit_time_15_1)) (portRef D1 (instanceRef un2_channel_hit_time_16_1)) )) - (net N_834 (joined + (net N_945 (joined (portRef Z (instanceRef un2_channel_hit_time_15_0)) (portRef D1 (instanceRef un2_channel_hit_time_16_0)) )) (net reset_i_rep2 (joined (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef GEN_Channels_29_Channels)) (portRef reset_i_rep2 (instanceRef GEN_Channels_31_Channels)) (portRef reset_i_rep2 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_i_rep2 (instanceRef GEN_Channels_28_Channels)) (portRef reset_i_rep2 (instanceRef The_Reference_Time)) - (portRef D (instanceRef FSM_CURRENT_RNO_5)) (portRef D (instanceRef FSM_CURRENT_RNO_0)) - (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_12)) + (portRef C (instanceRef FSM_CURRENT_RNO_5)) (portRef D (instanceRef FSM_CURRENT_srsts_i_0_0_8)) - (portRef C (instanceRef FSM_CURRENT_RNO_13)) - (portRef D (instanceRef trg_win_cnt_up_i_2_0_i)) - )) - (net N_561 (joined - (portRef Z (instanceRef data_finished_fsm_0_i_s_0_a2)) - (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_12)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) - (portRef B (instanceRef data_finished_fsm_0_i_s_0)) + (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_12)) + (portRef D (instanceRef FSM_CURRENT_srsts_0_i_0_9)) + (portRef D (instanceRef FSM_CURRENT_RNO_13)) + (portRef D (instanceRef trg_win_cnt_up_i_1_0_i)) )) (net fsm_debug_fsm_i_i_0_0_tz_1 (joined (portRef Z (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) - (portRef C (instanceRef fsm_debug_reg_RNO_1)) + (portRef D (instanceRef fsm_debug_reg_RNO_1)) )) - (net N_1287 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) + (net N_1367 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) + )) + (net N_1373 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) )) - (net N_1286 (joined + (net N_1397 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) )) - (net N_1285 (joined + (net N_1398 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) + )) + (net N_1396 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_29)) )) - (net N_1284 (joined + (net N_1395 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_28)) )) - (net N_1283 (joined + (net N_1394 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_27)) )) - (net N_1282 (joined + (net N_1393 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_26)) )) - (net N_1281 (joined + (net N_1392 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_25)) )) - (net N_1280 (joined + (net N_1391 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_24)) )) - (net N_1279 (joined + (net N_1390 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_23)) )) - (net N_1278 (joined + (net N_1389 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_22)) )) - (net N_1277 (joined + (net N_1388 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_21)) )) - (net N_1276 (joined + (net N_1387 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_20)) )) - (net N_1275 (joined + (net N_1386 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_19)) )) - (net N_1274 (joined + (net N_1385 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_18)) )) - (net N_1273 (joined + (net N_1384 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_17)) )) - (net N_1272 (joined + (net N_1383 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_16)) )) - (net N_1271 (joined + (net N_1382 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_15)) )) - (net N_1270 (joined + (net N_1381 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_14)) )) - (net N_1269 (joined + (net N_1380 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_13)) )) - (net N_1268 (joined + (net N_1379 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_12)) )) - (net N_1267 (joined + (net N_1378 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_11)) )) - (net N_1266 (joined + (net N_1377 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_10)) )) - (net N_1265 (joined + (net N_1376 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_9)) )) - (net N_1264 (joined + (net N_1375 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_8)) )) - (net N_1263 (joined + (net N_1374 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_7)) )) - (net N_1262 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) - )) - (net N_1261 (joined + (net N_1372 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_5)) )) - (net N_1260 (joined + (net N_1371 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_4)) )) - (net N_1259 (joined + (net N_1370 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_3)) )) - (net N_1258 (joined + (net N_1369 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_2)) )) - (net N_1257 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) - )) - (net N_1256 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) - )) - (net N_767 (joined + (net N_878 (joined (portRef Z (instanceRef un2_channel_hit_time_8_10)) (portRef D0 (instanceRef un2_channel_hit_time_16_10)) )) - (net N_766 (joined + (net N_877 (joined (portRef Z (instanceRef un2_channel_hit_time_8_9)) (portRef D0 (instanceRef un2_channel_hit_time_16_9)) )) - (net N_765 (joined + (net N_876 (joined (portRef Z (instanceRef un2_channel_hit_time_8_8)) (portRef D0 (instanceRef un2_channel_hit_time_16_8)) )) - (net N_764 (joined + (net N_875 (joined (portRef Z (instanceRef un2_channel_hit_time_8_7)) (portRef D0 (instanceRef un2_channel_hit_time_16_7)) )) - (net N_763 (joined + (net N_874 (joined (portRef Z (instanceRef un2_channel_hit_time_8_6)) (portRef D0 (instanceRef un2_channel_hit_time_16_6)) )) - (net N_762 (joined + (net N_873 (joined (portRef Z (instanceRef un2_channel_hit_time_8_5)) (portRef D0 (instanceRef un2_channel_hit_time_16_5)) )) - (net N_761 (joined + (net N_872 (joined (portRef Z (instanceRef un2_channel_hit_time_8_4)) (portRef D0 (instanceRef un2_channel_hit_time_16_4)) )) - (net N_760 (joined + (net N_871 (joined (portRef Z (instanceRef un2_channel_hit_time_8_3)) (portRef D0 (instanceRef un2_channel_hit_time_16_3)) )) - (net N_759 (joined + (net N_870 (joined (portRef Z (instanceRef un2_channel_hit_time_8_2)) (portRef D0 (instanceRef un2_channel_hit_time_16_2)) )) - (net N_758 (joined + (net N_869 (joined (portRef Z (instanceRef un2_channel_hit_time_8_1)) (portRef D0 (instanceRef un2_channel_hit_time_16_1)) )) - (net N_757 (joined + (net N_868 (joined (portRef Z (instanceRef un2_channel_hit_time_8_0)) (portRef D0 (instanceRef un2_channel_hit_time_16_0)) )) - (net fsm_debug_fsm_i_i_0_0_0 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_0_0)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_1_0)) + (net N_2103 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_0)) + )) + (net N_2109 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_6)) + )) + (net N_2133 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) + )) + (net un1_wr_header_i_2_i_2 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_2)) + (portRef A (instanceRef un1_wr_header_i_2_i_2_RNI6MFM)) )) - (net N_2264 (joined + (net N_2390 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_30)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_31)) )) - (net N_2249 (joined + (net N_2375 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_15)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_31)) )) - (net N_2023 (joined + (net N_2134 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_31)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_31)) )) - (net N_2022 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) - )) - (net N_2021 (joined + (net N_2132 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_29)) )) - (net N_2020 (joined + (net N_2131 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_28)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_28)) + (portRef B (instanceRef data_out_reg_RNO_28)) )) - (net N_2019 (joined + (net N_2130 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_27)) - (portRef B (instanceRef data_out_reg_RNO_27)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_27)) )) - (net N_2018 (joined + (net N_2129 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_26)) - (portRef B (instanceRef data_out_reg_RNO_26)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_26)) )) - (net N_2017 (joined + (net N_2128 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_25)) - (portRef B (instanceRef data_out_reg_RNO_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25)) )) - (net N_2016 (joined + (net N_2127 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_24)) - (portRef B (instanceRef data_out_reg_RNO_24)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_24)) )) - (net N_2015 (joined + (net N_2126 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_23)) - (portRef B (instanceRef data_out_reg_RNO_23)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_23)) )) - (net N_2014 (joined + (net N_2125 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_22)) - (portRef B (instanceRef data_out_reg_RNO_22)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_22)) )) - (net N_2013 (joined + (net N_2124 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_21)) - (portRef B (instanceRef data_out_reg_RNO_21)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_21)) )) - (net N_2012 (joined + (net N_2123 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_20)) - (portRef B (instanceRef data_out_reg_RNO_20)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_20)) )) - (net N_2011 (joined + (net N_2122 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_19)) - (portRef B (instanceRef data_out_reg_RNO_19)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_19)) )) - (net N_2010 (joined + (net N_2121 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_18)) - (portRef B (instanceRef data_out_reg_RNO_18)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_18)) )) - (net N_2009 (joined + (net N_2120 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_17)) - (portRef B (instanceRef data_out_reg_RNO_17)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_17)) )) - (net N_2008 (joined + (net N_2119 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_16)) - (portRef B (instanceRef data_out_reg_RNO_16)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_16)) )) - (net N_2007 (joined + (net N_2118 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_15)) - (portRef B (instanceRef data_out_reg_RNO_15)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_15)) )) - (net N_2006 (joined + (net N_2117 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_14)) - (portRef B (instanceRef data_out_reg_RNO_14)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_14)) )) - (net N_2005 (joined + (net N_2116 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_13)) - (portRef B (instanceRef data_out_reg_RNO_13)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_13)) )) - (net N_2004 (joined + (net N_2115 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_12)) - (portRef B (instanceRef data_out_reg_RNO_12)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_12)) )) - (net N_2003 (joined + (net N_2114 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_11)) - (portRef B (instanceRef data_out_reg_RNO_11)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_11)) )) - (net N_2002 (joined + (net N_2113 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_10)) - (portRef B (instanceRef data_out_reg_RNO_10)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_10)) )) - (net N_2001 (joined + (net N_2112 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_9)) - (portRef B (instanceRef data_out_reg_RNO_9)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_9)) )) - (net N_2000 (joined + (net N_2111 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_8)) - (portRef B (instanceRef data_out_reg_RNO_8)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_8)) )) - (net N_1999 (joined + (net N_2110 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_7)) - (portRef B (instanceRef data_out_reg_RNO_7)) - )) - (net N_1998 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) - (portRef B (instanceRef data_out_reg_RNO_6)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_7)) )) - (net N_1997 (joined + (net N_2108 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_5)) - (portRef B (instanceRef data_out_reg_RNO_5)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_5)) )) - (net N_1996 (joined + (net N_2107 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_4)) - (portRef B (instanceRef data_out_reg_RNO_4)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_4)) )) - (net N_1995 (joined + (net N_2106 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_3)) - (portRef B (instanceRef data_out_reg_RNO_3)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_3)) )) - (net N_1994 (joined + (net N_2105 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_2)) - (portRef B (instanceRef data_out_reg_RNO_2)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_2)) + )) + (net data_out_reg_3_31_am_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_am_1)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) + )) + (net data_out_reg_3_31_bm_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_bm_1)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) )) - (net N_1993 (joined + (net N_2104 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_1)) )) - (net N_1992 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) - (portRef B (instanceRef data_out_reg_RNO_0)) - )) - (net N_1020 (joined + (net N_1131 (joined (portRef Z (instanceRef un2_channel_hit_time_31_10)) (portRef D1 (instanceRef un2_channel_hit_time_32_10)) )) - (net N_1019 (joined + (net N_1130 (joined (portRef Z (instanceRef un2_channel_hit_time_31_9)) (portRef D1 (instanceRef un2_channel_hit_time_32_9)) )) - (net N_1018 (joined + (net N_1129 (joined (portRef Z (instanceRef un2_channel_hit_time_31_8)) (portRef D1 (instanceRef un2_channel_hit_time_32_8)) )) - (net N_1017 (joined + (net N_1128 (joined (portRef Z (instanceRef un2_channel_hit_time_31_7)) (portRef D1 (instanceRef un2_channel_hit_time_32_7)) )) - (net N_1016 (joined + (net N_1127 (joined (portRef Z (instanceRef un2_channel_hit_time_31_6)) (portRef D1 (instanceRef un2_channel_hit_time_32_6)) )) - (net N_1015 (joined + (net N_1126 (joined (portRef Z (instanceRef un2_channel_hit_time_31_5)) (portRef D1 (instanceRef un2_channel_hit_time_32_5)) )) - (net N_1014 (joined + (net N_1125 (joined (portRef Z (instanceRef un2_channel_hit_time_31_4)) (portRef D1 (instanceRef un2_channel_hit_time_32_4)) )) - (net N_1013 (joined + (net N_1124 (joined (portRef Z (instanceRef un2_channel_hit_time_31_3)) (portRef D1 (instanceRef un2_channel_hit_time_32_3)) )) - (net N_1012 (joined + (net N_1123 (joined (portRef Z (instanceRef un2_channel_hit_time_31_2)) (portRef D1 (instanceRef un2_channel_hit_time_32_2)) )) - (net N_1011 (joined + (net N_1122 (joined (portRef Z (instanceRef un2_channel_hit_time_31_1)) (portRef D1 (instanceRef un2_channel_hit_time_32_1)) )) - (net N_1010 (joined + (net N_1121 (joined (portRef Z (instanceRef un2_channel_hit_time_31_0)) (portRef D1 (instanceRef un2_channel_hit_time_32_0)) )) - (net fsm_debug_fsm_i_i_0_1_0 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_1_0)) - (portRef D (instanceRef fsm_debug_reg_RNO_0)) + (net un1_wr_header_i_2_i_0_tz (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_0_tz)) + (portRef C (instanceRef un1_wr_header_i_2_i_2_RNI6MFM)) )) - (net N_1543 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_31)) + (net N_1623 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_0)) + )) + (net N_1629 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_6)) )) - (net N_1542 (joined + (net N_1653 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) )) - (net N_1541 (joined + (net N_109 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_27)) + (portRef D (instanceRef data_out_reg_8_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_10_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_9_sqmuxa_0_a2)) + )) + (net N_2619 (joined + (portRef Z (instanceRef data_out_reg_2_sqmuxa_0_a2_1)) + (portRef D (instanceRef data_out_reg_2_sqmuxa_0_a3)) + (portRef D (instanceRef data_out_reg_6_sqmuxa_0_a3)) + (portRef D (instanceRef data_out_reg_7_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_4_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_3_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_1_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_5_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_0_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_2_sqmuxa_0_a2)) + )) + (net N_1654 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_31)) + )) + (net N_1652 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_29)) )) - (net N_1540 (joined + (net N_1651 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_28)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_28)) + (portRef A (instanceRef data_out_reg_RNO_28)) )) - (net N_1539 (joined + (net N_1650 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_27)) - (portRef A (instanceRef data_out_reg_RNO_27)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_27)) )) - (net N_1538 (joined + (net N_1649 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_26)) - (portRef A (instanceRef data_out_reg_RNO_26)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_26)) )) - (net N_1537 (joined + (net N_1648 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_25)) - (portRef A (instanceRef data_out_reg_RNO_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25)) )) - (net N_1536 (joined + (net N_1647 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_24)) - (portRef A (instanceRef data_out_reg_RNO_24)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_24)) )) - (net N_1535 (joined + (net N_1646 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_23)) - (portRef A (instanceRef data_out_reg_RNO_23)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_23)) )) - (net N_1534 (joined + (net N_1645 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_22)) - (portRef A (instanceRef data_out_reg_RNO_22)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_22)) )) - (net N_1533 (joined + (net N_1644 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_21)) - (portRef A (instanceRef data_out_reg_RNO_21)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_21)) )) - (net N_1532 (joined + (net N_1643 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_20)) - (portRef A (instanceRef data_out_reg_RNO_20)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_20)) )) - (net N_1531 (joined + (net N_1642 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_19)) - (portRef A (instanceRef data_out_reg_RNO_19)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_19)) )) - (net N_1530 (joined + (net N_1641 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_18)) - (portRef A (instanceRef data_out_reg_RNO_18)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_18)) )) - (net N_1529 (joined + (net N_1640 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_17)) - (portRef A (instanceRef data_out_reg_RNO_17)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_17)) )) - (net N_1528 (joined + (net N_1639 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_16)) - (portRef A (instanceRef data_out_reg_RNO_16)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_16)) )) - (net N_1527 (joined + (net N_1638 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_15)) - (portRef A (instanceRef data_out_reg_RNO_15)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_15)) )) - (net N_1526 (joined + (net N_1637 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_14)) - (portRef A (instanceRef data_out_reg_RNO_14)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_14)) )) - (net N_1525 (joined + (net N_1636 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_13)) - (portRef A (instanceRef data_out_reg_RNO_13)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_13)) )) - (net N_1524 (joined + (net N_1635 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_12)) - (portRef A (instanceRef data_out_reg_RNO_12)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_12)) )) - (net N_1523 (joined + (net N_1634 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_11)) - (portRef A (instanceRef data_out_reg_RNO_11)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_11)) )) - (net N_1522 (joined + (net N_1633 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_10)) - (portRef A (instanceRef data_out_reg_RNO_10)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_10)) )) - (net N_1521 (joined + (net N_1632 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_9)) - (portRef A (instanceRef data_out_reg_RNO_9)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_9)) )) - (net N_1520 (joined + (net N_1631 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_8)) - (portRef A (instanceRef data_out_reg_RNO_8)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_8)) )) - (net N_1519 (joined + (net N_1630 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_7)) - (portRef A (instanceRef data_out_reg_RNO_7)) - )) - (net N_1518 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) - (portRef A (instanceRef data_out_reg_RNO_6)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_7)) )) - (net N_1517 (joined + (net N_1628 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_5)) - (portRef A (instanceRef data_out_reg_RNO_5)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_5)) )) - (net N_1516 (joined + (net N_1627 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_4)) - (portRef A (instanceRef data_out_reg_RNO_4)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_4)) )) - (net N_1515 (joined + (net N_1626 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_3)) - (portRef A (instanceRef data_out_reg_RNO_3)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_3)) )) - (net N_1514 (joined + (net N_1625 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_2)) - (portRef A (instanceRef data_out_reg_RNO_2)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_2)) + )) + (net data_out_reg_3_16_am_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_am_1)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) )) - (net N_1513 (joined + (net data_out_reg_3_16_bm_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_bm_1)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) + )) + (net N_1624 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_1)) )) - (net N_1512 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) - (portRef A (instanceRef data_out_reg_RNO_0)) - )) - (net N_855 (joined + (net N_966 (joined (portRef Z (instanceRef un2_channel_hit_time_16_10)) (portRef D0 (instanceRef un2_channel_hit_time_32_10)) )) - (net N_854 (joined + (net N_965 (joined (portRef Z (instanceRef un2_channel_hit_time_16_9)) (portRef D0 (instanceRef un2_channel_hit_time_32_9)) )) - (net N_853 (joined + (net N_964 (joined (portRef Z (instanceRef un2_channel_hit_time_16_8)) (portRef D0 (instanceRef un2_channel_hit_time_32_8)) )) - (net N_852 (joined + (net N_963 (joined (portRef Z (instanceRef un2_channel_hit_time_16_7)) (portRef D0 (instanceRef un2_channel_hit_time_32_7)) )) - (net N_851 (joined + (net N_962 (joined (portRef Z (instanceRef un2_channel_hit_time_16_6)) (portRef D0 (instanceRef un2_channel_hit_time_32_6)) )) - (net N_850 (joined + (net N_961 (joined (portRef Z (instanceRef un2_channel_hit_time_16_5)) (portRef D0 (instanceRef un2_channel_hit_time_32_5)) )) - (net N_849 (joined + (net N_960 (joined (portRef Z (instanceRef un2_channel_hit_time_16_4)) (portRef D0 (instanceRef un2_channel_hit_time_32_4)) )) - (net N_848 (joined + (net N_959 (joined (portRef Z (instanceRef un2_channel_hit_time_16_3)) (portRef D0 (instanceRef un2_channel_hit_time_32_3)) )) - (net N_847 (joined + (net N_958 (joined (portRef Z (instanceRef un2_channel_hit_time_16_2)) (portRef D0 (instanceRef un2_channel_hit_time_32_2)) )) - (net N_846 (joined + (net N_957 (joined (portRef Z (instanceRef un2_channel_hit_time_16_1)) (portRef D0 (instanceRef un2_channel_hit_time_32_1)) )) - (net N_845 (joined + (net N_956 (joined (portRef Z (instanceRef un2_channel_hit_time_16_0)) (portRef D0 (instanceRef un2_channel_hit_time_32_0)) )) (net un7_empty_channels (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_31)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2_0_a2)) + )) + (net N_110 (joined + (portRef Z (instanceRef data_out_reg_2_sqmuxa_0_a2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12)) + )) + (net data_out_reg_3_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_0)) + )) + (net data_out_reg_3_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_6)) + )) + (net data_out_reg_3_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_30)) + )) + (net N_527 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_i_i_a3_0_o2_5)) + (portRef B (instanceRef FSM_CURRENT_RNO_5)) + (portRef A (instanceRef fsm_debug_fsm_i_0_0)) )) (net data_out_reg_3_31 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_31)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_f0_31)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_31)) )) - (net data_out_reg_3_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) - (portRef A (instanceRef data_out_reg_RNO_30)) + (net data_out_reg_3_27 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_27)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_27)) )) - (net data_out_reg_3_29 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_29)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_f0_29)) + (net data_out_reg_3_24 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_1_24)) )) - (net data_out_reg_3_28 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_28)) - (portRef A (instanceRef data_out_reg_RNO_28)) + (net data_out_reg_3_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_23)) + )) + (net data_out_reg_3_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_22)) + )) + (net data_out_reg_3_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_21)) + )) + (net data_out_reg_3_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_20)) + )) + (net data_out_reg_3_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_19)) + )) + (net data_out_reg_3_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_18)) + )) + (net data_out_reg_3_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_17)) + )) + (net data_out_reg_3_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_16)) + )) + (net data_out_reg_3_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_15)) + )) + (net data_out_reg_3_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_14)) + )) + (net data_out_reg_3_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_13)) + )) + (net data_out_reg_3_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_12)) + )) + (net data_out_reg_3_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_11)) + )) + (net data_out_reg_3_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_10)) + )) + (net data_out_reg_3_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_9)) + )) + (net data_out_reg_3_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_8)) + )) + (net data_out_reg_3_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_7)) + )) + (net data_out_reg_3_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_5)) + )) + (net data_out_reg_3_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_4)) + )) + (net data_out_reg_3_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_3)) + )) + (net data_out_reg_3_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_2)) + )) + (net data_out_reg_3_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_1)) + )) + (net un1_TW_post_11 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_10)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_9_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_9_0)) + )) + (net un1_TW_post_10 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_9)) + (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_9_0)) + (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_9_0)) + )) + (net un1_TW_post_9 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_8)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_7_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_7_0)) + )) + (net un1_TW_post_8 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_7)) + (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_7_0)) + (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_7_0)) + )) + (net un1_TW_post_7 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_6)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_5_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_5_0)) + )) + (net un1_TW_post_6 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_5)) + (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_5_0)) + (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_5_0)) + )) + (net un1_TW_post_5 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_4)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_3_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_3_0)) + )) + (net un1_TW_post_4 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_3)) + (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_3_0)) + (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_3_0)) + )) + (net un1_TW_post_3 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_2)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_1_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_1_0)) + )) + (net un1_TW_post_2 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_1)) + (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_1_0)) + (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_1_0)) + )) + (net un1_TW_post_0 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_0)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_0_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_0_0)) + )) + (net un2_i_i (joined + (portRef S1 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) + (portRef B0 (instanceRef un1_i_3_cry_0_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2_0_a2)) + )) + (net un42_empty_channels (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2_0_a2)) + (portRef B0 (instanceRef un1_empty_channels_cry_0_0)) + )) + (net data_out_reg_10_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_10_sqmuxa_0_a2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_1)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_6)) + )) + (net data_out_reg_8_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_8_sqmuxa_0_a2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_RNO_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_RNO_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_8)) + )) + (net data_out_reg_0_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_0_sqmuxa_0_a2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_6)) + )) + (net data_out_reg_1_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_1_sqmuxa_0_a2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_1_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_1)) + )) + (net data_out_reg_3_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_3_sqmuxa_0_a2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_4_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_4_6)) + )) + (net data_out_reg_4_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_4_sqmuxa_0_a2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_6)) + )) + (net data_out_reg_7_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_7_sqmuxa_0_a2)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_24)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_4_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_1_6)) + )) + (net data_out_reg_6_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_6_sqmuxa_0_a3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_2)) + )) + (net data_out_reg_2_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_2_sqmuxa_0_a3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_0)) + )) + (net N_2874 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25)) + )) + (net N_510 (joined + (portRef Z (instanceRef rd_en_fsm_0_a2_i_o2_1_23)) + (portRef C (instanceRef rd_en_fsm_0_a2_i_o2_0_23)) + (portRef C (instanceRef rd_en_fsm_i_0_o2_0_19)) + )) + (net stat_reg_358 (joined + (portRef Q (instanceRef spike_number_6)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_1_6)) + (portRef stat_reg_358) + )) + (net N_2609 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_1_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7_6)) + )) + (net stat_reg_204 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_12)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12)) + (portRef stat_reg_204) + )) + (net N_2882 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_12)) + )) + (net stat_reg_328 (joined + (portRef Q (instanceRef wrong_readout_number_8)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8)) + (portRef stat_reg_328) + )) + (net N_2854 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_8)) + )) + (net stat_reg_392 (joined + (portRef Q (instanceRef idle_time_8)) + (portRef A1 (instanceRef un1_idle_i_cry_7_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_8)) + (portRef stat_reg_392) + )) + (net N_2853 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_8)) + )) + (net stat_reg_331 (joined + (portRef Q (instanceRef wrong_readout_number_11)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11)) + (portRef stat_reg_331) + )) + (net N_2842 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_11)) + )) + (net stat_reg_395 (joined + (portRef Q (instanceRef idle_time_11)) + (portRef A0 (instanceRef un1_idle_i_cry_11_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_11)) + (portRef stat_reg_395) + )) + (net N_2841 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_11)) + )) + (net stat_reg_335 (joined + (portRef Q (instanceRef wrong_readout_number_15)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15)) + (portRef stat_reg_335) + )) + (net N_2830 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_15)) + )) + (net stat_reg_399 (joined + (portRef Q (instanceRef idle_time_15)) + (portRef A0 (instanceRef un1_idle_i_cry_15_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_15)) + (portRef stat_reg_399) + )) + (net N_2829 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_15)) + )) + (net stat_reg_336 (joined + (portRef Q (instanceRef wrong_readout_number_16)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16)) + (portRef stat_reg_336) + )) + (net N_2818 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_16)) + )) + (net stat_reg_400 (joined + (portRef Q (instanceRef idle_time_16)) + (portRef A1 (instanceRef un1_idle_i_cry_15_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_16)) + (portRef stat_reg_400) + )) + (net N_2817 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_16)) + )) + (net stat_reg_337 (joined + (portRef Q (instanceRef wrong_readout_number_17)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17)) + (portRef stat_reg_337) + )) + (net N_2806 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_17)) + )) + (net stat_reg_401 (joined + (portRef Q (instanceRef idle_time_17)) + (portRef A0 (instanceRef un1_idle_i_cry_17_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_17)) + (portRef stat_reg_401) + )) + (net N_2805 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_17)) + )) + (net stat_reg_339 (joined + (portRef Q (instanceRef wrong_readout_number_19)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19)) + (portRef stat_reg_339) + )) + (net N_2794 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_19)) + )) + (net stat_reg_403 (joined + (portRef Q (instanceRef idle_time_19)) + (portRef A0 (instanceRef un1_idle_i_cry_19_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_19)) + (portRef stat_reg_403) + )) + (net N_2793 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_19)) + )) + (net stat_reg_404 (joined + (portRef Q (instanceRef idle_time_20)) + (portRef A1 (instanceRef un1_idle_i_cry_19_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_20)) + (portRef stat_reg_404) + )) + (net N_2771 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_20)) + )) + (net stat_reg_340 (joined + (portRef Q (instanceRef wrong_readout_number_20)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20)) + (portRef stat_reg_340) + )) + (net N_2769 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_20)) + )) + (net stat_reg_405 (joined + (portRef Q (instanceRef idle_time_21)) + (portRef A0 (instanceRef un1_idle_i_cry_21_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_21)) + (portRef stat_reg_405) + )) + (net N_2760 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_21)) + )) + (net stat_reg_341 (joined + (portRef Q (instanceRef wrong_readout_number_21)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21)) + (portRef stat_reg_341) + )) + (net N_2758 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_21)) + )) + (net stat_reg_406 (joined + (portRef Q (instanceRef idle_time_22)) + (portRef A1 (instanceRef un1_idle_i_cry_21_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_22)) + (portRef stat_reg_406) + )) + (net N_2749 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_22)) + )) + (net stat_reg_342 (joined + (portRef Q (instanceRef wrong_readout_number_22)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22)) + (portRef stat_reg_342) + )) + (net N_2747 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_22)) + )) + (net stat_reg_407 (joined + (portRef Q (instanceRef idle_time_23)) + (portRef A0 (instanceRef un1_idle_i_s_23_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_23)) + (portRef stat_reg_407) + )) + (net N_2738 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_23)) + )) + (net stat_reg_343 (joined + (portRef Q (instanceRef wrong_readout_number_23)) + (portRef A0 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23)) + (portRef stat_reg_343) + )) + (net N_2736 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_23)) + )) + (net stat_reg_160 (joined + (portRef Q (instanceRef valid_tmg_trig_number_0)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0)) + (portRef stat_reg_160) + )) + (net N_2696 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_0)) + )) + (net stat_reg_384 (joined + (portRef Q (instanceRef idle_time_0)) + (portRef A1 (instanceRef un1_idle_i_cry_0_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_0)) + (portRef stat_reg_384) + )) + (net N_2690 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_0)) + )) + (net stat_reg_170 (joined + (portRef Q (instanceRef valid_tmg_trig_number_10)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10)) + (portRef stat_reg_170) + )) + (net N_2684 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_10)) + )) + (net stat_reg_394 (joined + (portRef Q (instanceRef idle_time_10)) + (portRef A1 (instanceRef un1_idle_i_cry_9_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_10)) + (portRef stat_reg_394) + )) + (net N_2678 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_10)) + )) + (net stat_reg_169 (joined + (portRef Q (instanceRef valid_tmg_trig_number_9)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9)) + (portRef stat_reg_169) + )) + (net N_2672 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_9)) + )) + (net stat_reg_393 (joined + (portRef Q (instanceRef idle_time_9)) + (portRef A0 (instanceRef un1_idle_i_cry_9_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_9)) + (portRef stat_reg_393) + )) + (net N_2668 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_9)) + )) + (net stat_reg_173 (joined + (portRef Q (instanceRef valid_tmg_trig_number_13)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13)) + (portRef stat_reg_173) + )) + (net N_2664 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_13)) + )) + (net stat_reg_397 (joined + (portRef Q (instanceRef idle_time_13)) + (portRef A0 (instanceRef un1_idle_i_cry_13_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_13)) + (portRef stat_reg_397) + )) + (net N_2658 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_13)) + )) + (net stat_reg_174 (joined + (portRef Q (instanceRef valid_tmg_trig_number_14)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14)) + (portRef stat_reg_174) + )) + (net N_2652 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_14)) + )) + (net stat_reg_398 (joined + (portRef Q (instanceRef idle_time_14)) + (portRef A1 (instanceRef un1_idle_i_cry_13_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_14)) + (portRef stat_reg_398) + )) + (net N_2647 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_14)) + )) + (net stat_reg_178 (joined + (portRef Q (instanceRef valid_tmg_trig_number_18)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18)) + (portRef stat_reg_178) + )) + (net N_2641 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_18)) + )) + (net stat_reg_402 (joined + (portRef Q (instanceRef idle_time_18)) + (portRef A1 (instanceRef un1_idle_i_cry_17_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_18)) + (portRef stat_reg_402) + )) + (net N_2636 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_18)) + )) + (net stat_reg_396 (joined + (portRef Q (instanceRef idle_time_12)) + (portRef A1 (instanceRef un1_idle_i_cry_11_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_RNO_12)) + (portRef stat_reg_396) + )) + (net idle_time_m_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_RNO_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_12)) + )) + (net stat_reg_389 (joined + (portRef Q (instanceRef idle_time_5)) + (portRef A0 (instanceRef un1_idle_i_cry_5_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_5)) + (portRef stat_reg_389) + )) + (net idle_time_m_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_5)) + )) + (net stat_reg_197 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_5)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5)) + (portRef stat_reg_197) + )) + (net valid_NOtmg_trig_number_m_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_5)) + )) + (net stat_reg_388 (joined + (portRef Q (instanceRef idle_time_4)) + (portRef A1 (instanceRef un1_idle_i_cry_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_4)) + (portRef stat_reg_388) + )) + (net idle_time_m_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_4)) + )) + (net stat_reg_196 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_4)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4)) + (portRef stat_reg_196) + )) + (net valid_NOtmg_trig_number_m_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_4)) + )) + (net stat_reg_387 (joined + (portRef Q (instanceRef idle_time_3)) + (portRef A0 (instanceRef un1_idle_i_cry_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_3)) + (portRef stat_reg_387) + )) + (net idle_time_m_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_3)) + )) + (net stat_reg_195 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_3)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3)) + (portRef stat_reg_195) + )) + (net valid_NOtmg_trig_number_m_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_3)) + )) + (net stat_reg_386 (joined + (portRef Q (instanceRef idle_time_2)) + (portRef A1 (instanceRef un1_idle_i_cry_1_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_2)) + (portRef stat_reg_386) + )) + (net idle_time_m_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_2)) + )) + (net stat_reg_194 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_2)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2)) + (portRef stat_reg_194) + )) + (net valid_NOtmg_trig_number_m_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_2)) + )) + (net stat_reg_385 (joined + (portRef Q (instanceRef idle_time_1)) + (portRef A0 (instanceRef un1_idle_i_cry_1_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_RNO_1)) + (portRef stat_reg_385) + )) + (net idle_time_m_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_RNO_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_1)) + )) + (net stat_reg_321 (joined + (portRef Q (instanceRef wrong_readout_number_1)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1)) + (portRef stat_reg_321) + )) + (net wrong_readout_number_m_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_1)) + )) + (net stat_reg_257 (joined + (portRef Q (instanceRef multi_tmg_trig_number_1)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_1)) + (portRef stat_reg_257) + )) + (net multi_tmg_trig_number_m_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_1)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_1)) + )) + (net stat_reg_129 (joined + (portRef Q (instanceRef trig_number_1)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_1)) + (portRef stat_reg_129) + )) + (net trig_number_m_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_1)) + )) + (net stat_reg_327 (joined + (portRef Q (instanceRef wrong_readout_number_7)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7)) + (portRef stat_reg_327) + )) + (net N_2866 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_7)) + )) + (net stat_reg_391 (joined + (portRef Q (instanceRef idle_time_7)) + (portRef A0 (instanceRef un1_idle_i_cry_7_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_7)) + (portRef stat_reg_391) + )) + (net N_2865 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_7)) + )) + (net N_526 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) + (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_1)) + )) + (net data_out_reg_22_0_iv_i_0_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_1_6)) + )) + (net stat_reg_418 (joined + (portRef Q (instanceRef wait_time_2)) + (portRef A1 (instanceRef un1_readout_i_2_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_2)) + (portRef stat_reg_418) + )) + (net data_out_reg_22_0_iv_0_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_2)) + )) + (net stat_reg_420 (joined + (portRef Q (instanceRef wait_time_4)) + (portRef A1 (instanceRef un1_readout_i_2_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_4)) + (portRef stat_reg_420) + )) + (net data_out_reg_22_0_iv_0_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_4)) + )) + (net stat_reg_416 (joined + (portRef Q (instanceRef wait_time_0)) + (portRef A1 (instanceRef un1_readout_i_2_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_0)) + (portRef stat_reg_416) + )) + (net data_out_reg_22_0_iv_i_0_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_0)) + )) + (net stat_reg_437 (joined + (portRef Q (instanceRef wait_time_21)) + (portRef A0 (instanceRef un1_readout_i_2_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_21)) + (portRef stat_reg_437) + )) + (net data_out_reg_22_0_iv_i_0_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_21)) + )) + (net stat_reg_419 (joined + (portRef Q (instanceRef wait_time_3)) + (portRef A0 (instanceRef un1_readout_i_2_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_3)) + (portRef stat_reg_419) + )) + (net data_out_reg_22_0_iv_0_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_3)) + )) + (net stat_reg_427 (joined + (portRef Q (instanceRef wait_time_11)) + (portRef A0 (instanceRef un1_readout_i_2_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_11)) + (portRef stat_reg_427) + )) + (net data_out_reg_22_0_iv_i_0_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_11)) + )) + (net stat_reg_432 (joined + (portRef Q (instanceRef wait_time_16)) + (portRef A1 (instanceRef un1_readout_i_2_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_16)) + (portRef stat_reg_432) + )) + (net data_out_reg_22_0_iv_i_0_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_16)) + )) + (net stat_reg_431 (joined + (portRef Q (instanceRef wait_time_15)) + (portRef A0 (instanceRef un1_readout_i_2_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_15)) + (portRef stat_reg_431) + )) + (net data_out_reg_22_0_iv_i_0_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_15)) + )) + (net stat_reg_438 (joined + (portRef Q (instanceRef wait_time_22)) + (portRef A1 (instanceRef un1_readout_i_2_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_22)) + (portRef stat_reg_438) + )) + (net data_out_reg_22_0_iv_i_0_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_22)) + )) + (net stat_reg_435 (joined + (portRef Q (instanceRef wait_time_19)) + (portRef A0 (instanceRef un1_readout_i_2_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_19)) + (portRef stat_reg_435) + )) + (net data_out_reg_22_0_iv_i_0_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_19)) + )) + (net stat_reg_430 (joined + (portRef Q (instanceRef wait_time_14)) + (portRef A1 (instanceRef un1_readout_i_2_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_14)) + (portRef stat_reg_430) + )) + (net data_out_reg_22_0_iv_i_0_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_14)) + )) + (net stat_reg_425 (joined + (portRef Q (instanceRef wait_time_9)) + (portRef A0 (instanceRef un1_readout_i_2_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_9)) + (portRef stat_reg_425) + )) + (net data_out_reg_22_0_iv_i_0_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_9)) + )) + (net stat_reg_421 (joined + (portRef Q (instanceRef wait_time_5)) + (portRef A0 (instanceRef un1_readout_i_2_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_5)) + (portRef stat_reg_421) + )) + (net data_out_reg_22_0_iv_0_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_5)) + )) + (net data_out_reg_22_0_iv_0_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_1)) + )) + (net stat_reg_436 (joined + (portRef Q (instanceRef wait_time_20)) + (portRef A1 (instanceRef un1_readout_i_2_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_20)) + (portRef stat_reg_436) + )) + (net data_out_reg_22_0_iv_i_0_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_20)) + )) + (net stat_reg_429 (joined + (portRef Q (instanceRef wait_time_13)) + (portRef A0 (instanceRef un1_readout_i_2_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_13)) + (portRef stat_reg_429) + )) + (net data_out_reg_22_0_iv_i_0_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_13)) + )) + (net stat_reg_423 (joined + (portRef Q (instanceRef wait_time_7)) + (portRef A0 (instanceRef un1_readout_i_2_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_7)) + (portRef stat_reg_423) + )) + (net data_out_reg_22_0_iv_i_0_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_7)) + )) + (net stat_reg_428 (joined + (portRef Q (instanceRef wait_time_12)) + (portRef A1 (instanceRef un1_readout_i_2_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_12)) + (portRef stat_reg_428) + )) + (net data_out_reg_22_0_iv_i_0_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_12)) + )) + (net stat_reg_426 (joined + (portRef Q (instanceRef wait_time_10)) + (portRef A1 (instanceRef un1_readout_i_2_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_10)) + (portRef stat_reg_426) + )) + (net data_out_reg_22_0_iv_i_0_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_10)) + )) + (net stat_reg_434 (joined + (portRef Q (instanceRef wait_time_18)) + (portRef A1 (instanceRef un1_readout_i_2_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_18)) + (portRef stat_reg_434) + )) + (net data_out_reg_22_0_iv_i_0_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_18)) + )) + (net stat_reg_433 (joined + (portRef Q (instanceRef wait_time_17)) + (portRef A0 (instanceRef un1_readout_i_2_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_17)) + (portRef stat_reg_433) + )) + (net data_out_reg_22_0_iv_i_0_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_17)) + )) + (net stat_reg_439 (joined + (portRef Q (instanceRef wait_time_23)) + (portRef A0 (instanceRef un1_readout_i_2_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_23)) + (portRef stat_reg_439) + )) + (net data_out_reg_22_0_iv_i_0_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_23)) + )) + (net stat_reg_424 (joined + (portRef Q (instanceRef wait_time_8)) + (portRef A1 (instanceRef un1_readout_i_2_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_8)) + (portRef stat_reg_424) + )) + (net data_out_reg_22_0_iv_i_0_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_8)) + )) + (net stat_reg_390 (joined + (portRef Q (instanceRef idle_time_6)) + (portRef A1 (instanceRef un1_idle_i_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_6)) + (portRef stat_reg_390) + )) + (net stat_reg_454 (joined + (portRef Q (instanceRef total_empty_channel_6)) + (portRef A1 (instanceRef un1_empty_channels_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_6)) + (portRef stat_reg_454) + )) + (net data_out_reg_22_0_iv_i_2_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6)) + )) + (net stat_reg_230 (joined + (portRef Q (instanceRef invalid_trig_number_6)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_4_6)) + (portRef stat_reg_230) + )) + (net stat_reg_294 (joined + (portRef Q (instanceRef spurious_trig_number_6)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_4_6)) + (portRef stat_reg_294) + )) + (net data_out_reg_22_0_iv_i_4_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_4_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7_6)) + )) + (net stat_reg_166 (joined + (portRef Q (instanceRef valid_tmg_trig_number_6)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_6)) + (portRef stat_reg_166) + )) + (net stat_reg_262 (joined + (portRef Q (instanceRef multi_tmg_trig_number_6)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_6)) + (portRef stat_reg_262) + )) + (net data_out_reg_22_0_iv_i_5_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6)) + )) + (net stat_reg_130 (joined + (portRef Q (instanceRef trig_number_2)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_2)) + (portRef stat_reg_130) + )) + (net stat_reg_450 (joined + (portRef Q (instanceRef total_empty_channel_2)) + (portRef A1 (instanceRef un1_empty_channels_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_2)) + (portRef stat_reg_450) + )) + (net data_out_reg_22_0_iv_2_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_2)) + )) + (net stat_reg_226 (joined + (portRef Q (instanceRef invalid_trig_number_2)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_1_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_2)) + (portRef stat_reg_226) + )) + (net stat_reg_354 (joined + (portRef Q (instanceRef spike_number_2)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_2)) + (portRef stat_reg_354) + )) + (net data_out_reg_22_0_iv_3_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_2)) + )) + (net stat_reg_162 (joined + (portRef Q (instanceRef valid_tmg_trig_number_2)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_2)) + (portRef stat_reg_162) + )) + (net stat_reg_322 (joined + (portRef Q (instanceRef wrong_readout_number_2)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_2)) + (portRef stat_reg_322) + )) + (net data_out_reg_22_0_iv_5_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_2)) + )) + (net stat_reg_132 (joined + (portRef Q (instanceRef trig_number_4)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_4)) + (portRef stat_reg_132) + )) + (net stat_reg_452 (joined + (portRef Q (instanceRef total_empty_channel_4)) + (portRef A1 (instanceRef un1_empty_channels_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_4)) + (portRef stat_reg_452) + )) + (net data_out_reg_22_0_iv_2_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_4)) + )) + (net stat_reg_228 (joined + (portRef Q (instanceRef invalid_trig_number_4)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_4)) + (portRef stat_reg_228) + )) + (net stat_reg_356 (joined + (portRef Q (instanceRef spike_number_4)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_4)) + (portRef stat_reg_356) + )) + (net data_out_reg_22_0_iv_3_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_4)) + )) + (net stat_reg_164 (joined + (portRef Q (instanceRef valid_tmg_trig_number_4)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_4)) + (portRef stat_reg_164) + )) + (net stat_reg_324 (joined + (portRef Q (instanceRef wrong_readout_number_4)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_4)) + (portRef stat_reg_324) + )) + (net data_out_reg_22_0_iv_5_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_4)) + )) + (net stat_reg_128 (joined + (portRef Q (instanceRef trig_number_0)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_0)) + (portRef stat_reg_128) + )) + (net stat_reg_448 (joined + (portRef Q (instanceRef total_empty_channel_0)) + (portRef A1 (instanceRef un1_empty_channels_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_0)) + (portRef stat_reg_448) + )) + (net data_out_reg_22_0_iv_i_2_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0)) + )) + (net stat_reg_288 (joined + (portRef Q (instanceRef spurious_trig_number_0)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_0_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_0)) + (portRef stat_reg_288) + )) + (net stat_reg_352 (joined + (portRef Q (instanceRef spike_number_0)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_0)) + (portRef stat_reg_352) + )) + (net data_out_reg_22_0_iv_i_3_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0)) + )) + (net stat_reg_192 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_0)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_0_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_0)) + (portRef stat_reg_192) + )) + (net stat_reg_320 (joined + (portRef Q (instanceRef wrong_readout_number_0)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_0)) + (portRef stat_reg_320) + )) + (net data_out_reg_22_0_iv_i_5_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_0)) + )) + (net stat_reg_149 (joined + (portRef Q (instanceRef trig_number_21)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_21)) + (portRef stat_reg_149) + )) + (net stat_reg_469 (joined + (portRef Q (instanceRef total_empty_channel_21)) + (portRef A0 (instanceRef un1_empty_channels_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_21)) + (portRef stat_reg_469) + )) + (net data_out_reg_22_0_iv_i_2_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_21)) + )) + (net stat_reg_245 (joined + (portRef Q (instanceRef invalid_trig_number_21)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_21)) + (portRef stat_reg_245) + )) + (net stat_reg_373 (joined + (portRef Q (instanceRef spike_number_21)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_21)) + (portRef stat_reg_373) + )) + (net data_out_reg_22_0_iv_i_3_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_21)) + )) + (net stat_reg_181 (joined + (portRef Q (instanceRef valid_tmg_trig_number_21)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_21)) + (portRef stat_reg_181) + )) + (net stat_reg_213 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_21)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_21)) + (portRef stat_reg_213) + )) + (net data_out_reg_22_0_iv_i_5_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_21)) + )) + (net stat_reg_131 (joined + (portRef Q (instanceRef trig_number_3)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_3)) + (portRef stat_reg_131) + )) + (net stat_reg_451 (joined + (portRef Q (instanceRef total_empty_channel_3)) + (portRef A0 (instanceRef un1_empty_channels_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_3)) + (portRef stat_reg_451) + )) + (net data_out_reg_22_0_iv_2_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_3)) + )) + (net stat_reg_227 (joined + (portRef Q (instanceRef invalid_trig_number_3)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_3)) + (portRef stat_reg_227) + )) + (net stat_reg_355 (joined + (portRef Q (instanceRef spike_number_3)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_3)) + (portRef stat_reg_355) + )) + (net data_out_reg_22_0_iv_3_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_3)) + )) + (net stat_reg_163 (joined + (portRef Q (instanceRef valid_tmg_trig_number_3)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_3)) + (portRef stat_reg_163) + )) + (net stat_reg_323 (joined + (portRef Q (instanceRef wrong_readout_number_3)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_3)) + (portRef stat_reg_323) + )) + (net data_out_reg_22_0_iv_5_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_3)) + )) + (net stat_reg_139 (joined + (portRef Q (instanceRef trig_number_11)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_11)) + (portRef stat_reg_139) + )) + (net stat_reg_459 (joined + (portRef Q (instanceRef total_empty_channel_11)) + (portRef A0 (instanceRef un1_empty_channels_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_11)) + (portRef stat_reg_459) + )) + (net data_out_reg_22_0_iv_i_2_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_11)) + )) + (net stat_reg_235 (joined + (portRef Q (instanceRef invalid_trig_number_11)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_11)) + (portRef stat_reg_235) + )) + (net stat_reg_363 (joined + (portRef Q (instanceRef spike_number_11)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_11)) + (portRef stat_reg_363) + )) + (net data_out_reg_22_0_iv_i_3_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_11)) + )) + (net stat_reg_171 (joined + (portRef Q (instanceRef valid_tmg_trig_number_11)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_11)) + (portRef stat_reg_171) + )) + (net stat_reg_203 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_11)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_11)) + (portRef stat_reg_203) + )) + (net data_out_reg_22_0_iv_i_5_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_11)) + )) + (net stat_reg_144 (joined + (portRef Q (instanceRef trig_number_16)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_16)) + (portRef stat_reg_144) + )) + (net stat_reg_464 (joined + (portRef Q (instanceRef total_empty_channel_16)) + (portRef A1 (instanceRef un1_empty_channels_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_16)) + (portRef stat_reg_464) + )) + (net data_out_reg_22_0_iv_i_2_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_16)) + )) + (net stat_reg_240 (joined + (portRef Q (instanceRef invalid_trig_number_16)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_16)) + (portRef stat_reg_240) + )) + (net stat_reg_368 (joined + (portRef Q (instanceRef spike_number_16)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_16)) + (portRef stat_reg_368) + )) + (net data_out_reg_22_0_iv_i_3_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_16)) + )) + (net stat_reg_176 (joined + (portRef Q (instanceRef valid_tmg_trig_number_16)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_16)) + (portRef stat_reg_176) + )) + (net stat_reg_208 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_16)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_16)) + (portRef stat_reg_208) + )) + (net data_out_reg_22_0_iv_i_5_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_16)) + )) + (net stat_reg_143 (joined + (portRef Q (instanceRef trig_number_15)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_15)) + (portRef stat_reg_143) + )) + (net stat_reg_463 (joined + (portRef Q (instanceRef total_empty_channel_15)) + (portRef A0 (instanceRef un1_empty_channels_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_15)) + (portRef stat_reg_463) + )) + (net data_out_reg_22_0_iv_i_2_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_15)) + )) + (net stat_reg_239 (joined + (portRef Q (instanceRef invalid_trig_number_15)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_15)) + (portRef stat_reg_239) + )) + (net stat_reg_367 (joined + (portRef Q (instanceRef spike_number_15)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_15)) + (portRef stat_reg_367) + )) + (net data_out_reg_22_0_iv_i_3_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_15)) + )) + (net stat_reg_175 (joined + (portRef Q (instanceRef valid_tmg_trig_number_15)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_15)) + (portRef stat_reg_175) + )) + (net stat_reg_207 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_15)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_15)) + (portRef stat_reg_207) + )) + (net data_out_reg_22_0_iv_i_5_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_15)) + )) + (net stat_reg_150 (joined + (portRef Q (instanceRef trig_number_22)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_22)) + (portRef stat_reg_150) + )) + (net stat_reg_470 (joined + (portRef Q (instanceRef total_empty_channel_22)) + (portRef A1 (instanceRef un1_empty_channels_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_22)) + (portRef stat_reg_470) + )) + (net data_out_reg_22_0_iv_i_2_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_22)) + )) + (net stat_reg_246 (joined + (portRef Q (instanceRef invalid_trig_number_22)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_22)) + (portRef stat_reg_246) + )) + (net stat_reg_374 (joined + (portRef Q (instanceRef spike_number_22)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_22)) + (portRef stat_reg_374) + )) + (net data_out_reg_22_0_iv_i_3_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_22)) + )) + (net stat_reg_182 (joined + (portRef Q (instanceRef valid_tmg_trig_number_22)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_22)) + (portRef stat_reg_182) + )) + (net stat_reg_214 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_22)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_22)) + (portRef stat_reg_214) + )) + (net data_out_reg_22_0_iv_i_5_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_22)) + )) + (net stat_reg_147 (joined + (portRef Q (instanceRef trig_number_19)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_19)) + (portRef stat_reg_147) + )) + (net stat_reg_467 (joined + (portRef Q (instanceRef total_empty_channel_19)) + (portRef A0 (instanceRef un1_empty_channels_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_19)) + (portRef stat_reg_467) + )) + (net data_out_reg_22_0_iv_i_2_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_19)) + )) + (net stat_reg_243 (joined + (portRef Q (instanceRef invalid_trig_number_19)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_19)) + (portRef stat_reg_243) + )) + (net stat_reg_371 (joined + (portRef Q (instanceRef spike_number_19)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_19)) + (portRef stat_reg_371) + )) + (net data_out_reg_22_0_iv_i_3_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_19)) + )) + (net stat_reg_179 (joined + (portRef Q (instanceRef valid_tmg_trig_number_19)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_19)) + (portRef stat_reg_179) + )) + (net stat_reg_211 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_19)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_19)) + (portRef stat_reg_211) + )) + (net data_out_reg_22_0_iv_i_5_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_19)) + )) + (net stat_reg_142 (joined + (portRef Q (instanceRef trig_number_14)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_14)) + (portRef stat_reg_142) + )) + (net stat_reg_462 (joined + (portRef Q (instanceRef total_empty_channel_14)) + (portRef A1 (instanceRef un1_empty_channels_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_14)) + (portRef stat_reg_462) + )) + (net data_out_reg_22_0_iv_i_2_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_14)) + )) + (net stat_reg_302 (joined + (portRef Q (instanceRef spurious_trig_number_14)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_14)) + (portRef stat_reg_302) + )) + (net stat_reg_366 (joined + (portRef Q (instanceRef spike_number_14)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_14)) + (portRef stat_reg_366) + )) + (net data_out_reg_22_0_iv_i_3_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_14)) + )) + (net stat_reg_206 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_14)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_14)) + (portRef stat_reg_206) + )) + (net stat_reg_334 (joined + (portRef Q (instanceRef wrong_readout_number_14)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_14)) + (portRef stat_reg_334) + )) + (net data_out_reg_22_0_iv_i_5_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_14)) + )) + (net stat_reg_137 (joined + (portRef Q (instanceRef trig_number_9)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_9)) + (portRef stat_reg_137) + )) + (net stat_reg_457 (joined + (portRef Q (instanceRef total_empty_channel_9)) + (portRef A0 (instanceRef un1_empty_channels_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_9)) + (portRef stat_reg_457) + )) + (net data_out_reg_22_0_iv_i_2_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_9)) + )) + (net stat_reg_233 (joined + (portRef Q (instanceRef invalid_trig_number_9)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_9)) + (portRef stat_reg_233) + )) + (net stat_reg_361 (joined + (portRef Q (instanceRef spike_number_9)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_9)) + (portRef stat_reg_361) + )) + (net data_out_reg_22_0_iv_i_3_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_9)) + )) + (net stat_reg_201 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_9)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_9)) + (portRef stat_reg_201) + )) + (net stat_reg_329 (joined + (portRef Q (instanceRef wrong_readout_number_9)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_9)) + (portRef stat_reg_329) + )) + (net data_out_reg_22_0_iv_i_5_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_9)) + )) + (net stat_reg_133 (joined + (portRef Q (instanceRef trig_number_5)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_5)) + (portRef stat_reg_133) + )) + (net stat_reg_453 (joined + (portRef Q (instanceRef total_empty_channel_5)) + (portRef A0 (instanceRef un1_empty_channels_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_5)) + (portRef stat_reg_453) + )) + (net data_out_reg_22_0_iv_2_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_5)) + )) + (net stat_reg_229 (joined + (portRef Q (instanceRef invalid_trig_number_5)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_5)) + (portRef stat_reg_229) + )) + (net stat_reg_357 (joined + (portRef Q (instanceRef spike_number_5)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_5)) + (portRef stat_reg_357) + )) + (net data_out_reg_22_0_iv_3_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_5)) + )) + (net stat_reg_165 (joined + (portRef Q (instanceRef valid_tmg_trig_number_5)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_5)) + (portRef stat_reg_165) + )) + (net stat_reg_325 (joined + (portRef Q (instanceRef wrong_readout_number_5)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_5)) + (portRef stat_reg_325) + )) + (net data_out_reg_22_0_iv_5_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_5)) + )) + (net stat_reg_225 (joined + (portRef Q (instanceRef invalid_trig_number_1)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_1_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_4_1)) + (portRef stat_reg_225) + )) + (net stat_reg_353 (joined + (portRef Q (instanceRef spike_number_1)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_4_1)) + (portRef stat_reg_353) + )) + (net data_out_reg_22_0_iv_4_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_4_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_1)) + )) + (net stat_reg_161 (joined + (portRef Q (instanceRef valid_tmg_trig_number_1)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_1)) + (portRef stat_reg_161) + )) + (net stat_reg_193 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_1)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_1)) + (portRef stat_reg_193) + )) + (net data_out_reg_22_0_iv_6_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_1)) + )) + (net stat_reg_148 (joined + (portRef Q (instanceRef trig_number_20)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_20)) + (portRef stat_reg_148) + )) + (net stat_reg_468 (joined + (portRef Q (instanceRef total_empty_channel_20)) + (portRef A1 (instanceRef un1_empty_channels_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_20)) + (portRef stat_reg_468) + )) + (net data_out_reg_22_0_iv_i_2_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_20)) + )) + (net stat_reg_244 (joined + (portRef Q (instanceRef invalid_trig_number_20)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_20)) + (portRef stat_reg_244) + )) + (net stat_reg_372 (joined + (portRef Q (instanceRef spike_number_20)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_20)) + (portRef stat_reg_372) + )) + (net data_out_reg_22_0_iv_i_3_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_20)) + )) + (net stat_reg_180 (joined + (portRef Q (instanceRef valid_tmg_trig_number_20)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_20)) + (portRef stat_reg_180) + )) + (net stat_reg_212 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_20)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_20)) + (portRef stat_reg_212) + )) + (net data_out_reg_22_0_iv_i_5_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_20)) + )) + (net stat_reg_141 (joined + (portRef Q (instanceRef trig_number_13)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_13)) + (portRef stat_reg_141) + )) + (net stat_reg_461 (joined + (portRef Q (instanceRef total_empty_channel_13)) + (portRef A0 (instanceRef un1_empty_channels_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_13)) + (portRef stat_reg_461) + )) + (net data_out_reg_22_0_iv_i_2_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_13)) + )) + (net stat_reg_301 (joined + (portRef Q (instanceRef spurious_trig_number_13)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_13)) + (portRef stat_reg_301) + )) + (net stat_reg_365 (joined + (portRef Q (instanceRef spike_number_13)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_13)) + (portRef stat_reg_365) + )) + (net data_out_reg_22_0_iv_i_3_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_13)) + )) + (net stat_reg_205 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_13)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_13)) + (portRef stat_reg_205) + )) + (net stat_reg_333 (joined + (portRef Q (instanceRef wrong_readout_number_13)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_13)) + (portRef stat_reg_333) + )) + (net data_out_reg_22_0_iv_i_5_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_13)) + )) + (net stat_reg_135 (joined + (portRef Q (instanceRef trig_number_7)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_7)) + (portRef stat_reg_135) + )) + (net stat_reg_455 (joined + (portRef Q (instanceRef total_empty_channel_7)) + (portRef A0 (instanceRef un1_empty_channels_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_7)) + (portRef stat_reg_455) + )) + (net data_out_reg_22_0_iv_i_2_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7)) + )) + (net stat_reg_231 (joined + (portRef Q (instanceRef invalid_trig_number_7)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_7)) + (portRef stat_reg_231) + )) + (net stat_reg_359 (joined + (portRef Q (instanceRef spike_number_7)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_7)) + (portRef stat_reg_359) + )) + (net data_out_reg_22_0_iv_i_3_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7)) + )) + (net stat_reg_167 (joined + (portRef Q (instanceRef valid_tmg_trig_number_7)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_7)) + (portRef stat_reg_167) + )) + (net stat_reg_199 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_7)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_7)) + (portRef stat_reg_199) + )) + (net data_out_reg_22_0_iv_i_5_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_7)) + )) + (net stat_reg_140 (joined + (portRef Q (instanceRef trig_number_12)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_12)) + (portRef stat_reg_140) + )) + (net stat_reg_460 (joined + (portRef Q (instanceRef total_empty_channel_12)) + (portRef A1 (instanceRef un1_empty_channels_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_12)) + (portRef stat_reg_460) + )) + (net data_out_reg_22_0_iv_i_2_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_12)) + )) + (net stat_reg_300 (joined + (portRef Q (instanceRef spurious_trig_number_12)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_12)) + (portRef stat_reg_300) + )) + (net stat_reg_364 (joined + (portRef Q (instanceRef spike_number_12)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_12)) + (portRef stat_reg_364) + )) + (net data_out_reg_22_0_iv_i_3_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_12)) + )) + (net stat_reg_172 (joined + (portRef Q (instanceRef valid_tmg_trig_number_12)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_12)) + (portRef stat_reg_172) + )) + (net stat_reg_332 (joined + (portRef Q (instanceRef wrong_readout_number_12)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_12)) + (portRef stat_reg_332) + )) + (net data_out_reg_22_0_iv_i_5_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_12)) + )) + (net stat_reg_138 (joined + (portRef Q (instanceRef trig_number_10)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_10)) + (portRef stat_reg_138) + )) + (net stat_reg_458 (joined + (portRef Q (instanceRef total_empty_channel_10)) + (portRef A1 (instanceRef un1_empty_channels_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_10)) + (portRef stat_reg_458) + )) + (net data_out_reg_22_0_iv_i_2_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_10)) + )) + (net stat_reg_298 (joined + (portRef Q (instanceRef spurious_trig_number_10)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_10)) + (portRef stat_reg_298) + )) + (net stat_reg_362 (joined + (portRef Q (instanceRef spike_number_10)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_10)) + (portRef stat_reg_362) + )) + (net data_out_reg_22_0_iv_i_3_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_10)) + )) + (net stat_reg_202 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_10)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_10)) + (portRef stat_reg_202) + )) + (net stat_reg_330 (joined + (portRef Q (instanceRef wrong_readout_number_10)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_10)) + (portRef stat_reg_330) + )) + (net data_out_reg_22_0_iv_i_5_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_10)) + )) + (net stat_reg_146 (joined + (portRef Q (instanceRef trig_number_18)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_18)) + (portRef stat_reg_146) + )) + (net stat_reg_466 (joined + (portRef Q (instanceRef total_empty_channel_18)) + (portRef A1 (instanceRef un1_empty_channels_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_18)) + (portRef stat_reg_466) + )) + (net data_out_reg_22_0_iv_i_2_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_18)) + )) + (net stat_reg_306 (joined + (portRef Q (instanceRef spurious_trig_number_18)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_18)) + (portRef stat_reg_306) + )) + (net stat_reg_370 (joined + (portRef Q (instanceRef spike_number_18)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_18)) + (portRef stat_reg_370) + )) + (net data_out_reg_22_0_iv_i_3_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_18)) + )) + (net stat_reg_210 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_18)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_18)) + (portRef stat_reg_210) + )) + (net stat_reg_338 (joined + (portRef Q (instanceRef wrong_readout_number_18)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_18)) + (portRef stat_reg_338) + )) + (net data_out_reg_22_0_iv_i_5_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_18)) + )) + (net stat_reg_145 (joined + (portRef Q (instanceRef trig_number_17)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_17)) + (portRef stat_reg_145) + )) + (net stat_reg_465 (joined + (portRef Q (instanceRef total_empty_channel_17)) + (portRef A0 (instanceRef un1_empty_channels_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_17)) + (portRef stat_reg_465) + )) + (net data_out_reg_22_0_iv_i_2_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_17)) + )) + (net stat_reg_241 (joined + (portRef Q (instanceRef invalid_trig_number_17)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_17)) + (portRef stat_reg_241) + )) + (net stat_reg_369 (joined + (portRef Q (instanceRef spike_number_17)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_17)) + (portRef stat_reg_369) + )) + (net data_out_reg_22_0_iv_i_3_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_17)) + )) + (net stat_reg_177 (joined + (portRef Q (instanceRef valid_tmg_trig_number_17)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_17)) + (portRef stat_reg_177) + )) + (net stat_reg_209 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_17)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_17)) + (portRef stat_reg_209) + )) + (net data_out_reg_22_0_iv_i_5_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_17)) + )) + (net stat_reg_151 (joined + (portRef Q (instanceRef trig_number_23)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_23)) + (portRef stat_reg_151) + )) + (net stat_reg_471 (joined + (portRef Q (instanceRef total_empty_channel_23)) + (portRef A0 (instanceRef un1_empty_channels_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_23)) + (portRef stat_reg_471) + )) + (net data_out_reg_22_0_iv_i_2_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_23)) + )) + (net stat_reg_247 (joined + (portRef Q (instanceRef invalid_trig_number_23)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_s_23_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_23)) + (portRef stat_reg_247) + )) + (net stat_reg_375 (joined + (portRef Q (instanceRef spike_number_23)) + (portRef A0 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_23)) + (portRef stat_reg_375) )) - (net data_out_reg_3_1 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_1)) - (portRef A (instanceRef data_out_reg_RNO_1)) + (net data_out_reg_22_0_iv_i_3_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_23)) )) - (net un1_TW_post_11 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_10)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_9_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_9_0)) + (net stat_reg_183 (joined + (portRef Q (instanceRef valid_tmg_trig_number_23)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_s_23_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_23)) + (portRef stat_reg_183) )) - (net un1_TW_post_10 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_9)) - (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_9_0)) - (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_9_0)) + (net stat_reg_215 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_23)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_23)) + (portRef stat_reg_215) )) - (net un1_TW_post_9 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_8)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_7_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_7_0)) + (net data_out_reg_22_0_iv_i_5_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_23)) )) - (net un1_TW_post_8 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_7)) - (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_7_0)) - (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_7_0)) + (net stat_reg_136 (joined + (portRef Q (instanceRef trig_number_8)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_8)) + (portRef stat_reg_136) )) - (net un1_TW_post_7 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_6)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_5_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_5_0)) + (net stat_reg_456 (joined + (portRef Q (instanceRef total_empty_channel_8)) + (portRef A1 (instanceRef un1_empty_channels_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_8)) + (portRef stat_reg_456) )) - (net un1_TW_post_6 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_5)) - (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_5_0)) - (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_5_0)) + (net data_out_reg_22_0_iv_i_2_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8)) )) - (net un1_TW_post_5 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_4)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_3_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_3_0)) + (net stat_reg_232 (joined + (portRef Q (instanceRef invalid_trig_number_8)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_8)) + (portRef stat_reg_232) )) - (net un1_TW_post_4 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_3)) - (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_3_0)) - (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_3_0)) + (net stat_reg_360 (joined + (portRef Q (instanceRef spike_number_8)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_8)) + (portRef stat_reg_360) )) - (net un1_TW_post_3 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_2)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_1_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_1_0)) + (net data_out_reg_22_0_iv_i_3_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8)) )) - (net un1_TW_post_2 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_1)) - (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_1_0)) - (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_1_0)) + (net stat_reg_168 (joined + (portRef Q (instanceRef valid_tmg_trig_number_8)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_8)) + (portRef stat_reg_168) )) - (net un1_TW_post_0 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_0)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_0_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_0_0)) + (net stat_reg_200 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_8)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_8)) + (portRef stat_reg_200) )) - (net un2_i_i (joined - (portRef S1 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) - (portRef B0 (instanceRef un1_i_2_cry_0_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2)) + (net data_out_reg_22_0_iv_i_5_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_8)) )) - (net un42_empty_channels (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2)) - (portRef B0 (instanceRef un1_empty_channels_cry_0_0)) + (net N_512 (joined + (portRef Z (instanceRef rd_en_fsm_i_0_o2_0_19)) + (portRef A (instanceRef rd_en_i_RNO_27)) + (portRef A (instanceRef rd_en_i_RNO_26)) + (portRef A (instanceRef rd_en_i_RNO_25)) + (portRef A (instanceRef rd_en_i_RNO_24)) + (portRef A (instanceRef rd_en_i_RNO_19)) + (portRef A (instanceRef rd_en_i_RNO_18)) + (portRef A (instanceRef rd_en_i_RNO_17)) + (portRef A (instanceRef rd_en_i_RNO_16)) + (portRef A (instanceRef rd_en_i_RNO_11)) + (portRef A (instanceRef rd_en_i_RNO_10)) + (portRef A (instanceRef rd_en_i_RNO_9)) + (portRef A (instanceRef rd_en_i_RNO_8)) + (portRef A (instanceRef rd_en_i_RNO_3)) + (portRef A (instanceRef rd_en_i_RNO_2)) + (portRef A (instanceRef rd_en_i_RNO_1)) + (portRef A (instanceRef rd_en_i_RNO_0)) )) - (net ctrl_reg_27 (joined - (portRef ctrl_reg_27) - (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_f0_29)) - (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_f0_31)) - (portRef D (instanceRef data_out_reg_RNO_30)) - (portRef D (instanceRef data_out_reg_RNO_28)) - (portRef D (instanceRef Data_Out_MUX_data_wr_reg_4_N_7_i)) + (net N_511 (joined + (portRef Z (instanceRef rd_en_fsm_0_a2_i_o2_0_23)) + (portRef A (instanceRef rd_en_i_RNO_31)) + (portRef A (instanceRef rd_en_i_RNO_30)) + (portRef A (instanceRef rd_en_i_RNO_29)) + (portRef A (instanceRef rd_en_i_RNO_28)) + (portRef A (instanceRef rd_en_i_RNO_23)) + (portRef A (instanceRef rd_en_i_RNO_22)) + (portRef A (instanceRef rd_en_i_RNO_21)) + (portRef A (instanceRef rd_en_i_RNO_20)) + (portRef A (instanceRef rd_en_i_RNO_15)) + (portRef A (instanceRef rd_en_i_RNO_14)) + (portRef A (instanceRef rd_en_i_RNO_13)) + (portRef A (instanceRef rd_en_i_RNO_12)) + (portRef A (instanceRef rd_en_i_RNO_7)) + (portRef A (instanceRef rd_en_i_RNO_6)) + (portRef A (instanceRef rd_en_i_RNO_5)) + (portRef A (instanceRef rd_en_i_RNO_4)) )) - (net N_349 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_1_19)) - (portRef C (instanceRef rd_en_fsm_i_a2_19)) + (net N_699 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_1)) + (portRef C (instanceRef fsm_debug_reg_RNO_1)) )) - (net N_366 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) - (portRef A (instanceRef fsm_debug_reg_RNO_1)) + (net data_out_reg_22_1_iv_i_1_24 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_1_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_24)) )) - (net N_547 (joined - (portRef Z (instanceRef rd_en_fsm_i_a2_19)) - (portRef C (instanceRef rd_en_i_RNO_31)) - (portRef C (instanceRef rd_en_i_RNO_30)) - (portRef C (instanceRef rd_en_i_RNO_29)) - (portRef C (instanceRef rd_en_i_RNO_28)) - (portRef C (instanceRef rd_en_i_RNO_27)) - (portRef C (instanceRef rd_en_i_RNO_26)) - (portRef C (instanceRef rd_en_i_RNO_25)) - (portRef C (instanceRef rd_en_i_RNO_24)) - (portRef C (instanceRef rd_en_i_RNO_23)) - (portRef C (instanceRef rd_en_i_RNO_22)) - (portRef C (instanceRef rd_en_i_RNO_21)) - (portRef C (instanceRef rd_en_i_RNO_20)) - (portRef C (instanceRef rd_en_i_RNO_19)) - (portRef C (instanceRef rd_en_i_RNO_18)) - (portRef C (instanceRef rd_en_i_RNO_17)) - (portRef C (instanceRef rd_en_i_RNO_16)) - (portRef C (instanceRef rd_en_i_RNO_15)) - (portRef C (instanceRef rd_en_i_RNO_14)) - (portRef C (instanceRef rd_en_i_RNO_13)) - (portRef C (instanceRef rd_en_i_RNO_12)) - (portRef C (instanceRef rd_en_i_RNO_11)) - (portRef C (instanceRef rd_en_i_RNO_10)) - (portRef C (instanceRef rd_en_i_RNO_9)) - (portRef C (instanceRef rd_en_i_RNO_8)) - (portRef C (instanceRef rd_en_i_RNO_7)) - (portRef C (instanceRef rd_en_i_RNO_6)) - (portRef C (instanceRef rd_en_i_RNO_5)) - (portRef C (instanceRef rd_en_i_RNO_4)) - (portRef C (instanceRef rd_en_i_RNO_3)) - (portRef C (instanceRef rd_en_i_RNO_2)) - (portRef C (instanceRef rd_en_i_RNO_1)) - (portRef C (instanceRef rd_en_i_RNO_0)) + (net data_out_reg_22_1_iv_0_a13_3_25 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_25)) )) - (net un1_trg_win_cnt_up_i_cry_1_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_1_0)) - (portRef A (instanceRef trg_win_cntd_1)) + (net stat_reg_422 (joined + (portRef Q (instanceRef wait_time_6)) + (portRef A1 (instanceRef un1_readout_i_2_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_1_6)) + (portRef stat_reg_422) )) - (net un1_trg_win_cnt_up_i_cry_1_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_1_0)) - (portRef A (instanceRef trg_win_cntd_2)) + (net data_out_reg_22_0_iv_i_1_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_1_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6)) )) - (net reset_i_fast_r11 (joined - (portRef reset_i_fast_r11) - (portRef B (instanceRef trg_win_cntd_15)) - (portRef B (instanceRef trg_win_cntd_14)) - (portRef B (instanceRef trg_win_cntd_13)) - (portRef B (instanceRef trg_win_cntd_11)) - (portRef B (instanceRef trg_win_cntd_12)) - (portRef B (instanceRef trg_win_cntd_10)) - (portRef B (instanceRef trg_win_cntd_9)) - (portRef B (instanceRef trg_win_cntd_8)) - (portRef B (instanceRef trg_win_cntd_7)) - (portRef B (instanceRef trg_win_cntd_5)) - (portRef B (instanceRef trg_win_cntd_6)) - (portRef B (instanceRef trg_win_cntd_3)) - (portRef B (instanceRef trg_win_cntd_4)) - (portRef B (instanceRef trg_win_cntd_2)) - (portRef B (instanceRef trg_win_cntd_1)) + (net stat_reg_134 (joined + (portRef Q (instanceRef trig_number_6)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7_6)) + (portRef stat_reg_134) )) - (net un1_trg_win_cnt_up_i_cry_3_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_3_0)) - (portRef A (instanceRef trg_win_cntd_4)) + (net data_out_reg_22_0_iv_i_7_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7_6)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6)) )) - (net un1_trg_win_cnt_up_i_cry_3_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_3_0)) - (portRef A (instanceRef trg_win_cntd_3)) + (net stat_reg_290 (joined + (portRef Q (instanceRef spurious_trig_number_2)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_2)) + (portRef stat_reg_290) )) - (net un1_trg_win_cnt_up_i_cry_5_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_5_0)) - (portRef A (instanceRef trg_win_cntd_6)) + (net data_out_reg_22_0_iv_8_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_2)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_2)) )) - (net un1_trg_win_cnt_up_i_cry_5_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_5_0)) - (portRef A (instanceRef trg_win_cntd_5)) + (net stat_reg_292 (joined + (portRef Q (instanceRef spurious_trig_number_4)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_4)) + (portRef stat_reg_292) )) - (net un1_trg_win_cnt_up_i_cry_7_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_7_0)) - (portRef A (instanceRef trg_win_cntd_7)) + (net data_out_reg_22_0_iv_8_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_4)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_4)) )) - (net un1_trg_win_cnt_up_i_cry_7_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_7_0)) - (portRef A (instanceRef trg_win_cntd_8)) + (net stat_reg_224 (joined + (portRef Q (instanceRef invalid_trig_number_0)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_0)) + (portRef stat_reg_224) )) - (net un1_trg_win_cnt_up_i_cry_9_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_9_0)) - (portRef A (instanceRef trg_win_cntd_9)) + (net data_out_reg_22_0_iv_i_8_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0)) )) - (net un1_trg_win_cnt_up_i_cry_9_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_9_0)) - (portRef A (instanceRef trg_win_cntd_10)) + (net stat_reg_309 (joined + (portRef Q (instanceRef spurious_trig_number_21)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_21)) + (portRef stat_reg_309) )) - (net un1_trg_win_cnt_up_i_cry_11_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_11_0)) - (portRef A (instanceRef trg_win_cntd_12)) + (net data_out_reg_22_0_iv_i_8_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_21)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_21)) )) - (net un1_trg_win_cnt_up_i_cry_11_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_11_0)) - (portRef A (instanceRef trg_win_cntd_11)) + (net stat_reg_291 (joined + (portRef Q (instanceRef spurious_trig_number_3)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_3)) + (portRef stat_reg_291) )) - (net un1_trg_win_cnt_up_i_cry_13_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_13_0)) - (portRef A (instanceRef trg_win_cntd_13)) + (net data_out_reg_22_0_iv_8_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_3)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_3)) )) - (net un1_trg_win_cnt_up_i_cry_13_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_13_0)) - (portRef A (instanceRef trg_win_cntd_14)) + (net stat_reg_299 (joined + (portRef Q (instanceRef spurious_trig_number_11)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_11)) + (portRef stat_reg_299) )) - (net un1_trg_win_cnt_up_i_s_15_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) - (portRef A (instanceRef trg_win_cntd_15)) + (net data_out_reg_22_0_iv_i_8_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_11)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_11)) )) - (net stat_reg_384 (joined - (portRef Q (instanceRef idle_time_0)) - (portRef A1 (instanceRef un1_idle_i_cry_0_0)) - (portRef stat_reg_384) + (net stat_reg_304 (joined + (portRef Q (instanceRef spurious_trig_number_16)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_16)) + (portRef stat_reg_304) )) - (net un1_idle_i_cry_0 (joined - (portRef COUT (instanceRef un1_idle_i_cry_0_0)) - (portRef CIN (instanceRef un1_idle_i_cry_1_0)) + (net data_out_reg_22_0_iv_i_8_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_16)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_16)) )) - (net un1_idle_i_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_idle_i_cry_0_0)) + (net stat_reg_303 (joined + (portRef Q (instanceRef spurious_trig_number_15)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_15)) + (portRef stat_reg_303) )) - (net stat_reg_385 (joined - (portRef Q (instanceRef idle_time_1)) - (portRef A0 (instanceRef un1_idle_i_cry_1_0)) - (portRef stat_reg_385) + (net data_out_reg_22_0_iv_i_8_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_15)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_15)) )) - (net stat_reg_386 (joined - (portRef Q (instanceRef idle_time_2)) - (portRef A1 (instanceRef un1_idle_i_cry_1_0)) - (portRef stat_reg_386) + (net stat_reg_310 (joined + (portRef Q (instanceRef spurious_trig_number_22)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_22)) + (portRef stat_reg_310) )) - (net un1_idle_i_cry_2 (joined - (portRef COUT (instanceRef un1_idle_i_cry_1_0)) - (portRef CIN (instanceRef un1_idle_i_cry_3_0)) + (net data_out_reg_22_0_iv_i_8_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_22)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_22)) )) - (net stat_reg_387 (joined - (portRef Q (instanceRef idle_time_3)) - (portRef A0 (instanceRef un1_idle_i_cry_3_0)) - (portRef stat_reg_387) + (net stat_reg_307 (joined + (portRef Q (instanceRef spurious_trig_number_19)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_19)) + (portRef stat_reg_307) )) - (net stat_reg_388 (joined - (portRef Q (instanceRef idle_time_4)) - (portRef A1 (instanceRef un1_idle_i_cry_3_0)) - (portRef stat_reg_388) + (net data_out_reg_22_0_iv_i_8_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_19)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_19)) )) - (net un1_idle_i_cry_4 (joined - (portRef COUT (instanceRef un1_idle_i_cry_3_0)) - (portRef CIN (instanceRef un1_idle_i_cry_5_0)) + (net stat_reg_238 (joined + (portRef Q (instanceRef invalid_trig_number_14)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_14)) + (portRef stat_reg_238) )) - (net stat_reg_389 (joined - (portRef Q (instanceRef idle_time_5)) - (portRef A0 (instanceRef un1_idle_i_cry_5_0)) - (portRef stat_reg_389) + (net data_out_reg_22_0_iv_i_8_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_14)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_14)) )) - (net stat_reg_390 (joined - (portRef Q (instanceRef idle_time_6)) - (portRef A1 (instanceRef un1_idle_i_cry_5_0)) - (portRef stat_reg_390) + (net stat_reg_297 (joined + (portRef Q (instanceRef spurious_trig_number_9)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_9)) + (portRef stat_reg_297) )) - (net un1_idle_i_cry_6 (joined - (portRef COUT (instanceRef un1_idle_i_cry_5_0)) - (portRef CIN (instanceRef un1_idle_i_cry_7_0)) + (net data_out_reg_22_0_iv_i_8_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_9)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_9)) )) - (net stat_reg_391 (joined - (portRef Q (instanceRef idle_time_7)) - (portRef A0 (instanceRef un1_idle_i_cry_7_0)) - (portRef stat_reg_391) + (net stat_reg_293 (joined + (portRef Q (instanceRef spurious_trig_number_5)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_5)) + (portRef stat_reg_293) )) - (net stat_reg_392 (joined - (portRef Q (instanceRef idle_time_8)) - (portRef A1 (instanceRef un1_idle_i_cry_7_0)) - (portRef stat_reg_392) + (net data_out_reg_22_0_iv_8_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_5)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_5)) )) - (net un1_idle_i_cry_8 (joined - (portRef COUT (instanceRef un1_idle_i_cry_7_0)) - (portRef CIN (instanceRef un1_idle_i_cry_9_0)) + (net stat_reg_449 (joined + (portRef Q (instanceRef total_empty_channel_1)) + (portRef A0 (instanceRef un1_empty_channels_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_1)) + (portRef stat_reg_449) )) - (net stat_reg_393 (joined - (portRef Q (instanceRef idle_time_9)) - (portRef A0 (instanceRef un1_idle_i_cry_9_0)) - (portRef stat_reg_393) + (net data_out_reg_22_0_iv_8_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_1)) )) - (net stat_reg_394 (joined - (portRef Q (instanceRef idle_time_10)) - (portRef A1 (instanceRef un1_idle_i_cry_9_0)) - (portRef stat_reg_394) + (net stat_reg_289 (joined + (portRef Q (instanceRef spurious_trig_number_1)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_1)) + (portRef stat_reg_289) )) - (net un1_idle_i_cry_10 (joined - (portRef COUT (instanceRef un1_idle_i_cry_9_0)) - (portRef CIN (instanceRef un1_idle_i_cry_11_0)) + (net data_out_reg_22_0_iv_9_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_1)) )) - (net stat_reg_395 (joined - (portRef Q (instanceRef idle_time_11)) - (portRef A0 (instanceRef un1_idle_i_cry_11_0)) - (portRef stat_reg_395) + (net stat_reg_308 (joined + (portRef Q (instanceRef spurious_trig_number_20)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_20)) + (portRef stat_reg_308) )) - (net stat_reg_396 (joined - (portRef Q (instanceRef idle_time_12)) - (portRef A1 (instanceRef un1_idle_i_cry_11_0)) - (portRef stat_reg_396) + (net data_out_reg_22_0_iv_i_8_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_20)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_20)) )) - (net un1_idle_i_cry_12 (joined - (portRef COUT (instanceRef un1_idle_i_cry_11_0)) - (portRef CIN (instanceRef un1_idle_i_cry_13_0)) + (net stat_reg_237 (joined + (portRef Q (instanceRef invalid_trig_number_13)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_13)) + (portRef stat_reg_237) )) - (net stat_reg_397 (joined - (portRef Q (instanceRef idle_time_13)) - (portRef A0 (instanceRef un1_idle_i_cry_13_0)) - (portRef stat_reg_397) + (net data_out_reg_22_0_iv_i_8_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_13)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_13)) )) - (net stat_reg_398 (joined - (portRef Q (instanceRef idle_time_14)) - (portRef A1 (instanceRef un1_idle_i_cry_13_0)) - (portRef stat_reg_398) + (net stat_reg_295 (joined + (portRef Q (instanceRef spurious_trig_number_7)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_7)) + (portRef stat_reg_295) )) - (net un1_idle_i_cry_14 (joined - (portRef COUT (instanceRef un1_idle_i_cry_13_0)) - (portRef CIN (instanceRef un1_idle_i_cry_15_0)) + (net data_out_reg_22_0_iv_i_8_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_7)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7)) )) - (net stat_reg_399 (joined - (portRef Q (instanceRef idle_time_15)) - (portRef A0 (instanceRef un1_idle_i_cry_15_0)) - (portRef stat_reg_399) + (net stat_reg_236 (joined + (portRef Q (instanceRef invalid_trig_number_12)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_12)) + (portRef stat_reg_236) )) - (net stat_reg_400 (joined - (portRef Q (instanceRef idle_time_16)) - (portRef A1 (instanceRef un1_idle_i_cry_15_0)) - (portRef stat_reg_400) + (net data_out_reg_22_0_iv_i_8_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_12)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_12)) )) - (net un1_idle_i_cry_16 (joined - (portRef COUT (instanceRef un1_idle_i_cry_15_0)) - (portRef CIN (instanceRef un1_idle_i_cry_17_0)) + (net stat_reg_234 (joined + (portRef Q (instanceRef invalid_trig_number_10)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_10)) + (portRef stat_reg_234) )) - (net stat_reg_401 (joined - (portRef Q (instanceRef idle_time_17)) - (portRef A0 (instanceRef un1_idle_i_cry_17_0)) - (portRef stat_reg_401) + (net data_out_reg_22_0_iv_i_8_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_10)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_10)) )) - (net stat_reg_402 (joined - (portRef Q (instanceRef idle_time_18)) - (portRef A1 (instanceRef un1_idle_i_cry_17_0)) - (portRef stat_reg_402) + (net stat_reg_242 (joined + (portRef Q (instanceRef invalid_trig_number_18)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_18)) + (portRef stat_reg_242) )) - (net un1_idle_i_cry_18 (joined - (portRef COUT (instanceRef un1_idle_i_cry_17_0)) - (portRef CIN (instanceRef un1_idle_i_cry_19_0)) + (net data_out_reg_22_0_iv_i_8_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_18)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_18)) )) - (net stat_reg_403 (joined - (portRef Q (instanceRef idle_time_19)) - (portRef A0 (instanceRef un1_idle_i_cry_19_0)) - (portRef stat_reg_403) + (net stat_reg_305 (joined + (portRef Q (instanceRef spurious_trig_number_17)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_17)) + (portRef stat_reg_305) )) - (net stat_reg_404 (joined - (portRef Q (instanceRef idle_time_20)) - (portRef A1 (instanceRef un1_idle_i_cry_19_0)) - (portRef stat_reg_404) + (net data_out_reg_22_0_iv_i_8_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_17)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_17)) )) - (net un1_idle_i_cry_20 (joined - (portRef COUT (instanceRef un1_idle_i_cry_19_0)) - (portRef CIN (instanceRef un1_idle_i_cry_21_0)) + (net stat_reg_311 (joined + (portRef Q (instanceRef spurious_trig_number_23)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_23)) + (portRef stat_reg_311) )) - (net stat_reg_405 (joined - (portRef Q (instanceRef idle_time_21)) - (portRef A0 (instanceRef un1_idle_i_cry_21_0)) - (portRef stat_reg_405) + (net data_out_reg_22_0_iv_i_8_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_23)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_23)) )) - (net stat_reg_406 (joined - (portRef Q (instanceRef idle_time_22)) - (portRef A1 (instanceRef un1_idle_i_cry_21_0)) - (portRef stat_reg_406) + (net stat_reg_296 (joined + (portRef Q (instanceRef spurious_trig_number_8)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_8)) + (portRef stat_reg_296) )) - (net un1_idle_i_cry_22 (joined - (portRef COUT (instanceRef un1_idle_i_cry_21_0)) - (portRef CIN (instanceRef un1_idle_i_s_23_0)) + (net data_out_reg_22_0_iv_i_8_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_8)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8)) )) - (net stat_reg_407 (joined - (portRef Q (instanceRef idle_time_23)) - (portRef A0 (instanceRef un1_idle_i_s_23_0)) - (portRef stat_reg_407) + (net stat_reg_258 (joined + (portRef Q (instanceRef multi_tmg_trig_number_2)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_2)) + (portRef stat_reg_258) )) - (net un1_idle_i_s_23_0_COUT (joined - (portRef COUT (instanceRef un1_idle_i_s_23_0)) + (net data_out_reg_22_0_iv_6_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_2)) )) - (net un1_idle_i_s_23_0_S1 (joined - (portRef S1 (instanceRef un1_idle_i_s_23_0)) + (net stat_reg_260 (joined + (portRef Q (instanceRef multi_tmg_trig_number_4)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_4)) + (portRef stat_reg_260) )) - (net spike_detected_pulse (joined - (portRef spike_detected_pulse (instanceRef edge_to_pulse_6)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (net data_out_reg_22_0_iv_6_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_4)) )) - (net stat_reg_352 (joined - (portRef Q (instanceRef spike_number_0)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef stat_reg_352) + (net stat_reg_256 (joined + (portRef Q (instanceRef multi_tmg_trig_number_0)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_0)) + (portRef stat_reg_256) )) - (net un1_spike_detected_pulse_cry_0 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_1_0)) + (net data_out_reg_22_0_iv_i_6_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0)) )) - (net un1_spike_detected_pulse_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (net stat_reg_277 (joined + (portRef Q (instanceRef multi_tmg_trig_number_21)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_21)) + (portRef stat_reg_277) )) - (net stat_reg_353 (joined - (portRef Q (instanceRef spike_number_1)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef stat_reg_353) + (net data_out_reg_22_0_iv_i_6_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_21)) )) - (net stat_reg_354 (joined - (portRef Q (instanceRef spike_number_2)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef stat_reg_354) + (net stat_reg_259 (joined + (portRef Q (instanceRef multi_tmg_trig_number_3)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_3)) + (portRef stat_reg_259) )) - (net un1_spike_detected_pulse_cry_2 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_3_0)) + (net data_out_reg_22_0_iv_6_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_3)) )) - (net stat_reg_355 (joined - (portRef Q (instanceRef spike_number_3)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef stat_reg_355) + (net stat_reg_267 (joined + (portRef Q (instanceRef multi_tmg_trig_number_11)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_11)) + (portRef stat_reg_267) )) - (net stat_reg_356 (joined - (portRef Q (instanceRef spike_number_4)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef stat_reg_356) + (net data_out_reg_22_0_iv_i_6_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_11)) )) - (net un1_spike_detected_pulse_cry_4 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_5_0)) + (net stat_reg_272 (joined + (portRef Q (instanceRef multi_tmg_trig_number_16)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_16)) + (portRef stat_reg_272) )) - (net stat_reg_357 (joined - (portRef Q (instanceRef spike_number_5)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef stat_reg_357) + (net data_out_reg_22_0_iv_i_6_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_16)) )) - (net stat_reg_358 (joined - (portRef Q (instanceRef spike_number_6)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef stat_reg_358) + (net stat_reg_271 (joined + (portRef Q (instanceRef multi_tmg_trig_number_15)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_15)) + (portRef stat_reg_271) )) - (net un1_spike_detected_pulse_cry_6 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_7_0)) + (net data_out_reg_22_0_iv_i_6_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_15)) )) - (net stat_reg_359 (joined - (portRef Q (instanceRef spike_number_7)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef stat_reg_359) + (net stat_reg_278 (joined + (portRef Q (instanceRef multi_tmg_trig_number_22)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_22)) + (portRef stat_reg_278) )) - (net stat_reg_360 (joined - (portRef Q (instanceRef spike_number_8)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef stat_reg_360) + (net data_out_reg_22_0_iv_i_6_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_22)) )) - (net un1_spike_detected_pulse_cry_8 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_9_0)) + (net stat_reg_275 (joined + (portRef Q (instanceRef multi_tmg_trig_number_19)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_19)) + (portRef stat_reg_275) )) - (net stat_reg_361 (joined - (portRef Q (instanceRef spike_number_9)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef stat_reg_361) + (net data_out_reg_22_0_iv_i_6_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_19)) )) - (net stat_reg_362 (joined - (portRef Q (instanceRef spike_number_10)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef stat_reg_362) + (net stat_reg_270 (joined + (portRef Q (instanceRef multi_tmg_trig_number_14)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_14)) + (portRef stat_reg_270) )) - (net un1_spike_detected_pulse_cry_10 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_11_0)) + (net data_out_reg_22_0_iv_i_6_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_14)) )) - (net stat_reg_363 (joined - (portRef Q (instanceRef spike_number_11)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef stat_reg_363) + (net stat_reg_265 (joined + (portRef Q (instanceRef multi_tmg_trig_number_9)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_9)) + (portRef stat_reg_265) )) - (net stat_reg_364 (joined - (portRef Q (instanceRef spike_number_12)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef stat_reg_364) + (net data_out_reg_22_0_iv_i_6_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_9)) )) - (net un1_spike_detected_pulse_cry_12 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_13_0)) + (net stat_reg_261 (joined + (portRef Q (instanceRef multi_tmg_trig_number_5)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_5)) + (portRef stat_reg_261) )) - (net stat_reg_365 (joined - (portRef Q (instanceRef spike_number_13)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef stat_reg_365) + (net data_out_reg_22_0_iv_6_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_5)) )) - (net stat_reg_366 (joined - (portRef Q (instanceRef spike_number_14)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef stat_reg_366) + (net stat_reg_417 (joined + (portRef Q (instanceRef wait_time_1)) + (portRef A0 (instanceRef un1_readout_i_2_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_1)) + (portRef stat_reg_417) )) - (net un1_spike_detected_pulse_cry_14 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_15_0)) + (net data_out_reg_22_0_iv_2_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_1)) )) - (net stat_reg_367 (joined - (portRef Q (instanceRef spike_number_15)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef stat_reg_367) + (net stat_reg_276 (joined + (portRef Q (instanceRef multi_tmg_trig_number_20)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_20)) + (portRef stat_reg_276) )) - (net stat_reg_368 (joined - (portRef Q (instanceRef spike_number_16)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef stat_reg_368) + (net data_out_reg_22_0_iv_i_6_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_20)) )) - (net un1_spike_detected_pulse_cry_16 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_17_0)) + (net stat_reg_269 (joined + (portRef Q (instanceRef multi_tmg_trig_number_13)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_13)) + (portRef stat_reg_269) )) - (net stat_reg_369 (joined - (portRef Q (instanceRef spike_number_17)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef stat_reg_369) + (net data_out_reg_22_0_iv_i_6_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_13)) )) - (net stat_reg_370 (joined - (portRef Q (instanceRef spike_number_18)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef stat_reg_370) + (net stat_reg_263 (joined + (portRef Q (instanceRef multi_tmg_trig_number_7)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_7)) + (portRef stat_reg_263) )) - (net un1_spike_detected_pulse_cry_18 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_19_0)) + (net data_out_reg_22_0_iv_i_6_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7)) )) - (net stat_reg_371 (joined - (portRef Q (instanceRef spike_number_19)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef stat_reg_371) + (net stat_reg_268 (joined + (portRef Q (instanceRef multi_tmg_trig_number_12)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_12)) + (portRef stat_reg_268) )) - (net stat_reg_372 (joined - (portRef Q (instanceRef spike_number_20)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef stat_reg_372) + (net data_out_reg_22_0_iv_i_6_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_12)) )) - (net un1_spike_detected_pulse_cry_20 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_21_0)) + (net stat_reg_266 (joined + (portRef Q (instanceRef multi_tmg_trig_number_10)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_10)) + (portRef stat_reg_266) )) - (net stat_reg_373 (joined - (portRef Q (instanceRef spike_number_21)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef stat_reg_373) + (net data_out_reg_22_0_iv_i_6_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_10)) )) - (net stat_reg_374 (joined - (portRef Q (instanceRef spike_number_22)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef stat_reg_374) + (net stat_reg_274 (joined + (portRef Q (instanceRef multi_tmg_trig_number_18)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_18)) + (portRef stat_reg_274) )) - (net un1_spike_detected_pulse_cry_22 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_s_23_0)) + (net data_out_reg_22_0_iv_i_6_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_18)) )) - (net stat_reg_375 (joined - (portRef Q (instanceRef spike_number_23)) - (portRef A0 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef stat_reg_375) + (net stat_reg_273 (joined + (portRef Q (instanceRef multi_tmg_trig_number_17)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_17)) + (portRef stat_reg_273) )) - (net un1_spike_detected_pulse_s_23_0_COUT (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_s_23_0)) + (net data_out_reg_22_0_iv_i_6_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_17)) )) - (net un1_spike_detected_pulse_s_23_0_S1 (joined - (portRef S1 (instanceRef un1_spike_detected_pulse_s_23_0)) + (net stat_reg_279 (joined + (portRef Q (instanceRef multi_tmg_trig_number_23)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_23)) + (portRef stat_reg_279) )) - (net stat_reg_320 (joined - (portRef Q (instanceRef wrong_readout_number_0)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef stat_reg_320) + (net data_out_reg_22_0_iv_i_6_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_23)) )) - (net un1_wrong_readout_i_cry_0 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_1_0)) + (net stat_reg_264 (joined + (portRef Q (instanceRef multi_tmg_trig_number_8)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_8)) + (portRef stat_reg_264) )) - (net un1_wrong_readout_i_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_wrong_readout_i_cry_0_0)) + (net data_out_reg_22_0_iv_i_6_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8)) )) - (net stat_reg_321 (joined - (portRef Q (instanceRef wrong_readout_number_1)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef stat_reg_321) + (net fsm_debug_fsm_i_0_1_0_2 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_1_0_2)) + (portRef C (instanceRef fsm_debug_fsm_i_0_2)) )) - (net stat_reg_322 (joined - (portRef Q (instanceRef wrong_readout_number_2)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef stat_reg_322) + (net un1_trg_win_cnt_up_i_cry_1_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_1_0)) + (portRef A (instanceRef trg_win_cntd_2)) )) - (net un1_wrong_readout_i_cry_2 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_3_0)) + (net un1_trg_win_cnt_up_i_cry_1_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_1_0)) + (portRef A (instanceRef trg_win_cntd_1)) )) - (net stat_reg_323 (joined - (portRef Q (instanceRef wrong_readout_number_3)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef stat_reg_323) + (net reset_i_fast_r11 (joined + (portRef reset_i_fast_r11) + (portRef B (instanceRef trg_win_cntd_15)) + (portRef B (instanceRef trg_win_cntd_13)) + (portRef B (instanceRef trg_win_cntd_14)) + (portRef B (instanceRef trg_win_cntd_12)) + (portRef B (instanceRef trg_win_cntd_11)) + (portRef B (instanceRef trg_win_cntd_10)) + (portRef B (instanceRef trg_win_cntd_9)) + (portRef B (instanceRef trg_win_cntd_7)) + (portRef B (instanceRef trg_win_cntd_8)) + (portRef B (instanceRef trg_win_cntd_6)) + (portRef B (instanceRef trg_win_cntd_5)) + (portRef B (instanceRef trg_win_cntd_4)) + (portRef B (instanceRef trg_win_cntd_3)) + (portRef B (instanceRef trg_win_cntd_1)) + (portRef B (instanceRef trg_win_cntd_2)) )) - (net stat_reg_324 (joined - (portRef Q (instanceRef wrong_readout_number_4)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef stat_reg_324) + (net un1_trg_win_cnt_up_i_cry_3_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_3_0)) + (portRef A (instanceRef trg_win_cntd_3)) )) - (net un1_wrong_readout_i_cry_4 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_5_0)) + (net un1_trg_win_cnt_up_i_cry_3_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_3_0)) + (portRef A (instanceRef trg_win_cntd_4)) )) - (net stat_reg_325 (joined - (portRef Q (instanceRef wrong_readout_number_5)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef stat_reg_325) + (net un1_trg_win_cnt_up_i_cry_5_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_5_0)) + (portRef A (instanceRef trg_win_cntd_5)) )) - (net stat_reg_326 (joined - (portRef Q (instanceRef wrong_readout_number_6)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef stat_reg_326) + (net un1_trg_win_cnt_up_i_cry_5_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_5_0)) + (portRef A (instanceRef trg_win_cntd_6)) )) - (net un1_wrong_readout_i_cry_6 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_7_0)) + (net un1_trg_win_cnt_up_i_cry_7_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_7_0)) + (portRef A (instanceRef trg_win_cntd_8)) )) - (net stat_reg_327 (joined - (portRef Q (instanceRef wrong_readout_number_7)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef stat_reg_327) + (net un1_trg_win_cnt_up_i_cry_7_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_7_0)) + (portRef A (instanceRef trg_win_cntd_7)) )) - (net stat_reg_328 (joined - (portRef Q (instanceRef wrong_readout_number_8)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef stat_reg_328) + (net un1_trg_win_cnt_up_i_cry_9_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_9_0)) + (portRef A (instanceRef trg_win_cntd_9)) )) - (net un1_wrong_readout_i_cry_8 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_9_0)) + (net un1_trg_win_cnt_up_i_cry_9_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_9_0)) + (portRef A (instanceRef trg_win_cntd_10)) )) - (net stat_reg_329 (joined - (portRef Q (instanceRef wrong_readout_number_9)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef stat_reg_329) + (net un1_trg_win_cnt_up_i_cry_11_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_11_0)) + (portRef A (instanceRef trg_win_cntd_11)) )) - (net stat_reg_330 (joined - (portRef Q (instanceRef wrong_readout_number_10)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef stat_reg_330) + (net un1_trg_win_cnt_up_i_cry_11_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_11_0)) + (portRef A (instanceRef trg_win_cntd_12)) )) - (net un1_wrong_readout_i_cry_10 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_11_0)) + (net un1_trg_win_cnt_up_i_cry_13_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_13_0)) + (portRef A (instanceRef trg_win_cntd_14)) )) - (net stat_reg_331 (joined - (portRef Q (instanceRef wrong_readout_number_11)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef stat_reg_331) + (net un1_trg_win_cnt_up_i_cry_13_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_13_0)) + (portRef A (instanceRef trg_win_cntd_13)) )) - (net stat_reg_332 (joined - (portRef Q (instanceRef wrong_readout_number_12)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef stat_reg_332) + (net un1_trg_win_cnt_up_i_s_15_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) + (portRef A (instanceRef trg_win_cntd_15)) )) - (net un1_wrong_readout_i_cry_12 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_13_0)) + (net i_cry_0 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_1)) )) - (net stat_reg_333 (joined - (portRef Q (instanceRef wrong_readout_number_13)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef stat_reg_333) + (net i_cry_0_S0_0 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_0)) )) - (net stat_reg_334 (joined - (portRef Q (instanceRef wrong_readout_number_14)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef stat_reg_334) + (net i_cry_2 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_3)) )) - (net un1_wrong_readout_i_cry_14 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_15_0)) + (net i_cry_4 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_5)) )) - (net stat_reg_335 (joined - (portRef Q (instanceRef wrong_readout_number_15)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef stat_reg_335) + (net i_cry_6 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_7)) )) - (net stat_reg_336 (joined - (portRef Q (instanceRef wrong_readout_number_16)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef stat_reg_336) + (net i_cry_8 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_9)) )) - (net un1_wrong_readout_i_cry_16 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_17_0)) + (net i_cry_10 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_11)) )) - (net stat_reg_337 (joined - (portRef Q (instanceRef wrong_readout_number_17)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef stat_reg_337) + (net i_cry_12 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_13)) )) - (net stat_reg_338 (joined - (portRef Q (instanceRef wrong_readout_number_18)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef stat_reg_338) + (net i_cry_14 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_15)) )) - (net un1_wrong_readout_i_cry_18 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_19_0)) + (net i_cry_16 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_17)) )) - (net stat_reg_339 (joined - (portRef Q (instanceRef wrong_readout_number_19)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef stat_reg_339) + (net i_cry_18 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_19)) )) - (net stat_reg_340 (joined - (portRef Q (instanceRef wrong_readout_number_20)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef stat_reg_340) + (net i_cry_20 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_21)) )) - (net un1_wrong_readout_i_cry_20 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_21_0)) + (net i_cry_22 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_23)) )) - (net stat_reg_341 (joined - (portRef Q (instanceRef wrong_readout_number_21)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef stat_reg_341) + (net i_cry_24 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_25)) )) - (net stat_reg_342 (joined - (portRef Q (instanceRef wrong_readout_number_22)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef stat_reg_342) + (net i_cry_26 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_27)) )) - (net un1_wrong_readout_i_cry_22 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_s_23_0)) + (net i_cry_28 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_29)) )) - (net stat_reg_343 (joined - (portRef Q (instanceRef wrong_readout_number_23)) - (portRef A0 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef stat_reg_343) + (net i_cry_30 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef CIN (instanceRef Data_Out_MUX_i_s_0_31)) )) - (net un1_wrong_readout_i_s_23_0_COUT (joined - (portRef COUT (instanceRef un1_wrong_readout_i_s_23_0)) + (net i_s_0_COUT_31 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_s_0_31)) )) - (net un1_wrong_readout_i_s_23_0_S1 (joined - (portRef S1 (instanceRef un1_wrong_readout_i_s_23_0)) + (net i_s_0_S1_31 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_s_0_31)) )) (net spurious_trg_pulse (joined (portRef spurious_trg_pulse (instanceRef edge_to_pulse_5)) (portRef B0 (instanceRef un1_spurious_trg_pulse_cry_0_0)) )) - (net stat_reg_288 (joined - (portRef Q (instanceRef spurious_trig_number_0)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_0_0)) - (portRef stat_reg_288) - )) (net un1_spurious_trg_pulse_cry_0 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_0_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_1_0)) @@ -934976,165 +939131,50 @@ (net un1_spurious_trg_pulse_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_spurious_trg_pulse_cry_0_0)) )) - (net stat_reg_289 (joined - (portRef Q (instanceRef spurious_trig_number_1)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_1_0)) - (portRef stat_reg_289) - )) - (net stat_reg_290 (joined - (portRef Q (instanceRef spurious_trig_number_2)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_1_0)) - (portRef stat_reg_290) - )) (net un1_spurious_trg_pulse_cry_2 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_1_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_3_0)) )) - (net stat_reg_291 (joined - (portRef Q (instanceRef spurious_trig_number_3)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_3_0)) - (portRef stat_reg_291) - )) - (net stat_reg_292 (joined - (portRef Q (instanceRef spurious_trig_number_4)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_3_0)) - (portRef stat_reg_292) - )) (net un1_spurious_trg_pulse_cry_4 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_3_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_5_0)) )) - (net stat_reg_293 (joined - (portRef Q (instanceRef spurious_trig_number_5)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_5_0)) - (portRef stat_reg_293) - )) - (net stat_reg_294 (joined - (portRef Q (instanceRef spurious_trig_number_6)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_5_0)) - (portRef stat_reg_294) - )) (net un1_spurious_trg_pulse_cry_6 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_5_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_7_0)) )) - (net stat_reg_295 (joined - (portRef Q (instanceRef spurious_trig_number_7)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_7_0)) - (portRef stat_reg_295) - )) - (net stat_reg_296 (joined - (portRef Q (instanceRef spurious_trig_number_8)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_7_0)) - (portRef stat_reg_296) - )) (net un1_spurious_trg_pulse_cry_8 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_7_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_9_0)) )) - (net stat_reg_297 (joined - (portRef Q (instanceRef spurious_trig_number_9)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_9_0)) - (portRef stat_reg_297) - )) - (net stat_reg_298 (joined - (portRef Q (instanceRef spurious_trig_number_10)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_9_0)) - (portRef stat_reg_298) - )) (net un1_spurious_trg_pulse_cry_10 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_9_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_11_0)) )) - (net stat_reg_299 (joined - (portRef Q (instanceRef spurious_trig_number_11)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_11_0)) - (portRef stat_reg_299) - )) - (net stat_reg_300 (joined - (portRef Q (instanceRef spurious_trig_number_12)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_11_0)) - (portRef stat_reg_300) - )) (net un1_spurious_trg_pulse_cry_12 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_11_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_13_0)) )) - (net stat_reg_301 (joined - (portRef Q (instanceRef spurious_trig_number_13)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_13_0)) - (portRef stat_reg_301) - )) - (net stat_reg_302 (joined - (portRef Q (instanceRef spurious_trig_number_14)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_13_0)) - (portRef stat_reg_302) - )) (net un1_spurious_trg_pulse_cry_14 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_13_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_15_0)) )) - (net stat_reg_303 (joined - (portRef Q (instanceRef spurious_trig_number_15)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_15_0)) - (portRef stat_reg_303) - )) - (net stat_reg_304 (joined - (portRef Q (instanceRef spurious_trig_number_16)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_15_0)) - (portRef stat_reg_304) - )) (net un1_spurious_trg_pulse_cry_16 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_15_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_17_0)) )) - (net stat_reg_305 (joined - (portRef Q (instanceRef spurious_trig_number_17)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_17_0)) - (portRef stat_reg_305) - )) - (net stat_reg_306 (joined - (portRef Q (instanceRef spurious_trig_number_18)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_17_0)) - (portRef stat_reg_306) - )) (net un1_spurious_trg_pulse_cry_18 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_17_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_19_0)) )) - (net stat_reg_307 (joined - (portRef Q (instanceRef spurious_trig_number_19)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_19_0)) - (portRef stat_reg_307) - )) - (net stat_reg_308 (joined - (portRef Q (instanceRef spurious_trig_number_20)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_19_0)) - (portRef stat_reg_308) - )) (net un1_spurious_trg_pulse_cry_20 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_19_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_21_0)) )) - (net stat_reg_309 (joined - (portRef Q (instanceRef spurious_trig_number_21)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_21_0)) - (portRef stat_reg_309) - )) - (net stat_reg_310 (joined - (portRef Q (instanceRef spurious_trig_number_22)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_21_0)) - (portRef stat_reg_310) - )) (net un1_spurious_trg_pulse_cry_22 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_21_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_s_23_0)) )) - (net stat_reg_311 (joined - (portRef Q (instanceRef spurious_trig_number_23)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_s_23_0)) - (portRef stat_reg_311) - )) (net un1_spurious_trg_pulse_s_23_0_COUT (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_s_23_0)) )) @@ -935145,11 +939185,6 @@ (portRef multi_tmg_trg_pulse (instanceRef edge_to_pulse_4)) (portRef B0 (instanceRef un1_multi_tmg_trg_pulse_cry_0_0)) )) - (net stat_reg_256 (joined - (portRef Q (instanceRef multi_tmg_trig_number_0)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_0_0)) - (portRef stat_reg_256) - )) (net un1_multi_tmg_trg_pulse_cry_0 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_0_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) @@ -935157,165 +939192,50 @@ (net un1_multi_tmg_trg_pulse_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_multi_tmg_trg_pulse_cry_0_0)) )) - (net stat_reg_257 (joined - (portRef Q (instanceRef multi_tmg_trig_number_1)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) - (portRef stat_reg_257) - )) - (net stat_reg_258 (joined - (portRef Q (instanceRef multi_tmg_trig_number_2)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) - (portRef stat_reg_258) - )) (net un1_multi_tmg_trg_pulse_cry_2 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) )) - (net stat_reg_259 (joined - (portRef Q (instanceRef multi_tmg_trig_number_3)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) - (portRef stat_reg_259) - )) - (net stat_reg_260 (joined - (portRef Q (instanceRef multi_tmg_trig_number_4)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) - (portRef stat_reg_260) - )) (net un1_multi_tmg_trg_pulse_cry_4 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) )) - (net stat_reg_261 (joined - (portRef Q (instanceRef multi_tmg_trig_number_5)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) - (portRef stat_reg_261) - )) - (net stat_reg_262 (joined - (portRef Q (instanceRef multi_tmg_trig_number_6)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) - (portRef stat_reg_262) - )) (net un1_multi_tmg_trg_pulse_cry_6 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) )) - (net stat_reg_263 (joined - (portRef Q (instanceRef multi_tmg_trig_number_7)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) - (portRef stat_reg_263) - )) - (net stat_reg_264 (joined - (portRef Q (instanceRef multi_tmg_trig_number_8)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) - (portRef stat_reg_264) - )) (net un1_multi_tmg_trg_pulse_cry_8 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) )) - (net stat_reg_265 (joined - (portRef Q (instanceRef multi_tmg_trig_number_9)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) - (portRef stat_reg_265) - )) - (net stat_reg_266 (joined - (portRef Q (instanceRef multi_tmg_trig_number_10)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) - (portRef stat_reg_266) - )) (net un1_multi_tmg_trg_pulse_cry_10 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) )) - (net stat_reg_267 (joined - (portRef Q (instanceRef multi_tmg_trig_number_11)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) - (portRef stat_reg_267) - )) - (net stat_reg_268 (joined - (portRef Q (instanceRef multi_tmg_trig_number_12)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) - (portRef stat_reg_268) - )) (net un1_multi_tmg_trg_pulse_cry_12 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) )) - (net stat_reg_269 (joined - (portRef Q (instanceRef multi_tmg_trig_number_13)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) - (portRef stat_reg_269) - )) - (net stat_reg_270 (joined - (portRef Q (instanceRef multi_tmg_trig_number_14)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) - (portRef stat_reg_270) - )) (net un1_multi_tmg_trg_pulse_cry_14 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) )) - (net stat_reg_271 (joined - (portRef Q (instanceRef multi_tmg_trig_number_15)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) - (portRef stat_reg_271) - )) - (net stat_reg_272 (joined - (portRef Q (instanceRef multi_tmg_trig_number_16)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) - (portRef stat_reg_272) - )) (net un1_multi_tmg_trg_pulse_cry_16 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) )) - (net stat_reg_273 (joined - (portRef Q (instanceRef multi_tmg_trig_number_17)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) - (portRef stat_reg_273) - )) - (net stat_reg_274 (joined - (portRef Q (instanceRef multi_tmg_trig_number_18)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) - (portRef stat_reg_274) - )) (net un1_multi_tmg_trg_pulse_cry_18 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) )) - (net stat_reg_275 (joined - (portRef Q (instanceRef multi_tmg_trig_number_19)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) - (portRef stat_reg_275) - )) - (net stat_reg_276 (joined - (portRef Q (instanceRef multi_tmg_trig_number_20)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) - (portRef stat_reg_276) - )) (net un1_multi_tmg_trg_pulse_cry_20 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) )) - (net stat_reg_277 (joined - (portRef Q (instanceRef multi_tmg_trig_number_21)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) - (portRef stat_reg_277) - )) - (net stat_reg_278 (joined - (portRef Q (instanceRef multi_tmg_trig_number_22)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) - (portRef stat_reg_278) - )) (net un1_multi_tmg_trg_pulse_cry_22 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_s_23_0)) )) - (net stat_reg_279 (joined - (portRef Q (instanceRef multi_tmg_trig_number_23)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_s_23_0)) - (portRef stat_reg_279) - )) (net un1_multi_tmg_trg_pulse_s_23_0_COUT (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_s_23_0)) )) @@ -935326,11 +939246,6 @@ (portRef invalid_trg_pulse (instanceRef edge_to_pulse_3)) (portRef B0 (instanceRef un1_invalid_trg_pulse_cry_0_0)) )) - (net stat_reg_224 (joined - (portRef Q (instanceRef invalid_trig_number_0)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_0_0)) - (portRef stat_reg_224) - )) (net un1_invalid_trg_pulse_cry_0 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_0_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_1_0)) @@ -935338,176 +939253,56 @@ (net un1_invalid_trg_pulse_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_invalid_trg_pulse_cry_0_0)) )) - (net stat_reg_225 (joined - (portRef Q (instanceRef invalid_trig_number_1)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_1_0)) - (portRef stat_reg_225) - )) - (net stat_reg_226 (joined - (portRef Q (instanceRef invalid_trig_number_2)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_1_0)) - (portRef stat_reg_226) - )) (net un1_invalid_trg_pulse_cry_2 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_1_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_3_0)) )) - (net stat_reg_227 (joined - (portRef Q (instanceRef invalid_trig_number_3)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_3_0)) - (portRef stat_reg_227) - )) - (net stat_reg_228 (joined - (portRef Q (instanceRef invalid_trig_number_4)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_3_0)) - (portRef stat_reg_228) - )) (net un1_invalid_trg_pulse_cry_4 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_3_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_5_0)) )) - (net stat_reg_229 (joined - (portRef Q (instanceRef invalid_trig_number_5)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_5_0)) - (portRef stat_reg_229) - )) - (net stat_reg_230 (joined - (portRef Q (instanceRef invalid_trig_number_6)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_5_0)) - (portRef stat_reg_230) - )) (net un1_invalid_trg_pulse_cry_6 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_5_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_7_0)) )) - (net stat_reg_231 (joined - (portRef Q (instanceRef invalid_trig_number_7)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_7_0)) - (portRef stat_reg_231) - )) - (net stat_reg_232 (joined - (portRef Q (instanceRef invalid_trig_number_8)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_7_0)) - (portRef stat_reg_232) - )) (net un1_invalid_trg_pulse_cry_8 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_7_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_9_0)) )) - (net stat_reg_233 (joined - (portRef Q (instanceRef invalid_trig_number_9)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_9_0)) - (portRef stat_reg_233) - )) - (net stat_reg_234 (joined - (portRef Q (instanceRef invalid_trig_number_10)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_9_0)) - (portRef stat_reg_234) - )) (net un1_invalid_trg_pulse_cry_10 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_9_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_11_0)) )) - (net stat_reg_235 (joined - (portRef Q (instanceRef invalid_trig_number_11)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_11_0)) - (portRef stat_reg_235) - )) - (net stat_reg_236 (joined - (portRef Q (instanceRef invalid_trig_number_12)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_11_0)) - (portRef stat_reg_236) - )) (net un1_invalid_trg_pulse_cry_12 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_11_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_13_0)) )) - (net stat_reg_237 (joined - (portRef Q (instanceRef invalid_trig_number_13)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_13_0)) - (portRef stat_reg_237) - )) - (net stat_reg_238 (joined - (portRef Q (instanceRef invalid_trig_number_14)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_13_0)) - (portRef stat_reg_238) - )) (net un1_invalid_trg_pulse_cry_14 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_13_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_15_0)) )) - (net stat_reg_239 (joined - (portRef Q (instanceRef invalid_trig_number_15)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_15_0)) - (portRef stat_reg_239) - )) - (net stat_reg_240 (joined - (portRef Q (instanceRef invalid_trig_number_16)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_15_0)) - (portRef stat_reg_240) - )) (net un1_invalid_trg_pulse_cry_16 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_15_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_17_0)) )) - (net stat_reg_241 (joined - (portRef Q (instanceRef invalid_trig_number_17)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_17_0)) - (portRef stat_reg_241) - )) - (net stat_reg_242 (joined - (portRef Q (instanceRef invalid_trig_number_18)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_17_0)) - (portRef stat_reg_242) - )) (net un1_invalid_trg_pulse_cry_18 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_17_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_19_0)) )) - (net stat_reg_243 (joined - (portRef Q (instanceRef invalid_trig_number_19)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_19_0)) - (portRef stat_reg_243) - )) - (net stat_reg_244 (joined - (portRef Q (instanceRef invalid_trig_number_20)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_19_0)) - (portRef stat_reg_244) - )) (net un1_invalid_trg_pulse_cry_20 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_19_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_21_0)) )) - (net stat_reg_245 (joined - (portRef Q (instanceRef invalid_trig_number_21)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_21_0)) - (portRef stat_reg_245) - )) - (net stat_reg_246 (joined - (portRef Q (instanceRef invalid_trig_number_22)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_21_0)) - (portRef stat_reg_246) - )) (net un1_invalid_trg_pulse_cry_22 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_21_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_s_23_0)) )) - (net stat_reg_247 (joined - (portRef Q (instanceRef invalid_trig_number_23)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_s_23_0)) - (portRef stat_reg_247) - )) (net un1_invalid_trg_pulse_s_23_0_COUT (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_s_23_0)) )) (net un1_invalid_trg_pulse_s_23_0_S1 (joined (portRef S1 (instanceRef un1_invalid_trg_pulse_s_23_0)) )) - (net stat_reg_192 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_0)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_0_0)) - (portRef stat_reg_192) - )) (net un1_valid_notiming_trg_pulse_cry_0 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_0_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) @@ -935515,176 +939310,56 @@ (net un1_valid_notiming_trg_pulse_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_valid_notiming_trg_pulse_cry_0_0)) )) - (net stat_reg_193 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_1)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) - (portRef stat_reg_193) - )) - (net stat_reg_194 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_2)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) - (portRef stat_reg_194) - )) (net un1_valid_notiming_trg_pulse_cry_2 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) )) - (net stat_reg_195 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_3)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) - (portRef stat_reg_195) - )) - (net stat_reg_196 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_4)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) - (portRef stat_reg_196) - )) (net un1_valid_notiming_trg_pulse_cry_4 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) )) - (net stat_reg_197 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_5)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) - (portRef stat_reg_197) - )) - (net stat_reg_198 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_6)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) - (portRef stat_reg_198) - )) (net un1_valid_notiming_trg_pulse_cry_6 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) )) - (net stat_reg_199 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_7)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) - (portRef stat_reg_199) - )) - (net stat_reg_200 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_8)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) - (portRef stat_reg_200) - )) (net un1_valid_notiming_trg_pulse_cry_8 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) )) - (net stat_reg_201 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_9)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) - (portRef stat_reg_201) - )) - (net stat_reg_202 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_10)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) - (portRef stat_reg_202) - )) (net un1_valid_notiming_trg_pulse_cry_10 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) )) - (net stat_reg_203 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_11)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) - (portRef stat_reg_203) - )) - (net stat_reg_204 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_12)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) - (portRef stat_reg_204) - )) (net un1_valid_notiming_trg_pulse_cry_12 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) )) - (net stat_reg_205 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_13)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) - (portRef stat_reg_205) - )) - (net stat_reg_206 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_14)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) - (portRef stat_reg_206) - )) (net un1_valid_notiming_trg_pulse_cry_14 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) )) - (net stat_reg_207 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_15)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) - (portRef stat_reg_207) - )) - (net stat_reg_208 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_16)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) - (portRef stat_reg_208) - )) (net un1_valid_notiming_trg_pulse_cry_16 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) )) - (net stat_reg_209 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_17)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) - (portRef stat_reg_209) - )) - (net stat_reg_210 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_18)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) - (portRef stat_reg_210) - )) (net un1_valid_notiming_trg_pulse_cry_18 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) )) - (net stat_reg_211 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_19)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) - (portRef stat_reg_211) - )) - (net stat_reg_212 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_20)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) - (portRef stat_reg_212) - )) (net un1_valid_notiming_trg_pulse_cry_20 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) )) - (net stat_reg_213 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_21)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) - (portRef stat_reg_213) - )) - (net stat_reg_214 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_22)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) - (portRef stat_reg_214) - )) (net un1_valid_notiming_trg_pulse_cry_22 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) )) - (net stat_reg_215 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_23)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) - (portRef stat_reg_215) - )) (net un1_valid_notiming_trg_pulse_s_23_0_COUT (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) )) (net un1_valid_notiming_trg_pulse_s_23_0_S1 (joined (portRef S1 (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) )) - (net stat_reg_160 (joined - (portRef Q (instanceRef valid_tmg_trig_number_0)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_0_0)) - (portRef stat_reg_160) - )) (net un2_valid_timing_trg_pulse_cry_0 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_0_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) @@ -935692,165 +939367,50 @@ (net un2_valid_timing_trg_pulse_cry_0_0_S0 (joined (portRef S0 (instanceRef un2_valid_timing_trg_pulse_cry_0_0)) )) - (net stat_reg_161 (joined - (portRef Q (instanceRef valid_tmg_trig_number_1)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) - (portRef stat_reg_161) - )) - (net stat_reg_162 (joined - (portRef Q (instanceRef valid_tmg_trig_number_2)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) - (portRef stat_reg_162) - )) (net un2_valid_timing_trg_pulse_cry_2 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) )) - (net stat_reg_163 (joined - (portRef Q (instanceRef valid_tmg_trig_number_3)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) - (portRef stat_reg_163) - )) - (net stat_reg_164 (joined - (portRef Q (instanceRef valid_tmg_trig_number_4)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) - (portRef stat_reg_164) - )) (net un2_valid_timing_trg_pulse_cry_4 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) )) - (net stat_reg_165 (joined - (portRef Q (instanceRef valid_tmg_trig_number_5)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) - (portRef stat_reg_165) - )) - (net stat_reg_166 (joined - (portRef Q (instanceRef valid_tmg_trig_number_6)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) - (portRef stat_reg_166) - )) (net un2_valid_timing_trg_pulse_cry_6 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) )) - (net stat_reg_167 (joined - (portRef Q (instanceRef valid_tmg_trig_number_7)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) - (portRef stat_reg_167) - )) - (net stat_reg_168 (joined - (portRef Q (instanceRef valid_tmg_trig_number_8)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) - (portRef stat_reg_168) - )) (net un2_valid_timing_trg_pulse_cry_8 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) )) - (net stat_reg_169 (joined - (portRef Q (instanceRef valid_tmg_trig_number_9)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) - (portRef stat_reg_169) - )) - (net stat_reg_170 (joined - (portRef Q (instanceRef valid_tmg_trig_number_10)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) - (portRef stat_reg_170) - )) (net un2_valid_timing_trg_pulse_cry_10 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) )) - (net stat_reg_171 (joined - (portRef Q (instanceRef valid_tmg_trig_number_11)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) - (portRef stat_reg_171) - )) - (net stat_reg_172 (joined - (portRef Q (instanceRef valid_tmg_trig_number_12)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) - (portRef stat_reg_172) - )) (net un2_valid_timing_trg_pulse_cry_12 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) )) - (net stat_reg_173 (joined - (portRef Q (instanceRef valid_tmg_trig_number_13)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) - (portRef stat_reg_173) - )) - (net stat_reg_174 (joined - (portRef Q (instanceRef valid_tmg_trig_number_14)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) - (portRef stat_reg_174) - )) (net un2_valid_timing_trg_pulse_cry_14 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) )) - (net stat_reg_175 (joined - (portRef Q (instanceRef valid_tmg_trig_number_15)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) - (portRef stat_reg_175) - )) - (net stat_reg_176 (joined - (portRef Q (instanceRef valid_tmg_trig_number_16)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) - (portRef stat_reg_176) - )) (net un2_valid_timing_trg_pulse_cry_16 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) )) - (net stat_reg_177 (joined - (portRef Q (instanceRef valid_tmg_trig_number_17)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) - (portRef stat_reg_177) - )) - (net stat_reg_178 (joined - (portRef Q (instanceRef valid_tmg_trig_number_18)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) - (portRef stat_reg_178) - )) (net un2_valid_timing_trg_pulse_cry_18 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) )) - (net stat_reg_179 (joined - (portRef Q (instanceRef valid_tmg_trig_number_19)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) - (portRef stat_reg_179) - )) - (net stat_reg_180 (joined - (portRef Q (instanceRef valid_tmg_trig_number_20)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) - (portRef stat_reg_180) - )) (net un2_valid_timing_trg_pulse_cry_20 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) )) - (net stat_reg_181 (joined - (portRef Q (instanceRef valid_tmg_trig_number_21)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) - (portRef stat_reg_181) - )) - (net stat_reg_182 (joined - (portRef Q (instanceRef valid_tmg_trig_number_22)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) - (portRef stat_reg_182) - )) (net un2_valid_timing_trg_pulse_cry_22 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_s_23_0)) )) - (net stat_reg_183 (joined - (portRef Q (instanceRef valid_tmg_trig_number_23)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_s_23_0)) - (portRef stat_reg_183) - )) (net un2_valid_timing_trg_pulse_s_23_0_COUT (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_s_23_0)) )) @@ -935898,81 +939458,81 @@ (net un1_trg_win_cnt_up_i_s_15_0_S1 (joined (portRef S1 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) )) - (net un1_i_2_cry_0 (joined - (portRef COUT (instanceRef un1_i_2_cry_0_0)) - (portRef CIN (instanceRef un1_i_2_cry_1_0)) + (net un1_i_3_cry_0 (joined + (portRef COUT (instanceRef un1_i_3_cry_0_0)) + (portRef CIN (instanceRef un1_i_3_cry_1_0)) )) - (net un1_i_2_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_0_0)) + (net un1_i_3_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_0_0)) )) - (net un1_i_2_cry_2 (joined - (portRef COUT (instanceRef un1_i_2_cry_1_0)) - (portRef CIN (instanceRef un1_i_2_cry_3_0)) + (net un1_i_3_cry_2 (joined + (portRef COUT (instanceRef un1_i_3_cry_1_0)) + (portRef CIN (instanceRef un1_i_3_cry_3_0)) )) - (net un1_i_2_cry_4 (joined - (portRef COUT (instanceRef un1_i_2_cry_3_0)) - (portRef CIN (instanceRef un1_i_2_cry_5_0)) + (net un1_i_3_cry_4 (joined + (portRef COUT (instanceRef un1_i_3_cry_3_0)) + (portRef CIN (instanceRef un1_i_3_cry_5_0)) )) - (net un1_i_2_cry_6 (joined - (portRef COUT (instanceRef un1_i_2_cry_5_0)) - (portRef CIN (instanceRef un1_i_2_cry_7_0)) + (net un1_i_3_cry_6 (joined + (portRef COUT (instanceRef un1_i_3_cry_5_0)) + (portRef CIN (instanceRef un1_i_3_cry_7_0)) )) - (net un1_i_2_cry_8 (joined - (portRef COUT (instanceRef un1_i_2_cry_7_0)) - (portRef CIN (instanceRef un1_i_2_cry_9_0)) + (net un1_i_3_cry_8 (joined + (portRef COUT (instanceRef un1_i_3_cry_7_0)) + (portRef CIN (instanceRef un1_i_3_cry_9_0)) )) - (net un1_i_2_cry_10 (joined - (portRef COUT (instanceRef un1_i_2_cry_9_0)) - (portRef CIN (instanceRef un1_i_2_cry_11_0)) + (net un1_i_3_cry_10 (joined + (portRef COUT (instanceRef un1_i_3_cry_9_0)) + (portRef CIN (instanceRef un1_i_3_cry_11_0)) )) - (net un1_i_2_cry_12 (joined - (portRef COUT (instanceRef un1_i_2_cry_11_0)) - (portRef CIN (instanceRef un1_i_2_cry_13_0)) + (net un1_i_3_cry_12 (joined + (portRef COUT (instanceRef un1_i_3_cry_11_0)) + (portRef CIN (instanceRef un1_i_3_cry_13_0)) )) - (net un1_i_2_cry_14 (joined - (portRef COUT (instanceRef un1_i_2_cry_13_0)) - (portRef CIN (instanceRef un1_i_2_cry_15_0)) + (net un1_i_3_cry_14 (joined + (portRef COUT (instanceRef un1_i_3_cry_13_0)) + (portRef CIN (instanceRef un1_i_3_cry_15_0)) )) - (net un1_i_2_cry_16 (joined - (portRef COUT (instanceRef un1_i_2_cry_15_0)) - (portRef CIN (instanceRef un1_i_2_cry_17_0)) + (net un1_i_3_cry_16 (joined + (portRef COUT (instanceRef un1_i_3_cry_15_0)) + (portRef CIN (instanceRef un1_i_3_cry_17_0)) )) - (net un1_i_2_cry_18 (joined - (portRef COUT (instanceRef un1_i_2_cry_17_0)) - (portRef CIN (instanceRef un1_i_2_cry_19_0)) + (net un1_i_3_cry_18 (joined + (portRef COUT (instanceRef un1_i_3_cry_17_0)) + (portRef CIN (instanceRef un1_i_3_cry_19_0)) )) - (net un1_i_2_cry_20 (joined - (portRef COUT (instanceRef un1_i_2_cry_19_0)) - (portRef CIN (instanceRef un1_i_2_cry_21_0)) + (net un1_i_3_cry_20 (joined + (portRef COUT (instanceRef un1_i_3_cry_19_0)) + (portRef CIN (instanceRef un1_i_3_cry_21_0)) )) - (net un1_i_2_cry_22 (joined - (portRef COUT (instanceRef un1_i_2_cry_21_0)) - (portRef CIN (instanceRef un1_i_2_cry_23_0)) + (net un1_i_3_cry_22 (joined + (portRef COUT (instanceRef un1_i_3_cry_21_0)) + (portRef CIN (instanceRef un1_i_3_cry_23_0)) )) - (net un1_i_2_cry_24 (joined - (portRef COUT (instanceRef un1_i_2_cry_23_0)) - (portRef CIN (instanceRef un1_i_2_cry_25_0)) + (net un1_i_3_cry_24 (joined + (portRef COUT (instanceRef un1_i_3_cry_23_0)) + (portRef CIN (instanceRef un1_i_3_cry_25_0)) )) - (net un1_i_2_cry_26 (joined - (portRef COUT (instanceRef un1_i_2_cry_25_0)) - (portRef CIN (instanceRef un1_i_2_cry_27_0)) + (net un1_i_3_cry_26 (joined + (portRef COUT (instanceRef un1_i_3_cry_25_0)) + (portRef CIN (instanceRef un1_i_3_cry_27_0)) )) - (net un1_i_2_cry_28 (joined - (portRef COUT (instanceRef un1_i_2_cry_27_0)) - (portRef CIN (instanceRef un1_i_2_cry_29_0)) + (net un1_i_3_cry_28 (joined + (portRef COUT (instanceRef un1_i_3_cry_27_0)) + (portRef CIN (instanceRef un1_i_3_cry_29_0)) )) - (net un1_i_2_cry_30 (joined - (portRef COUT (instanceRef un1_i_2_cry_29_0)) - (portRef CIN (instanceRef un1_i_2_s_31_0)) + (net un1_i_3_cry_30 (joined + (portRef COUT (instanceRef un1_i_3_cry_29_0)) + (portRef CIN (instanceRef un1_i_3_s_31_0)) )) - (net un1_i_2_s_31_0_COUT (joined - (portRef COUT (instanceRef un1_i_2_s_31_0)) + (net un1_i_3_s_31_0_COUT (joined + (portRef COUT (instanceRef un1_i_3_s_31_0)) )) - (net un1_i_2_s_31_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_s_31_0)) + (net un1_i_3_s_31_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_s_31_0)) )) - (net ctrl_reg_12 (joined - (portRef ctrl_reg_12) + (net ctrl_reg_36 (joined + (portRef ctrl_reg_36) (portRef C1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_1_0)) (portRef A1 (instanceRef tw_post_cry_0_0)) (portRef B (instanceRef tw_post_axb_0)) @@ -936006,11 +939566,6 @@ (net tw_post_cry_9_0_COUT (joined (portRef COUT (instanceRef tw_post_cry_9_0)) )) - (net stat_reg_416 (joined - (portRef Q (instanceRef wait_time_0)) - (portRef A1 (instanceRef un1_readout_i_2_cry_0_0)) - (portRef stat_reg_416) - )) (net un1_readout_i_2_cry_0 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_0_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_1_0)) @@ -936018,176 +939573,56 @@ (net un1_readout_i_2_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_readout_i_2_cry_0_0)) )) - (net stat_reg_417 (joined - (portRef Q (instanceRef wait_time_1)) - (portRef A0 (instanceRef un1_readout_i_2_cry_1_0)) - (portRef stat_reg_417) - )) - (net stat_reg_418 (joined - (portRef Q (instanceRef wait_time_2)) - (portRef A1 (instanceRef un1_readout_i_2_cry_1_0)) - (portRef stat_reg_418) - )) (net un1_readout_i_2_cry_2 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_1_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_3_0)) )) - (net stat_reg_419 (joined - (portRef Q (instanceRef wait_time_3)) - (portRef A0 (instanceRef un1_readout_i_2_cry_3_0)) - (portRef stat_reg_419) - )) - (net stat_reg_420 (joined - (portRef Q (instanceRef wait_time_4)) - (portRef A1 (instanceRef un1_readout_i_2_cry_3_0)) - (portRef stat_reg_420) - )) (net un1_readout_i_2_cry_4 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_3_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_5_0)) )) - (net stat_reg_421 (joined - (portRef Q (instanceRef wait_time_5)) - (portRef A0 (instanceRef un1_readout_i_2_cry_5_0)) - (portRef stat_reg_421) - )) - (net stat_reg_422 (joined - (portRef Q (instanceRef wait_time_6)) - (portRef A1 (instanceRef un1_readout_i_2_cry_5_0)) - (portRef stat_reg_422) - )) (net un1_readout_i_2_cry_6 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_5_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_7_0)) )) - (net stat_reg_423 (joined - (portRef Q (instanceRef wait_time_7)) - (portRef A0 (instanceRef un1_readout_i_2_cry_7_0)) - (portRef stat_reg_423) - )) - (net stat_reg_424 (joined - (portRef Q (instanceRef wait_time_8)) - (portRef A1 (instanceRef un1_readout_i_2_cry_7_0)) - (portRef stat_reg_424) - )) (net un1_readout_i_2_cry_8 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_7_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_9_0)) )) - (net stat_reg_425 (joined - (portRef Q (instanceRef wait_time_9)) - (portRef A0 (instanceRef un1_readout_i_2_cry_9_0)) - (portRef stat_reg_425) - )) - (net stat_reg_426 (joined - (portRef Q (instanceRef wait_time_10)) - (portRef A1 (instanceRef un1_readout_i_2_cry_9_0)) - (portRef stat_reg_426) - )) (net un1_readout_i_2_cry_10 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_9_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_11_0)) )) - (net stat_reg_427 (joined - (portRef Q (instanceRef wait_time_11)) - (portRef A0 (instanceRef un1_readout_i_2_cry_11_0)) - (portRef stat_reg_427) - )) - (net stat_reg_428 (joined - (portRef Q (instanceRef wait_time_12)) - (portRef A1 (instanceRef un1_readout_i_2_cry_11_0)) - (portRef stat_reg_428) - )) (net un1_readout_i_2_cry_12 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_11_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_13_0)) )) - (net stat_reg_429 (joined - (portRef Q (instanceRef wait_time_13)) - (portRef A0 (instanceRef un1_readout_i_2_cry_13_0)) - (portRef stat_reg_429) - )) - (net stat_reg_430 (joined - (portRef Q (instanceRef wait_time_14)) - (portRef A1 (instanceRef un1_readout_i_2_cry_13_0)) - (portRef stat_reg_430) - )) (net un1_readout_i_2_cry_14 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_13_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_15_0)) )) - (net stat_reg_431 (joined - (portRef Q (instanceRef wait_time_15)) - (portRef A0 (instanceRef un1_readout_i_2_cry_15_0)) - (portRef stat_reg_431) - )) - (net stat_reg_432 (joined - (portRef Q (instanceRef wait_time_16)) - (portRef A1 (instanceRef un1_readout_i_2_cry_15_0)) - (portRef stat_reg_432) - )) (net un1_readout_i_2_cry_16 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_15_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_17_0)) )) - (net stat_reg_433 (joined - (portRef Q (instanceRef wait_time_17)) - (portRef A0 (instanceRef un1_readout_i_2_cry_17_0)) - (portRef stat_reg_433) - )) - (net stat_reg_434 (joined - (portRef Q (instanceRef wait_time_18)) - (portRef A1 (instanceRef un1_readout_i_2_cry_17_0)) - (portRef stat_reg_434) - )) (net un1_readout_i_2_cry_18 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_17_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_19_0)) )) - (net stat_reg_435 (joined - (portRef Q (instanceRef wait_time_19)) - (portRef A0 (instanceRef un1_readout_i_2_cry_19_0)) - (portRef stat_reg_435) - )) - (net stat_reg_436 (joined - (portRef Q (instanceRef wait_time_20)) - (portRef A1 (instanceRef un1_readout_i_2_cry_19_0)) - (portRef stat_reg_436) - )) (net un1_readout_i_2_cry_20 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_19_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_21_0)) )) - (net stat_reg_437 (joined - (portRef Q (instanceRef wait_time_21)) - (portRef A0 (instanceRef un1_readout_i_2_cry_21_0)) - (portRef stat_reg_437) - )) - (net stat_reg_438 (joined - (portRef Q (instanceRef wait_time_22)) - (portRef A1 (instanceRef un1_readout_i_2_cry_21_0)) - (portRef stat_reg_438) - )) (net un1_readout_i_2_cry_22 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_21_0)) (portRef CIN (instanceRef un1_readout_i_2_s_23_0)) )) - (net stat_reg_439 (joined - (portRef Q (instanceRef wait_time_23)) - (portRef A0 (instanceRef un1_readout_i_2_s_23_0)) - (portRef stat_reg_439) - )) (net un1_readout_i_2_s_23_0_COUT (joined (portRef COUT (instanceRef un1_readout_i_2_s_23_0)) )) (net un1_readout_i_2_s_23_0_S1 (joined (portRef S1 (instanceRef un1_readout_i_2_s_23_0)) )) - (net stat_reg_128 (joined - (portRef Q (instanceRef trig_number_0)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0)) - (portRef stat_reg_128) - )) (net un2_valid_timing_trg_pulse_1_cry_0 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) @@ -936195,175 +939630,230 @@ (net un2_valid_timing_trg_pulse_1_cry_0_0_S0 (joined (portRef S0 (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0)) )) - (net stat_reg_129 (joined - (portRef Q (instanceRef trig_number_1)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) - (portRef stat_reg_129) - )) - (net stat_reg_130 (joined - (portRef Q (instanceRef trig_number_2)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) - (portRef stat_reg_130) - )) (net un2_valid_timing_trg_pulse_1_cry_2 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) )) - (net stat_reg_131 (joined - (portRef Q (instanceRef trig_number_3)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) - (portRef stat_reg_131) - )) - (net stat_reg_132 (joined - (portRef Q (instanceRef trig_number_4)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) - (portRef stat_reg_132) - )) (net un2_valid_timing_trg_pulse_1_cry_4 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) )) - (net stat_reg_133 (joined - (portRef Q (instanceRef trig_number_5)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) - (portRef stat_reg_133) - )) - (net stat_reg_134 (joined - (portRef Q (instanceRef trig_number_6)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) - (portRef stat_reg_134) - )) (net un2_valid_timing_trg_pulse_1_cry_6 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) )) - (net stat_reg_135 (joined - (portRef Q (instanceRef trig_number_7)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) - (portRef stat_reg_135) - )) - (net stat_reg_136 (joined - (portRef Q (instanceRef trig_number_8)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) - (portRef stat_reg_136) - )) (net un2_valid_timing_trg_pulse_1_cry_8 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) )) - (net stat_reg_137 (joined - (portRef Q (instanceRef trig_number_9)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) - (portRef stat_reg_137) - )) - (net stat_reg_138 (joined - (portRef Q (instanceRef trig_number_10)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) - (portRef stat_reg_138) - )) (net un2_valid_timing_trg_pulse_1_cry_10 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) )) - (net stat_reg_139 (joined - (portRef Q (instanceRef trig_number_11)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) - (portRef stat_reg_139) - )) - (net stat_reg_140 (joined - (portRef Q (instanceRef trig_number_12)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) - (portRef stat_reg_140) - )) (net un2_valid_timing_trg_pulse_1_cry_12 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) )) - (net stat_reg_141 (joined - (portRef Q (instanceRef trig_number_13)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) - (portRef stat_reg_141) - )) - (net stat_reg_142 (joined - (portRef Q (instanceRef trig_number_14)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) - (portRef stat_reg_142) - )) (net un2_valid_timing_trg_pulse_1_cry_14 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) )) - (net stat_reg_143 (joined - (portRef Q (instanceRef trig_number_15)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) - (portRef stat_reg_143) - )) - (net stat_reg_144 (joined - (portRef Q (instanceRef trig_number_16)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) - (portRef stat_reg_144) - )) (net un2_valid_timing_trg_pulse_1_cry_16 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) )) - (net stat_reg_145 (joined - (portRef Q (instanceRef trig_number_17)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) - (portRef stat_reg_145) - )) - (net stat_reg_146 (joined - (portRef Q (instanceRef trig_number_18)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) - (portRef stat_reg_146) - )) (net un2_valid_timing_trg_pulse_1_cry_18 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) )) - (net stat_reg_147 (joined - (portRef Q (instanceRef trig_number_19)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) - (portRef stat_reg_147) - )) - (net stat_reg_148 (joined - (portRef Q (instanceRef trig_number_20)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) - (portRef stat_reg_148) - )) (net un2_valid_timing_trg_pulse_1_cry_20 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) )) - (net stat_reg_149 (joined - (portRef Q (instanceRef trig_number_21)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) - (portRef stat_reg_149) - )) - (net stat_reg_150 (joined - (portRef Q (instanceRef trig_number_22)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) - (portRef stat_reg_150) - )) (net un2_valid_timing_trg_pulse_1_cry_22 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) )) - (net stat_reg_151 (joined - (portRef Q (instanceRef trig_number_23)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) - (portRef stat_reg_151) - )) (net un2_valid_timing_trg_pulse_1_s_23_0_COUT (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) )) (net un2_valid_timing_trg_pulse_1_s_23_0_S1 (joined (portRef S1 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) )) - (net stat_reg_448 (joined - (portRef Q (instanceRef total_empty_channel_0)) - (portRef A1 (instanceRef un1_empty_channels_cry_0_0)) - (portRef stat_reg_448) + (net un1_idle_i_cry_0 (joined + (portRef COUT (instanceRef un1_idle_i_cry_0_0)) + (portRef CIN (instanceRef un1_idle_i_cry_1_0)) + )) + (net un1_idle_i_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_idle_i_cry_0_0)) + )) + (net un1_idle_i_cry_2 (joined + (portRef COUT (instanceRef un1_idle_i_cry_1_0)) + (portRef CIN (instanceRef un1_idle_i_cry_3_0)) + )) + (net un1_idle_i_cry_4 (joined + (portRef COUT (instanceRef un1_idle_i_cry_3_0)) + (portRef CIN (instanceRef un1_idle_i_cry_5_0)) + )) + (net un1_idle_i_cry_6 (joined + (portRef COUT (instanceRef un1_idle_i_cry_5_0)) + (portRef CIN (instanceRef un1_idle_i_cry_7_0)) + )) + (net un1_idle_i_cry_8 (joined + (portRef COUT (instanceRef un1_idle_i_cry_7_0)) + (portRef CIN (instanceRef un1_idle_i_cry_9_0)) + )) + (net un1_idle_i_cry_10 (joined + (portRef COUT (instanceRef un1_idle_i_cry_9_0)) + (portRef CIN (instanceRef un1_idle_i_cry_11_0)) + )) + (net un1_idle_i_cry_12 (joined + (portRef COUT (instanceRef un1_idle_i_cry_11_0)) + (portRef CIN (instanceRef un1_idle_i_cry_13_0)) + )) + (net un1_idle_i_cry_14 (joined + (portRef COUT (instanceRef un1_idle_i_cry_13_0)) + (portRef CIN (instanceRef un1_idle_i_cry_15_0)) + )) + (net un1_idle_i_cry_16 (joined + (portRef COUT (instanceRef un1_idle_i_cry_15_0)) + (portRef CIN (instanceRef un1_idle_i_cry_17_0)) + )) + (net un1_idle_i_cry_18 (joined + (portRef COUT (instanceRef un1_idle_i_cry_17_0)) + (portRef CIN (instanceRef un1_idle_i_cry_19_0)) + )) + (net un1_idle_i_cry_20 (joined + (portRef COUT (instanceRef un1_idle_i_cry_19_0)) + (portRef CIN (instanceRef un1_idle_i_cry_21_0)) + )) + (net un1_idle_i_cry_22 (joined + (portRef COUT (instanceRef un1_idle_i_cry_21_0)) + (portRef CIN (instanceRef un1_idle_i_s_23_0)) + )) + (net un1_idle_i_s_23_0_COUT (joined + (portRef COUT (instanceRef un1_idle_i_s_23_0)) + )) + (net un1_idle_i_s_23_0_S1 (joined + (portRef S1 (instanceRef un1_idle_i_s_23_0)) + )) + (net spike_detected_pulse (joined + (portRef spike_detected_pulse (instanceRef edge_to_pulse_6)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + )) + (net un1_spike_detected_pulse_cry_0 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_1_0)) + )) + (net un1_spike_detected_pulse_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + )) + (net un1_spike_detected_pulse_cry_2 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_3_0)) + )) + (net un1_spike_detected_pulse_cry_4 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_5_0)) + )) + (net un1_spike_detected_pulse_cry_6 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_7_0)) + )) + (net un1_spike_detected_pulse_cry_8 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_9_0)) + )) + (net un1_spike_detected_pulse_cry_10 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_11_0)) + )) + (net un1_spike_detected_pulse_cry_12 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_13_0)) + )) + (net un1_spike_detected_pulse_cry_14 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_15_0)) + )) + (net un1_spike_detected_pulse_cry_16 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_17_0)) + )) + (net un1_spike_detected_pulse_cry_18 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_19_0)) + )) + (net un1_spike_detected_pulse_cry_20 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_21_0)) + )) + (net un1_spike_detected_pulse_cry_22 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_s_23_0)) + )) + (net un1_spike_detected_pulse_s_23_0_COUT (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_s_23_0)) + )) + (net un1_spike_detected_pulse_s_23_0_S1 (joined + (portRef S1 (instanceRef un1_spike_detected_pulse_s_23_0)) + )) + (net un1_wrong_readout_i_cry_0 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_1_0)) + )) + (net un1_wrong_readout_i_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_wrong_readout_i_cry_0_0)) + )) + (net un1_wrong_readout_i_cry_2 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_3_0)) + )) + (net un1_wrong_readout_i_cry_4 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_5_0)) + )) + (net un1_wrong_readout_i_cry_6 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_7_0)) + )) + (net un1_wrong_readout_i_cry_8 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_9_0)) + )) + (net un1_wrong_readout_i_cry_10 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_11_0)) + )) + (net un1_wrong_readout_i_cry_12 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_13_0)) + )) + (net un1_wrong_readout_i_cry_14 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_15_0)) + )) + (net un1_wrong_readout_i_cry_16 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_17_0)) + )) + (net un1_wrong_readout_i_cry_18 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_19_0)) + )) + (net un1_wrong_readout_i_cry_20 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_21_0)) + )) + (net un1_wrong_readout_i_cry_22 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_s_23_0)) + )) + (net un1_wrong_readout_i_s_23_0_COUT (joined + (portRef COUT (instanceRef un1_wrong_readout_i_s_23_0)) + )) + (net un1_wrong_readout_i_s_23_0_S1 (joined + (portRef S1 (instanceRef un1_wrong_readout_i_s_23_0)) )) (net un1_empty_channels_cry_0 (joined (portRef COUT (instanceRef un1_empty_channels_cry_0_0)) @@ -936372,165 +939862,50 @@ (net un1_empty_channels_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_empty_channels_cry_0_0)) )) - (net stat_reg_449 (joined - (portRef Q (instanceRef total_empty_channel_1)) - (portRef A0 (instanceRef un1_empty_channels_cry_1_0)) - (portRef stat_reg_449) - )) - (net stat_reg_450 (joined - (portRef Q (instanceRef total_empty_channel_2)) - (portRef A1 (instanceRef un1_empty_channels_cry_1_0)) - (portRef stat_reg_450) - )) (net un1_empty_channels_cry_2 (joined (portRef COUT (instanceRef un1_empty_channels_cry_1_0)) (portRef CIN (instanceRef un1_empty_channels_cry_3_0)) )) - (net stat_reg_451 (joined - (portRef Q (instanceRef total_empty_channel_3)) - (portRef A0 (instanceRef un1_empty_channels_cry_3_0)) - (portRef stat_reg_451) - )) - (net stat_reg_452 (joined - (portRef Q (instanceRef total_empty_channel_4)) - (portRef A1 (instanceRef un1_empty_channels_cry_3_0)) - (portRef stat_reg_452) - )) (net un1_empty_channels_cry_4 (joined (portRef COUT (instanceRef un1_empty_channels_cry_3_0)) (portRef CIN (instanceRef un1_empty_channels_cry_5_0)) )) - (net stat_reg_453 (joined - (portRef Q (instanceRef total_empty_channel_5)) - (portRef A0 (instanceRef un1_empty_channels_cry_5_0)) - (portRef stat_reg_453) - )) - (net stat_reg_454 (joined - (portRef Q (instanceRef total_empty_channel_6)) - (portRef A1 (instanceRef un1_empty_channels_cry_5_0)) - (portRef stat_reg_454) - )) (net un1_empty_channels_cry_6 (joined (portRef COUT (instanceRef un1_empty_channels_cry_5_0)) (portRef CIN (instanceRef un1_empty_channels_cry_7_0)) )) - (net stat_reg_455 (joined - (portRef Q (instanceRef total_empty_channel_7)) - (portRef A0 (instanceRef un1_empty_channels_cry_7_0)) - (portRef stat_reg_455) - )) - (net stat_reg_456 (joined - (portRef Q (instanceRef total_empty_channel_8)) - (portRef A1 (instanceRef un1_empty_channels_cry_7_0)) - (portRef stat_reg_456) - )) (net un1_empty_channels_cry_8 (joined (portRef COUT (instanceRef un1_empty_channels_cry_7_0)) (portRef CIN (instanceRef un1_empty_channels_cry_9_0)) )) - (net stat_reg_457 (joined - (portRef Q (instanceRef total_empty_channel_9)) - (portRef A0 (instanceRef un1_empty_channels_cry_9_0)) - (portRef stat_reg_457) - )) - (net stat_reg_458 (joined - (portRef Q (instanceRef total_empty_channel_10)) - (portRef A1 (instanceRef un1_empty_channels_cry_9_0)) - (portRef stat_reg_458) - )) (net un1_empty_channels_cry_10 (joined (portRef COUT (instanceRef un1_empty_channels_cry_9_0)) (portRef CIN (instanceRef un1_empty_channels_cry_11_0)) )) - (net stat_reg_459 (joined - (portRef Q (instanceRef total_empty_channel_11)) - (portRef A0 (instanceRef un1_empty_channels_cry_11_0)) - (portRef stat_reg_459) - )) - (net stat_reg_460 (joined - (portRef Q (instanceRef total_empty_channel_12)) - (portRef A1 (instanceRef un1_empty_channels_cry_11_0)) - (portRef stat_reg_460) - )) (net un1_empty_channels_cry_12 (joined (portRef COUT (instanceRef un1_empty_channels_cry_11_0)) (portRef CIN (instanceRef un1_empty_channels_cry_13_0)) )) - (net stat_reg_461 (joined - (portRef Q (instanceRef total_empty_channel_13)) - (portRef A0 (instanceRef un1_empty_channels_cry_13_0)) - (portRef stat_reg_461) - )) - (net stat_reg_462 (joined - (portRef Q (instanceRef total_empty_channel_14)) - (portRef A1 (instanceRef un1_empty_channels_cry_13_0)) - (portRef stat_reg_462) - )) (net un1_empty_channels_cry_14 (joined (portRef COUT (instanceRef un1_empty_channels_cry_13_0)) (portRef CIN (instanceRef un1_empty_channels_cry_15_0)) )) - (net stat_reg_463 (joined - (portRef Q (instanceRef total_empty_channel_15)) - (portRef A0 (instanceRef un1_empty_channels_cry_15_0)) - (portRef stat_reg_463) - )) - (net stat_reg_464 (joined - (portRef Q (instanceRef total_empty_channel_16)) - (portRef A1 (instanceRef un1_empty_channels_cry_15_0)) - (portRef stat_reg_464) - )) (net un1_empty_channels_cry_16 (joined (portRef COUT (instanceRef un1_empty_channels_cry_15_0)) (portRef CIN (instanceRef un1_empty_channels_cry_17_0)) )) - (net stat_reg_465 (joined - (portRef Q (instanceRef total_empty_channel_17)) - (portRef A0 (instanceRef un1_empty_channels_cry_17_0)) - (portRef stat_reg_465) - )) - (net stat_reg_466 (joined - (portRef Q (instanceRef total_empty_channel_18)) - (portRef A1 (instanceRef un1_empty_channels_cry_17_0)) - (portRef stat_reg_466) - )) (net un1_empty_channels_cry_18 (joined (portRef COUT (instanceRef un1_empty_channels_cry_17_0)) (portRef CIN (instanceRef un1_empty_channels_cry_19_0)) )) - (net stat_reg_467 (joined - (portRef Q (instanceRef total_empty_channel_19)) - (portRef A0 (instanceRef un1_empty_channels_cry_19_0)) - (portRef stat_reg_467) - )) - (net stat_reg_468 (joined - (portRef Q (instanceRef total_empty_channel_20)) - (portRef A1 (instanceRef un1_empty_channels_cry_19_0)) - (portRef stat_reg_468) - )) (net un1_empty_channels_cry_20 (joined (portRef COUT (instanceRef un1_empty_channels_cry_19_0)) (portRef CIN (instanceRef un1_empty_channels_cry_21_0)) )) - (net stat_reg_469 (joined - (portRef Q (instanceRef total_empty_channel_21)) - (portRef A0 (instanceRef un1_empty_channels_cry_21_0)) - (portRef stat_reg_469) - )) - (net stat_reg_470 (joined - (portRef Q (instanceRef total_empty_channel_22)) - (portRef A1 (instanceRef un1_empty_channels_cry_21_0)) - (portRef stat_reg_470) - )) (net un1_empty_channels_cry_22 (joined (portRef COUT (instanceRef un1_empty_channels_cry_21_0)) (portRef CIN (instanceRef un1_empty_channels_s_23_0)) )) - (net stat_reg_471 (joined - (portRef Q (instanceRef total_empty_channel_23)) - (portRef A0 (instanceRef un1_empty_channels_s_23_0)) - (portRef stat_reg_471) - )) (net un1_empty_channels_s_23_0_COUT (joined (portRef COUT (instanceRef un1_empty_channels_s_23_0)) )) @@ -936568,44 +939943,44 @@ (portRef stat_reg_99) (portRef B0 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_3_0)) )) - (net ctrl_reg_0 (joined - (portRef ctrl_reg_0) + (net ctrl_reg_24 (joined + (portRef ctrl_reg_24) (portRef B1 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_3_0)) )) (net TW_pre_1_cry_4 (joined (portRef COUT (instanceRef Trg_Win_Calculation_TW_pre_1_cry_3_0)) (portRef CIN (instanceRef Trg_Win_Calculation_TW_pre_1_cry_5_0)) )) - (net ctrl_reg_1 (joined - (portRef ctrl_reg_1) + (net ctrl_reg_25 (joined + (portRef ctrl_reg_25) (portRef B0 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_5_0)) )) - (net ctrl_reg_2 (joined - (portRef ctrl_reg_2) + (net ctrl_reg_26 (joined + (portRef ctrl_reg_26) (portRef B1 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_5_0)) )) (net TW_pre_1_cry_6 (joined (portRef COUT (instanceRef Trg_Win_Calculation_TW_pre_1_cry_5_0)) (portRef CIN (instanceRef Trg_Win_Calculation_TW_pre_1_cry_7_0)) )) - (net ctrl_reg_3 (joined - (portRef ctrl_reg_3) + (net ctrl_reg_27 (joined + (portRef ctrl_reg_27) (portRef B0 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_7_0)) )) - (net ctrl_reg_4 (joined - (portRef ctrl_reg_4) + (net ctrl_reg_28 (joined + (portRef ctrl_reg_28) (portRef B1 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_7_0)) )) (net TW_pre_1_cry_8 (joined (portRef COUT (instanceRef Trg_Win_Calculation_TW_pre_1_cry_7_0)) (portRef CIN (instanceRef Trg_Win_Calculation_TW_pre_1_cry_9_0)) )) - (net ctrl_reg_5 (joined - (portRef ctrl_reg_5) + (net ctrl_reg_29 (joined + (portRef ctrl_reg_29) (portRef B0 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_9_0)) )) - (net ctrl_reg_6 (joined - (portRef ctrl_reg_6) + (net ctrl_reg_30 (joined + (portRef ctrl_reg_30) (portRef B1 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_9_0)) )) (net TW_pre_1_cry_9_0_COUT (joined @@ -936789,8 +940164,8 @@ (net un1_channel_hit_time_cry_10_0_S1 (joined (portRef S1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_10_0)) )) - (net ctrl_reg_13 (joined - (portRef ctrl_reg_13) + (net ctrl_reg_37 (joined + (portRef ctrl_reg_37) (portRef D1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_1_0)) (portRef A0 (instanceRef tw_post_cry_1_0)) )) @@ -936804,23 +940179,23 @@ (net un3_trg_win_cnt_0_I_1_0_S1 (joined (portRef S1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_1_0)) )) - (net ctrl_reg_14 (joined - (portRef ctrl_reg_14) + (net ctrl_reg_38 (joined + (portRef ctrl_reg_38) (portRef C0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_9_0)) (portRef A1 (instanceRef tw_post_cry_1_0)) )) - (net ctrl_reg_15 (joined - (portRef ctrl_reg_15) + (net ctrl_reg_39 (joined + (portRef ctrl_reg_39) (portRef D0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_9_0)) (portRef A0 (instanceRef tw_post_cry_3_0)) )) - (net ctrl_reg_16 (joined - (portRef ctrl_reg_16) + (net ctrl_reg_40 (joined + (portRef ctrl_reg_40) (portRef C1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_9_0)) (portRef A1 (instanceRef tw_post_cry_3_0)) )) - (net ctrl_reg_17 (joined - (portRef ctrl_reg_17) + (net ctrl_reg_41 (joined + (portRef ctrl_reg_41) (portRef D1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_9_0)) (portRef A0 (instanceRef tw_post_cry_5_0)) )) @@ -936834,23 +940209,23 @@ (net un3_trg_win_cnt_0_I_9_0_S1 (joined (portRef S1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_9_0)) )) - (net ctrl_reg_18 (joined - (portRef ctrl_reg_18) + (net ctrl_reg_42 (joined + (portRef ctrl_reg_42) (portRef C0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_27_0)) (portRef A1 (instanceRef tw_post_cry_5_0)) )) - (net ctrl_reg_19 (joined - (portRef ctrl_reg_19) + (net ctrl_reg_43 (joined + (portRef ctrl_reg_43) (portRef D0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_27_0)) (portRef A0 (instanceRef tw_post_cry_7_0)) )) - (net ctrl_reg_20 (joined - (portRef ctrl_reg_20) + (net ctrl_reg_44 (joined + (portRef ctrl_reg_44) (portRef C1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_27_0)) (portRef A1 (instanceRef tw_post_cry_7_0)) )) - (net ctrl_reg_21 (joined - (portRef ctrl_reg_21) + (net ctrl_reg_45 (joined + (portRef ctrl_reg_45) (portRef D1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_27_0)) (portRef A0 (instanceRef tw_post_cry_9_0)) )) @@ -936864,8 +940239,8 @@ (net un3_trg_win_cnt_0_I_27_0_S1 (joined (portRef S1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_27_0)) )) - (net ctrl_reg_22 (joined - (portRef ctrl_reg_22) + (net ctrl_reg_46 (joined + (portRef ctrl_reg_46) (portRef C0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_33_0)) (portRef A1 (instanceRef tw_post_cry_9_0)) )) @@ -936887,72 +940262,72 @@ )) (net coarse_cnt_i_1 (joined (portRef coarse_cnt_i_1 (instanceRef The_Coarse_Counter)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_29_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_14_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_31_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_24_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_12_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_27_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_22_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_10_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_22_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_27_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_12_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_25_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_15_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_30_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_13_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_28_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_23_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_28_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_26_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_31_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_14_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_30_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_15_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_7_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_17_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_7_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_5_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_20_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_8_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_3_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_18_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_6_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_1_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_4_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_9_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_1_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_6_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_18_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_3_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_8_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_20_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef The_Reference_Time)) )) (net coarse_cnt_0 (joined (portRef (member coarse_cnt 10) (instanceRef The_Coarse_Counter)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_29_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_14_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_31_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_24_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_12_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_27_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_22_Channels)) (portRef (member coarse_cnt 10) (instanceRef GEN_Channels_10_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_22_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_27_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_12_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_25_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_15_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_30_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_13_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_28_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_23_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_28_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_26_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_31_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_14_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_30_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_15_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_7_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_17_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_7_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_5_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_20_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_8_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_3_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_18_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_6_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_1_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_4_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_9_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_1_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_6_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_18_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_3_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_8_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_20_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member coarse_cnt 0) (instanceRef The_Reference_Time)) )) (net trg_multiple_trg_i (joined @@ -936970,488 +940345,406 @@ )) (net un3_hit_time_stamp_i_s_9_0_S0 (joined (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_7_0_S1 (joined (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_7_0_S0 (joined (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_5_0_S1 (joined (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_5_0_S0 (joined (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_3_0_S1 (joined (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_3_0_S0 (joined (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_1_0_S1 (joined (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_1_0_S0 (joined (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef The_Reference_Time)) )) (net G_1803_Q (joined (portRef G_1803_Q) - (portRef G_1803_Q (instanceRef GEN_Channels_9_Channels)) + (portRef G_1803_Q (instanceRef GEN_Channels_19_Channels)) )) - (net N_295849_0 (joined - (portRef N_295849_0 (instanceRef GEN_Channels_9_Channels)) - (portRef N_295849_0) + (net N_296147_0 (joined + (portRef N_296147_0 (instanceRef GEN_Channels_19_Channels)) + (portRef N_296147_0) )) (net G_1801_Q (joined (portRef G_1801_Q) - (portRef G_1801_Q (instanceRef GEN_Channels_9_Channels)) + (portRef G_1801_Q (instanceRef GEN_Channels_19_Channels)) )) (net sync_q_and (joined - (portRef sync_q_and (instanceRef GEN_Channels_9_Channels)) + (portRef sync_q_and (instanceRef GEN_Channels_19_Channels)) (portRef sync_q_and) )) (net G_1798_Q (joined (portRef G_1798_Q) - (portRef G_1798_Q (instanceRef GEN_Channels_9_Channels)) + (portRef G_1798_Q (instanceRef GEN_Channels_19_Channels)) )) (net G_1794_Q (joined (portRef G_1794_Q) - (portRef G_1794_Q (instanceRef GEN_Channels_19_Channels)) + (portRef G_1794_Q (instanceRef GEN_Channels_20_Channels)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_14_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_24_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_27_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_22_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_10_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_12_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_15_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_13_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_16_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_23_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_28_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_26_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_14_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_30_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_15_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_21_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_7_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_20_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_8_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_6_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_1_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_21_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_4_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_19_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_18_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_8_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_19_Channels)) + )) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef reset_i_9 (instanceRef GEN_Channels_18_Channels)) + (portRef reset_i_9 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_i_9 (instanceRef GEN_Channels_19_Channels)) )) - (net N_295836_0 (joined - (portRef N_295836_0 (instanceRef GEN_Channels_19_Channels)) - (portRef N_295836_0) + (net N_296134_0 (joined + (portRef N_296134_0 (instanceRef GEN_Channels_20_Channels)) + (portRef N_296134_0) )) (net G_1792_Q (joined (portRef G_1792_Q) - (portRef G_1792_Q (instanceRef GEN_Channels_19_Channels)) + (portRef G_1792_Q (instanceRef GEN_Channels_20_Channels)) )) (net sync_q_and_0 (joined - (portRef sync_q_and_0 (instanceRef GEN_Channels_19_Channels)) + (portRef sync_q_and_0 (instanceRef GEN_Channels_20_Channels)) (portRef sync_q_and_0) )) (net G_1789_Q (joined (portRef G_1789_Q) - (portRef G_1789_Q (instanceRef GEN_Channels_19_Channels)) + (portRef G_1789_Q (instanceRef GEN_Channels_20_Channels)) )) (net G_1785_Q (joined (portRef G_1785_Q) - (portRef G_1785_Q (instanceRef GEN_Channels_4_Channels)) + (portRef G_1785_Q (instanceRef GEN_Channels_8_Channels)) )) - (net N_295823_0 (joined - (portRef N_295823_0 (instanceRef GEN_Channels_4_Channels)) - (portRef N_295823_0) + (net N_296121_0 (joined + (portRef N_296121_0 (instanceRef GEN_Channels_8_Channels)) + (portRef N_296121_0) )) (net G_1783_Q (joined (portRef G_1783_Q) - (portRef G_1783_Q (instanceRef GEN_Channels_4_Channels)) + (portRef G_1783_Q (instanceRef GEN_Channels_8_Channels)) )) (net sync_q_and_1 (joined - (portRef sync_q_and_1 (instanceRef GEN_Channels_4_Channels)) + (portRef sync_q_and_1 (instanceRef GEN_Channels_8_Channels)) (portRef sync_q_and_1) )) (net G_1780_Q (joined (portRef G_1780_Q) - (portRef G_1780_Q (instanceRef GEN_Channels_4_Channels)) - )) - (net G_1776_Q (joined - (portRef G_1776_Q) - (portRef G_1776_Q (instanceRef GEN_Channels_21_Channels)) - )) - (net N_295810_0 (joined - (portRef N_295810_0 (instanceRef GEN_Channels_21_Channels)) - (portRef N_295810_0) - )) - (net G_1774_Q (joined - (portRef G_1774_Q) - (portRef G_1774_Q (instanceRef GEN_Channels_21_Channels)) - )) - (net sync_q_and_2 (joined - (portRef sync_q_and_2 (instanceRef GEN_Channels_21_Channels)) - (portRef sync_q_and_2) - )) - (net G_1771_Q (joined - (portRef G_1771_Q) - (portRef G_1771_Q (instanceRef GEN_Channels_21_Channels)) - )) - (net G_1767_Q (joined - (portRef G_1767_Q) - (portRef G_1767_Q (instanceRef GEN_Channels_1_Channels)) - )) - (net N_295797_0 (joined - (portRef N_295797_0 (instanceRef GEN_Channels_1_Channels)) - (portRef N_295797_0) - )) - (net G_1765_Q (joined - (portRef G_1765_Q) - (portRef G_1765_Q (instanceRef GEN_Channels_1_Channels)) - )) - (net sync_q_and_3 (joined - (portRef sync_q_and_3 (instanceRef GEN_Channels_1_Channels)) - (portRef sync_q_and_3) - )) - (net G_1762_Q (joined - (portRef G_1762_Q) - (portRef G_1762_Q (instanceRef GEN_Channels_1_Channels)) - )) - (net G_1758_Q (joined - (portRef G_1758_Q) - (portRef G_1758_Q (instanceRef GEN_Channels_6_Channels)) - )) - (net N_295784_0 (joined - (portRef N_295784_0 (instanceRef GEN_Channels_6_Channels)) - (portRef N_295784_0) - )) - (net G_1756_Q (joined - (portRef G_1756_Q) - (portRef G_1756_Q (instanceRef GEN_Channels_6_Channels)) - )) - (net sync_q_and_4 (joined - (portRef sync_q_and_4 (instanceRef GEN_Channels_6_Channels)) - (portRef sync_q_and_4) - )) - (net G_1753_Q (joined - (portRef G_1753_Q) - (portRef G_1753_Q (instanceRef GEN_Channels_6_Channels)) - )) - (net G_1749_Q (joined - (portRef G_1749_Q) - (portRef G_1749_Q (instanceRef GEN_Channels_18_Channels)) - )) - (net reset_i_8 (joined - (portRef reset_i_8) - (portRef reset_i_8 (instanceRef GEN_Channels_20_Channels)) - (portRef reset_i_8 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_i_8 (instanceRef GEN_Channels_19_Channels)) - )) - (net N_295771_0 (joined - (portRef N_295771_0 (instanceRef GEN_Channels_18_Channels)) - (portRef N_295771_0) - )) - (net G_1747_Q (joined - (portRef G_1747_Q) - (portRef G_1747_Q (instanceRef GEN_Channels_18_Channels)) - )) - (net sync_q_and_5 (joined - (portRef sync_q_and_5 (instanceRef GEN_Channels_18_Channels)) - (portRef sync_q_and_5) - )) - (net G_1744_Q (joined - (portRef G_1744_Q) - (portRef G_1744_Q (instanceRef GEN_Channels_18_Channels)) + (portRef G_1780_Q (instanceRef GEN_Channels_8_Channels)) )) (net stat_reg_535 (joined (portRef stat_reg_500 (instanceRef GEN_Channels_3_Channels)) @@ -937741,141 +941034,189 @@ (portRef stat_reg_445 (instanceRef GEN_Channels_3_Channels)) (portRef stat_reg_480) )) + (net G_1776_Q (joined + (portRef G_1776_Q) + (portRef G_1776_Q (instanceRef GEN_Channels_3_Channels)) + )) + (net N_296108_0 (joined + (portRef N_296108_0 (instanceRef GEN_Channels_3_Channels)) + (portRef N_296108_0) + )) + (net G_1774_Q (joined + (portRef G_1774_Q) + (portRef G_1774_Q (instanceRef GEN_Channels_3_Channels)) + )) + (net sync_q_and_2 (joined + (portRef sync_q_and_2 (instanceRef GEN_Channels_3_Channels)) + (portRef sync_q_and_2) + )) + (net G_1771_Q (joined + (portRef G_1771_Q) + (portRef G_1771_Q (instanceRef GEN_Channels_3_Channels)) + )) + (net G_1767_Q (joined + (portRef G_1767_Q) + (portRef G_1767_Q (instanceRef GEN_Channels_18_Channels)) + )) + (net N_296095_0 (joined + (portRef N_296095_0 (instanceRef GEN_Channels_18_Channels)) + (portRef N_296095_0) + )) + (net G_1765_Q (joined + (portRef G_1765_Q) + (portRef G_1765_Q (instanceRef GEN_Channels_18_Channels)) + )) + (net sync_q_and_3 (joined + (portRef sync_q_and_3 (instanceRef GEN_Channels_18_Channels)) + (portRef sync_q_and_3) + )) + (net G_1762_Q (joined + (portRef G_1762_Q) + (portRef G_1762_Q (instanceRef GEN_Channels_18_Channels)) + )) + (net G_1758_Q (joined + (portRef G_1758_Q) + (portRef G_1758_Q (instanceRef GEN_Channels_6_Channels)) + )) + (net N_296082_0 (joined + (portRef N_296082_0 (instanceRef GEN_Channels_6_Channels)) + (portRef N_296082_0) + )) + (net G_1756_Q (joined + (portRef G_1756_Q) + (portRef G_1756_Q (instanceRef GEN_Channels_6_Channels)) + )) + (net sync_q_and_4 (joined + (portRef sync_q_and_4 (instanceRef GEN_Channels_6_Channels)) + (portRef sync_q_and_4) + )) + (net G_1753_Q (joined + (portRef G_1753_Q) + (portRef G_1753_Q (instanceRef GEN_Channels_6_Channels)) + )) + (net G_1749_Q (joined + (portRef G_1749_Q) + (portRef G_1749_Q (instanceRef GEN_Channels_1_Channels)) + )) + (net reset_i_18 (joined + (portRef reset_i_18) + (portRef reset_i_18 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_i_18 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_i_18 (instanceRef GEN_Channels_3_Channels)) + )) + (net N_296069_0 (joined + (portRef N_296069_0 (instanceRef GEN_Channels_1_Channels)) + (portRef N_296069_0) + )) + (net G_1747_Q (joined + (portRef G_1747_Q) + (portRef G_1747_Q (instanceRef GEN_Channels_1_Channels)) + )) + (net sync_q_and_5 (joined + (portRef sync_q_and_5 (instanceRef GEN_Channels_1_Channels)) + (portRef sync_q_and_5) + )) + (net G_1744_Q (joined + (portRef G_1744_Q) + (portRef G_1744_Q (instanceRef GEN_Channels_1_Channels)) + )) (net G_1740_Q (joined (portRef G_1740_Q) - (portRef G_1740_Q (instanceRef GEN_Channels_3_Channels)) + (portRef G_1740_Q (instanceRef GEN_Channels_9_Channels)) )) - (net reset_i_16 (joined - (portRef reset_i_16) - (portRef reset_i_16 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_i_16 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_i_16 (instanceRef GEN_Channels_4_Channels)) - )) - (net reset_i_17 (joined - (portRef reset_i_17) - (portRef reset_i_17 (instanceRef GEN_Channels_2_Channels)) - (portRef reset_i_17 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_i_17 (instanceRef GEN_Channels_1_Channels)) + (net reset_i_15 (joined + (portRef reset_i_15) + (portRef reset_i_15 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_i_15 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_i_15 (instanceRef GEN_Channels_8_Channels)) )) - (net N_295758_0 (joined - (portRef N_295758_0 (instanceRef GEN_Channels_3_Channels)) - (portRef N_295758_0) + (net N_296056_0 (joined + (portRef N_296056_0 (instanceRef GEN_Channels_9_Channels)) + (portRef N_296056_0) )) (net G_1738_Q (joined (portRef G_1738_Q) - (portRef G_1738_Q (instanceRef GEN_Channels_3_Channels)) + (portRef G_1738_Q (instanceRef GEN_Channels_9_Channels)) )) (net sync_q_and_6 (joined - (portRef sync_q_and_6 (instanceRef GEN_Channels_3_Channels)) + (portRef sync_q_and_6 (instanceRef GEN_Channels_9_Channels)) (portRef sync_q_and_6) )) (net G_1735_Q (joined (portRef G_1735_Q) - (portRef G_1735_Q (instanceRef GEN_Channels_3_Channels)) + (portRef G_1735_Q (instanceRef GEN_Channels_9_Channels)) )) (net G_1731_Q (joined (portRef G_1731_Q) - (portRef G_1731_Q (instanceRef GEN_Channels_8_Channels)) + (portRef G_1731_Q (instanceRef GEN_Channels_4_Channels)) )) - (net reset_i_14 (joined - (portRef reset_i_14) - (portRef reset_i_14 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_i_14 (instanceRef GEN_Channels_8_Channels)) - (portRef reset_i_14 (instanceRef GEN_Channels_9_Channels)) + (net reset_i_17 (joined + (portRef reset_i_17) + (portRef reset_i_17 (instanceRef GEN_Channels_5_Channels)) + (portRef reset_i_17 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_i_17 (instanceRef GEN_Channels_3_Channels)) )) - (net N_295745_0 (joined - (portRef N_295745_0 (instanceRef GEN_Channels_8_Channels)) - (portRef N_295745_0) + (net N_296043_0 (joined + (portRef N_296043_0 (instanceRef GEN_Channels_4_Channels)) + (portRef N_296043_0) )) (net G_1729_Q (joined (portRef G_1729_Q) - (portRef G_1729_Q (instanceRef GEN_Channels_8_Channels)) + (portRef G_1729_Q (instanceRef GEN_Channels_4_Channels)) )) (net sync_q_and_7 (joined - (portRef sync_q_and_7 (instanceRef GEN_Channels_8_Channels)) + (portRef sync_q_and_7 (instanceRef GEN_Channels_4_Channels)) (portRef sync_q_and_7) )) (net G_1726_Q (joined (portRef G_1726_Q) - (portRef G_1726_Q (instanceRef GEN_Channels_8_Channels)) + (portRef G_1726_Q (instanceRef GEN_Channels_4_Channels)) )) (net G_1722_Q (joined (portRef G_1722_Q) - (portRef G_1722_Q (instanceRef GEN_Channels_20_Channels)) + (portRef G_1722_Q (instanceRef GEN_Channels_5_Channels)) )) - (net reset_i_7 (joined - (portRef reset_i_7) - (portRef reset_i_7 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_i_7 (instanceRef GEN_Channels_20_Channels)) - (portRef reset_i_7 (instanceRef GEN_Channels_21_Channels)) + (net reset_i_16 (joined + (portRef reset_i_16) + (portRef reset_i_16 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_i_16 (instanceRef GEN_Channels_5_Channels)) + (portRef reset_i_16 (instanceRef GEN_Channels_6_Channels)) )) - (net N_295732_0 (joined - (portRef N_295732_0 (instanceRef GEN_Channels_20_Channels)) - (portRef N_295732_0) + (net N_296030_0 (joined + (portRef N_296030_0 (instanceRef GEN_Channels_5_Channels)) + (portRef N_296030_0) )) (net G_1720_Q (joined (portRef G_1720_Q) - (portRef G_1720_Q (instanceRef GEN_Channels_20_Channels)) + (portRef G_1720_Q (instanceRef GEN_Channels_5_Channels)) )) (net sync_q_and_8 (joined - (portRef sync_q_and_8 (instanceRef GEN_Channels_20_Channels)) + (portRef sync_q_and_8 (instanceRef GEN_Channels_5_Channels)) (portRef sync_q_and_8) )) (net G_1717_Q (joined (portRef G_1717_Q) - (portRef G_1717_Q (instanceRef GEN_Channels_20_Channels)) + (portRef G_1717_Q (instanceRef GEN_Channels_5_Channels)) )) (net G_1713_Q (joined (portRef G_1713_Q) - (portRef G_1713_Q (instanceRef GEN_Channels_5_Channels)) + (portRef G_1713_Q (instanceRef GEN_Channels_7_Channels)) )) - (net reset_i_15 (joined - (portRef reset_i_15) - (portRef reset_i_15 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_i_15 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_i_15 (instanceRef GEN_Channels_6_Channels)) - )) - (net N_295719_0 (joined - (portRef N_295719_0 (instanceRef GEN_Channels_5_Channels)) - (portRef N_295719_0) + (net N_296017_0 (joined + (portRef N_296017_0 (instanceRef GEN_Channels_7_Channels)) + (portRef N_296017_0) )) (net G_1711_Q (joined (portRef G_1711_Q) - (portRef G_1711_Q (instanceRef GEN_Channels_5_Channels)) + (portRef G_1711_Q (instanceRef GEN_Channels_7_Channels)) )) (net sync_q_and_9 (joined - (portRef sync_q_and_9 (instanceRef GEN_Channels_5_Channels)) + (portRef sync_q_and_9 (instanceRef GEN_Channels_7_Channels)) (portRef sync_q_and_9) )) (net G_1708_Q (joined (portRef G_1708_Q) - (portRef G_1708_Q (instanceRef GEN_Channels_5_Channels)) - )) - (net G_1704_Q (joined - (portRef G_1704_Q) - (portRef G_1704_Q (instanceRef GEN_Channels_17_Channels)) - )) - (net reset_i_9 (joined - (portRef reset_i_9) - (portRef reset_i_9 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_i_9 (instanceRef GEN_Channels_17_Channels)) - (portRef reset_i_9 (instanceRef GEN_Channels_18_Channels)) - )) - (net N_295706_0 (joined - (portRef N_295706_0 (instanceRef GEN_Channels_17_Channels)) - (portRef N_295706_0) - )) - (net G_1702_Q (joined - (portRef G_1702_Q) - (portRef G_1702_Q (instanceRef GEN_Channels_17_Channels)) - )) - (net sync_q_and_10 (joined - (portRef sync_q_and_10 (instanceRef GEN_Channels_17_Channels)) - (portRef sync_q_and_10) - )) - (net G_1699_Q (joined - (portRef G_1699_Q) - (portRef G_1699_Q (instanceRef GEN_Channels_17_Channels)) + (portRef G_1708_Q (instanceRef GEN_Channels_7_Channels)) )) (net stat_reg_631 (joined (portRef stat_reg_597 (instanceRef GEN_Channels_2_Channels)) @@ -938165,280 +941506,357 @@ (portRef stat_reg_542 (instanceRef GEN_Channels_2_Channels)) (portRef stat_reg_576) )) + (net G_1704_Q (joined + (portRef G_1704_Q) + (portRef G_1704_Q (instanceRef GEN_Channels_2_Channels)) + )) + (net N_296004_0 (joined + (portRef N_296004_0 (instanceRef GEN_Channels_2_Channels)) + (portRef N_296004_0) + )) + (net G_1702_Q (joined + (portRef G_1702_Q) + (portRef G_1702_Q (instanceRef GEN_Channels_2_Channels)) + )) + (net sync_q_and_10 (joined + (portRef sync_q_and_10 (instanceRef GEN_Channels_2_Channels)) + (portRef sync_q_and_10) + )) + (net G_1699_Q (joined + (portRef G_1699_Q) + (portRef G_1699_Q (instanceRef GEN_Channels_2_Channels)) + )) (net G_1695_Q (joined (portRef G_1695_Q) - (portRef G_1695_Q (instanceRef GEN_Channels_2_Channels)) + (portRef G_1695_Q (instanceRef GEN_Channels_17_Channels)) )) - (net N_295693_0 (joined - (portRef N_295693_0 (instanceRef GEN_Channels_2_Channels)) - (portRef N_295693_0) + (net reset_i_10 (joined + (portRef reset_i_10) + (portRef reset_i_10 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_i_10 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_i_10 (instanceRef GEN_Channels_18_Channels)) + )) + (net N_295991_0 (joined + (portRef N_295991_0 (instanceRef GEN_Channels_17_Channels)) + (portRef N_295991_0) )) (net G_1693_Q (joined (portRef G_1693_Q) - (portRef G_1693_Q (instanceRef GEN_Channels_2_Channels)) + (portRef G_1693_Q (instanceRef GEN_Channels_17_Channels)) )) (net sync_q_and_11 (joined - (portRef sync_q_and_11 (instanceRef GEN_Channels_2_Channels)) + (portRef sync_q_and_11 (instanceRef GEN_Channels_17_Channels)) (portRef sync_q_and_11) )) (net G_1690_Q (joined (portRef G_1690_Q) - (portRef G_1690_Q (instanceRef GEN_Channels_2_Channels)) + (portRef G_1690_Q (instanceRef GEN_Channels_17_Channels)) )) (net G_1686_Q (joined (portRef G_1686_Q) - (portRef G_1686_Q (instanceRef GEN_Channels_7_Channels)) + (portRef G_1686_Q (instanceRef GEN_Channels_21_Channels)) + )) + (net reset_i_8 (joined + (portRef reset_i_8) + (portRef reset_i_8 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_i_8 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_i_8 (instanceRef GEN_Channels_20_Channels)) )) - (net N_295680_0 (joined - (portRef N_295680_0 (instanceRef GEN_Channels_7_Channels)) - (portRef N_295680_0) + (net N_295978_0 (joined + (portRef N_295978_0 (instanceRef GEN_Channels_21_Channels)) + (portRef N_295978_0) )) (net G_1684_Q (joined (portRef G_1684_Q) - (portRef G_1684_Q (instanceRef GEN_Channels_7_Channels)) + (portRef G_1684_Q (instanceRef GEN_Channels_21_Channels)) )) (net sync_q_and_12 (joined - (portRef sync_q_and_12 (instanceRef GEN_Channels_7_Channels)) + (portRef sync_q_and_12 (instanceRef GEN_Channels_21_Channels)) (portRef sync_q_and_12) )) (net G_1681_Q (joined (portRef G_1681_Q) - (portRef G_1681_Q (instanceRef GEN_Channels_7_Channels)) + (portRef G_1681_Q (instanceRef GEN_Channels_21_Channels)) )) (net G_1677_Q (joined (portRef G_1677_Q) - (portRef G_1677_Q (instanceRef GEN_Channels_15_Channels)) + (portRef G_1677_Q (instanceRef GEN_Channels_11_Channels)) )) - (net N_295667_0 (joined - (portRef N_295667_0 (instanceRef GEN_Channels_15_Channels)) - (portRef N_295667_0) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef reset_i_14 (instanceRef GEN_Channels_10_Channels)) + (portRef reset_i_14 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_i_14 (instanceRef GEN_Channels_9_Channels)) + )) + (net N_295965_0 (joined + (portRef N_295965_0 (instanceRef GEN_Channels_11_Channels)) + (portRef N_295965_0) )) (net G_1675_Q (joined (portRef G_1675_Q) - (portRef G_1675_Q (instanceRef GEN_Channels_15_Channels)) + (portRef G_1675_Q (instanceRef GEN_Channels_11_Channels)) )) (net sync_q_and_13 (joined - (portRef sync_q_and_13 (instanceRef GEN_Channels_15_Channels)) + (portRef sync_q_and_13 (instanceRef GEN_Channels_11_Channels)) (portRef sync_q_and_13) )) (net G_1672_Q (joined (portRef G_1672_Q) - (portRef G_1672_Q (instanceRef GEN_Channels_15_Channels)) + (portRef G_1672_Q (instanceRef GEN_Channels_11_Channels)) )) (net G_1668_Q (joined (portRef G_1668_Q) - (portRef G_1668_Q (instanceRef GEN_Channels_30_Channels)) + (portRef G_1668_Q (instanceRef GEN_Channels_26_Channels)) )) - (net N_295654_0 (joined - (portRef N_295654_0 (instanceRef GEN_Channels_30_Channels)) - (portRef N_295654_0) + (net N_295952_0 (joined + (portRef N_295952_0 (instanceRef GEN_Channels_26_Channels)) + (portRef N_295952_0) )) (net G_1666_Q (joined (portRef G_1666_Q) - (portRef G_1666_Q (instanceRef GEN_Channels_30_Channels)) + (portRef G_1666_Q (instanceRef GEN_Channels_26_Channels)) )) (net sync_q_and_14 (joined - (portRef sync_q_and_14 (instanceRef GEN_Channels_30_Channels)) + (portRef sync_q_and_14 (instanceRef GEN_Channels_26_Channels)) (portRef sync_q_and_14) )) (net G_1663_Q (joined (portRef G_1663_Q) - (portRef G_1663_Q (instanceRef GEN_Channels_30_Channels)) + (portRef G_1663_Q (instanceRef GEN_Channels_26_Channels)) )) (net G_1659_Q (joined (portRef G_1659_Q) - (portRef G_1659_Q (instanceRef GEN_Channels_14_Channels)) + (portRef G_1659_Q (instanceRef GEN_Channels_28_Channels)) )) - (net reset_i_10 (joined - (portRef reset_i_10) - (portRef reset_i_10 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_i_10 (instanceRef GEN_Channels_14_Channels)) - (portRef reset_i_10 (instanceRef GEN_Channels_15_Channels)) - )) - (net N_295641_0 (joined - (portRef N_295641_0 (instanceRef GEN_Channels_14_Channels)) - (portRef N_295641_0) + (net N_295939_0 (joined + (portRef N_295939_0 (instanceRef GEN_Channels_28_Channels)) + (portRef N_295939_0) )) (net G_1657_Q (joined (portRef G_1657_Q) - (portRef G_1657_Q (instanceRef GEN_Channels_14_Channels)) + (portRef G_1657_Q (instanceRef GEN_Channels_28_Channels)) )) (net sync_q_and_15 (joined - (portRef sync_q_and_15 (instanceRef GEN_Channels_14_Channels)) + (portRef sync_q_and_15 (instanceRef GEN_Channels_28_Channels)) (portRef sync_q_and_15) )) (net G_1654_Q (joined (portRef G_1654_Q) - (portRef G_1654_Q (instanceRef GEN_Channels_14_Channels)) + (portRef G_1654_Q (instanceRef GEN_Channels_28_Channels)) )) (net G_1650_Q (joined (portRef G_1650_Q) - (portRef G_1650_Q (instanceRef GEN_Channels_31_Channels)) - )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef reset_i_2 (instanceRef GEN_Channels_29_Channels)) - (portRef reset_i_2 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_i_2 (instanceRef GEN_Channels_30_Channels)) + (portRef G_1650_Q (instanceRef GEN_Channels_23_Channels)) )) - (net N_295628_0 (joined - (portRef N_295628_0 (instanceRef GEN_Channels_31_Channels)) - (portRef N_295628_0) + (net N_295926_0 (joined + (portRef N_295926_0 (instanceRef GEN_Channels_23_Channels)) + (portRef N_295926_0) )) (net G_1648_Q (joined (portRef G_1648_Q) - (portRef G_1648_Q (instanceRef GEN_Channels_31_Channels)) + (portRef G_1648_Q (instanceRef GEN_Channels_23_Channels)) )) (net sync_q_and_16 (joined - (portRef sync_q_and_16 (instanceRef GEN_Channels_31_Channels)) + (portRef sync_q_and_16 (instanceRef GEN_Channels_23_Channels)) (portRef sync_q_and_16) )) (net G_1645_Q (joined (portRef G_1645_Q) - (portRef G_1645_Q (instanceRef GEN_Channels_31_Channels)) + (portRef G_1645_Q (instanceRef GEN_Channels_23_Channels)) )) (net G_1641_Q (joined (portRef G_1641_Q) - (portRef G_1641_Q (instanceRef GEN_Channels_26_Channels)) + (portRef G_1641_Q (instanceRef GEN_Channels_16_Channels)) )) - (net N_295615_0 (joined - (portRef N_295615_0 (instanceRef GEN_Channels_26_Channels)) - (portRef N_295615_0) + (net N_295913_0 (joined + (portRef N_295913_0 (instanceRef GEN_Channels_16_Channels)) + (portRef N_295913_0) )) (net G_1639_Q (joined (portRef G_1639_Q) - (portRef G_1639_Q (instanceRef GEN_Channels_26_Channels)) + (portRef G_1639_Q (instanceRef GEN_Channels_16_Channels)) )) (net sync_q_and_17 (joined - (portRef sync_q_and_17 (instanceRef GEN_Channels_26_Channels)) + (portRef sync_q_and_17 (instanceRef GEN_Channels_16_Channels)) (portRef sync_q_and_17) )) (net G_1636_Q (joined (portRef G_1636_Q) - (portRef G_1636_Q (instanceRef GEN_Channels_26_Channels)) + (portRef G_1636_Q (instanceRef GEN_Channels_16_Channels)) )) (net G_1632_Q (joined (portRef G_1632_Q) - (portRef G_1632_Q (instanceRef GEN_Channels_11_Channels)) - )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef reset_i_13 (instanceRef GEN_Channels_10_Channels)) - (portRef reset_i_13 (instanceRef GEN_Channels_11_Channels)) - (portRef reset_i_13 (instanceRef GEN_Channels_9_Channels)) + (portRef G_1632_Q (instanceRef GEN_Channels_13_Channels)) )) - (net N_295602_0 (joined - (portRef N_295602_0 (instanceRef GEN_Channels_11_Channels)) - (portRef N_295602_0) + (net N_295900_0 (joined + (portRef N_295900_0 (instanceRef GEN_Channels_13_Channels)) + (portRef N_295900_0) )) (net G_1630_Q (joined (portRef G_1630_Q) - (portRef G_1630_Q (instanceRef GEN_Channels_11_Channels)) + (portRef G_1630_Q (instanceRef GEN_Channels_13_Channels)) )) (net sync_q_and_18 (joined - (portRef sync_q_and_18 (instanceRef GEN_Channels_11_Channels)) + (portRef sync_q_and_18 (instanceRef GEN_Channels_13_Channels)) (portRef sync_q_and_18) )) (net G_1627_Q (joined (portRef G_1627_Q) - (portRef G_1627_Q (instanceRef GEN_Channels_11_Channels)) + (portRef G_1627_Q (instanceRef GEN_Channels_13_Channels)) )) (net G_1623_Q (joined (portRef G_1623_Q) - (portRef G_1623_Q (instanceRef GEN_Channels_23_Channels)) + (portRef G_1623_Q (instanceRef GEN_Channels_30_Channels)) )) - (net N_295589_0 (joined - (portRef N_295589_0 (instanceRef GEN_Channels_23_Channels)) - (portRef N_295589_0) + (net N_295887_0 (joined + (portRef N_295887_0 (instanceRef GEN_Channels_30_Channels)) + (portRef N_295887_0) )) (net G_1621_Q (joined (portRef G_1621_Q) - (portRef G_1621_Q (instanceRef GEN_Channels_23_Channels)) + (portRef G_1621_Q (instanceRef GEN_Channels_30_Channels)) )) (net sync_q_and_19 (joined - (portRef sync_q_and_19 (instanceRef GEN_Channels_23_Channels)) + (portRef sync_q_and_19 (instanceRef GEN_Channels_30_Channels)) (portRef sync_q_and_19) )) (net G_1618_Q (joined (portRef G_1618_Q) - (portRef G_1618_Q (instanceRef GEN_Channels_23_Channels)) + (portRef G_1618_Q (instanceRef GEN_Channels_30_Channels)) )) (net G_1614_Q (joined (portRef G_1614_Q) - (portRef G_1614_Q (instanceRef GEN_Channels_28_Channels)) + (portRef G_1614_Q (instanceRef GEN_Channels_15_Channels)) )) - (net reset_tdc_rep2_r7 (joined - (portRef Q (instanceRef reset_tdc_rep2_r7)) - (portRef reset_tdc_rep2_r7 (instanceRef GEN_Channels_28_Channels)) - (portRef reset_tdc_rep2_r7) + (net reset_i_11 (joined + (portRef reset_i_11) + (portRef reset_i_11 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_i_11 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_i_11 (instanceRef GEN_Channels_16_Channels)) )) - (net N_295576_0 (joined - (portRef N_295576_0 (instanceRef GEN_Channels_28_Channels)) - (portRef N_295576_0) + (net N_295874_0 (joined + (portRef N_295874_0 (instanceRef GEN_Channels_15_Channels)) + (portRef N_295874_0) )) (net G_1612_Q (joined (portRef G_1612_Q) - (portRef G_1612_Q (instanceRef GEN_Channels_28_Channels)) + (portRef G_1612_Q (instanceRef GEN_Channels_15_Channels)) )) (net sync_q_and_20 (joined - (portRef sync_q_and_20 (instanceRef GEN_Channels_28_Channels)) + (portRef sync_q_and_20 (instanceRef GEN_Channels_15_Channels)) (portRef sync_q_and_20) )) (net G_1609_Q (joined (portRef G_1609_Q) - (portRef G_1609_Q (instanceRef GEN_Channels_28_Channels)) + (portRef G_1609_Q (instanceRef GEN_Channels_15_Channels)) )) (net G_1605_Q (joined (portRef G_1605_Q) - (portRef G_1605_Q (instanceRef GEN_Channels_13_Channels)) + (portRef G_1605_Q (instanceRef GEN_Channels_25_Channels)) )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef reset_i_11 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_i_11 (instanceRef GEN_Channels_13_Channels)) - (portRef reset_i_11 (instanceRef GEN_Channels_14_Channels)) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef reset_i_5 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_i_5 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_i_5 (instanceRef GEN_Channels_26_Channels)) )) - (net N_295563_0 (joined - (portRef N_295563_0 (instanceRef GEN_Channels_13_Channels)) - (portRef N_295563_0) + (net N_295861_0 (joined + (portRef N_295861_0 (instanceRef GEN_Channels_25_Channels)) + (portRef N_295861_0) )) (net G_1603_Q (joined (portRef G_1603_Q) - (portRef G_1603_Q (instanceRef GEN_Channels_13_Channels)) + (portRef G_1603_Q (instanceRef GEN_Channels_25_Channels)) )) (net sync_q_and_21 (joined - (portRef sync_q_and_21 (instanceRef GEN_Channels_13_Channels)) + (portRef sync_q_and_21 (instanceRef GEN_Channels_25_Channels)) (portRef sync_q_and_21) )) (net G_1600_Q (joined (portRef G_1600_Q) - (portRef G_1600_Q (instanceRef GEN_Channels_13_Channels)) + (portRef G_1600_Q (instanceRef GEN_Channels_25_Channels)) )) (net G_1596_Q (joined (portRef G_1596_Q) - (portRef G_1596_Q (instanceRef GEN_Channels_25_Channels)) + (portRef G_1596_Q (instanceRef GEN_Channels_12_Channels)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef reset_i_4 (instanceRef GEN_Channels_27_Channels)) - (portRef reset_i_4 (instanceRef GEN_Channels_25_Channels)) - (portRef reset_i_4 (instanceRef GEN_Channels_26_Channels)) + (net reset_i_12 (joined + (portRef reset_i_12) + (portRef reset_i_12 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_i_12 (instanceRef GEN_Channels_12_Channels)) + (portRef reset_i_12 (instanceRef GEN_Channels_13_Channels)) + )) + (net reset_i_13 (joined + (portRef reset_i_13) + (portRef reset_i_13 (instanceRef GEN_Channels_12_Channels)) + (portRef reset_i_13 (instanceRef GEN_Channels_11_Channels)) )) - (net N_295550_0 (joined - (portRef N_295550_0 (instanceRef GEN_Channels_25_Channels)) - (portRef N_295550_0) + (net N_295848_0 (joined + (portRef N_295848_0 (instanceRef GEN_Channels_12_Channels)) + (portRef N_295848_0) )) (net G_1594_Q (joined (portRef G_1594_Q) - (portRef G_1594_Q (instanceRef GEN_Channels_25_Channels)) + (portRef G_1594_Q (instanceRef GEN_Channels_12_Channels)) )) (net sync_q_and_22 (joined - (portRef sync_q_and_22 (instanceRef GEN_Channels_25_Channels)) + (portRef sync_q_and_22 (instanceRef GEN_Channels_12_Channels)) (portRef sync_q_and_22) )) (net G_1591_Q (joined (portRef G_1591_Q) - (portRef G_1591_Q (instanceRef GEN_Channels_25_Channels)) + (portRef G_1591_Q (instanceRef GEN_Channels_12_Channels)) + )) + (net G_1587_Q (joined + (portRef G_1587_Q) + (portRef G_1587_Q (instanceRef GEN_Channels_27_Channels)) + )) + (net reset_i_4 (joined + (portRef reset_i_4) + (portRef reset_i_4 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_i_4 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_i_4 (instanceRef GEN_Channels_28_Channels)) + )) + (net N_295835_0 (joined + (portRef N_295835_0 (instanceRef GEN_Channels_27_Channels)) + (portRef N_295835_0) + )) + (net G_1585_Q (joined + (portRef G_1585_Q) + (portRef G_1585_Q (instanceRef GEN_Channels_27_Channels)) + )) + (net sync_q_and_23 (joined + (portRef sync_q_and_23 (instanceRef GEN_Channels_27_Channels)) + (portRef sync_q_and_23) + )) + (net G_1582_Q (joined + (portRef G_1582_Q) + (portRef G_1582_Q (instanceRef GEN_Channels_27_Channels)) + )) + (net G_1578_Q (joined + (portRef G_1578_Q) + (portRef G_1578_Q (instanceRef GEN_Channels_22_Channels)) + )) + (net reset_i_7 (joined + (portRef reset_i_7) + (portRef reset_i_7 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_i_7 (instanceRef GEN_Channels_23_Channels)) + )) + (net N_295822_0 (joined + (portRef N_295822_0 (instanceRef GEN_Channels_22_Channels)) + (portRef N_295822_0) + )) + (net G_1576_Q (joined + (portRef G_1576_Q) + (portRef G_1576_Q (instanceRef GEN_Channels_22_Channels)) + )) + (net sync_q_and_24 (joined + (portRef sync_q_and_24 (instanceRef GEN_Channels_22_Channels)) + (portRef sync_q_and_24) + )) + (net G_1573_Q (joined + (portRef G_1573_Q) + (portRef G_1573_Q (instanceRef GEN_Channels_22_Channels)) )) (net coarse_cnt_1 (joined (portRef (member coarse_cnt 9) (instanceRef The_Coarse_Counter)) @@ -938480,175 +941898,133 @@ (portRef (member coarse_cnt 0) (instanceRef The_Coarse_Counter)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_10_Channels)) )) - (net G_1587_Q (joined - (portRef G_1587_Q) - (portRef G_1587_Q (instanceRef GEN_Channels_10_Channels)) - )) - (net N_295537_0 (joined - (portRef N_295537_0 (instanceRef GEN_Channels_10_Channels)) - (portRef N_295537_0) - )) - (net G_1585_Q (joined - (portRef G_1585_Q) - (portRef G_1585_Q (instanceRef GEN_Channels_10_Channels)) - )) - (net sync_q_and_23 (joined - (portRef sync_q_and_23 (instanceRef GEN_Channels_10_Channels)) - (portRef sync_q_and_23) - )) - (net G_1582_Q (joined - (portRef G_1582_Q) - (portRef G_1582_Q (instanceRef GEN_Channels_10_Channels)) - )) - (net G_1578_Q (joined - (portRef G_1578_Q) - (portRef G_1578_Q (instanceRef GEN_Channels_22_Channels)) - )) - (net reset_i_6 (joined - (portRef reset_i_6) - (portRef reset_i_6 (instanceRef GEN_Channels_24_Channels)) - (portRef reset_i_6 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_i_6 (instanceRef GEN_Channels_23_Channels)) - )) - (net N_295524_0 (joined - (portRef N_295524_0 (instanceRef GEN_Channels_22_Channels)) - (portRef N_295524_0) - )) - (net G_1576_Q (joined - (portRef G_1576_Q) - (portRef G_1576_Q (instanceRef GEN_Channels_22_Channels)) - )) - (net sync_q_and_24 (joined - (portRef sync_q_and_24 (instanceRef GEN_Channels_22_Channels)) - (portRef sync_q_and_24) - )) - (net G_1573_Q (joined - (portRef G_1573_Q) - (portRef G_1573_Q (instanceRef GEN_Channels_22_Channels)) - )) (net G_1569_Q (joined (portRef G_1569_Q) - (portRef G_1569_Q (instanceRef GEN_Channels_27_Channels)) + (portRef G_1569_Q (instanceRef GEN_Channels_10_Channels)) )) - (net reset_i_3 (joined - (portRef reset_i_3) - (portRef reset_i_3 (instanceRef GEN_Channels_29_Channels)) - (portRef reset_i_3 (instanceRef GEN_Channels_27_Channels)) - (portRef reset_i_3 (instanceRef GEN_Channels_28_Channels)) - )) - (net N_295511_0 (joined - (portRef N_295511_0 (instanceRef GEN_Channels_27_Channels)) - (portRef N_295511_0) + (net N_295809_0 (joined + (portRef N_295809_0 (instanceRef GEN_Channels_10_Channels)) + (portRef N_295809_0) )) (net G_1567_Q (joined (portRef G_1567_Q) - (portRef G_1567_Q (instanceRef GEN_Channels_27_Channels)) + (portRef G_1567_Q (instanceRef GEN_Channels_10_Channels)) )) (net sync_q_and_25 (joined - (portRef sync_q_and_25 (instanceRef GEN_Channels_27_Channels)) + (portRef sync_q_and_25 (instanceRef GEN_Channels_10_Channels)) (portRef sync_q_and_25) )) (net G_1564_Q (joined (portRef G_1564_Q) - (portRef G_1564_Q (instanceRef GEN_Channels_27_Channels)) + (portRef G_1564_Q (instanceRef GEN_Channels_10_Channels)) )) (net G_1560_Q (joined (portRef G_1560_Q) - (portRef G_1560_Q (instanceRef GEN_Channels_12_Channels)) + (portRef G_1560_Q (instanceRef GEN_Channels_24_Channels)) )) - (net reset_i_12 (joined - (portRef reset_i_12) - (portRef reset_i_12 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_i_12 (instanceRef GEN_Channels_11_Channels)) + (net reset_i_6 (joined + (portRef reset_i_6) + (portRef reset_i_6 (instanceRef GEN_Channels_24_Channels)) + (portRef reset_i_6 (instanceRef GEN_Channels_25_Channels)) )) - (net N_295498_0 (joined - (portRef N_295498_0 (instanceRef GEN_Channels_12_Channels)) - (portRef N_295498_0) + (net N_295796_0 (joined + (portRef N_295796_0 (instanceRef GEN_Channels_24_Channels)) + (portRef N_295796_0) )) (net G_1558_Q (joined (portRef G_1558_Q) - (portRef G_1558_Q (instanceRef GEN_Channels_12_Channels)) + (portRef G_1558_Q (instanceRef GEN_Channels_24_Channels)) )) (net sync_q_and_26 (joined - (portRef sync_q_and_26 (instanceRef GEN_Channels_12_Channels)) + (portRef sync_q_and_26 (instanceRef GEN_Channels_24_Channels)) (portRef sync_q_and_26) )) (net G_1555_Q (joined (portRef G_1555_Q) - (portRef G_1555_Q (instanceRef GEN_Channels_12_Channels)) + (portRef G_1555_Q (instanceRef GEN_Channels_24_Channels)) )) (net G_1551_Q (joined (portRef G_1551_Q) - (portRef G_1551_Q (instanceRef GEN_Channels_24_Channels)) + (portRef G_1551_Q (instanceRef GEN_Channels_31_Channels)) )) - (net reset_i_5 (joined - (portRef reset_i_5) - (portRef reset_i_5 (instanceRef GEN_Channels_24_Channels)) - (portRef reset_i_5 (instanceRef GEN_Channels_25_Channels)) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef reset_i_3 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_i_3 (instanceRef GEN_Channels_31_Channels)) + (portRef reset_i_3 (instanceRef GEN_Channels_30_Channels)) )) - (net N_295485_0 (joined - (portRef N_295485_0 (instanceRef GEN_Channels_24_Channels)) - (portRef N_295485_0) + (net N_295783_0 (joined + (portRef N_295783_0 (instanceRef GEN_Channels_31_Channels)) + (portRef N_295783_0) )) (net G_1549_Q (joined (portRef G_1549_Q) - (portRef G_1549_Q (instanceRef GEN_Channels_24_Channels)) + (portRef G_1549_Q (instanceRef GEN_Channels_31_Channels)) )) (net sync_q_and_27 (joined - (portRef sync_q_and_27 (instanceRef GEN_Channels_24_Channels)) + (portRef sync_q_and_27 (instanceRef GEN_Channels_31_Channels)) (portRef sync_q_and_27) )) (net G_1546_Q (joined (portRef G_1546_Q) - (portRef G_1546_Q (instanceRef GEN_Channels_24_Channels)) + (portRef G_1546_Q (instanceRef GEN_Channels_31_Channels)) )) (net G_1542_Q (joined (portRef G_1542_Q) - (portRef G_1542_Q (instanceRef GEN_Channels_29_Channels)) + (portRef G_1542_Q (instanceRef GEN_Channels_14_Channels)) )) - (net N_295472_0 (joined - (portRef N_295472_0 (instanceRef GEN_Channels_29_Channels)) - (portRef N_295472_0) + (net N_295770_0 (joined + (portRef N_295770_0 (instanceRef GEN_Channels_14_Channels)) + (portRef N_295770_0) )) (net G_1540_Q (joined (portRef G_1540_Q) - (portRef G_1540_Q (instanceRef GEN_Channels_29_Channels)) + (portRef G_1540_Q (instanceRef GEN_Channels_14_Channels)) )) (net sync_q_and_28 (joined - (portRef sync_q_and_28 (instanceRef GEN_Channels_29_Channels)) + (portRef sync_q_and_28 (instanceRef GEN_Channels_14_Channels)) (portRef sync_q_and_28) )) (net G_1537_Q (joined (portRef G_1537_Q) - (portRef G_1537_Q (instanceRef GEN_Channels_29_Channels)) + (portRef G_1537_Q (instanceRef GEN_Channels_14_Channels)) )) (net G_1533_Q (joined (portRef G_1533_Q) - (portRef G_1533_Q (instanceRef GEN_Channels_16_Channels)) + (portRef G_1533_Q (instanceRef GEN_Channels_29_Channels)) )) - (net N_295459_0 (joined - (portRef N_295459_0 (instanceRef GEN_Channels_16_Channels)) - (portRef N_295459_0) + (net N_295757_0 (joined + (portRef N_295757_0 (instanceRef GEN_Channels_29_Channels)) + (portRef N_295757_0) )) (net G_1531_Q (joined (portRef G_1531_Q) - (portRef G_1531_Q (instanceRef GEN_Channels_16_Channels)) + (portRef G_1531_Q (instanceRef GEN_Channels_29_Channels)) )) (net sync_q_and_29 (joined - (portRef sync_q_and_29 (instanceRef GEN_Channels_16_Channels)) + (portRef sync_q_and_29 (instanceRef GEN_Channels_29_Channels)) (portRef sync_q_and_29) )) (net G_1528_Q (joined (portRef G_1528_Q) - (portRef G_1528_Q (instanceRef GEN_Channels_16_Channels)) + (portRef G_1528_Q (instanceRef GEN_Channels_29_Channels)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef GEN_2_ROM)) - (portRef reset_i_18 (instanceRef GEN_1_ROM)) - (portRef reset_i_18 (instanceRef GEN_0_ROM)) - (portRef reset_i_18 (instanceRef GEN_3_ROM)) - (portRef reset_i_18 (instanceRef GEN_Channels_1_Channels)) + (net ctrl_reg_0 (joined + (portRef ctrl_reg_0) + (portRef (member ctrl_reg 0) (instanceRef Readout_trigger_mode_sync)) + )) + (net valid_timing_trg_200 (joined + (portRef valid_timing_trg_200 (instanceRef Valid_timing_trigger_sync)) + (portRef valid_timing_trg_200 (instanceRef Valid_timing_trigger_pulse)) + ) + ) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef reset_i_19 (instanceRef GEN_2_ROM)) + (portRef reset_i_19 (instanceRef GEN_3_ROM)) + (portRef reset_i_19 (instanceRef GEN_0_ROM)) + (portRef reset_i_19 (instanceRef GEN_1_ROM)) + (portRef reset_i_19 (instanceRef GEN_Channels_1_Channels)) )) (net signal_sync (joined (portRef signal_sync) @@ -938673,10 +942049,8 @@ (cell fpga_reboot (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename common_ctrl_reg "common_ctrl_reg(15:15)") 1) (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) + (port (array (rename common_ctrl_reg "common_ctrl_reg(15:15)") 1) (direction INPUT)) (port GND (direction INPUT)) (port PROGRAMN_c (direction OUTPUT)) (port VCC (direction INPUT)) @@ -938684,127 +942058,124 @@ ) (contents (instance PROGRAMN_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance restart_fpga_counter_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance delayed_restart_fpga_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C (!B A)))")) + ) + (instance restart_fpga_counter_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_1 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_2 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_3 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_3 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_4 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_5 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_5 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_6 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_7 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_8 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_8 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_9 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_10 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_10 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_11 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) (instance delayed_restart_fpga (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance PROGRAMN (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) (property IOB (string "FALSE")) ) - (instance PROC_REBOOT_un1_restart_fpga_counter_10_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance delayed_restart_fpga_0_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance PROC_REBOOT_un1_restart_fpga_counter_10_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance PROC_REBOOT_restart_fpga_counter_5_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance delayed_restart_fpga_0_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance PROC_REBOOT_un1_restart_fpga_counter_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance PROC_REBOOT_un1_restart_fpga_counter_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance delayed_restart_fpga_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) + (instance PROC_REBOOT_un1_restart_fpga_counter_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance restart_fpga_countere_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B+!A)))")) + (instance PROC_REBOOT_restart_fpga_counter9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance restart_fpga_counter_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_restart_fpga_counter9_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0600")) + (instance un1_restart_fpga_counter9_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0600")) + (instance un1_restart_fpga_counter9_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0600")) + (instance un1_restart_fpga_counter9_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0600")) + (instance un1_restart_fpga_counter9_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0600")) + (instance un1_restart_fpga_counter9_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_s_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_restart_fpga_counter9_s_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) @@ -938817,32 +942188,36 @@ (portRef Z (instanceRef PROGRAMN_RNO)) (portRef D (instanceRef PROGRAMN)) )) - (net restart_fpga_counter_lm_0 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_0)) - (portRef D (instanceRef restart_fpga_counter_0)) + (net delayed_restart_fpga_0_sqmuxa_3 (joined + (portRef Z (instanceRef delayed_restart_fpga_0_sqmuxa_3)) + (portRef C (instanceRef PROC_REBOOT_restart_fpga_counter9)) + (portRef A (instanceRef delayed_restart_fpga_RNO)) + )) + (net un1_restart_fpga_counter_5 (joined + (portRef Z (instanceRef PROC_REBOOT_un1_restart_fpga_counter_5)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter9)) + (portRef C (instanceRef delayed_restart_fpga_RNO)) )) - (net restart_fpga_countere_0_i (joined - (portRef Z (instanceRef restart_fpga_countere_0_i)) - (portRef SP (instanceRef restart_fpga_counter_11)) - (portRef SP (instanceRef restart_fpga_counter_10)) - (portRef SP (instanceRef restart_fpga_counter_9)) - (portRef SP (instanceRef restart_fpga_counter_8)) - (portRef SP (instanceRef restart_fpga_counter_7)) - (portRef SP (instanceRef restart_fpga_counter_6)) - (portRef SP (instanceRef restart_fpga_counter_5)) - (portRef SP (instanceRef restart_fpga_counter_4)) - (portRef SP (instanceRef restart_fpga_counter_3)) - (portRef SP (instanceRef restart_fpga_counter_2)) - (portRef SP (instanceRef restart_fpga_counter_1)) - (portRef SP (instanceRef restart_fpga_counter_0)) + (net un1_restart_fpga_counter_4 (joined + (portRef Z (instanceRef PROC_REBOOT_un1_restart_fpga_counter_4)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter9)) + (portRef D (instanceRef delayed_restart_fpga_RNO)) + )) + (net delayed_restart_fpga_0_sqmuxa (joined + (portRef Z (instanceRef delayed_restart_fpga_RNO)) + (portRef D (instanceRef delayed_restart_fpga)) + )) + (net restart_fpga_counter_5_0 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_0)) + (portRef D (instanceRef restart_fpga_counter_0)) )) (net restart_fpga_counter_0 (joined (portRef Q (instanceRef restart_fpga_counter_0)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef C (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef A (instanceRef PROC_REBOOT_un1_restart_fpga_counter_4)) )) - (net restart_fpga_counter_lm_1 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_1)) + (net restart_fpga_counter_5_1 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_1)) (portRef D (instanceRef restart_fpga_counter_1)) )) (net clk_100_i_c (joined @@ -938862,298 +942237,272 @@ (portRef CK (instanceRef restart_fpga_counter_1)) (portRef CK (instanceRef restart_fpga_counter_0)) )) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef delayed_restart_fpga)) + (portRef PD (instanceRef restart_fpga_counter_11)) + (portRef PD (instanceRef restart_fpga_counter_10)) + (portRef PD (instanceRef restart_fpga_counter_9)) + (portRef PD (instanceRef restart_fpga_counter_8)) + (portRef PD (instanceRef restart_fpga_counter_7)) + (portRef PD (instanceRef restart_fpga_counter_6)) + (portRef PD (instanceRef restart_fpga_counter_5)) + (portRef PD (instanceRef restart_fpga_counter_4)) + (portRef PD (instanceRef restart_fpga_counter_3)) + (portRef PD (instanceRef restart_fpga_counter_2)) + (portRef PD (instanceRef restart_fpga_counter_1)) + (portRef PD (instanceRef restart_fpga_counter_0)) + )) (net restart_fpga_counter_1 (joined (portRef Q (instanceRef restart_fpga_counter_1)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef D (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef B (instanceRef PROC_REBOOT_un1_restart_fpga_counter_4)) )) (net VCC (joined (portRef VCC) - (portRef D1 (instanceRef restart_fpga_counter_s_0_11)) - (portRef D0 (instanceRef restart_fpga_counter_s_0_11)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_0)) - )) - (net restart_fpga_counter_lm_2 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_2)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + )) + (net restart_fpga_counter_5_2 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_2)) (portRef D (instanceRef restart_fpga_counter_2)) )) (net restart_fpga_counter_2 (joined (portRef Q (instanceRef restart_fpga_counter_2)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef A (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_3)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef C (instanceRef PROC_REBOOT_un1_restart_fpga_counter_4)) )) - (net restart_fpga_counter_lm_3 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_3)) + (net restart_fpga_counter_5_3 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_3)) (portRef D (instanceRef restart_fpga_counter_3)) )) (net restart_fpga_counter_3 (joined (portRef Q (instanceRef restart_fpga_counter_3)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef B (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_3)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef D (instanceRef PROC_REBOOT_un1_restart_fpga_counter_4)) )) - (net restart_fpga_counter_lm_4 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_4)) + (net restart_fpga_counter_5_4 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_4)) (portRef D (instanceRef restart_fpga_counter_4)) )) (net restart_fpga_counter_4 (joined (portRef Q (instanceRef restart_fpga_counter_4)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef A (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_4)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef A (instanceRef PROC_REBOOT_un1_restart_fpga_counter_5)) )) - (net restart_fpga_counter_lm_5 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_5)) + (net restart_fpga_counter_5_5 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_5)) (portRef D (instanceRef restart_fpga_counter_5)) )) (net restart_fpga_counter_5 (joined (portRef Q (instanceRef restart_fpga_counter_5)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef B (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_4)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef B (instanceRef PROC_REBOOT_un1_restart_fpga_counter_5)) )) - (net restart_fpga_counter_lm_6 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_6)) + (net restart_fpga_counter_5_6 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_6)) (portRef D (instanceRef restart_fpga_counter_6)) )) (net restart_fpga_counter_6 (joined (portRef Q (instanceRef restart_fpga_counter_6)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef C (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_4)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef C (instanceRef PROC_REBOOT_un1_restart_fpga_counter_5)) )) - (net restart_fpga_counter_lm_7 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_7)) + (net restart_fpga_counter_5_7 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_7)) (portRef D (instanceRef restart_fpga_counter_7)) )) (net restart_fpga_counter_7 (joined (portRef Q (instanceRef restart_fpga_counter_7)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef D (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_4)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef D (instanceRef PROC_REBOOT_un1_restart_fpga_counter_5)) )) - (net restart_fpga_counter_lm_8 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_8)) + (net restart_fpga_counter_5_8 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_8)) (portRef D (instanceRef restart_fpga_counter_8)) )) (net restart_fpga_counter_8 (joined (portRef Q (instanceRef restart_fpga_counter_8)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_7)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_7_0)) (portRef A (instanceRef delayed_restart_fpga_0_sqmuxa_3)) )) - (net restart_fpga_counter_lm_9 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_9)) + (net restart_fpga_counter_5_9 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_9)) (portRef D (instanceRef restart_fpga_counter_9)) )) (net restart_fpga_counter_9 (joined (portRef Q (instanceRef restart_fpga_counter_9)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_9)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_9_0)) (portRef B (instanceRef delayed_restart_fpga_0_sqmuxa_3)) )) - (net restart_fpga_counter_lm_10 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_10)) + (net restart_fpga_counter_5_10 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_10)) (portRef D (instanceRef restart_fpga_counter_10)) )) (net restart_fpga_counter_10 (joined (portRef Q (instanceRef restart_fpga_counter_10)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_9)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_9_0)) (portRef C (instanceRef delayed_restart_fpga_0_sqmuxa_3)) )) - (net restart_fpga_counter_lm_11 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_11)) + (net restart_fpga_counter_5_11 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_11)) (portRef D (instanceRef restart_fpga_counter_11)) )) (net restart_fpga_counter_11 (joined (portRef Q (instanceRef restart_fpga_counter_11)) - (portRef A0 (instanceRef restart_fpga_counter_s_0_11)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_s_11_0)) (portRef D (instanceRef delayed_restart_fpga_0_sqmuxa_3)) )) - (net delayed_restart_fpga_0_sqmuxa (joined - (portRef Z (instanceRef delayed_restart_fpga_0_sqmuxa_0_a2)) - (portRef D (instanceRef delayed_restart_fpga)) - )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef delayed_restart_fpga)) - )) (net PROGRAMN_c (joined (portRef Q (instanceRef PROGRAMN)) (portRef PROGRAMN_c) )) - (net GND (joined - (portRef GND) - (portRef C1 (instanceRef restart_fpga_counter_s_0_11)) - (portRef B1 (instanceRef restart_fpga_counter_s_0_11)) - (portRef A1 (instanceRef restart_fpga_counter_s_0_11)) - (portRef C0 (instanceRef restart_fpga_counter_s_0_11)) - (portRef B0 (instanceRef restart_fpga_counter_s_0_11)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_0)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_0)) - )) - (net un1_restart_fpga_counter_10_3 (joined - (portRef Z (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_3)) - (portRef A (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10)) - )) - (net delayed_restart_fpga_0_sqmuxa_3 (joined - (portRef Z (instanceRef delayed_restart_fpga_0_sqmuxa_3)) - (portRef B (instanceRef restart_fpga_countere_0_i)) - (portRef B (instanceRef delayed_restart_fpga_0_sqmuxa_0_a2)) - )) - (net un1_restart_fpga_counter_10_4 (joined - (portRef Z (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_4)) - (portRef B (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10)) - )) - (net restart_fpga_counter_s_0 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef A (instanceRef restart_fpga_counter_lm_0_0)) - )) - (net restart_fpga_counter_s_1 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef A (instanceRef restart_fpga_counter_lm_0_1)) + (net un1_restart_fpga_counter9_s_11_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_11)) )) (net common_ctrl_reg_15 (joined (portRef (member common_ctrl_reg 0)) - (portRef C (instanceRef restart_fpga_countere_0_i)) - (portRef C (instanceRef delayed_restart_fpga_0_sqmuxa_0_a2)) - (portRef B (instanceRef restart_fpga_counter_lm_0_11)) - (portRef B (instanceRef restart_fpga_counter_lm_0_10)) - (portRef B (instanceRef restart_fpga_counter_lm_0_9)) - (portRef B (instanceRef restart_fpga_counter_lm_0_8)) - (portRef B (instanceRef restart_fpga_counter_lm_0_7)) - (portRef B (instanceRef restart_fpga_counter_lm_0_6)) - (portRef B (instanceRef restart_fpga_counter_lm_0_5)) - (portRef B (instanceRef restart_fpga_counter_lm_0_4)) - (portRef B (instanceRef restart_fpga_counter_lm_0_3)) - (portRef B (instanceRef restart_fpga_counter_lm_0_2)) - (portRef B (instanceRef restart_fpga_counter_lm_0_1)) - (portRef B (instanceRef restart_fpga_counter_lm_0_0)) + (portRef D (instanceRef PROC_REBOOT_restart_fpga_counter9)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_0)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_1)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_2)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_3)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_4)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_5)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_6)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_7)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_8)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_9)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_10)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_11)) + (portRef B (instanceRef delayed_restart_fpga_RNO)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef C (instanceRef restart_fpga_counter_lm_0_11)) - (portRef C (instanceRef restart_fpga_counter_lm_0_10)) - (portRef C (instanceRef restart_fpga_counter_lm_0_9)) - (portRef C (instanceRef restart_fpga_counter_lm_0_8)) - (portRef C (instanceRef restart_fpga_counter_lm_0_7)) - (portRef C (instanceRef restart_fpga_counter_lm_0_6)) - (portRef C (instanceRef restart_fpga_counter_lm_0_5)) - (portRef C (instanceRef restart_fpga_counter_lm_0_4)) - (portRef C (instanceRef restart_fpga_counter_lm_0_3)) - (portRef C (instanceRef restart_fpga_counter_lm_0_2)) - (portRef C (instanceRef restart_fpga_counter_lm_0_1)) - (portRef C (instanceRef restart_fpga_counter_lm_0_0)) - )) - (net restart_fpga_counter_s_2 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef A (instanceRef restart_fpga_counter_lm_0_2)) - )) - (net restart_fpga_counter_s_3 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef A (instanceRef restart_fpga_counter_lm_0_3)) - )) - (net restart_fpga_counter_s_4 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef A (instanceRef restart_fpga_counter_lm_0_4)) - )) - (net restart_fpga_counter_s_5 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef A (instanceRef restart_fpga_counter_lm_0_5)) - )) - (net restart_fpga_counter_s_6 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef A (instanceRef restart_fpga_counter_lm_0_6)) - )) - (net restart_fpga_counter_s_7 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef A (instanceRef restart_fpga_counter_lm_0_7)) - )) - (net restart_fpga_counter_s_8 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef A (instanceRef restart_fpga_counter_lm_0_8)) - )) - (net restart_fpga_counter_s_9 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef A (instanceRef restart_fpga_counter_lm_0_9)) - )) - (net restart_fpga_counter_s_10 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef A (instanceRef restart_fpga_counter_lm_0_10)) - )) - (net restart_fpga_counter_s_11 (joined - (portRef S0 (instanceRef restart_fpga_counter_s_0_11)) - (portRef A (instanceRef restart_fpga_counter_lm_0_11)) - )) - (net un1_restart_fpga_counter_10 (joined - (portRef Z (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10)) - (portRef A (instanceRef restart_fpga_countere_0_i)) - (portRef A (instanceRef delayed_restart_fpga_0_sqmuxa_0_a2)) - )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef D (instanceRef restart_fpga_countere_0_i)) - )) - (net restart_fpga_counter_cry_0 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_0)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_1)) - )) - (net restart_fpga_counter_cry_0_S0_0 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_0)) - )) - (net restart_fpga_counter_cry_2 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_1)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_3)) - )) - (net restart_fpga_counter_cry_4 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_3)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_5)) - )) - (net restart_fpga_counter_cry_6 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_5)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_7)) - )) - (net restart_fpga_counter_cry_8 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_7)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_9)) - )) - (net restart_fpga_counter_cry_10 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_9)) - (portRef CIN (instanceRef restart_fpga_counter_s_0_11)) - )) - (net restart_fpga_counter_s_0_COUT_11 (joined - (portRef COUT (instanceRef restart_fpga_counter_s_0_11)) - )) - (net restart_fpga_counter_s_0_S1_11 (joined - (portRef S1 (instanceRef restart_fpga_counter_s_0_11)) + (net GND (joined + (portRef GND) + (portRef C1 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + )) + (net un1_restart_fpga_counter9_cry_9_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_10)) + )) + (net un1_restart_fpga_counter9_cry_9_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_9)) + )) + (net un1_restart_fpga_counter9_cry_7_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_8)) + )) + (net un1_restart_fpga_counter9_cry_7_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_7)) + )) + (net un1_restart_fpga_counter9_cry_5_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_6)) + )) + (net un1_restart_fpga_counter9_cry_5_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_5)) + )) + (net un1_restart_fpga_counter9_cry_3_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_4)) + )) + (net un1_restart_fpga_counter9_cry_3_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_3)) + )) + (net un1_restart_fpga_counter9_cry_1_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_2)) + )) + (net un1_restart_fpga_counter9_cry_1_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_1)) + )) + (net un1_restart_fpga_counter9_cry_0_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_0)) + )) + (net restart_fpga_counter9 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter9)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + )) + (net un1_restart_fpga_counter9_cry_0 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_1_0)) + )) + (net un1_restart_fpga_counter9_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + )) + (net un1_restart_fpga_counter9_cry_2 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_3_0)) + )) + (net un1_restart_fpga_counter9_cry_4 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_5_0)) + )) + (net un1_restart_fpga_counter9_cry_6 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_7_0)) + )) + (net un1_restart_fpga_counter9_cry_8 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_9_0)) + )) + (net un1_restart_fpga_counter9_cry_10 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_s_11_0)) + )) + (net un1_restart_fpga_counter9_s_11_0_COUT (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_s_11_0)) + )) + (net un1_restart_fpga_counter9_s_11_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_s_11_0)) )) ) ) @@ -939169,12 +942518,12 @@ (port (array (rename spi_bram_wr_d "spi_bram_wr_d(7:0)") 8) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port spictrl_addr (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port spi_bram_we (direction INPUT)) - (port spimem_write_en (direction INPUT)) - (port GND (direction INPUT)) (port reset_i_rep2 (direction INPUT)) + (port spimem_write_en (direction INPUT)) (port spimem_read_en (direction INPUT)) + (port GND (direction INPUT)) (port spimem_ack (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) @@ -939184,59 +942533,57 @@ ) (instance bus_ack (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance CURRENT_STATE_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance CURRENT_STATE_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance CURRENT_STATE_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance CURRENT_STATE_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance CURRENT_STATE_2 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance CURRENT_STATE_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance CURRENT_STATE_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance CURRENT_STATE_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance CURRENT_STATE_5 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + (instance CURRENT_STATE_5 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance CURRENT_STATEc_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) - ) - (instance bus_ack_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance bus_ack_x_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance CURRENT_STATEc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance CURRENT_STATE_srsts_0_a4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance CURRENT_STATE_srsts_0_i_a2_0_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_srsts_0_a4_0_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance store_wr_x_1_sqmuxa_0_a4_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_srsts_0_a4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance CURRENT_STATE_srsts_i_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C !B+C (!B+!A)))")) - ) (instance CURRENT_STATE_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+A)))")) + (property lut_function (string "(!C B+C (B+A))")) ) (instance CURRENT_STATE_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+A)))")) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance CURRENT_STATE_srsts_0_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C !B))")) + (instance CURRENT_STATE_srsts_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B+C (B A)))")) ) - (instance CURRENT_STATE_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)))")) + (instance CURRENT_STATE_nss_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (B+A)))")) + ) + (instance bus_ack_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance THE_BUS_SPI_DPRAM (viewRef netlist (cellRef spi_dpram_32_to_8)) ) (net store_wr_x_1_sqmuxa (joined - (portRef Z (instanceRef store_wr_x_1_sqmuxa_0_a4_0_a2)) + (portRef Z (instanceRef CURRENT_STATE_srsts_0_a4_3)) + (portRef D (instanceRef CURRENT_STATE_3)) (portRef D (instanceRef store_wr)) )) (net store_wr (joined (portRef Q (instanceRef store_wr)) (portRef store_wr (instanceRef THE_BUS_SPI_DPRAM)) )) - (net N_387_i (joined + (net N_54_i (joined (portRef Z (instanceRef bus_ack_RNO)) (portRef D (instanceRef bus_ack)) )) @@ -939254,7 +942601,11 @@ )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) - (portRef PD (instanceRef CURRENT_STATE_5)) + (portRef CD (instanceRef CURRENT_STATE_4)) + (portRef CD (instanceRef CURRENT_STATE_3)) + (portRef CD (instanceRef CURRENT_STATE_2)) + (portRef CD (instanceRef CURRENT_STATE_1)) + (portRef CD (instanceRef CURRENT_STATE_0)) (portRef CD (instanceRef bus_ack)) (portRef CD (instanceRef store_wr)) )) @@ -939266,102 +942617,89 @@ (portRef VCC) (portRef VCC (instanceRef THE_BUS_SPI_DPRAM)) )) - (net N_372_i (joined - (portRef Z (instanceRef CURRENT_STATE_RNO_0)) + (net N_34_0 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_i_0)) (portRef D (instanceRef CURRENT_STATE_0)) )) (net CURRENT_STATE_0 (joined (portRef Q (instanceRef CURRENT_STATE_0)) - (portRef A (instanceRef CURRENT_STATE_srsts_0_i_5)) + (portRef A (instanceRef CURRENT_STATE_nss_0_i_0)) )) - (net N_370_i (joined + (net N_48_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_1)) (portRef D (instanceRef CURRENT_STATE_1)) )) (net CURRENT_STATE_1 (joined (portRef Q (instanceRef CURRENT_STATE_1)) - (portRef A (instanceRef CURRENT_STATE_RNO_0)) - (portRef B (instanceRef CURRENT_STATE_srsts_0_i_5)) + (portRef B (instanceRef bus_ack_RNO)) + (portRef A (instanceRef CURRENT_STATE_srsts_i_0)) (portRef A (instanceRef CURRENT_STATE_RNO_1)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_i_0_0)) - (portRef A (instanceRef bus_ack_RNO)) + (portRef A (instanceRef bus_ack_x_i_a2)) )) - (net N_371_i (joined + (net N_49_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_2)) (portRef D (instanceRef CURRENT_STATE_2)) )) (net CURRENT_STATE_2 (joined (portRef Q (instanceRef CURRENT_STATE_2)) - (portRef B (instanceRef CURRENT_STATE_RNO_0)) - (portRef C (instanceRef CURRENT_STATE_srsts_0_i_5)) + (portRef A (instanceRef bus_ack_RNO)) + (portRef B (instanceRef CURRENT_STATE_srsts_i_0)) (portRef A (instanceRef CURRENT_STATE_RNO_2)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_i_0_0)) - (portRef B (instanceRef bus_ack_RNO)) - )) - (net CURRENT_STATEc (joined - (portRef Z (instanceRef CURRENT_STATEc)) - (portRef D (instanceRef CURRENT_STATE_3)) + (portRef B (instanceRef bus_ack_x_i_a2)) )) (net CURRENT_STATE_3 (joined (portRef Q (instanceRef CURRENT_STATE_3)) (portRef B (instanceRef CURRENT_STATE_RNO_1)) - (portRef A (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_5)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_a4_0_2_5)) )) - (net spimem_read_en (joined - (portRef spimem_read_en) - (portRef D (instanceRef CURRENT_STATE_RNO_2)) - (portRef C (instanceRef CURRENT_STATE_srsts_i_i_0_0)) - (portRef B (instanceRef store_wr_x_1_sqmuxa_0_a4_0_a2)) - (portRef C (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_5)) + (net CURRENT_STATE_nss_1 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_0_a4_4)) (portRef D (instanceRef CURRENT_STATE_4)) - (portRef CD (instanceRef CURRENT_STATE_3)) - )) - (net CURRENT_STATEc_1_i (joined - (portRef Z (instanceRef CURRENT_STATEc_1)) - (portRef CD (instanceRef CURRENT_STATE_4)) )) (net CURRENT_STATE_4 (joined (portRef Q (instanceRef CURRENT_STATE_4)) (portRef B (instanceRef CURRENT_STATE_RNO_2)) - (portRef B (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_5)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_a4_0_2_5)) )) - (net CURRENT_STATE_srsts_0_i_5 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_0_i_5)) + (net CURRENT_STATE_nss_0_i_0 (joined + (portRef Z (instanceRef CURRENT_STATE_nss_0_i_0)) (portRef D (instanceRef CURRENT_STATE_5)) )) (net CURRENT_STATE_5 (joined (portRef Q (instanceRef CURRENT_STATE_5)) - (portRef A (instanceRef store_wr_x_1_sqmuxa_0_a4_0_a2)) - (portRef A (instanceRef CURRENT_STATEc)) - (portRef A (instanceRef CURRENT_STATEc_1)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_a4_3)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_a4_4)) )) (net GND (joined (portRef GND) (portRef GND (instanceRef THE_BUS_SPI_DPRAM)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef D (instanceRef CURRENT_STATE_RNO_0)) - (portRef C (instanceRef CURRENT_STATE_RNO_1)) + (net N_54 (joined + (portRef Z (instanceRef bus_ack_x_i_a2)) + (portRef C (instanceRef CURRENT_STATE_nss_0_i_0)) + )) + (net spimem_read_en (joined + (portRef spimem_read_en) + (portRef C (instanceRef CURRENT_STATE_srsts_i_0)) (portRef C (instanceRef CURRENT_STATE_RNO_2)) - (portRef B (instanceRef CURRENT_STATEc)) - (portRef B (instanceRef CURRENT_STATEc_1)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_a4_3)) + (portRef C (instanceRef CURRENT_STATE_srsts_0_a4_0_2_5)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_a4_4)) + )) + (net CURRENT_STATE_srsts_0_a4_0_2_5 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_0_a4_0_2_5)) + (portRef B (instanceRef CURRENT_STATE_nss_0_i_0)) )) (net spimem_write_en (joined (portRef spimem_write_en) - (portRef D (instanceRef CURRENT_STATE_RNO_1)) - (portRef D (instanceRef CURRENT_STATE_srsts_i_i_0_0)) - (portRef C (instanceRef store_wr_x_1_sqmuxa_0_a4_0_a2)) - (portRef D (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_5)) - (portRef C (instanceRef CURRENT_STATEc)) - )) - (net CURRENT_STATE_srsts_0_i_a2_0_2_5 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_5)) - (portRef D (instanceRef CURRENT_STATE_srsts_0_i_5)) + (portRef D (instanceRef CURRENT_STATE_srsts_i_0)) + (portRef C (instanceRef CURRENT_STATE_RNO_1)) + (portRef C (instanceRef CURRENT_STATE_srsts_0_a4_3)) + (portRef D (instanceRef CURRENT_STATE_srsts_0_a4_0_2_5)) )) - (net CURRENT_STATE_srsts_i_i_0_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_i_0_0)) - (portRef C (instanceRef CURRENT_STATE_RNO_0)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef D (instanceRef CURRENT_STATE_nss_0_i_0)) )) (net spi_bram_wr_d_0 (joined (portRef (member spi_bram_wr_d 7) (instanceRef THE_BUS_SPI_DPRAM)) @@ -939739,9 +943077,9 @@ (portRef spi_bram_we) (portRef spi_bram_we (instanceRef THE_BUS_SPI_DPRAM)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef THE_BUS_SPI_DPRAM)) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef reset_i_19 (instanceRef THE_BUS_SPI_DPRAM)) )) (net spictrl_addr (joined (portRef spictrl_addr) @@ -939754,7 +943092,6 @@ (cell spi_master (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename tx_sreg_rni6cro3 "tx_sreg_RNI6CRO3(6:6)") 1) (direction OUTPUT)) (port (array (rename rx_sreg "rx_sreg(0:0)") 1) (direction INPUT)) (port (array (rename spi_bram_rd_d "spi_bram_rd_d(7:0)") 8) (direction OUTPUT)) (port (array (rename spi_bram_addr "spi_bram_addr(7:0)") 8) (direction OUTPUT)) @@ -939763,10 +943100,11 @@ (port (array (rename spimem_data_in "spimem_data_in(31:0)") 32) (direction INPUT)) (port busy_x_i (direction OUTPUT)) (port tx_ena_RNIH02J (direction OUTPUT)) - (port N_727_i (direction OUTPUT)) + (port N_393 (direction OUTPUT)) + (port N_633_i (direction OUTPUT)) (port spi_bram_we (direction OUTPUT)) (port un1_clk_en_0_i (direction OUTPUT)) - (port N_446_i (direction OUTPUT)) + (port N_389_i (direction OUTPUT)) (port spictrl_write_en (direction INPUT)) (port GND (direction INPUT)) (port spictrl_ack (direction OUTPUT)) @@ -939779,16 +943117,16 @@ (port spictrl_read_en (direction INPUT)) ) (contents - (instance CURRENT_STATE_RNI8CP31_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance store_rd_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A))+D (B A))")) + ) + (instance CURRENT_STATE_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (B A))")) ) - (instance reg_bus_data_out_RNO_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_bus_data_out_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A)))")) ) (instance reg_bus_data_out_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) - ) - (instance reg_bus_data_out_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A)))")) ) (instance store_wr (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -939963,22 +943301,22 @@ ) (instance CURRENT_STATE_7 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance CURRENT_STATE_srsts_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance bus_busy_x_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) (instance THE_WRITE_REG_PROC_un6_store_wr_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance CURRENT_STATE_srsts_i_o3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance bus_busy_x_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance CURRENT_STATE_srsts_i_i_o2_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) ) - (instance CURRENT_STATE_srsts_0_a4_0_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_srsts_0_i_a2_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance CURRENT_STATE_srsts_i_i_a2_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -939987,71 +943325,71 @@ (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance store_wr_RNIGMD9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) (instance store_rd_RNIV5MG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (B+A))")) ) - (instance STATE_MEM_bus_ack_2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) + (instance STATE_MEM_bus_ack_2_0_i_s_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_srsts_0_i_a2_0_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance bus_busy_x_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!B)+D (!C !A+C (!B !A)))")) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) - (instance CURRENT_STATE_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance bus_busy_x_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) (instance CURRENT_STATE_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) ) - (instance CURRENT_STATE_srsts_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance CURRENT_STATE_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance STATE_MEM_bus_ack_2_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) (instance reg_bus_data_out_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D C+D (B+A))")) ) - (instance STATE_MEM_bus_ack_2_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C (!B+A))")) + (instance CURRENT_STATE_srsts_0_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C (!B A)+C A))")) ) - (instance CURRENT_STATE_srsts_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C !A)+D (!C !B+C (!B !A)))")) - ) - (instance CURRENT_STATE_srsts_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B+A))")) + (instance CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) (instance reg_bus_data_out_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+!A))+D (!B+!A))")) @@ -940059,11 +943397,11 @@ (instance reg_bus_data_out_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+!A))+D (!B+!A))")) ) - (instance CURRENT_STATE_srsts_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B+A)+C A))")) + (instance CURRENT_STATE_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B+!A))")) ) - (instance CURRENT_STATE_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C A+C (B+A)))")) + (instance CURRENT_STATE_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A+C (!B !A)))")) ) (instance reg_bus_data_out_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+!A))+D (!B+!A))")) @@ -940071,116 +943409,115 @@ (instance reg_bus_data_out_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+!A))+D (!B+!A))")) ) - (instance bus_busy_x_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B+!A))+D (B+!A))")) + (instance CURRENT_STATE_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B+!A)+C B))")) ) - (instance CURRENT_STATE_srsts_i_o3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance bus_busy_x_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B+A))+D (!B+A))")) ) - (instance CURRENT_STATE_srsts_0_a4_0_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance CURRENT_STATE_srsts_i_i_o2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) (instance THE_SPI_SLIM (viewRef netlist (cellRef spi_slim)) ) (net CURRENT_STATE_7 (joined (portRef Q (instanceRef CURRENT_STATE_7)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_o3_6)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_3)) - (portRef B (instanceRef CURRENT_STATE_RNI8CP31_7)) + (portRef A (instanceRef CURRENT_STATE_srsts_i_i_o2_6)) + (portRef B (instanceRef CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3)) + (portRef B (instanceRef CURRENT_STATE_RNO_4)) + (portRef B (instanceRef store_rd_RNO)) )) (net busy_Q (joined (portRef busy_Q (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_o3_6)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_o3_3)) - (portRef C (instanceRef CURRENT_STATE_RNI8CP31_7)) + (portRef B (instanceRef CURRENT_STATE_srsts_i_i_o2_6)) + (portRef B (instanceRef CURRENT_STATE_srsts_i_i_o2_0_3)) + (portRef C (instanceRef CURRENT_STATE_RNO_4)) + (portRef C (instanceRef store_rd_RNO)) )) - (net N_125_0 (joined - (portRef Z (instanceRef CURRENT_STATE_RNI8CP31_7)) - (portRef D (instanceRef CURRENT_STATE_4)) + (net N_589_i (joined + (portRef Z (instanceRef store_rd_RNO)) (portRef D (instanceRef store_rd)) )) - (net STATE_4 (joined - (portRef STATE_4 (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_0)) - (portRef A (instanceRef reg_bus_data_out_RNO_1_4)) - )) - (net STATE_1 (joined - (portRef STATE_1 (instanceRef THE_SPI_SLIM)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_2_2)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_0)) - (portRef B (instanceRef reg_bus_data_out_RNO_1_4)) + (net spictrl_read_en (joined + (portRef spictrl_read_en) + (portRef D (instanceRef bus_busy_x_0_i_s)) + (portRef C (instanceRef CURRENT_STATE_RNO_5)) + (portRef C (instanceRef CURRENT_STATE_RNO_0)) + (portRef C (instanceRef CURRENT_STATE_RNO_6)) + (portRef C (instanceRef CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3)) + (portRef C (instanceRef STATE_MEM_bus_ack_2_0_i_s)) + (portRef C (instanceRef CURRENT_STATE_RNO_2)) + (portRef C (instanceRef bus_busy_x_0_i_s_0)) + (portRef A (instanceRef bus_busy_x_0_i_o2)) + (portRef A (instanceRef CURRENT_STATE_RNO_4)) + (portRef A (instanceRef store_rd_RNO)) )) (net spictrl_addr (joined (portRef spictrl_addr) - (portRef C (instanceRef CURRENT_STATE_srsts_i_o3_6)) + (portRef C (instanceRef CURRENT_STATE_srsts_i_i_o2_6)) (portRef D (instanceRef reg_bus_data_out_RNO_1)) (portRef D (instanceRef reg_bus_data_out_RNO_0)) (portRef D (instanceRef reg_bus_data_out_RNO_4)) (portRef D (instanceRef reg_bus_data_out_RNO_2)) (portRef D (instanceRef reg_bus_data_out_RNO_3)) - (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0)) + (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0)) (portRef C (instanceRef store_rd_RNIV5MG)) (portRef B (instanceRef store_wr_RNIGMD9)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_26)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_27)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_28)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_29)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_30)) (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_31)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_30)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_29)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_28)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_27)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_26)) (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_25)) (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_24)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_2)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_o3_3)) + (portRef A (instanceRef CURRENT_STATE_srsts_i_i_o2_0_3)) (portRef B (instanceRef THE_WRITE_REG_PROC_un6_store_wr_0_a2)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_1_4)) + (portRef C (instanceRef reg_bus_data_out_RNO_0_4)) (portRef C (instanceRef reg_bus_data_out_RNO_0_2)) - (portRef C (instanceRef reg_bus_data_out_RNO_1_4)) - (portRef D (instanceRef CURRENT_STATE_RNI8CP31_7)) + (portRef D (instanceRef CURRENT_STATE_RNO_4)) + (portRef D (instanceRef store_rd_RNO)) + )) + (net CURRENT_STATEc_2_i (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_4)) + (portRef D (instanceRef CURRENT_STATE_4)) + )) + (net STATE_8 (joined + (portRef STATE_8 (instanceRef THE_SPI_SLIM)) + (portRef A (instanceRef reg_bus_data_out_RNO_0_2)) + )) + (net STATE_3 (joined + (portRef STATE_3 (instanceRef THE_SPI_SLIM)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4)) + (portRef B (instanceRef reg_bus_data_out_RNO_0_2)) )) (net STATE_2 (joined (portRef STATE_2 (instanceRef THE_SPI_SLIM)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_2)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_1_4)) + (portRef D (instanceRef reg_bus_data_out_RNO_0_4)) (portRef D (instanceRef reg_bus_data_out_RNO_0_2)) - (portRef D (instanceRef reg_bus_data_out_RNO_1_4)) )) - (net reg_bus_data_out_6_i_a2_0_2_4 (joined - (portRef Z (instanceRef reg_bus_data_out_RNO_1_4)) - (portRef B (instanceRef reg_bus_data_out_RNO_4)) + (net reg_bus_data_out_6_i_a2_0_3_2 (joined + (portRef Z (instanceRef reg_bus_data_out_RNO_0_2)) + (portRef B (instanceRef reg_bus_data_out_RNO_2)) )) - (net STATE_6 (joined - (portRef STATE_6 (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0)) + (net STATE_4 (joined + (portRef STATE_4 (instanceRef THE_SPI_SLIM)) (portRef A (instanceRef reg_bus_data_out_RNO_0_4)) )) - (net STATE_5 (joined - (portRef STATE_5 (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) + (net STATE_1 (joined + (portRef STATE_1 (instanceRef THE_SPI_SLIM)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_2)) (portRef B (instanceRef reg_bus_data_out_RNO_0_4)) )) - (net STATE_7 (joined - (portRef STATE_7 (instanceRef THE_SPI_SLIM)) - (portRef C (instanceRef reg_bus_data_out_RNO_0_4)) - )) - (net STATE_3 (joined - (portRef STATE_3 (instanceRef THE_SPI_SLIM)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0)) - (portRef B (instanceRef reg_bus_data_out_RNO_0_2)) - (portRef D (instanceRef reg_bus_data_out_RNO_0_4)) - )) (net reg_bus_data_out_6_i_a2_0_1_4 (joined (portRef Z (instanceRef reg_bus_data_out_RNO_0_4)) - (portRef A (instanceRef reg_bus_data_out_RNO_4)) - )) - (net STATE_8 (joined - (portRef STATE_8 (instanceRef THE_SPI_SLIM)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_0)) - (portRef A (instanceRef reg_bus_data_out_RNO_0_2)) - )) - (net reg_bus_data_out_6_i_0_a2_0_3_2 (joined - (portRef Z (instanceRef reg_bus_data_out_RNO_0_2)) - (portRef B (instanceRef reg_bus_data_out_RNO_2)) + (portRef B (instanceRef reg_bus_data_out_RNO_4)) )) - (net N_127_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_3)) + (net N_594_i (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3)) (portRef D (instanceRef CURRENT_STATE_3)) (portRef D (instanceRef store_wr)) )) @@ -940435,7 +943772,7 @@ (portRef reset_i_rep2_1) (portRef D (instanceRef reset_i)) )) - (net N_688_i (joined + (net N_610_i (joined (portRef Z (instanceRef store_wr_RNIGMD9)) (portRef SP (instanceRef reg_status_data_31)) (portRef SP (instanceRef reg_status_data_30)) @@ -940782,7 +944119,7 @@ (portRef (member reg_ctrl_data 0) (instanceRef THE_SPI_SLIM)) (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_31)) )) - (net N_396_i (joined + (net N_605_i (joined (portRef Z (instanceRef reg_bus_data_out_RNO_0)) (portRef D (instanceRef reg_bus_data_out_0)) )) @@ -940790,7 +944127,7 @@ (portRef Q (instanceRef reg_bus_data_out_0)) (portRef (member spictrl_data_out 31)) )) - (net N_701_i (joined + (net N_611_i (joined (portRef Z (instanceRef reg_bus_data_out_RNO_1)) (portRef D (instanceRef reg_bus_data_out_1)) )) @@ -940798,7 +944135,7 @@ (portRef Q (instanceRef reg_bus_data_out_1)) (portRef (member spictrl_data_out 30)) )) - (net N_397_i (joined + (net N_606_i (joined (portRef Z (instanceRef reg_bus_data_out_RNO_2)) (portRef D (instanceRef reg_bus_data_out_2)) )) @@ -940806,7 +944143,7 @@ (portRef Q (instanceRef reg_bus_data_out_2)) (portRef (member spictrl_data_out 29)) )) - (net N_702_i (joined + (net N_607_i (joined (portRef Z (instanceRef reg_bus_data_out_RNO_3)) (portRef D (instanceRef reg_bus_data_out_3)) )) @@ -940814,7 +944151,7 @@ (portRef Q (instanceRef reg_bus_data_out_3)) (portRef (member spictrl_data_out 28)) )) - (net N_703_i (joined + (net N_604_i (joined (portRef Z (instanceRef reg_bus_data_out_RNO_4)) (portRef D (instanceRef reg_bus_data_out_4)) )) @@ -940920,7 +944257,7 @@ (portRef Q (instanceRef reg_bus_data_out_23)) (portRef (member spictrl_data_out 8)) )) - (net N_252 (joined + (net reg_bus_data_out_6_i_m2_24 (joined (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_24)) (portRef D (instanceRef reg_bus_data_out_24)) )) @@ -940928,7 +944265,7 @@ (portRef Q (instanceRef reg_bus_data_out_24)) (portRef (member spictrl_data_out 7)) )) - (net N_253 (joined + (net N_315 (joined (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_25)) (portRef D (instanceRef reg_bus_data_out_25)) )) @@ -940936,7 +944273,7 @@ (portRef Q (instanceRef reg_bus_data_out_25)) (portRef (member spictrl_data_out 6)) )) - (net N_254 (joined + (net reg_bus_data_out_6_i_m2_26 (joined (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_26)) (portRef D (instanceRef reg_bus_data_out_26)) )) @@ -940968,7 +944305,7 @@ (portRef Q (instanceRef reg_bus_data_out_29)) (portRef (member spictrl_data_out 2)) )) - (net reg_bus_data_out_6_i_m2_30 (joined + (net N_320 (joined (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_30)) (portRef D (instanceRef reg_bus_data_out_30)) )) @@ -940984,185 +944321,179 @@ (portRef Q (instanceRef reg_bus_data_out_31)) (portRef (member spictrl_data_out 0)) )) - (net bus_busy_x_0_i (joined - (portRef Z (instanceRef bus_busy_x_0_i)) + (net bus_busy_x_0_i_s (joined + (portRef Z (instanceRef bus_busy_x_0_i_s)) (portRef D (instanceRef bus_busy)) )) (net spictrl_busy (joined (portRef Q (instanceRef bus_busy)) (portRef spictrl_busy) )) - (net bus_ack_2_0_i (joined - (portRef Z (instanceRef STATE_MEM_bus_ack_2_0_i)) + (net bus_ack_2_0_i_s (joined + (portRef Z (instanceRef STATE_MEM_bus_ack_2_0_i_s)) (portRef D (instanceRef bus_ack)) )) (net spictrl_ack (joined (portRef Q (instanceRef bus_ack)) (portRef spictrl_ack) )) - (net N_110_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_0)) + (net CURRENT_STATEc_i (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_0)) (portRef D (instanceRef CURRENT_STATE_0)) )) (net CURRENT_STATE_0 (joined (portRef Q (instanceRef CURRENT_STATE_0)) - (portRef A (instanceRef CURRENT_STATE_RNO_7)) + (portRef C (instanceRef CURRENT_STATE_srsts_0_i_7)) )) - (net N_142_i (joined + (net N_367_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_1)) (portRef D (instanceRef CURRENT_STATE_1)) )) (net CURRENT_STATE_1 (joined (portRef Q (instanceRef CURRENT_STATE_1)) - (portRef D (instanceRef CURRENT_STATE_srsts_0_a4_0_2_7)) - (portRef A (instanceRef STATE_MEM_bus_ack_2_0_i)) (portRef A (instanceRef CURRENT_STATE_RNO_1)) - (portRef A (instanceRef CURRENT_STATE_srsts_0_a4_0_1_7)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_7)) + (portRef A (instanceRef STATE_MEM_bus_ack_2_0_i_s_1)) + (portRef A (instanceRef CURRENT_STATE_srsts_i_i_a2_0_0_0)) )) - (net N_143_i (joined + (net N_368_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_2)) (portRef D (instanceRef CURRENT_STATE_2)) )) (net CURRENT_STATE_2 (joined (portRef Q (instanceRef CURRENT_STATE_2)) + (portRef A (instanceRef STATE_MEM_bus_ack_2_0_i_s)) (portRef A (instanceRef CURRENT_STATE_RNO_2)) - (portRef A (instanceRef STATE_MEM_bus_ack_2_0_1)) - (portRef A (instanceRef CURRENT_STATE_srsts_0_a3_7)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_i_a2_1_7)) )) (net CURRENT_STATE_3 (joined (portRef Q (instanceRef CURRENT_STATE_3)) - (portRef B (instanceRef CURRENT_STATE_srsts_0_a4_0_2_7)) (portRef B (instanceRef CURRENT_STATE_RNO_1)) - (portRef B (instanceRef STATE_MEM_bus_ack_2_0_1)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_7)) + (portRef B (instanceRef STATE_MEM_bus_ack_2_0_i_s_1)) )) (net CURRENT_STATE_4 (joined (portRef Q (instanceRef CURRENT_STATE_4)) - (portRef A (instanceRef CURRENT_STATE_srsts_0_a4_0_2_7)) (portRef B (instanceRef CURRENT_STATE_RNO_2)) - (portRef C (instanceRef STATE_MEM_bus_ack_2_0_1)) + (portRef C (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_7)) + (portRef C (instanceRef STATE_MEM_bus_ack_2_0_i_s_1)) )) - (net N_120_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_5)) + (net CURRENT_STATEc_1_i (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_5)) (portRef D (instanceRef CURRENT_STATE_5)) )) (net CURRENT_STATE_5 (joined (portRef Q (instanceRef CURRENT_STATE_5)) - (portRef C (instanceRef CURRENT_STATE_srsts_0_a4_0_2_7)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_5)) - (portRef A (instanceRef bus_busy_x_0_0)) - (portRef B (instanceRef CURRENT_STATE_srsts_0_a4_0_1_7)) + (portRef B (instanceRef CURRENT_STATE_RNO_5)) + (portRef A (instanceRef bus_busy_x_0_i_s_0)) + (portRef D (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_7)) + (portRef B (instanceRef CURRENT_STATE_srsts_i_i_a2_0_0_0)) )) - (net N_122_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_6)) + (net CURRENT_STATEc_0_i (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_6)) (portRef D (instanceRef CURRENT_STATE_6)) )) (net CURRENT_STATE_6 (joined (portRef Q (instanceRef CURRENT_STATE_6)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_6)) - (portRef B (instanceRef bus_busy_x_0_0)) - (portRef B (instanceRef CURRENT_STATE_srsts_0_a3_7)) + (portRef B (instanceRef CURRENT_STATE_RNO_6)) + (portRef B (instanceRef bus_busy_x_0_i_s_0)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_i_a2_1_7)) )) - (net CURRENT_STATEs_i (joined - (portRef Z (instanceRef CURRENT_STATE_RNO_7)) + (net CURRENT_STATE_srsts_0_i_7 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_0_i_7)) (portRef D (instanceRef CURRENT_STATE_7)) )) (net GND (joined (portRef GND) (portRef GND (instanceRef THE_SPI_SLIM)) )) - (net N_149 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_0_a3_7)) - (portRef D (instanceRef CURRENT_STATE_RNO_7)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_0)) + (net reg_bus_data_out_6_i_a2_1_4 (joined + (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_1_4)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) )) - (net spictrl_read_en (joined - (portRef spictrl_read_en) - (portRef D (instanceRef bus_busy_x_0_i)) - (portRef C (instanceRef CURRENT_STATE_srsts_i_5)) - (portRef C (instanceRef CURRENT_STATE_srsts_i_6)) - (portRef C (instanceRef CURRENT_STATE_srsts_i_0)) - (portRef C (instanceRef CURRENT_STATE_srsts_i_3)) - (portRef C (instanceRef CURRENT_STATE_RNO_2)) - (portRef C (instanceRef bus_busy_x_0_0)) - (portRef D (instanceRef STATE_MEM_bus_ack_2_0_1)) - (portRef A (instanceRef bus_busy_x_0_o3)) - (portRef A (instanceRef CURRENT_STATE_RNI8CP31_7)) + (net bus_busy_x_0_i_o2 (joined + (portRef Z (instanceRef bus_busy_x_0_i_o2)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_i_7)) )) - (net N_134_0 (joined - (portRef Z (instanceRef bus_busy_x_0_o3)) - (portRef C (instanceRef CURRENT_STATE_RNO_7)) + (net N_637 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_i_i_o2_0_3)) + (portRef A (instanceRef CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3)) )) - (net N_129_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_o3_3)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_3)) + (net N_578 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_0_i_a2_1_7)) + (portRef A (instanceRef CURRENT_STATE_RNO_0)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_i_7)) )) - (net N_586 (joined - (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_2)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) + (net CURRENT_STATE_srsts_i_i_a2_0_0 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_i_i_a2_0_0_0)) + (portRef B (instanceRef CURRENT_STATE_RNO_0)) )) - (net CURRENT_STATE_srsts_i_a4_0_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_0_a4_0_1_7)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_0)) + (net spictrl_write_en (joined + (portRef spictrl_write_en) + (portRef C (instanceRef bus_busy_x_0_i_s)) + (portRef D (instanceRef CURRENT_STATE_RNO_5)) + (portRef D (instanceRef CURRENT_STATE_RNO_0)) + (portRef D (instanceRef CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3)) + (portRef C (instanceRef CURRENT_STATE_RNO_1)) + (portRef D (instanceRef bus_busy_x_0_i_s_0)) + (portRef D (instanceRef STATE_MEM_bus_ack_2_0_i_s_1)) + (portRef B (instanceRef bus_busy_x_0_i_o2)) )) - (net reg_bus_data_out_6_i_0_a2_1_0 (joined - (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_0)) - (portRef (member reg_bus_data_out_6_i_0_a2_1 0) (instanceRef THE_SPI_SLIM)) - (portRef A (instanceRef reg_bus_data_out_RNO_1)) - (portRef A (instanceRef reg_bus_data_out_RNO_0)) + (net bus_ack_2_0_i_s_1 (joined + (portRef Z (instanceRef STATE_MEM_bus_ack_2_0_i_s_1)) + (portRef B (instanceRef STATE_MEM_bus_ack_2_0_i_s)) )) - (net bus_ack_2_0_1 (joined - (portRef Z (instanceRef STATE_MEM_bus_ack_2_0_1)) - (portRef B (instanceRef STATE_MEM_bus_ack_2_0_i)) + (net STATE_5 (joined + (portRef STATE_5 (instanceRef THE_SPI_SLIM)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4)) + )) + (net STATE_6 (joined + (portRef STATE_6 (instanceRef THE_SPI_SLIM)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4)) + )) + (net STATE_7 (joined + (portRef STATE_7 (instanceRef THE_SPI_SLIM)) + (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4)) + )) + (net reg_bus_data_out_6_i_a2_0_0_4 (joined + (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4)) + (portRef A (instanceRef reg_bus_data_out_RNO_4)) + )) + (net CURRENT_STATE_srsts_0_i_a2_0_2_7 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_7)) + (portRef D (instanceRef CURRENT_STATE_srsts_0_i_7)) )) (net STATE_10 (joined (portRef STATE_10 (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_2_2)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_2)) )) (net STATE_11 (joined (portRef STATE_11 (instanceRef THE_SPI_SLIM)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_2_2)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_2)) )) - (net reg_bus_data_out_6_i_0_a2_0_2_2 (joined - (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_2_2)) + (net reg_bus_data_out_6_i_a2_0_2_2 (joined + (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_2)) (portRef A (instanceRef reg_bus_data_out_RNO_2)) )) - (net STATE_13 (joined - (portRef STATE_13 (instanceRef THE_SPI_SLIM)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0)) - )) - (net STATE_14 (joined - (portRef STATE_14 (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef reg_bus_data_out_RNO_3)) - (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0)) - )) - (net reg_bus_data_out_6_i_0_a2_0_4_0 (joined - (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0)) - )) - (net spictrl_write_en (joined - (portRef spictrl_write_en) - (portRef C (instanceRef bus_busy_x_0_i)) - (portRef D (instanceRef CURRENT_STATE_srsts_i_5)) - (portRef D (instanceRef CURRENT_STATE_srsts_i_0)) - (portRef C (instanceRef STATE_MEM_bus_ack_2_0_i)) - (portRef D (instanceRef CURRENT_STATE_srsts_i_3)) - (portRef C (instanceRef CURRENT_STATE_RNO_1)) - (portRef D (instanceRef bus_busy_x_0_0)) - (portRef B (instanceRef bus_busy_x_0_o3)) - )) - (net bus_busy_x_0_0 (joined - (portRef Z (instanceRef bus_busy_x_0_0)) - (portRef A (instanceRef bus_busy_x_0_i)) - )) (net STATE_12 (joined (portRef STATE_12 (instanceRef THE_SPI_SLIM)) (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0)) )) - (net reg_bus_data_out_6_i_0_a2_0_5_0 (joined - (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0)) - (portRef B (instanceRef reg_bus_data_out_RNO_0)) + (net STATE_13 (joined + (portRef STATE_13 (instanceRef THE_SPI_SLIM)) + (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0)) + )) + (net reg_bus_data_out_6_i_a2_0_4_0 (joined + (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0)) + )) + (net bus_busy_x_0_i_s_0 (joined + (portRef Z (instanceRef bus_busy_x_0_i_s_0)) + (portRef A (instanceRef bus_busy_x_0_i_s)) )) (net STATE_9 (joined (portRef STATE_9 (instanceRef THE_SPI_SLIM)) @@ -941172,19 +944503,29 @@ (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) (portRef B (instanceRef reg_bus_data_out_RNO_1)) )) + (net STATE_14 (joined + (portRef STATE_14 (instanceRef THE_SPI_SLIM)) + (portRef B (instanceRef reg_bus_data_out_RNO_3)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0)) + )) + (net reg_bus_data_out_6_i_a2_0_5_0 (joined + (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0)) + (portRef B (instanceRef reg_bus_data_out_RNO_0)) + )) (net STATE_0 (joined (portRef STATE_0 (instanceRef THE_SPI_SLIM)) (portRef A (instanceRef reg_bus_data_out_RNO_3)) )) - (net N_130_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_o3_6)) - (portRef B (instanceRef bus_busy_x_0_i)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_5)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_6)) + (net N_276 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_i_i_o2_6)) + (portRef B (instanceRef bus_busy_x_0_i_s)) + (portRef A (instanceRef CURRENT_STATE_RNO_5)) + (portRef A (instanceRef CURRENT_STATE_RNO_6)) )) - (net CURRENT_STATE_srsts_0_a4_0_2_7 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_0_a4_0_2_7)) - (portRef B (instanceRef CURRENT_STATE_RNO_7)) + (net N_557 (joined + (portRef N_557 (instanceRef THE_SPI_SLIM)) + (portRef A (instanceRef reg_bus_data_out_RNO_1)) + (portRef A (instanceRef reg_bus_data_out_RNO_0)) )) (net spi_bram_wr_d_0 (joined (portRef (member spi_bram_wr_d 7)) @@ -941286,13 +944627,9 @@ (portRef (member rx_sreg 0)) (portRef rx_sreg_0 (instanceRef THE_SPI_SLIM)) )) - (net tx_sreg_RNI6CRO3_6 (joined - (portRef (member tx_sreg_rni6cro3 0) (instanceRef THE_SPI_SLIM)) - (portRef (member tx_sreg_rni6cro3 0)) - )) - (net N_446_i (joined - (portRef N_446_i (instanceRef THE_SPI_SLIM)) - (portRef N_446_i) + (net N_389_i (joined + (portRef N_389_i (instanceRef THE_SPI_SLIM)) + (portRef N_389_i) )) (net un1_clk_en_0_i (joined (portRef un1_clk_en_0_i (instanceRef THE_SPI_SLIM)) @@ -941302,9 +944639,13 @@ (portRef spi_bram_we (instanceRef THE_SPI_SLIM)) (portRef spi_bram_we) )) - (net N_727_i (joined - (portRef N_727_i (instanceRef THE_SPI_SLIM)) - (portRef N_727_i) + (net N_633_i (joined + (portRef N_633_i (instanceRef THE_SPI_SLIM)) + (portRef N_633_i) + )) + (net N_393 (joined + (portRef N_393 (instanceRef THE_SPI_SLIM)) + (portRef N_393) )) (net tx_ena_RNIH02J (joined (portRef tx_ena_RNIH02J (instanceRef THE_SPI_SLIM)) @@ -941329,13 +944670,13 @@ (port (array (rename spimem_data_in "spimem_data_in(31:0)") 32) (direction OUTPUT)) (port (array (rename regio_data_out "regio_data_out(31:0)") 32) (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) + (port reset_i_fast_r9 (direction INPUT)) (port regio_write_enable_out (direction INPUT)) (port regio_read_enable_out (direction INPUT)) (port spimem_ack (direction INPUT)) (port spictrl_ack (direction INPUT)) - (port spictrl_busy (direction INPUT)) (port GND (direction INPUT)) + (port spictrl_busy (direction INPUT)) (port regio_write_ack_in (direction OUTPUT)) (port regio_no_more_data_in (direction OUTPUT)) (port regio_unknown_addr_in (direction OUTPUT)) @@ -941510,86 +944851,98 @@ ) (instance DAT_DATAREADY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance proc_port_select_gen_port_select_0_un3_dat_addr_in_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance DAT_NO_MORE_DATA_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance DAT_NO_MORE_DATA_OUTc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance un20_dat_data_out_0_i_m4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_25_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance un20_dat_data_out_i_m4_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_28_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_15_i_0_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_m16_4_03_1_m3_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_m22_4_03_0_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_34_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_3_i_m4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_31_i_m3_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_32_i_m3_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_33_i_m3_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_i_m2_i_m4_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_8_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_9_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_16_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance N_277_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_24_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance N_267_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) (instance DAT_UNKNOWN_ADDR_OUTc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C A)")) + (property lut_function (string "(C+(!B !A))")) ) (instance next_port_select_int_1_i_a2_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) @@ -941597,41 +944950,29 @@ (instance next_port_select_int_1_i_a2_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B !A)))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_955_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_944_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_89_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance DAT_DATA_OUT_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_86_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_947_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_85_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_N_923_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_93_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance DAT_DATA_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance N_273_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A))")) - ) - (instance proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) (instance DAT_DATA_OUT_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance DAT_DATA_OUT_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance DAT_DATA_OUT_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance N_263_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) (instance next_port_select_int_1_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) @@ -941664,50 +945005,50 @@ (portRef Z (instanceRef proc_port_select_gen_port_select_1_un6_dat_addr_in_0_a2)) (portRef D (instanceRef port_select_int_0)) )) - (net N_277_i (joined - (portRef Z (instanceRef N_277_i)) + (net N_267_i (joined + (portRef Z (instanceRef N_267_i)) (portRef SP (instanceRef port_select_int_1)) (portRef SP (instanceRef port_select_int_0)) )) (net port_select_int_0 (joined (portRef Q (instanceRef port_select_int_0)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_1)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_7)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_9)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_13)) (portRef A (instanceRef DAT_DATA_OUT_RNO_14)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_93_i)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_85_i)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_86_i)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_89_i)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_955_i)) - (portRef A (instanceRef DAT_UNKNOWN_ADDR_OUTc)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_9_i_m4)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m4)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_3)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_5)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_6)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_8)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_11)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_12)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_15)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_16)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_22)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_25)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_26)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_28)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_29)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_30)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_17)) (portRef A (instanceRef DAT_DATA_OUT_RNO_0)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_28_i_m4)) - (portRef A (instanceRef un20_dat_data_out_i_m4_20)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m4)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_25_i_m4)) - (portRef A (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2)) - (portRef A (instanceRef DAT_NO_MORE_DATA_OUTc)) - )) - (net N_278_i (joined + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_N_923_i)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_947_i)) + (portRef A (instanceRef DAT_DATA_OUT_RNO_12)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_944_i)) + (portRef C (instanceRef DAT_UNKNOWN_ADDR_OUTc)) + (portRef A (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m2_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_24_i_m2_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_16_i_m2_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m2_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_8_i_m2_i_m4)) + (portRef A (instanceRef un20_dat_data_out_i_m2_i_m4_18)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_33_i_m3_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_32_i_m3_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_31_i_m3_i_m4)) + (portRef A (instanceRef un20_dat_data_out_0_3_i_m4_2)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_3)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_5)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_6)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_10)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_34_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_m22_4_03_0_m2_i_m4)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_30)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_29)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_23)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_m16_4_03_1_m3_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_15_i_0_m4)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_31)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_28)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_21)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_20)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_11)) + (portRef A (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) + )) + (net N_268_i (joined (portRef Z (instanceRef port_select_int_RNO_1)) (portRef D (instanceRef port_select_int_1)) )) @@ -941863,13 +945204,13 @@ (portRef Q (instanceRef buf_BUS_WRITE_OUT_1)) (portRef spimem_write_en) )) - (net N_275_i (joined + (net N_265_i (joined (portRef Z (instanceRef buf_BUS_WRITE_OUT_RNO_2)) (portRef D (instanceRef buf_BUS_WRITE_OUT_2)) )) (net buf_BUS_WRITE_OUT_2 (joined (portRef Q (instanceRef buf_BUS_WRITE_OUT_2)) - (portRef C (instanceRef DAT_UNKNOWN_ADDR_OUTc)) + (portRef B (instanceRef DAT_UNKNOWN_ADDR_OUTc)) )) (net buf_BUS_READ_OUT_4_0 (joined (portRef Z (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a3_0)) @@ -941887,20 +945228,20 @@ (portRef Q (instanceRef buf_BUS_READ_OUT_1)) (portRef spimem_read_en) )) - (net N_274_i (joined + (net N_264_i (joined (portRef Z (instanceRef buf_BUS_READ_OUT_RNO_2)) (portRef D (instanceRef buf_BUS_READ_OUT_2)) )) (net buf_BUS_READ_OUT_2 (joined (portRef Q (instanceRef buf_BUS_READ_OUT_2)) - (portRef B (instanceRef DAT_UNKNOWN_ADDR_OUTc)) + (portRef A (instanceRef DAT_UNKNOWN_ADDR_OUTc)) )) (net regio_data_out_0 (joined (portRef (member regio_data_out 31)) (portRef D (instanceRef buf_BUS_DATA_OUT_0)) )) - (net N_273_i (joined - (portRef Z (instanceRef N_273_i)) + (net N_263_i (joined + (portRef Z (instanceRef N_263_i)) (portRef SP (instanceRef buf_BUS_ADDR_OUT_5)) (portRef SP (instanceRef buf_BUS_ADDR_OUT_4)) (portRef SP (instanceRef buf_BUS_ADDR_OUT_3)) @@ -942228,15 +945569,15 @@ (portRef Q (instanceRef DAT_UNKNOWN_ADDR_OUT)) (portRef regio_unknown_addr_in) )) - (net DAT_NO_MORE_DATA_OUTc (joined - (portRef Z (instanceRef DAT_NO_MORE_DATA_OUTc)) + (net DAT_NO_MORE_DATA_OUT_1 (joined + (portRef Z (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) (portRef D (instanceRef DAT_NO_MORE_DATA_OUT)) )) (net regio_no_more_data_in (joined (portRef Q (instanceRef DAT_NO_MORE_DATA_OUT)) (portRef regio_no_more_data_in) )) - (net DAT_DATA_OUT_RNO_0 (joined + (net N_151_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_0)) (portRef D (instanceRef DAT_DATA_OUT_0)) )) @@ -942244,95 +945585,95 @@ (portRef Q (instanceRef DAT_DATA_OUT_0)) (portRef (member regio_data_in 31)) )) - (net DAT_DATA_OUTc_i (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_1)) + (net N_163 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_8_i_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_1)) )) (net regio_data_in_1 (joined (portRef Q (instanceRef DAT_DATA_OUT_1)) (portRef (member regio_data_in 30)) )) - (net N_949 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_9_i_m4)) + (net un20_dat_data_out_0_3_i_m4_2 (joined + (portRef Z (instanceRef un20_dat_data_out_0_3_i_m4_2)) (portRef D (instanceRef DAT_DATA_OUT_2)) )) (net regio_data_in_2 (joined (portRef Q (instanceRef DAT_DATA_OUT_2)) (portRef (member regio_data_in 29)) )) - (net N_950 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_3)) + (net N_157 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_3)) (portRef D (instanceRef DAT_DATA_OUT_3)) )) (net regio_data_in_3 (joined (portRef Q (instanceRef DAT_DATA_OUT_3)) (portRef (member regio_data_in 28)) )) - (net N_951 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m4)) + (net un18_dat_data_out_11_i_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_4)) )) (net regio_data_in_4 (joined (portRef Q (instanceRef DAT_DATA_OUT_4)) (portRef (member regio_data_in 27)) )) - (net N_952 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_5)) + (net un20_dat_data_out_0_i_m4_5 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_5)) (portRef D (instanceRef DAT_DATA_OUT_5)) )) (net regio_data_in_5 (joined (portRef Q (instanceRef DAT_DATA_OUT_5)) (portRef (member regio_data_in 26)) )) - (net N_953 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_6)) + (net N_155 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_6)) (portRef D (instanceRef DAT_DATA_OUT_6)) )) (net regio_data_in_6 (joined (portRef Q (instanceRef DAT_DATA_OUT_6)) (portRef (member regio_data_in 25)) )) - (net DAT_DATA_OUTc_0_i (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_7)) + (net N_944_i (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_944_i)) (portRef D (instanceRef DAT_DATA_OUT_7)) )) (net regio_data_in_7 (joined (portRef Q (instanceRef DAT_DATA_OUT_7)) (portRef (member regio_data_in 24)) )) - (net N_954 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_8)) + (net un18_dat_data_out_15_i_0_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_15_i_0_m4)) (portRef D (instanceRef DAT_DATA_OUT_8)) )) (net regio_data_in_8 (joined (portRef Q (instanceRef DAT_DATA_OUT_8)) (portRef (member regio_data_in 23)) )) - (net DAT_DATA_OUTc_1_i (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_9)) + (net un18_dat_data_out_16_i_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_16_i_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_9)) )) (net regio_data_in_9 (joined (portRef Q (instanceRef DAT_DATA_OUT_9)) (portRef (member regio_data_in 22)) )) - (net N_955_i (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_955_i)) + (net N_154 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_10)) (portRef D (instanceRef DAT_DATA_OUT_10)) )) (net regio_data_in_10 (joined (portRef Q (instanceRef DAT_DATA_OUT_10)) (portRef (member regio_data_in 21)) )) - (net N_956 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_11)) + (net un20_dat_data_out_0_i_m4_11 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_11)) (portRef D (instanceRef DAT_DATA_OUT_11)) )) (net regio_data_in_11 (joined (portRef Q (instanceRef DAT_DATA_OUT_11)) (portRef (member regio_data_in 20)) )) - (net N_957 (joined + (net N_946_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_12)) (portRef D (instanceRef DAT_DATA_OUT_12)) )) @@ -942340,15 +945681,15 @@ (portRef Q (instanceRef DAT_DATA_OUT_12)) (portRef (member regio_data_in 19)) )) - (net DAT_DATA_OUTc_2_i (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_13)) + (net N_947_i (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_947_i)) (portRef D (instanceRef DAT_DATA_OUT_13)) )) (net regio_data_in_13 (joined (portRef Q (instanceRef DAT_DATA_OUT_13)) (portRef (member regio_data_in 18)) )) - (net DAT_DATA_OUTc_3_i (joined + (net N_932_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_14)) (portRef D (instanceRef DAT_DATA_OUT_14)) )) @@ -942356,354 +945697,386 @@ (portRef Q (instanceRef DAT_DATA_OUT_14)) (portRef (member regio_data_in 17)) )) - (net N_958 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_15)) + (net N_923_i (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_N_923_i)) (portRef D (instanceRef DAT_DATA_OUT_15)) )) (net regio_data_in_15 (joined (portRef Q (instanceRef DAT_DATA_OUT_15)) (portRef (member regio_data_in 16)) )) - (net N_959 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_16)) + (net m16_4_03_1_m3_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_m16_4_03_1_m3_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_16)) )) (net regio_data_in_16 (joined (portRef Q (instanceRef DAT_DATA_OUT_16)) (portRef (member regio_data_in 15)) )) - (net DAT_DATA_OUT_RNO_17 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_17)) + (net un18_dat_data_out_24_i_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_24_i_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_17)) )) (net regio_data_in_17 (joined (portRef Q (instanceRef DAT_DATA_OUT_17)) (portRef (member regio_data_in 14)) )) - (net N_80 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_25_i_m4)) + (net N_162 (joined + (portRef Z (instanceRef un20_dat_data_out_i_m2_i_m4_18)) (portRef D (instanceRef DAT_DATA_OUT_18)) )) (net regio_data_in_18 (joined (portRef Q (instanceRef DAT_DATA_OUT_18)) (portRef (member regio_data_in 13)) )) - (net N_81 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m4)) + (net un18_dat_data_out_26_i_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_19)) )) (net regio_data_in_19 (joined (portRef Q (instanceRef DAT_DATA_OUT_19)) (portRef (member regio_data_in 12)) )) - (net N_82 (joined - (portRef Z (instanceRef un20_dat_data_out_i_m4_20)) + (net un20_dat_data_out_0_i_m4_20 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_20)) (portRef D (instanceRef DAT_DATA_OUT_20)) )) (net regio_data_in_20 (joined (portRef Q (instanceRef DAT_DATA_OUT_20)) (portRef (member regio_data_in 11)) )) - (net N_83 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_28_i_m4)) + (net un20_dat_data_out_0_i_m4_21 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_21)) (portRef D (instanceRef DAT_DATA_OUT_21)) )) (net regio_data_in_21 (joined (portRef Q (instanceRef DAT_DATA_OUT_21)) (portRef (member regio_data_in 10)) )) - (net N_84 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_22)) + (net m22_4_03_0_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_m22_4_03_0_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_22)) )) (net regio_data_in_22 (joined (portRef Q (instanceRef DAT_DATA_OUT_22)) (portRef (member regio_data_in 9)) )) - (net N_85_i (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_85_i)) + (net un20_dat_data_out_0_i_m4_23 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_23)) (portRef D (instanceRef DAT_DATA_OUT_23)) )) (net regio_data_in_23 (joined (portRef Q (instanceRef DAT_DATA_OUT_23)) (portRef (member regio_data_in 8)) )) - (net N_86_i (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_86_i)) + (net N_159 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_31_i_m3_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_24)) )) (net regio_data_in_24 (joined (portRef Q (instanceRef DAT_DATA_OUT_24)) (portRef (member regio_data_in 7)) )) - (net N_87 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_25)) + (net un18_dat_data_out_32_i_m3_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_32_i_m3_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_25)) )) (net regio_data_in_25 (joined (portRef Q (instanceRef DAT_DATA_OUT_25)) (portRef (member regio_data_in 6)) )) - (net N_88 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_26)) + (net N_161 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_33_i_m3_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_26)) )) (net regio_data_in_26 (joined (portRef Q (instanceRef DAT_DATA_OUT_26)) (portRef (member regio_data_in 5)) )) - (net N_89_i (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_89_i)) + (net un18_dat_data_out_34_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_34_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_27)) )) (net regio_data_in_27 (joined (portRef Q (instanceRef DAT_DATA_OUT_27)) (portRef (member regio_data_in 4)) )) - (net N_90 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_28)) + (net un20_dat_data_out_0_i_m4_28 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_28)) (portRef D (instanceRef DAT_DATA_OUT_28)) )) (net regio_data_in_28 (joined (portRef Q (instanceRef DAT_DATA_OUT_28)) (portRef (member regio_data_in 3)) )) - (net N_91 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_29)) + (net un20_dat_data_out_0_i_m4_29 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_29)) (portRef D (instanceRef DAT_DATA_OUT_29)) )) (net regio_data_in_29 (joined (portRef Q (instanceRef DAT_DATA_OUT_29)) (portRef (member regio_data_in 2)) )) - (net N_92 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_30)) + (net un20_dat_data_out_0_i_m4_30 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_30)) (portRef D (instanceRef DAT_DATA_OUT_30)) )) (net regio_data_in_30 (joined (portRef Q (instanceRef DAT_DATA_OUT_30)) (portRef (member regio_data_in 1)) )) - (net N_93_i_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_93_i)) + (net un20_dat_data_out_0_i_m4_31 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_31)) (portRef D (instanceRef DAT_DATA_OUT_31)) )) (net regio_data_in_31 (joined (portRef Q (instanceRef DAT_DATA_OUT_31)) (portRef (member regio_data_in 0)) )) - (net DAT_DATAREADY_OUT_1_0_i_m2 (joined - (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2)) + (net DAT_DATAREADY_OUT_1_0_i_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2_i_m4)) (portRef D (instanceRef DAT_DATAREADY_OUT)) )) (net regio_write_ack_in (joined (portRef Q (instanceRef DAT_DATAREADY_OUT)) (portRef regio_write_ack_in) )) + (net spictrl_busy (joined + (portRef spictrl_busy) + (portRef B (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) + )) + (net GND (joined + (portRef GND) + )) (net regio_addr_out_1 (joined (portRef (member regio_addr_out 14)) - (portRef A (instanceRef proc_port_select_gen_port_select_0_un3_dat_addr_in_1)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_1_0)) (portRef D (instanceRef buf_BUS_ADDR_OUT_1)) )) (net regio_addr_out_2 (joined (portRef (member regio_addr_out 13)) - (portRef B (instanceRef proc_port_select_gen_port_select_0_un3_dat_addr_in_1)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_1_0)) (portRef D (instanceRef buf_BUS_ADDR_OUT_2)) )) - (net GND (joined - (portRef GND) - )) - (net N_256 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_0_un3_dat_addr_in_1)) + (net buf_BUS_READ_OUT_4_0_a2_1_0 (joined + (portRef Z (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_1_0)) (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_0)) )) - (net spictrl_busy (joined - (portRef spictrl_busy) - (portRef B (instanceRef DAT_NO_MORE_DATA_OUTc)) - )) - (net spictrl_ack (joined - (portRef spictrl_ack) - (portRef B (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2)) - )) - (net spimem_ack (joined - (portRef spimem_ack) - (portRef C (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2)) - )) - (net spictrl_data_out_18 (joined - (portRef (member spictrl_data_out 13)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_25_i_m4)) - )) - (net spimem_data_out_18 (joined - (portRef (member spimem_data_out 13)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_25_i_m4)) - )) - (net spictrl_data_out_19 (joined - (portRef (member spictrl_data_out 12)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m4)) + (net spictrl_data_out_11 (joined + (portRef (member spictrl_data_out 20)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_11)) )) - (net spimem_data_out_19 (joined - (portRef (member spimem_data_out 12)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m4)) + (net spimem_data_out_11 (joined + (portRef (member spimem_data_out 20)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_11)) )) (net spictrl_data_out_20 (joined (portRef (member spictrl_data_out 11)) - (portRef B (instanceRef un20_dat_data_out_i_m4_20)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_20)) )) (net spimem_data_out_20 (joined (portRef (member spimem_data_out 11)) - (portRef C (instanceRef un20_dat_data_out_i_m4_20)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_20)) )) (net spictrl_data_out_21 (joined (portRef (member spictrl_data_out 10)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_28_i_m4)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_21)) )) (net spimem_data_out_21 (joined (portRef (member spimem_data_out 10)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_28_i_m4)) - )) - (net spictrl_data_out_0 (joined - (portRef (member spictrl_data_out 31)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_0)) - )) - (net spimem_data_out_0 (joined - (portRef (member spimem_data_out 31)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_0)) - )) - (net spictrl_data_out_17 (joined - (portRef (member spictrl_data_out 14)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_17)) - )) - (net spimem_data_out_17 (joined - (portRef (member spimem_data_out 14)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_17)) - )) - (net spictrl_data_out_30 (joined - (portRef (member spictrl_data_out 1)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_30)) - )) - (net spimem_data_out_30 (joined - (portRef (member spimem_data_out 1)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_30)) - )) - (net spictrl_data_out_29 (joined - (portRef (member spictrl_data_out 2)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_29)) - )) - (net spimem_data_out_29 (joined - (portRef (member spimem_data_out 2)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_29)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_21)) )) (net spictrl_data_out_28 (joined (portRef (member spictrl_data_out 3)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_28)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_28)) )) (net spimem_data_out_28 (joined (portRef (member spimem_data_out 3)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_28)) - )) - (net spictrl_data_out_26 (joined - (portRef (member spictrl_data_out 5)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_26)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_28)) )) - (net spimem_data_out_26 (joined - (portRef (member spimem_data_out 5)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_26)) - )) - (net spictrl_data_out_25 (joined - (portRef (member spictrl_data_out 6)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_25)) + (net spictrl_data_out_31 (joined + (portRef (member spictrl_data_out 0)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_31)) )) - (net spimem_data_out_25 (joined - (portRef (member spimem_data_out 6)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_25)) + (net spimem_data_out_31 (joined + (portRef (member spimem_data_out 0)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_31)) )) - (net spictrl_data_out_22 (joined - (portRef (member spictrl_data_out 9)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_22)) + (net spictrl_data_out_8 (joined + (portRef (member spictrl_data_out 23)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_15_i_0_m4)) )) - (net spimem_data_out_22 (joined - (portRef (member spimem_data_out 9)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_22)) + (net spimem_data_out_8 (joined + (portRef (member spimem_data_out 23)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_15_i_0_m4)) )) (net spictrl_data_out_16 (joined (portRef (member spictrl_data_out 15)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_16)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_m16_4_03_1_m3_i_m4)) )) (net spimem_data_out_16 (joined (portRef (member spimem_data_out 15)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_16)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_m16_4_03_1_m3_i_m4)) )) - (net spictrl_data_out_15 (joined - (portRef (member spictrl_data_out 16)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_15)) + (net spictrl_data_out_23 (joined + (portRef (member spictrl_data_out 8)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_23)) )) - (net spimem_data_out_15 (joined - (portRef (member spimem_data_out 16)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_15)) + (net spimem_data_out_23 (joined + (portRef (member spimem_data_out 8)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_23)) )) - (net spictrl_data_out_12 (joined - (portRef (member spictrl_data_out 19)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_12)) + (net spictrl_data_out_29 (joined + (portRef (member spictrl_data_out 2)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_29)) )) - (net spimem_data_out_12 (joined - (portRef (member spimem_data_out 19)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_12)) + (net spimem_data_out_29 (joined + (portRef (member spimem_data_out 2)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_29)) )) - (net spictrl_data_out_11 (joined - (portRef (member spictrl_data_out 20)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_11)) + (net spictrl_data_out_30 (joined + (portRef (member spictrl_data_out 1)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_30)) )) - (net spimem_data_out_11 (joined - (portRef (member spimem_data_out 20)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_11)) + (net spimem_data_out_30 (joined + (portRef (member spimem_data_out 1)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_30)) )) - (net spictrl_data_out_8 (joined - (portRef (member spictrl_data_out 23)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_8)) + (net spictrl_data_out_22 (joined + (portRef (member spictrl_data_out 9)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_m22_4_03_0_m2_i_m4)) )) - (net spimem_data_out_8 (joined - (portRef (member spimem_data_out 23)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_8)) + (net spimem_data_out_22 (joined + (portRef (member spimem_data_out 9)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_m22_4_03_0_m2_i_m4)) + )) + (net spictrl_data_out_27 (joined + (portRef (member spictrl_data_out 4)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_34_i_m4)) + )) + (net spimem_data_out_27 (joined + (portRef (member spimem_data_out 4)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_34_i_m4)) + )) + (net spictrl_data_out_10 (joined + (portRef (member spictrl_data_out 21)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_10)) + )) + (net spimem_data_out_10 (joined + (portRef (member spimem_data_out 21)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_10)) )) (net spictrl_data_out_6 (joined (portRef (member spictrl_data_out 25)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_6)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_6)) )) (net spimem_data_out_6 (joined (portRef (member spimem_data_out 25)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_6)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_6)) )) (net spictrl_data_out_5 (joined (portRef (member spictrl_data_out 26)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_5)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_5)) )) (net spimem_data_out_5 (joined (portRef (member spimem_data_out 26)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_5)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_5)) )) (net spictrl_data_out_3 (joined (portRef (member spictrl_data_out 28)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_3)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_3)) )) (net spimem_data_out_3 (joined (portRef (member spimem_data_out 28)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_3)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_3)) + )) + (net spictrl_data_out_2 (joined + (portRef (member spictrl_data_out 29)) + (portRef B (instanceRef un20_dat_data_out_0_3_i_m4_2)) + )) + (net spimem_data_out_2 (joined + (portRef (member spimem_data_out 29)) + (portRef C (instanceRef un20_dat_data_out_0_3_i_m4_2)) + )) + (net spictrl_data_out_24 (joined + (portRef (member spictrl_data_out 7)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_31_i_m3_i_m4)) + )) + (net spimem_data_out_24 (joined + (portRef (member spimem_data_out 7)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_31_i_m3_i_m4)) + )) + (net spictrl_data_out_25 (joined + (portRef (member spictrl_data_out 6)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_32_i_m3_i_m4)) + )) + (net spimem_data_out_25 (joined + (portRef (member spimem_data_out 6)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_32_i_m3_i_m4)) + )) + (net spictrl_data_out_26 (joined + (portRef (member spictrl_data_out 5)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_33_i_m3_i_m4)) + )) + (net spimem_data_out_26 (joined + (portRef (member spimem_data_out 5)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_33_i_m3_i_m4)) + )) + (net spictrl_data_out_18 (joined + (portRef (member spictrl_data_out 13)) + (portRef B (instanceRef un20_dat_data_out_i_m2_i_m4_18)) + )) + (net spimem_data_out_18 (joined + (portRef (member spimem_data_out 13)) + (portRef C (instanceRef un20_dat_data_out_i_m2_i_m4_18)) + )) + (net spictrl_data_out_1 (joined + (portRef (member spictrl_data_out 30)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_8_i_m2_i_m4)) + )) + (net spimem_data_out_1 (joined + (portRef (member spimem_data_out 30)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_8_i_m2_i_m4)) )) (net spictrl_data_out_4 (joined (portRef (member spictrl_data_out 27)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m4)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m2_i_m4)) )) (net spimem_data_out_4 (joined (portRef (member spimem_data_out 27)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m4)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m2_i_m4)) )) - (net spictrl_data_out_2 (joined - (portRef (member spictrl_data_out 29)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_9_i_m4)) + (net spictrl_data_out_9 (joined + (portRef (member spictrl_data_out 22)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_16_i_m2_i_m4)) )) - (net spimem_data_out_2 (joined - (portRef (member spimem_data_out 29)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_9_i_m4)) + (net spimem_data_out_9 (joined + (portRef (member spimem_data_out 22)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_16_i_m2_i_m4)) + )) + (net spictrl_data_out_17 (joined + (portRef (member spictrl_data_out 14)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_24_i_m2_i_m4)) + )) + (net spimem_data_out_17 (joined + (portRef (member spimem_data_out 14)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_24_i_m2_i_m4)) + )) + (net spictrl_data_out_19 (joined + (portRef (member spictrl_data_out 12)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m2_i_m4)) + )) + (net spimem_data_out_19 (joined + (portRef (member spimem_data_out 12)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m2_i_m4)) + )) + (net spictrl_ack (joined + (portRef spictrl_ack) + (portRef B (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2_i_m4)) + )) + (net spimem_ack (joined + (portRef spimem_ack) + (portRef C (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2_i_m4)) )) (net regio_addr_out_9 (joined (portRef (member regio_addr_out 6)) @@ -942741,65 +946114,73 @@ (portRef Z (instanceRef next_port_select_int_1_i_a2_5_1)) (portRef B (instanceRef next_port_select_int_1_i_a2_1)) )) - (net spictrl_data_out_10 (joined - (portRef (member spictrl_data_out 21)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_955_i)) + (net spictrl_data_out_7 (joined + (portRef (member spictrl_data_out 24)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_944_i)) )) - (net spimem_data_out_10 (joined - (portRef (member spimem_data_out 21)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_955_i)) + (net spimem_data_out_7 (joined + (portRef (member spimem_data_out 24)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_944_i)) )) - (net spictrl_data_out_27 (joined - (portRef (member spictrl_data_out 4)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_89_i)) + (net spictrl_data_out_12 (joined + (portRef (member spictrl_data_out 19)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_12)) )) - (net spimem_data_out_27 (joined - (portRef (member spimem_data_out 4)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_89_i)) + (net spimem_data_out_12 (joined + (portRef (member spimem_data_out 19)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_12)) )) - (net spictrl_data_out_24 (joined - (portRef (member spictrl_data_out 7)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_86_i)) + (net spictrl_data_out_13 (joined + (portRef (member spictrl_data_out 18)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_947_i)) )) - (net spimem_data_out_24 (joined - (portRef (member spimem_data_out 7)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_86_i)) + (net spimem_data_out_13 (joined + (portRef (member spimem_data_out 18)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_947_i)) )) - (net spictrl_data_out_23 (joined - (portRef (member spictrl_data_out 8)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_85_i)) + (net spictrl_data_out_15 (joined + (portRef (member spictrl_data_out 16)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_N_923_i)) )) - (net spimem_data_out_23 (joined - (portRef (member spimem_data_out 8)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_85_i)) + (net spimem_data_out_15 (joined + (portRef (member spimem_data_out 16)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_N_923_i)) )) - (net spictrl_data_out_31 (joined - (portRef (member spictrl_data_out 0)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_93_i)) + (net spictrl_data_out_0 (joined + (portRef (member spictrl_data_out 31)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_0)) )) - (net spimem_data_out_31 (joined - (portRef (member spimem_data_out 0)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_93_i)) + (net spimem_data_out_0 (joined + (portRef (member spimem_data_out 31)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_0)) + )) + (net spictrl_data_out_14 (joined + (portRef (member spictrl_data_out 17)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_14)) + )) + (net spimem_data_out_14 (joined + (portRef (member spimem_data_out 17)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_14)) )) (net regio_read_enable_out (joined (portRef regio_read_enable_out) (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a3_1)) (portRef D (instanceRef buf_BUS_READ_OUT_RNO_2)) (portRef D (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a3_0)) - (portRef A (instanceRef N_273_i)) - (portRef A (instanceRef N_277_i)) + (portRef A (instanceRef N_263_i)) + (portRef A (instanceRef N_267_i)) )) (net regio_write_enable_out (joined (portRef regio_write_enable_out) (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_0_a3_1)) (portRef D (instanceRef buf_BUS_WRITE_OUT_RNO_2)) (portRef D (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_0_a3_0)) - (portRef B (instanceRef N_273_i)) - (portRef B (instanceRef N_277_i)) + (portRef B (instanceRef N_263_i)) + (portRef B (instanceRef N_267_i)) )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef C (instanceRef N_273_i)) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef C (instanceRef N_263_i)) )) (net regio_addr_out_3 (joined (portRef (member regio_addr_out 12)) @@ -942824,46 +946205,6 @@ (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_0_a3_0)) (portRef A (instanceRef port_select_int_RNO_1)) )) - (net spictrl_data_out_14 (joined - (portRef (member spictrl_data_out 17)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_14)) - )) - (net spimem_data_out_14 (joined - (portRef (member spimem_data_out 17)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_14)) - )) - (net spictrl_data_out_13 (joined - (portRef (member spictrl_data_out 18)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_13)) - )) - (net spimem_data_out_13 (joined - (portRef (member spimem_data_out 18)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_13)) - )) - (net spictrl_data_out_9 (joined - (portRef (member spictrl_data_out 22)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_9)) - )) - (net spimem_data_out_9 (joined - (portRef (member spimem_data_out 22)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_9)) - )) - (net spictrl_data_out_7 (joined - (portRef (member spictrl_data_out 24)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_7)) - )) - (net spimem_data_out_7 (joined - (portRef (member spimem_data_out 24)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_7)) - )) - (net spictrl_data_out_1 (joined - (portRef (member spictrl_data_out 30)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_1)) - )) - (net spimem_data_out_1 (joined - (portRef (member spimem_data_out 30)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_1)) - )) (net regio_addr_out_13 (joined (portRef (member regio_addr_out 2)) (portRef C (instanceRef next_port_select_int_1_i_a2_1)) @@ -942912,14 +946253,14 @@ (port (array (rename fpga5_comm_c "FPGA5_COMM_c(2:2)") 1) (direction OUTPUT)) (port (array (rename med_data_in "med_data_in(15:0)") 16) (direction OUTPUT)) (port (array (rename med_packet_num_in "med_packet_num_in(2:0)") 3) (direction OUTPUT)) - (port N_538_i (direction OUTPUT)) + (port N_465_i (direction OUTPUT)) (port med_dataready_out (direction INPUT)) (port clk_200_i (direction INPUT)) + (port N_484 (direction OUTPUT)) (port pll_lock_i (direction INPUT)) - (port N_560 (direction OUTPUT)) (port N_299 (direction OUTPUT)) (port N_300 (direction OUTPUT)) - (port N_527_i (direction OUTPUT)) + (port N_454_i (direction OUTPUT)) (port med_dataready_in (direction OUTPUT)) (port reset_i_rep2_1 (direction INPUT)) (port VCC (direction INPUT)) @@ -945024,6 +948365,22 @@ (portRef (member med_stat_debug_i_i 0) (instanceRef THE_RX_ALLOW_SYNC)) (portRef (member med_stat_debug_i_i 0) (instanceRef THE_FIFO_SFP_TO_FPGA)) )) + (net med_stat_op_14 (joined + (portRef med_stat_op_13 (instanceRef THE_SFP_LSM)) + (portRef (member med_stat_op 1)) + )) + (net CURRENT_STATE_1 (joined + (portRef CURRENT_STATE_1 (instanceRef THE_SFP_LSM)) + (portRef (member current_state 1)) + )) + (net CURRENT_STATE_0 (joined + (portRef CURRENT_STATE_0 (instanceRef THE_SFP_LSM)) + (portRef (member current_state 2)) + )) + (net CURRENT_STATE_2 (joined + (portRef CURRENT_STATE_2 (instanceRef THE_SFP_LSM)) + (portRef (member current_state 0)) + )) (net link_error_4 (joined (portRef link_error_0 (instanceRef gen_serdes_1_200_THE_SERDES)) (portRef (member link_error 3) (instanceRef THE_SFP_LSM)) @@ -945040,25 +948397,9 @@ (portRef link_error_3 (instanceRef gen_serdes_1_200_THE_SERDES)) (portRef (member link_error 0) (instanceRef THE_SFP_LSM)) )) - (net CURRENT_STATE_2 (joined - (portRef CURRENT_STATE_2 (instanceRef THE_SFP_LSM)) - (portRef (member current_state 0)) - )) - (net CURRENT_STATE_1 (joined - (portRef CURRENT_STATE_1 (instanceRef THE_SFP_LSM)) - (portRef (member current_state 1)) - )) - (net CURRENT_STATE_0 (joined - (portRef CURRENT_STATE_0 (instanceRef THE_SFP_LSM)) - (portRef (member current_state 2)) - )) - (net med_stat_op_14 (joined - (portRef med_stat_op_13 (instanceRef THE_SFP_LSM)) - (portRef (member med_stat_op 1)) - )) - (net N_527_i (joined - (portRef N_527_i (instanceRef THE_SFP_LSM)) - (portRef N_527_i) + (net N_454_i (joined + (portRef N_454_i (instanceRef THE_SFP_LSM)) + (portRef N_454_i) )) (net N_300 (joined (portRef N_300 (instanceRef THE_SFP_LSM)) @@ -945068,9 +948409,9 @@ (portRef N_299 (instanceRef THE_SFP_LSM)) (portRef N_299) )) - (net N_560 (joined - (portRef N_560 (instanceRef THE_SFP_LSM)) - (portRef N_560) + (net N_484 (joined + (portRef N_484 (instanceRef THE_SFP_LSM)) + (portRef N_484) )) (net SERDES_INT_TX_2 (joined (portRef (member serdes_int_tx 1) (instanceRef gen_serdes_1_200_THE_SERDES)) @@ -945173,9 +948514,9 @@ (portRef med_dataready_out) (portRef med_dataready_out (instanceRef THE_FIFO_FPGA_TO_SFP)) )) - (net N_538_i (joined - (portRef N_538_i (instanceRef THE_FIFO_FPGA_TO_SFP)) - (portRef N_538_i) + (net N_465_i (joined + (portRef N_465_i (instanceRef THE_FIFO_FPGA_TO_SFP)) + (portRef N_465_i) )) ) (property HGROUP (string "media_interface_group")) @@ -945276,30 +948617,30 @@ (port GND (direction INPUT)) (port pll_lock (direction INPUT)) (port reset_i_10 (direction OUTPUT)) + (port reset_i_1 (direction OUTPUT)) (port reset_i_11 (direction OUTPUT)) (port reset_i_12 (direction OUTPUT)) (port reset_i_13 (direction OUTPUT)) (port reset_i_14 (direction OUTPUT)) - (port reset_i_16 (direction OUTPUT)) - (port reset_i_18 (direction OUTPUT)) - (port reset_i_1_1 (direction OUTPUT)) - (port reset_i_1 (direction OUTPUT)) (port reset_i_15 (direction OUTPUT)) + (port reset_i_16 (direction OUTPUT)) (port reset_i_17 (direction OUTPUT)) + (port reset_i_18 (direction OUTPUT)) (port reset_i_19 (direction OUTPUT)) (port reset_i_rep1 (direction OUTPUT)) + (port reset_i_rep1_1 (direction OUTPUT)) (port reset_i_rep2 (direction OUTPUT)) + (port reset_i_rep2_1 (direction OUTPUT)) (port reset_i_2 (direction OUTPUT)) - (port reset_i_5 (direction OUTPUT)) - (port reset_i (direction OUTPUT)) (port reset_i_3 (direction OUTPUT)) - (port reset_i_6 (direction OUTPUT)) - (port reset_i_rep1_1 (direction OUTPUT)) - (port reset_i_rep2_1 (direction OUTPUT)) (port reset_i_4 (direction OUTPUT)) + (port reset_i_6 (direction OUTPUT)) (port reset_i_7 (direction OUTPUT)) (port reset_i_8 (direction OUTPUT)) (port reset_i_9 (direction OUTPUT)) + (port reset_i (direction OUTPUT)) + (port reset_i_20 (direction OUTPUT)) + (port reset_i_5 (direction OUTPUT)) (port clk_200_i (direction INPUT)) (port trb_reset_buffer (direction INPUT)) (port reset_i_fast_r0 (direction OUTPUT)) @@ -945383,52 +948724,50 @@ ) (instance reset (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance final_reset_0 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_iso_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance final_reset_fast_1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_9_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_5_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_8_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_20_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_7_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) - (instance final_reset_4_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_1_rep2_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_9_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_1_rep1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_8_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_0 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_7_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance final_reset_6_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_3_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) - (instance final_reset_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_4_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_5_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_3_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance final_reset_2_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance final_reset_1_rep2_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_1_rep2 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance final_reset_1_rep1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_1_rep1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance final_reset_19_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_17_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) - (instance final_reset_15_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) - (instance final_reset_1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) - (instance final_reset_1_1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) (instance final_reset_18_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance final_reset_17_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_16_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance final_reset_15_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_14_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance final_reset_13_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) @@ -945437,6 +948776,8 @@ ) (instance final_reset_11_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance final_reset_1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_10_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance async_sampler_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -945548,30 +948889,30 @@ (net final_reset_Q_0 (joined (portRef Q (instanceRef final_reset_0)) (portRef D (instanceRef final_reset_10_1)) + (portRef D (instanceRef final_reset_1_1)) (portRef D (instanceRef final_reset_11_1)) (portRef D (instanceRef final_reset_12_1)) (portRef D (instanceRef final_reset_13_1)) (portRef D (instanceRef final_reset_14_1)) - (portRef D (instanceRef final_reset_16_1)) - (portRef D (instanceRef final_reset_18_1)) - (portRef D (instanceRef final_reset_1_1_1)) - (portRef D (instanceRef final_reset_1_1)) (portRef D (instanceRef final_reset_15_1)) + (portRef D (instanceRef final_reset_16_1)) (portRef D (instanceRef final_reset_17_1)) + (portRef D (instanceRef final_reset_18_1)) (portRef D (instanceRef final_reset_19_1)) (portRef D (instanceRef final_reset_1_rep1)) + (portRef D (instanceRef final_reset_1_rep1_1)) (portRef D (instanceRef final_reset_1_rep2)) + (portRef D (instanceRef final_reset_1_rep2_1)) (portRef D (instanceRef final_reset_2_1)) - (portRef D (instanceRef final_reset_5_1)) - (portRef D (instanceRef final_reset_1)) (portRef D (instanceRef final_reset_3_1)) - (portRef D (instanceRef final_reset_6_1)) - (portRef D (instanceRef final_reset_1_rep1_1)) - (portRef D (instanceRef final_reset_1_rep2_1)) (portRef D (instanceRef final_reset_4_1)) + (portRef D (instanceRef final_reset_6_1)) (portRef D (instanceRef final_reset_7_1)) (portRef D (instanceRef final_reset_8_1)) (portRef D (instanceRef final_reset_9_1)) + (portRef D (instanceRef final_reset_1)) + (portRef D (instanceRef final_reset_20_1)) + (portRef D (instanceRef final_reset_5_1)) (portRef D (instanceRef final_reset_fast_1_1)) (portRef D (instanceRef final_reset_iso_1)) (portRef D (instanceRef final_reset_fast_1)) @@ -945594,33 +948935,33 @@ (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef CK (instanceRef final_reset_10_1)) + (portRef CK (instanceRef final_reset_1_1)) (portRef CK (instanceRef final_reset_11_1)) (portRef CK (instanceRef final_reset_12_1)) (portRef CK (instanceRef final_reset_13_1)) (portRef CK (instanceRef final_reset_14_1)) - (portRef CK (instanceRef final_reset_16_1)) - (portRef CK (instanceRef final_reset_18_1)) - (portRef CK (instanceRef final_reset_1_1_1)) - (portRef CK (instanceRef final_reset_1_1)) (portRef CK (instanceRef final_reset_15_1)) + (portRef CK (instanceRef final_reset_16_1)) (portRef CK (instanceRef final_reset_17_1)) + (portRef CK (instanceRef final_reset_18_1)) (portRef CK (instanceRef final_reset_19_1)) (portRef CK (instanceRef final_reset_1_rep1)) + (portRef CK (instanceRef final_reset_1_rep1_1)) (portRef CK (instanceRef final_reset_1_rep2)) + (portRef CK (instanceRef final_reset_1_rep2_1)) (portRef CK (instanceRef final_reset_2_1)) - (portRef CK (instanceRef final_reset_5_1)) - (portRef CK (instanceRef final_reset_1)) (portRef CK (instanceRef final_reset_3_1)) - (portRef CK (instanceRef final_reset_6_1)) - (portRef CK (instanceRef final_reset_0)) - (portRef CK (instanceRef final_reset_1_rep1_1)) - (portRef CK (instanceRef final_reset_1_rep2_1)) (portRef CK (instanceRef final_reset_4_1)) + (portRef CK (instanceRef final_reset_6_1)) (portRef CK (instanceRef final_reset_7_1)) (portRef CK (instanceRef final_reset_8_1)) (portRef CK (instanceRef final_reset_9_1)) + (portRef CK (instanceRef final_reset_1)) + (portRef CK (instanceRef final_reset_20_1)) + (portRef CK (instanceRef final_reset_5_1)) (portRef CK (instanceRef final_reset_fast_1_1)) (portRef CK (instanceRef final_reset_iso_1)) + (portRef CK (instanceRef final_reset_0)) (portRef CK (instanceRef final_reset_fast_1)) (portRef CK (instanceRef final_reset_fast_r1_1)) (portRef CK (instanceRef final_reset_fast_r2_1)) @@ -945905,6 +949246,18 @@ (portRef A (instanceRef final_reset_fast_1_RNIOFC9_1)) (portRef reset_i_fast_1) )) + (net reset_i_5 (joined + (portRef Q (instanceRef final_reset_5_1)) + (portRef reset_i_5) + )) + (net reset_i_20 (joined + (portRef Q (instanceRef final_reset_20_1)) + (portRef reset_i_20) + )) + (net reset_i (joined + (portRef Q (instanceRef final_reset_1)) + (portRef reset_i) + )) (net reset_i_9 (joined (portRef Q (instanceRef final_reset_9_1)) (portRef reset_i_9) @@ -945917,42 +949270,34 @@ (portRef Q (instanceRef final_reset_7_1)) (portRef reset_i_7) )) - (net reset_i_4 (joined - (portRef Q (instanceRef final_reset_4_1)) - (portRef reset_i_4) - )) - (net reset_i_rep2_1 (joined - (portRef Q (instanceRef final_reset_1_rep2_1)) - (portRef reset_i_rep2_1) - )) - (net reset_i_rep1_1 (joined - (portRef Q (instanceRef final_reset_1_rep1_1)) - (portRef reset_i_rep1_1) - )) (net reset_i_6 (joined (portRef Q (instanceRef final_reset_6_1)) (portRef reset_i_6) )) + (net reset_i_4 (joined + (portRef Q (instanceRef final_reset_4_1)) + (portRef reset_i_4) + )) (net reset_i_3 (joined (portRef Q (instanceRef final_reset_3_1)) (portRef reset_i_3) )) - (net reset_i (joined - (portRef Q (instanceRef final_reset_1)) - (portRef reset_i) - )) - (net reset_i_5 (joined - (portRef Q (instanceRef final_reset_5_1)) - (portRef reset_i_5) - )) (net reset_i_2 (joined (portRef Q (instanceRef final_reset_2_1)) (portRef reset_i_2) )) + (net reset_i_rep2_1 (joined + (portRef Q (instanceRef final_reset_1_rep2_1)) + (portRef reset_i_rep2_1) + )) (net reset_i_rep2 (joined (portRef Q (instanceRef final_reset_1_rep2)) (portRef reset_i_rep2) )) + (net reset_i_rep1_1 (joined + (portRef Q (instanceRef final_reset_1_rep1_1)) + (portRef reset_i_rep1_1) + )) (net reset_i_rep1 (joined (portRef Q (instanceRef final_reset_1_rep1)) (portRef reset_i_rep1) @@ -945961,30 +949306,22 @@ (portRef Q (instanceRef final_reset_19_1)) (portRef reset_i_19) )) - (net reset_i_17 (joined - (portRef Q (instanceRef final_reset_17_1)) - (portRef reset_i_17) - )) - (net reset_i_15 (joined - (portRef Q (instanceRef final_reset_15_1)) - (portRef reset_i_15) - )) - (net reset_i_1 (joined - (portRef Q (instanceRef final_reset_1_1)) - (portRef reset_i_1) - )) - (net reset_i_1_1 (joined - (portRef Q (instanceRef final_reset_1_1_1)) - (portRef reset_i_1_1) - )) (net reset_i_18 (joined (portRef Q (instanceRef final_reset_18_1)) (portRef reset_i_18) )) + (net reset_i_17 (joined + (portRef Q (instanceRef final_reset_17_1)) + (portRef reset_i_17) + )) (net reset_i_16 (joined (portRef Q (instanceRef final_reset_16_1)) (portRef reset_i_16) )) + (net reset_i_15 (joined + (portRef Q (instanceRef final_reset_15_1)) + (portRef reset_i_15) + )) (net reset_i_14 (joined (portRef Q (instanceRef final_reset_14_1)) (portRef reset_i_14) @@ -946001,6 +949338,10 @@ (portRef Q (instanceRef final_reset_11_1)) (portRef reset_i_11) )) + (net reset_i_1 (joined + (portRef Q (instanceRef final_reset_1_1)) + (portRef reset_i_1) + )) (net reset_i_10 (joined (portRef Q (instanceRef final_reset_10_1)) (portRef reset_i_10) @@ -946072,7 +949413,7 @@ (portRef Z (instanceRef async_pulsec_1)) (portRef A (instanceRef async_pulsec)) )) - (net N_975_i (joined + (net N_908_i (joined (portRef Z (instanceRef async_pulse_RNI50JG)) (portRef B0 (instanceRef reset_cnt_s_0_15)) (portRef B1 (instanceRef reset_cnt_cry_0_13)) @@ -949088,6 +952429,10 @@ (portRef stat_reg_663 (instanceRef THE_ENDPOINT)) ) ) + (net ctrl_reg_12 (joined + (portRef ctrl_reg_0 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_0 (instanceRef THE_TDC)) + )) (net stat_reg_96 (joined (portRef stat_reg_96 (instanceRef THE_ENDPOINT)) (portRef stat_reg_96 (instanceRef THE_TDC)) @@ -949105,196 +952450,196 @@ (portRef stat_reg_99 (instanceRef THE_TDC)) )) (net ctrl_reg_36 (joined - (portRef ctrl_reg_0 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_0 (instanceRef THE_TDC)) + (portRef ctrl_reg_24 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_24 (instanceRef THE_TDC)) )) (net ctrl_reg_37 (joined - (portRef ctrl_reg_1 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_1 (instanceRef THE_TDC)) + (portRef ctrl_reg_25 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_25 (instanceRef THE_TDC)) )) (net ctrl_reg_38 (joined - (portRef ctrl_reg_2 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_2 (instanceRef THE_TDC)) + (portRef ctrl_reg_26 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_26 (instanceRef THE_TDC)) )) (net ctrl_reg_39 (joined - (portRef ctrl_reg_3 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_3 (instanceRef THE_TDC)) + (portRef ctrl_reg_27 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_27 (instanceRef THE_TDC)) )) (net ctrl_reg_40 (joined - (portRef ctrl_reg_4 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_4 (instanceRef THE_TDC)) + (portRef ctrl_reg_28 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_28 (instanceRef THE_TDC)) )) (net ctrl_reg_41 (joined - (portRef ctrl_reg_5 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_5 (instanceRef THE_TDC)) + (portRef ctrl_reg_29 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_29 (instanceRef THE_TDC)) )) (net ctrl_reg_42 (joined - (portRef ctrl_reg_6 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_6 (instanceRef THE_TDC)) + (portRef ctrl_reg_30 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_30 (instanceRef THE_TDC)) )) (net ctrl_reg_48 (joined - (portRef ctrl_reg_12 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_12 (instanceRef THE_TDC)) + (portRef ctrl_reg_36 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_36 (instanceRef THE_TDC)) )) (net ctrl_reg_49 (joined - (portRef ctrl_reg_13 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_13 (instanceRef THE_TDC)) + (portRef ctrl_reg_37 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_37 (instanceRef THE_TDC)) )) (net ctrl_reg_50 (joined - (portRef ctrl_reg_14 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_14 (instanceRef THE_TDC)) + (portRef ctrl_reg_38 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_38 (instanceRef THE_TDC)) )) (net ctrl_reg_51 (joined - (portRef ctrl_reg_15 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_15 (instanceRef THE_TDC)) + (portRef ctrl_reg_39 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_39 (instanceRef THE_TDC)) )) (net ctrl_reg_52 (joined - (portRef ctrl_reg_16 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_16 (instanceRef THE_TDC)) + (portRef ctrl_reg_40 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_40 (instanceRef THE_TDC)) )) (net ctrl_reg_53 (joined - (portRef ctrl_reg_17 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_17 (instanceRef THE_TDC)) + (portRef ctrl_reg_41 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_41 (instanceRef THE_TDC)) )) (net ctrl_reg_54 (joined - (portRef ctrl_reg_18 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_18 (instanceRef THE_TDC)) + (portRef ctrl_reg_42 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_42 (instanceRef THE_TDC)) )) (net ctrl_reg_55 (joined - (portRef ctrl_reg_19 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_19 (instanceRef THE_TDC)) + (portRef ctrl_reg_43 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_43 (instanceRef THE_TDC)) )) (net ctrl_reg_56 (joined - (portRef ctrl_reg_20 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_20 (instanceRef THE_TDC)) + (portRef ctrl_reg_44 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_44 (instanceRef THE_TDC)) )) (net ctrl_reg_57 (joined - (portRef ctrl_reg_21 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_21 (instanceRef THE_TDC)) + (portRef ctrl_reg_45 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_45 (instanceRef THE_TDC)) )) (net ctrl_reg_58 (joined - (portRef ctrl_reg_22 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_22 (instanceRef THE_TDC)) + (portRef ctrl_reg_46 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_46 (instanceRef THE_TDC)) )) (net ctrl_reg_63 (joined - (portRef ctrl_reg_27 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_27 (instanceRef THE_TDC)) + (portRef ctrl_reg_51 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_51 (instanceRef THE_TDC)) )) (net ctrl_reg_65 (joined - (portRef ctrl_reg_29 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_29 (instanceRef THE_TDC)) + (portRef ctrl_reg_53 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_53 (instanceRef THE_TDC)) )) (net ctrl_reg_66 (joined - (portRef ctrl_reg_30 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_30 (instanceRef THE_TDC)) + (portRef ctrl_reg_54 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_54 (instanceRef THE_TDC)) )) (net ctrl_reg_67 (joined - (portRef ctrl_reg_31 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_31 (instanceRef THE_TDC)) + (portRef ctrl_reg_55 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_55 (instanceRef THE_TDC)) )) (net ctrl_reg_68 (joined - (portRef ctrl_reg_32 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_32 (instanceRef THE_TDC)) + (portRef ctrl_reg_56 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_56 (instanceRef THE_TDC)) )) (net ctrl_reg_69 (joined - (portRef ctrl_reg_33 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_33 (instanceRef THE_TDC)) + (portRef ctrl_reg_57 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_57 (instanceRef THE_TDC)) )) (net ctrl_reg_70 (joined - (portRef ctrl_reg_34 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_34 (instanceRef THE_TDC)) + (portRef ctrl_reg_58 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_58 (instanceRef THE_TDC)) )) (net ctrl_reg_71 (joined - (portRef ctrl_reg_35 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_35 (instanceRef THE_TDC)) + (portRef ctrl_reg_59 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_59 (instanceRef THE_TDC)) )) (net ctrl_reg_72 (joined - (portRef ctrl_reg_36 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_36 (instanceRef THE_TDC)) + (portRef ctrl_reg_60 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_60 (instanceRef THE_TDC)) )) (net ctrl_reg_73 (joined - (portRef ctrl_reg_37 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_37 (instanceRef THE_TDC)) + (portRef ctrl_reg_61 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_61 (instanceRef THE_TDC)) )) (net ctrl_reg_74 (joined - (portRef ctrl_reg_38 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_38 (instanceRef THE_TDC)) + (portRef ctrl_reg_62 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_62 (instanceRef THE_TDC)) )) (net ctrl_reg_75 (joined - (portRef ctrl_reg_39 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_39 (instanceRef THE_TDC)) + (portRef ctrl_reg_63 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_63 (instanceRef THE_TDC)) )) (net ctrl_reg_76 (joined - (portRef ctrl_reg_40 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_40 (instanceRef THE_TDC)) + (portRef ctrl_reg_64 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_64 (instanceRef THE_TDC)) )) (net ctrl_reg_77 (joined - (portRef ctrl_reg_41 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_41 (instanceRef THE_TDC)) + (portRef ctrl_reg_65 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_65 (instanceRef THE_TDC)) )) (net ctrl_reg_78 (joined - (portRef ctrl_reg_42 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_42 (instanceRef THE_TDC)) + (portRef ctrl_reg_66 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_66 (instanceRef THE_TDC)) )) (net ctrl_reg_79 (joined - (portRef ctrl_reg_43 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_43 (instanceRef THE_TDC)) + (portRef ctrl_reg_67 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_67 (instanceRef THE_TDC)) )) (net ctrl_reg_80 (joined - (portRef ctrl_reg_44 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_44 (instanceRef THE_TDC)) + (portRef ctrl_reg_68 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_68 (instanceRef THE_TDC)) )) (net ctrl_reg_81 (joined - (portRef ctrl_reg_45 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_45 (instanceRef THE_TDC)) + (portRef ctrl_reg_69 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_69 (instanceRef THE_TDC)) )) (net ctrl_reg_82 (joined - (portRef ctrl_reg_46 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_46 (instanceRef THE_TDC)) + (portRef ctrl_reg_70 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_70 (instanceRef THE_TDC)) )) (net ctrl_reg_83 (joined - (portRef ctrl_reg_47 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_47 (instanceRef THE_TDC)) + (portRef ctrl_reg_71 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_71 (instanceRef THE_TDC)) )) (net ctrl_reg_84 (joined - (portRef ctrl_reg_48 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_48 (instanceRef THE_TDC)) + (portRef ctrl_reg_72 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_72 (instanceRef THE_TDC)) )) (net ctrl_reg_85 (joined - (portRef ctrl_reg_49 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_49 (instanceRef THE_TDC)) + (portRef ctrl_reg_73 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_73 (instanceRef THE_TDC)) )) (net ctrl_reg_86 (joined - (portRef ctrl_reg_50 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_50 (instanceRef THE_TDC)) + (portRef ctrl_reg_74 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_74 (instanceRef THE_TDC)) )) (net ctrl_reg_87 (joined - (portRef ctrl_reg_51 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_51 (instanceRef THE_TDC)) + (portRef ctrl_reg_75 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_75 (instanceRef THE_TDC)) )) (net ctrl_reg_88 (joined - (portRef ctrl_reg_52 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_52 (instanceRef THE_TDC)) + (portRef ctrl_reg_76 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_76 (instanceRef THE_TDC)) )) (net ctrl_reg_89 (joined - (portRef ctrl_reg_53 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_53 (instanceRef THE_TDC)) + (portRef ctrl_reg_77 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_77 (instanceRef THE_TDC)) )) (net ctrl_reg_90 (joined - (portRef ctrl_reg_54 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_54 (instanceRef THE_TDC)) + (portRef ctrl_reg_78 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_78 (instanceRef THE_TDC)) )) (net ctrl_reg_92 (joined - (portRef ctrl_reg_56 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_56 (instanceRef THE_TDC)) + (portRef ctrl_reg_80 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_80 (instanceRef THE_TDC)) )) (net ctrl_reg_93 (joined - (portRef ctrl_reg_57 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_57 (instanceRef THE_TDC)) + (portRef ctrl_reg_81 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_81 (instanceRef THE_TDC)) )) (net ctrl_reg_94 (joined - (portRef ctrl_reg_58 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_58 (instanceRef THE_TDC)) + (portRef ctrl_reg_82 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_82 (instanceRef THE_TDC)) )) (net regio_addr_out_0 (joined (portRef (member regio_addr_out 15) (instanceRef THE_ENDPOINT)) @@ -949837,6 +953182,10 @@ (portRef spimem_write_en (instanceRef THE_BUS_HANDLER)) (portRef spimem_write_en (instanceRef THE_SPI_MEMORY)) )) + (net spictrl_data_out_0 (joined + (portRef (member spictrl_data_out 31) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 31) (instanceRef THE_BUS_HANDLER)) + )) (net spictrl_data_out_1 (joined (portRef (member spictrl_data_out 30) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 30) (instanceRef THE_BUS_HANDLER)) @@ -949845,13 +953194,9 @@ (portRef (member spictrl_data_out 29) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 29) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_4 (joined - (portRef (member spictrl_data_out 27) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 27) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_5 (joined - (portRef (member spictrl_data_out 26) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 26) (instanceRef THE_BUS_HANDLER)) + (net spictrl_data_out_3 (joined + (portRef (member spictrl_data_out 28) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 28) (instanceRef THE_BUS_HANDLER)) )) (net spictrl_data_out_6 (joined (portRef (member spictrl_data_out 25) (instanceRef THE_SPI_MASTER)) @@ -949865,14 +953210,14 @@ (portRef (member spictrl_data_out 23) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 23) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_9 (joined - (portRef (member spictrl_data_out 22) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 22) (instanceRef THE_BUS_HANDLER)) - )) (net spictrl_data_out_10 (joined (portRef (member spictrl_data_out 21) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 21) (instanceRef THE_BUS_HANDLER)) )) + (net spictrl_data_out_11 (joined + (portRef (member spictrl_data_out 20) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 20) (instanceRef THE_BUS_HANDLER)) + )) (net spictrl_data_out_12 (joined (portRef (member spictrl_data_out 19) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 19) (instanceRef THE_BUS_HANDLER)) @@ -949889,10 +953234,6 @@ (portRef (member spictrl_data_out 16) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 16) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_16 (joined - (portRef (member spictrl_data_out 15) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 15) (instanceRef THE_BUS_HANDLER)) - )) (net spictrl_data_out_17 (joined (portRef (member spictrl_data_out 14) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 14) (instanceRef THE_BUS_HANDLER)) @@ -949901,10 +953242,6 @@ (portRef (member spictrl_data_out 13) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 13) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_19 (joined - (portRef (member spictrl_data_out 12) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 12) (instanceRef THE_BUS_HANDLER)) - )) (net spictrl_data_out_20 (joined (portRef (member spictrl_data_out 11) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 11) (instanceRef THE_BUS_HANDLER)) @@ -949913,37 +953250,17 @@ (portRef (member spictrl_data_out 10) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 10) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_23 (joined - (portRef (member spictrl_data_out 8) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 8) (instanceRef THE_BUS_HANDLER)) - )) (net spictrl_data_out_24 (joined (portRef (member spictrl_data_out 7) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 7) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_25 (joined - (portRef (member spictrl_data_out 6) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 6) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_26 (joined - (portRef (member spictrl_data_out 5) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 5) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_27 (joined - (portRef (member spictrl_data_out 4) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 4) (instanceRef THE_BUS_HANDLER)) - )) (net spictrl_data_out_28 (joined (portRef (member spictrl_data_out 3) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 3) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_30 (joined - (portRef (member spictrl_data_out 1) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 1) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_31 (joined - (portRef (member spictrl_data_out 0) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 0) (instanceRef THE_BUS_HANDLER)) + (net spictrl_data_out_29 (joined + (portRef (member spictrl_data_out 2) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 2) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_0 (joined (portRef (member spimem_data_out 31) (instanceRef THE_SPI_MEMORY)) @@ -949953,14 +953270,14 @@ (portRef (member spimem_data_out 30) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 30) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_2 (joined - (portRef (member spimem_data_out 29) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 29) (instanceRef THE_BUS_HANDLER)) - )) (net spimem_data_out_4 (joined (portRef (member spimem_data_out 27) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 27) (instanceRef THE_BUS_HANDLER)) )) + (net spimem_data_out_5 (joined + (portRef (member spimem_data_out 26) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 26) (instanceRef THE_BUS_HANDLER)) + )) (net spimem_data_out_7 (joined (portRef (member spimem_data_out 24) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 24) (instanceRef THE_BUS_HANDLER)) @@ -949973,10 +953290,6 @@ (portRef (member spimem_data_out 22) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 22) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_10 (joined - (portRef (member spimem_data_out 21) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 21) (instanceRef THE_BUS_HANDLER)) - )) (net spimem_data_out_11 (joined (portRef (member spimem_data_out 20) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 20) (instanceRef THE_BUS_HANDLER)) @@ -949985,21 +953298,21 @@ (portRef (member spimem_data_out 19) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 19) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_13 (joined - (portRef (member spimem_data_out 18) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 18) (instanceRef THE_BUS_HANDLER)) - )) - (net spimem_data_out_14 (joined - (portRef (member spimem_data_out 17) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 17) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_15 (joined + (portRef (member spimem_data_out 16) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 16) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_16 (joined (portRef (member spimem_data_out 15) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 15) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_17 (joined - (portRef (member spimem_data_out 14) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 14) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_19 (joined + (portRef (member spimem_data_out 12) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 12) (instanceRef THE_BUS_HANDLER)) + )) + (net spimem_data_out_20 (joined + (portRef (member spimem_data_out 11) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 11) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_21 (joined (portRef (member spimem_data_out 10) (instanceRef THE_SPI_MEMORY)) @@ -950013,10 +953326,6 @@ (portRef (member spimem_data_out 8) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 8) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_24 (joined - (portRef (member spimem_data_out 7) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 7) (instanceRef THE_BUS_HANDLER)) - )) (net spimem_data_out_25 (joined (portRef (member spimem_data_out 6) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 6) (instanceRef THE_BUS_HANDLER)) @@ -950025,13 +953334,13 @@ (portRef (member spimem_data_out 5) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 5) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_27 (joined - (portRef (member spimem_data_out 4) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 4) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_29 (joined + (portRef (member spimem_data_out 2) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 2) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_28 (joined - (portRef (member spimem_data_out 3) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 3) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_30 (joined + (portRef (member spimem_data_out 1) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 1) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_31 (joined (portRef (member spimem_data_out 0) (instanceRef THE_SPI_MEMORY)) @@ -950154,26 +953463,6 @@ (portRef T (instanceRef TEMPSENS_pad)) (portRef I (instanceRef TEMPSENS_pad)) )) - (net spimem_data_out_29 (joined - (portRef (member spimem_data_out 2) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 2) (instanceRef THE_BUS_HANDLER)) - )) - (net spimem_data_out_30 (joined - (portRef (member spimem_data_out 1) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 1) (instanceRef THE_BUS_HANDLER)) - )) - (net spimem_data_out_6 (joined - (portRef (member spimem_data_out 25) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 25) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_0 (joined - (portRef (member spictrl_data_out 31) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 31) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_3 (joined - (portRef (member spictrl_data_out 28) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 28) (instanceRef THE_BUS_HANDLER)) - )) (net THE_SPI_MASTER_reset_i_1 (joined (portRef reset_iZ0Z_1 (instanceRef THE_SPI_MASTER)) (portRef CD (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_rx_sregio_0)) @@ -950195,50 +953484,102 @@ (portRef (member current_state 2) (instanceRef THE_MEDIA_UPLINK)) (portRef (member current_state 2) (instanceRef THE_ENDPOINT)) )) - (net spimem_data_out_15 (joined - (portRef (member spimem_data_out 16) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 16) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_29 (joined - (portRef (member spictrl_data_out 2) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 2) (instanceRef THE_BUS_HANDLER)) - )) - (net spimem_data_out_5 (joined - (portRef (member spimem_data_out 26) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 26) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_24 (joined + (portRef (member spimem_data_out 7) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 7) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_3 (joined (portRef (member spimem_data_out 28) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 28) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_22 (joined - (portRef (member spictrl_data_out 9) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 9) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_2 (joined + (portRef (member spimem_data_out 29) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 29) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_16 (joined + (portRef (member spictrl_data_out 15) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 15) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_25 (joined + (portRef (member spictrl_data_out 6) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 6) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_18 (joined (portRef (member spimem_data_out 13) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 13) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_20 (joined - (portRef (member spimem_data_out 11) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 11) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_6 (joined + (portRef (member spimem_data_out 25) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 25) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_19 (joined - (portRef (member spimem_data_out 12) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 12) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_28 (joined + (portRef (member spimem_data_out 3) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 3) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_11 (joined - (portRef (member spictrl_data_out 20) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 20) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_27 (joined + (portRef (member spimem_data_out 4) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 4) (instanceRef THE_BUS_HANDLER)) + )) + (net spimem_data_out_10 (joined + (portRef (member spimem_data_out 21) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 21) (instanceRef THE_BUS_HANDLER)) + )) + (net spimem_data_out_14 (joined + (portRef (member spimem_data_out 17) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 17) (instanceRef THE_BUS_HANDLER)) + )) + (net spimem_data_out_13 (joined + (portRef (member spimem_data_out 18) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 18) (instanceRef THE_BUS_HANDLER)) + )) + (net spimem_data_out_17 (joined + (portRef (member spimem_data_out 14) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 14) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_23 (joined + (portRef (member spictrl_data_out 8) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 8) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_27 (joined + (portRef (member spictrl_data_out 4) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 4) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_26 (joined + (portRef (member spictrl_data_out 5) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 5) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_30 (joined + (portRef (member spictrl_data_out 1) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 1) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_31 (joined + (portRef (member spictrl_data_out 0) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 0) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_9 (joined + (portRef (member spictrl_data_out 22) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 22) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_19 (joined + (portRef (member spictrl_data_out 12) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 12) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_22 (joined + (portRef (member spictrl_data_out 9) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 9) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_5 (joined + (portRef (member spictrl_data_out 26) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 26) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_4 (joined + (portRef (member spictrl_data_out 27) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 27) (instanceRef THE_BUS_HANDLER)) )) (net tx_ena_RNIH02J (joined (portRef tx_ena_RNIH02J (instanceRef THE_SPI_MASTER)) (portRef SP (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_tx_sreg_oregio_7)) )) - (net tx_sreg_RNI6CRO3_6 (joined - (portRef (member tx_sreg_rni6cro3 0) (instanceRef THE_SPI_MASTER)) - (portRef D (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_tx_sreg_oregio_7)) - )) (net THE_TDC_edge_to_pulse_3_signal_sync (joined (portRef signal_sync_0 (instanceRef THE_ENDPOINT)) (portRef signal_sync (instanceRef THE_TDC)) @@ -950256,12 +953597,12 @@ (portRef trb_reset_buffer (instanceRef THE_RESET_HANDLER)) )) (net ctrl_reg_91 (joined - (portRef ctrl_reg_55 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_55 (instanceRef THE_TDC)) + (portRef ctrl_reg_79 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_79 (instanceRef THE_TDC)) )) (net ctrl_reg_95 (joined - (portRef ctrl_reg_59 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_59 (instanceRef THE_TDC)) + (portRef ctrl_reg_83 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_83 (instanceRef THE_TDC)) )) (net clk_100_i_c (joined (portRef clk_100_i_c (instanceRef THE_MAIN_PLL)) @@ -950279,9 +953620,9 @@ (portRef SCLK (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_rx_sregio_0)) ) ) - (net N_560 (joined - (portRef N_560 (instanceRef THE_MEDIA_UPLINK)) - (portRef N_560 (instanceRef THE_ENDPOINT)) + (net N_484 (joined + (portRef N_484 (instanceRef THE_MEDIA_UPLINK)) + (portRef N_484 (instanceRef THE_ENDPOINT)) )) (net THE_MEDIA_UPLINK_THE_SFP_LSM_N_299 (joined (portRef N_299 (instanceRef THE_MEDIA_UPLINK)) @@ -950291,20 +953632,24 @@ (portRef N_300 (instanceRef THE_MEDIA_UPLINK)) (portRef N_300 (instanceRef THE_ENDPOINT)) )) - (net N_527_i (joined - (portRef N_527_i (instanceRef THE_MEDIA_UPLINK)) + (net N_393 (joined + (portRef N_393 (instanceRef THE_SPI_MASTER)) + (portRef D (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_tx_sreg_oregio_7)) + )) + (net N_454_i (joined + (portRef N_454_i (instanceRef THE_MEDIA_UPLINK)) (portRef I (instanceRef LED_GREEN_pad)) )) - (net N_727_i (joined - (portRef N_727_i (instanceRef THE_SPI_MASTER)) + (net N_633_i (joined + (portRef N_633_i (instanceRef THE_SPI_MASTER)) (portRef SP (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_rx_sregio_0)) )) - (net N_538_i (joined - (portRef N_538_i (instanceRef THE_MEDIA_UPLINK)) - (portRef N_538_i (instanceRef THE_ENDPOINT)) + (net N_465_i (joined + (portRef N_465_i (instanceRef THE_MEDIA_UPLINK)) + (portRef N_465_i (instanceRef THE_ENDPOINT)) )) - (net N_446_i (joined - (portRef N_446_i (instanceRef THE_SPI_MASTER)) + (net N_389_i (joined + (portRef N_389_i (instanceRef THE_SPI_MASTER)) (portRef D (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_spi_sckio)) )) (net THE_SPI_MASTER_THE_SPI_SLIM_THE_STATEMACHINE_un1_clk_en_0_i (joined @@ -950329,9 +953674,12 @@ (portRef reset_i_rep2 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_rep2 (instanceRef THE_ENDPOINT)) (portRef reset_i_rep2 (instanceRef THE_TDC)) - (portRef reset_i_rep2 (instanceRef THE_FPGA_REBOOT)) (portRef reset_i_rep2 (instanceRef THE_SPI_MEMORY)) )) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1 (instanceRef THE_RESET_HANDLER)) + (portRef reset_i_rep1_1 (instanceRef THE_ENDPOINT)) + )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_rep2_1 (instanceRef THE_ENDPOINT)) @@ -950339,17 +953687,12 @@ (portRef reset_i_rep2_1 (instanceRef THE_SPI_MASTER)) (portRef reset_i_rep2_1 (instanceRef THE_MEDIA_UPLINK)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1 (instanceRef THE_RESET_HANDLER)) - (portRef reset_i_rep1_1 (instanceRef THE_ENDPOINT)) - )) (net reset_i_fast_1 (joined (portRef reset_i_fast_1 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_fast_1 (instanceRef THE_ENDPOINT)) )) (net reset_i_1 (joined (portRef reset_i_1 (instanceRef THE_RESET_HANDLER)) - (portRef reset_i_1 (instanceRef THE_ENDPOINT)) (portRef reset_i_1 (instanceRef THE_TDC)) )) (net reset_i_2 (joined @@ -950418,18 +953761,17 @@ )) (net reset_i_18 (joined (portRef reset_i_18 (instanceRef THE_RESET_HANDLER)) - (portRef reset_i_18 (instanceRef THE_ENDPOINT)) (portRef reset_i_18 (instanceRef THE_TDC)) - (portRef reset_i_18 (instanceRef THE_SPI_MEMORY)) )) (net reset_i_19 (joined (portRef reset_i_19 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_19 (instanceRef THE_ENDPOINT)) + (portRef reset_i_19 (instanceRef THE_TDC)) + (portRef reset_i_19 (instanceRef THE_SPI_MEMORY)) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1 (instanceRef THE_RESET_HANDLER)) - (portRef reset_i_1_1 (instanceRef THE_ENDPOINT)) - (portRef reset_i_1_1 (instanceRef THE_TDC)) + (net reset_i_20 (joined + (portRef reset_i_20 (instanceRef THE_RESET_HANDLER)) + (portRef reset_i_20 (instanceRef THE_ENDPOINT)) )) (net SERDES_INT_TX_2 (joined (portRef (member serdes_int_tx 1) (instanceRef THE_MEDIA_UPLINK)) @@ -952369,128 +955711,128 @@ (portRef O (instanceRef TEST_LINE_pad_15)) (portRef (member test_line 0)) )) - (net N_295849_0 (joined - (portRef N_295849_0 (instanceRef THE_TDC)) + (net N_296147_0 (joined + (portRef N_296147_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1803)) )) - (net N_295836_0 (joined - (portRef N_295836_0 (instanceRef THE_TDC)) + (net N_296134_0 (joined + (portRef N_296134_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1794)) )) - (net N_295823_0 (joined - (portRef N_295823_0 (instanceRef THE_TDC)) + (net N_296121_0 (joined + (portRef N_296121_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1785)) )) - (net N_295810_0 (joined - (portRef N_295810_0 (instanceRef THE_TDC)) + (net N_296108_0 (joined + (portRef N_296108_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1776)) )) - (net N_295797_0 (joined - (portRef N_295797_0 (instanceRef THE_TDC)) + (net N_296095_0 (joined + (portRef N_296095_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1767)) )) - (net N_295784_0 (joined - (portRef N_295784_0 (instanceRef THE_TDC)) + (net N_296082_0 (joined + (portRef N_296082_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1758)) )) - (net N_295771_0 (joined - (portRef N_295771_0 (instanceRef THE_TDC)) + (net N_296069_0 (joined + (portRef N_296069_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1749)) )) - (net N_295758_0 (joined - (portRef N_295758_0 (instanceRef THE_TDC)) + (net N_296056_0 (joined + (portRef N_296056_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1740)) )) - (net N_295745_0 (joined - (portRef N_295745_0 (instanceRef THE_TDC)) + (net N_296043_0 (joined + (portRef N_296043_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1731)) )) - (net N_295732_0 (joined - (portRef N_295732_0 (instanceRef THE_TDC)) + (net N_296030_0 (joined + (portRef N_296030_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1722)) )) - (net N_295719_0 (joined - (portRef N_295719_0 (instanceRef THE_TDC)) + (net N_296017_0 (joined + (portRef N_296017_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1713)) )) - (net N_295706_0 (joined - (portRef N_295706_0 (instanceRef THE_TDC)) + (net N_296004_0 (joined + (portRef N_296004_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1704)) )) - (net N_295693_0 (joined - (portRef N_295693_0 (instanceRef THE_TDC)) + (net N_295991_0 (joined + (portRef N_295991_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1695)) )) - (net N_295680_0 (joined - (portRef N_295680_0 (instanceRef THE_TDC)) + (net N_295978_0 (joined + (portRef N_295978_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1686)) )) - (net N_295667_0 (joined - (portRef N_295667_0 (instanceRef THE_TDC)) + (net N_295965_0 (joined + (portRef N_295965_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1677)) )) - (net N_295654_0 (joined - (portRef N_295654_0 (instanceRef THE_TDC)) + (net N_295952_0 (joined + (portRef N_295952_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1668)) )) - (net N_295641_0 (joined - (portRef N_295641_0 (instanceRef THE_TDC)) + (net N_295939_0 (joined + (portRef N_295939_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1659)) )) - (net N_295628_0 (joined - (portRef N_295628_0 (instanceRef THE_TDC)) + (net N_295926_0 (joined + (portRef N_295926_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1650)) )) - (net N_295615_0 (joined - (portRef N_295615_0 (instanceRef THE_TDC)) + (net N_295913_0 (joined + (portRef N_295913_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1641)) )) - (net N_295602_0 (joined - (portRef N_295602_0 (instanceRef THE_TDC)) + (net N_295900_0 (joined + (portRef N_295900_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1632)) )) - (net N_295589_0 (joined - (portRef N_295589_0 (instanceRef THE_TDC)) + (net N_295887_0 (joined + (portRef N_295887_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1623)) )) - (net N_295576_0 (joined - (portRef N_295576_0 (instanceRef THE_TDC)) + (net N_295874_0 (joined + (portRef N_295874_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1614)) )) - (net N_295563_0 (joined - (portRef N_295563_0 (instanceRef THE_TDC)) + (net N_295861_0 (joined + (portRef N_295861_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1605)) )) - (net N_295550_0 (joined - (portRef N_295550_0 (instanceRef THE_TDC)) + (net N_295848_0 (joined + (portRef N_295848_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1596)) )) - (net N_295537_0 (joined - (portRef N_295537_0 (instanceRef THE_TDC)) + (net N_295835_0 (joined + (portRef N_295835_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1587)) )) - (net N_295524_0 (joined - (portRef N_295524_0 (instanceRef THE_TDC)) + (net N_295822_0 (joined + (portRef N_295822_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1578)) )) - (net N_295511_0 (joined - (portRef N_295511_0 (instanceRef THE_TDC)) + (net N_295809_0 (joined + (portRef N_295809_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1569)) )) - (net N_295498_0 (joined - (portRef N_295498_0 (instanceRef THE_TDC)) + (net N_295796_0 (joined + (portRef N_295796_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1560)) )) - (net N_295485_0 (joined - (portRef N_295485_0 (instanceRef THE_TDC)) + (net N_295783_0 (joined + (portRef N_295783_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1551)) )) - (net N_295472_0 (joined - (portRef N_295472_0 (instanceRef THE_TDC)) + (net N_295770_0 (joined + (portRef N_295770_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1542)) )) - (net N_295459_0 (joined - (portRef N_295459_0 (instanceRef THE_TDC)) + (net N_295757_0 (joined + (portRef N_295757_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1533)) )) (net INP_c_i_0 (joined @@ -952593,6 +955935,7 @@ (net reset_i_fast_r4 (joined (portRef reset_i_fast_r4 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_fast_r4 (instanceRef THE_ENDPOINT)) + (portRef reset_i_fast_r4 (instanceRef THE_TDC)) )) (net reset_i_fast_r5 (joined (portRef reset_i_fast_r5 (instanceRef THE_RESET_HANDLER)) @@ -952613,13 +955956,12 @@ (net reset_i_fast_r9 (joined (portRef reset_i_fast_r9 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_fast_r9 (instanceRef THE_ENDPOINT)) + (portRef reset_i_fast_r9 (instanceRef THE_BUS_HANDLER)) )) (net reset_i_fast_r10 (joined (portRef reset_i_fast_r10 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_fast_r10 (instanceRef THE_ENDPOINT)) (portRef reset_i_fast_r10 (instanceRef THE_TDC)) - (portRef reset_i_fast_r10 (instanceRef THE_FPGA_REBOOT)) - (portRef reset_i_fast_r10 (instanceRef THE_BUS_HANDLER)) )) (net reset_i_fast_r11 (joined (portRef reset_i_fast_r11 (instanceRef THE_RESET_HANDLER)) @@ -952627,22 +955969,13 @@ )) (net THE_TDC_reset_tdc_rep2_r0 (joined (portRef reset_tdc_rep2_r0 (instanceRef THE_TDC)) - (portRef CD (instanceRef G_1680)) - (portRef CD (instanceRef G_1683)) - (portRef CD (instanceRef G_1788)) - (portRef CD (instanceRef G_1789)) - (portRef CD (instanceRef G_1791)) - (portRef CD (instanceRef G_1792)) - )) - (net THE_TDC_reset_tdc_rep2_r1 (joined - (portRef reset_tdc_rep2_r1 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1527)) (portRef CD (instanceRef G_1528)) (portRef CD (instanceRef G_1530)) (portRef CD (instanceRef G_1531)) )) - (net THE_TDC_reset_tdc_rep2_r2 (joined - (portRef reset_tdc_rep2_r2 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r1 (joined + (portRef reset_tdc_rep2_r1 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1536)) (portRef CD (instanceRef G_1537)) (portRef CD (instanceRef G_1539)) @@ -952652,8 +955985,8 @@ (portRef CD (instanceRef G_1548)) (portRef CD (instanceRef G_1549)) )) - (net THE_TDC_reset_tdc_rep2_r3 (joined - (portRef reset_tdc_rep2_r3 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r2 (joined + (portRef reset_tdc_rep2_r2 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1554)) (portRef CD (instanceRef G_1555)) (portRef CD (instanceRef G_1557)) @@ -952663,8 +955996,8 @@ (portRef CD (instanceRef G_1566)) (portRef CD (instanceRef G_1567)) )) - (net THE_TDC_reset_tdc_rep2_r4 (joined - (portRef reset_tdc_rep2_r4 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r3 (joined + (portRef reset_tdc_rep2_r3 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1572)) (portRef CD (instanceRef G_1573)) (portRef CD (instanceRef G_1575)) @@ -952674,8 +956007,8 @@ (portRef CD (instanceRef G_1584)) (portRef CD (instanceRef G_1585)) )) - (net THE_TDC_reset_tdc_rep2_r5 (joined - (portRef reset_tdc_rep2_r5 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r4 (joined + (portRef reset_tdc_rep2_r4 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1590)) (portRef CD (instanceRef G_1591)) (portRef CD (instanceRef G_1593)) @@ -952685,8 +956018,8 @@ (portRef CD (instanceRef G_1602)) (portRef CD (instanceRef G_1603)) )) - (net THE_TDC_reset_tdc_rep2_r6 (joined - (portRef reset_tdc_rep2_r6 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r5 (joined + (portRef reset_tdc_rep2_r5 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1608)) (portRef CD (instanceRef G_1609)) (portRef CD (instanceRef G_1611)) @@ -952696,105 +956029,111 @@ (portRef CD (instanceRef G_1620)) (portRef CD (instanceRef G_1621)) )) - (net THE_TDC_reset_tdc_rep2_r7 (joined - (portRef reset_tdc_rep2_r7 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r6 (joined + (portRef reset_tdc_rep2_r6 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1626)) + (portRef CD (instanceRef G_1627)) (portRef CD (instanceRef G_1629)) - )) - (net THE_TDC_reset_tdc_rep2_r8 (joined - (portRef reset_tdc_rep2_r8 (instanceRef THE_TDC)) + (portRef CD (instanceRef G_1630)) (portRef CD (instanceRef G_1635)) (portRef CD (instanceRef G_1636)) (portRef CD (instanceRef G_1638)) (portRef CD (instanceRef G_1639)) + )) + (net THE_TDC_reset_tdc_rep2_r7 (joined + (portRef reset_tdc_rep2_r7 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1644)) (portRef CD (instanceRef G_1645)) (portRef CD (instanceRef G_1647)) (portRef CD (instanceRef G_1648)) - )) - (net THE_TDC_reset_tdc_rep2_r9 (joined - (portRef reset_tdc_rep2_r9 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1653)) (portRef CD (instanceRef G_1654)) (portRef CD (instanceRef G_1656)) (portRef CD (instanceRef G_1657)) + )) + (net THE_TDC_reset_tdc_rep2_r8 (joined + (portRef reset_tdc_rep2_r8 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1662)) (portRef CD (instanceRef G_1663)) (portRef CD (instanceRef G_1665)) (portRef CD (instanceRef G_1666)) - )) - (net THE_TDC_reset_tdc_rep2_r10 (joined - (portRef reset_tdc_rep2_r10 (instanceRef THE_TDC)) - (portRef CD (instanceRef G_1627)) - (portRef CD (instanceRef G_1630)) (portRef CD (instanceRef G_1671)) (portRef CD (instanceRef G_1672)) (portRef CD (instanceRef G_1674)) (portRef CD (instanceRef G_1675)) + )) + (net THE_TDC_reset_tdc_rep2_r9 (joined + (portRef reset_tdc_rep2_r9 (instanceRef THE_TDC)) + (portRef CD (instanceRef G_1680)) (portRef CD (instanceRef G_1681)) + (portRef CD (instanceRef G_1683)) (portRef CD (instanceRef G_1684)) - )) - (net THE_TDC_reset_tdc_rep2_r11 (joined - (portRef reset_tdc_rep2_r11 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1689)) (portRef CD (instanceRef G_1690)) (portRef CD (instanceRef G_1692)) (portRef CD (instanceRef G_1693)) + )) + (net THE_TDC_reset_tdc_rep2_r10 (joined + (portRef reset_tdc_rep2_r10 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1698)) (portRef CD (instanceRef G_1699)) (portRef CD (instanceRef G_1701)) (portRef CD (instanceRef G_1702)) - )) - (net THE_TDC_reset_tdc_rep2_r12 (joined - (portRef reset_tdc_rep2_r12 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1707)) (portRef CD (instanceRef G_1708)) (portRef CD (instanceRef G_1710)) (portRef CD (instanceRef G_1711)) + )) + (net THE_TDC_reset_tdc_rep2_r11 (joined + (portRef reset_tdc_rep2_r11 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1716)) (portRef CD (instanceRef G_1717)) (portRef CD (instanceRef G_1719)) (portRef CD (instanceRef G_1720)) - )) - (net THE_TDC_reset_tdc_rep2_r13 (joined - (portRef reset_tdc_rep2_r13 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1725)) (portRef CD (instanceRef G_1726)) (portRef CD (instanceRef G_1728)) (portRef CD (instanceRef G_1729)) + )) + (net THE_TDC_reset_tdc_rep2_r12 (joined + (portRef reset_tdc_rep2_r12 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1734)) (portRef CD (instanceRef G_1735)) (portRef CD (instanceRef G_1737)) (portRef CD (instanceRef G_1738)) - )) - (net THE_TDC_reset_tdc_rep2_r14 (joined - (portRef reset_tdc_rep2_r14 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1743)) (portRef CD (instanceRef G_1744)) (portRef CD (instanceRef G_1746)) (portRef CD (instanceRef G_1747)) + )) + (net THE_TDC_reset_tdc_rep2_r13 (joined + (portRef reset_tdc_rep2_r13 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1752)) (portRef CD (instanceRef G_1753)) (portRef CD (instanceRef G_1755)) (portRef CD (instanceRef G_1756)) - )) - (net THE_TDC_reset_tdc_rep2_r15 (joined - (portRef reset_tdc_rep2_r15 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1761)) (portRef CD (instanceRef G_1762)) (portRef CD (instanceRef G_1764)) (portRef CD (instanceRef G_1765)) + )) + (net THE_TDC_reset_tdc_rep2_r14 (joined + (portRef reset_tdc_rep2_r14 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1770)) (portRef CD (instanceRef G_1771)) (portRef CD (instanceRef G_1773)) (portRef CD (instanceRef G_1774)) - )) - (net THE_TDC_reset_tdc_rep2_r16 (joined - (portRef reset_tdc_rep2_r16 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1779)) (portRef CD (instanceRef G_1780)) (portRef CD (instanceRef G_1782)) (portRef CD (instanceRef G_1783)) + )) + (net THE_TDC_reset_tdc_rep2_r15 (joined + (portRef reset_tdc_rep2_r15 (instanceRef THE_TDC)) + (portRef CD (instanceRef G_1788)) + (portRef CD (instanceRef G_1789)) + (portRef CD (instanceRef G_1791)) + (portRef CD (instanceRef G_1792)) (portRef CD (instanceRef G_1797)) (portRef CD (instanceRef G_1798)) (portRef CD (instanceRef G_1800)) diff --git a/tdc_releases/tdc_v0.2/source/TDC.vhd b/tdc_releases/tdc_v0.2/source/TDC.vhd index 26786a1..3743543 100644 --- a/tdc_releases/tdc_v0.2/source/TDC.vhd +++ b/tdc_releases/tdc_v0.2/source/TDC.vhd @@ -119,14 +119,6 @@ architecture TDC of TDC is COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0); UP_IN : in std_logic); end component; --- - --component Reset_Generator - -- generic ( - -- RESET_SIGNAL_WIDTH : std_logic_vector(3 downto 0)); - -- port ( - -- CLK_IN : in std_logic; - -- RESET_OUT : out std_logic); - --end component; -- component edge_to_pulse port ( @@ -136,16 +128,15 @@ architecture TDC of TDC is pulse : out std_logic); end component; -- - component signal_sync + component bit_sync generic ( - WIDTH : integer; DEPTH : integer); port ( RESET : in std_logic; CLK0 : in std_logic; CLK1 : in std_logic; - D_IN : in std_logic_vector(WIDTH-1 downto 0); - D_OUT : out std_logic_vector(WIDTH-1 downto 0)); + D_IN : in std_logic; + D_OUT : out std_logic); end component; ------------------------------------------------------------------------------- @@ -165,22 +156,22 @@ architecture TDC of TDC is signal reset_tdc : std_logic := '1'; -- ReadOut Signals - signal trigger_time_i : std_logic_vector(10 downto 0); - signal ref_time_coarse : std_logic_vector(10 downto 0); - signal trg_win_cnt : std_logic_vector(15 downto 0); - signal trg_win_cnt_up_i : std_logic; - signal trg_win_end_i : std_logic; - signal header_error_bits : std_logic_vector(15 downto 0); - signal trailer_error_bits : std_logic_vector(15 downto 0); - - -- FSM Signals + signal trigger_time_i : std_logic_vector(10 downto 0); + signal ref_time_coarse : std_logic_vector(10 downto 0); + signal trg_win_cnt : std_logic_vector(15 downto 0); + signal trg_win_cnt_up_i : std_logic; + signal trg_win_end_i : std_logic; + signal header_error_bits : std_logic_vector(15 downto 0); + signal trailer_error_bits : std_logic_vector(15 downto 0); + signal valid_timing_trg_200 : std_logic; + signal valid_timing_trg_pulse_200 : std_logic; +-- FSM Signals type FSM is (IDLE, WAIT_FOR_TRG_WIND_END, WAIT_FOR_LVL1_TRG_A, WAIT_FOR_LVL1_TRG_B, WAIT_FOR_LVL1_TRG_C, SEND_STATUS, SEND_TRG_RELEASE_A, SEND_TRG_RELEASE_B, WAIT_FOR_FIFO_NR_A, WAIT_FOR_FIFO_NR_B, WAIT_FOR_FIFO_NR_C, WR_HEADER, APPLY_MASK, RD_CHANNEL_A, RD_CHANNEL_B, RD_CHANNEL_C); - signal FSM_CURRENT, FSM_NEXT : FSM; signal fsm_debug_fsm : std_logic_vector(7 downto 0); signal start_trg_win_cnt_i : std_logic; @@ -207,45 +198,37 @@ architecture TDC of TDC is signal wr_trailer_i : std_logic; -- Other Signals - signal fifo_full_i : std_logic; - signal mask_i : std_logic_vector(CHANNEL_NUMBER downto 0); - signal fifo_nr : integer range 0 to CHANNEL_NUMBER := CHANNEL_NUMBER; - signal fifo_nr_next : integer range 0 to CHANNEL_NUMBER := CHANNEL_NUMBER; - - signal TW_pre : std_logic_vector(10 downto 0); - signal TW_post : std_logic_vector(10 downto 0); - signal channel_hit_time : std_logic_vector(10 downto 0); - signal trg_win_l : std_logic; - signal trg_win_r : std_logic; --- + signal fifo_full_i : std_logic; + signal mask_i : std_logic_vector(CHANNEL_NUMBER downto 0); + signal fifo_nr : integer range 0 to CHANNEL_NUMBER := CHANNEL_NUMBER; + signal fifo_nr_next : integer range 0 to CHANNEL_NUMBER := CHANNEL_NUMBER; + signal TW_pre : std_logic_vector(10 downto 0); + signal TW_post : std_logic_vector(10 downto 0); + signal channel_hit_time : std_logic_vector(10 downto 0); + signal trg_win_l : std_logic; + signal trg_win_r : std_logic; type Std_Logic_8_array is array (0 to (CHANNEL_NUMBER/8-1)) of std_logic_vector(3 downto 0); - signal fifo_nr_hex : Std_Logic_8_array; --- - signal coarse_cnt : std_logic_vector(10 downto 0); - signal channel_full_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); - signal channel_empty_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); - signal channel_empty_reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); - signal channel_empty_2reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); - signal channel_empty_3reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); - signal channel_empty_4reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); --- + signal fifo_nr_hex : Std_Logic_8_array; + signal coarse_cnt : std_logic_vector(10 downto 0); + signal reset_coarse_cnt : std_logic; + signal channel_full_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal channel_empty_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal channel_empty_reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); type channel_data_array is array (0 to CHANNEL_NUMBER) of std_logic_vector(31 downto 0); - signal channel_data_i : channel_data_array; - signal channel_data_reg : channel_data_array; - signal channel_data_2reg : channel_data_array; - signal channel_data_3reg : channel_data_array; - signal channel_data_4reg : channel_data_array; --- - signal hit_in_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal channel_data_i : channel_data_array; + signal channel_data_reg : channel_data_array; + signal hit_in_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); -------------------------------------------------------------------------------- -- Slow Control Signals -------------------------------------------------------------------------------- - signal ch_en_i : std_logic_vector(63 downto 0); + signal ch_en_i : std_logic_vector(63 downto 0); + signal trigger_win_en : std_logic; + signal readout_trigger_mode : std_logic := '1'; -- readout trigger + -- 1: with trigger + -- 0: triggerless + signal readout_trigger_mode_200 : std_logic := '1'; -- trigger mode signal synchronised to the coarse counter clk + signal logic_anal_control : std_logic_vector(3 downto 0); -------------------------------------------------------------------------------- -- Statistics Signals -------------------------------------------------------------------------------- type statistics_array_12 is array (1 to CHANNEL_NUMBER-1) of std_logic_vector(11 downto 0); type statistics_array_24 is array (1 to CHANNEL_NUMBER-1) of std_logic_vector(23 downto 0); signal trig_number : std_logic_vector(23 downto 0); @@ -278,27 +261,23 @@ architecture TDC of TDC is signal channel_encoder_start_number : statistics_array_24; signal stop_status_i : std_logic; -------------------------------------------------------------------------------- --- test signals -------------------------------------------------------------------------------- +-- Test signals signal ref_debug_i : std_logic_vector(31 downto 0); type channel_debug_array is array (1 to CHANNEL_NUMBER-1) of std_logic_vector(31 downto 0); signal channel_debug_01_i : channel_debug_array; --- signal fsm_state_reg : std_logic_vector(31 downto 0); - signal control_reg_200 : std_logic_vector(3 downto 0); ------------------------------------------------------------------------------- - + begin ------------------------------------------------------------------------------- +-- Slow control signals +------------------------------------------------------------------------------- + ch_en_i <= CONTROL_REG_IN(3*32+31 downto 2*32+0); + trigger_win_en <= CONTROL_REG_IN(1*32+31); + readout_trigger_mode <= CONTROL_REG_IN(12); + logic_anal_control <= CONTROL_REG_IN(3 downto 0); +------------------------------------------------------------------------------- -- The Reset Signal Genaration (Synchronous with the fine time clock) ------------------------------------------------------------------------------- - --The_Reset_Generator : Reset_Generator - -- generic map ( - -- RESET_SIGNAL_WIDTH => x"F") - -- port map ( - -- CLK_IN => CLK_TDC, - -- RESET_OUT => reset_tdc); - Reset_Generator_200 : process (CLK_TDC) begin if rising_edge(CLK_TDC) then @@ -334,7 +313,6 @@ begin GEN_Channel_Enable : for i in 1 to CHANNEL_NUMBER-1 generate hit_in_i(i) <= HIT_IN(i) and ch_en_i(i); end generate GEN_Channel_Enable; - ch_en_i <= CONTROL_REG_IN(3*32+31 downto 2*32+0); -- Channels GEN_Channels : for i in 1 to CHANNEL_NUMBER - 1 generate @@ -365,14 +343,62 @@ begin NUMBER_OF_BITS => 11) port map ( CLK => CLK_TDC, - RESET => reset_tdc, + RESET => reset_coarse_cnt, COUNT_OUT => coarse_cnt, UP_IN => '1'); + -- Trigger mode control register synchronised to the coarse counter clk + Readout_trigger_mode_sync: bit_sync + generic map ( + DEPTH => 3) + port map ( + RESET => reset_tdc, + CLK0 => CLK_READOUT, + CLK1 => CLK_TDC, + D_IN => readout_trigger_mode, + D_OUT => readout_trigger_mode_200); + + Valid_timing_trigger_sync: bit_sync + generic map ( + DEPTH => 3) + port map ( + RESET => reset_tdc, + CLK0 => CLK_READOUT, + CLK1 => CLK_TDC, + D_IN => VALID_TIMING_TRG_IN, + D_OUT => valid_timing_trg_200); + + Valid_timing_trigger_pulse : edge_to_pulse + port map ( + clock => CLK_TDC, + en_clk => '1', + signal_in => valid_timing_trg_200, + pulse => valid_timing_trg_pulse_200); + + + ------------------------------------------------------------------------------- -- READOUT ------------------------------------------------------------------------------- +-- Coarse counter reset + -- purpose: If the timing trigger is valid, the coarse time of the reference + + Coarse_Counter_Reset : process (CLK_TDC, reset_tdc) + begin + if rising_edge(CLK_TDC) then + if reset_tdc = '1' then + reset_coarse_cnt <= '1'; + elsif readout_trigger_mode_200 = '1' then + reset_coarse_cnt <= '0'; + elsif valid_timing_trg_pulse_200 = '1' then + reset_coarse_cnt <= '1'; + else + reset_coarse_cnt <= '0'; + end if; + end if; + end process Coarse_Counter_Reset; + -- Reference Time (Coarse) -- purpose: If the timing trigger is valid, the coarse time of the reference @@ -421,7 +447,6 @@ begin if RESET = '1' then TW_pre <= (others => '0'); TW_post <= (others => '0'); - --channel_hit_time <= (others => '0'); else TW_pre <= ref_time_coarse - TRG_WIN_PRE; TW_post <= ref_time_coarse + TRG_WIN_POST; @@ -503,14 +528,14 @@ begin fifo_nr_next <= conv_integer("00010" & fifo_nr_hex(2)(2 downto 0)); elsif fifo_nr_hex(3)(3) /= '1' then fifo_nr_next <= conv_integer("00011" & fifo_nr_hex(3)(2 downto 0)); - --elsif fifo_nr_hex(4)(3) /= '1' then - -- fifo_nr_next <= conv_integer("00100" & fifo_nr_hex(4)(2 downto 0)); - --elsif fifo_nr_hex(5)(3) /= '1' then - -- fifo_nr_next <= conv_integer("00101" & fifo_nr_hex(5)(2 downto 0)); - --elsif fifo_nr_hex(6)(3) /= '1' then - -- fifo_nr_next <= conv_integer("00110" & fifo_nr_hex(6)(2 downto 0)); - --elsif fifo_nr_hex(7)(3) /= '1' then - -- fifo_nr_next <= conv_integer("00111" & fifo_nr_hex(7)(2 downto 0)); + --elsif fifo_nr_hex(4)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00100" & fifo_nr_hex(4)(2 downto 0)); + --elsif fifo_nr_hex(5)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00101" & fifo_nr_hex(5)(2 downto 0)); + --elsif fifo_nr_hex(6)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00110" & fifo_nr_hex(6)(2 downto 0)); + --elsif fifo_nr_hex(7)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00111" & fifo_nr_hex(7)(2 downto 0)); else fifo_nr_next <= CHANNEL_NUMBER; end if; @@ -537,35 +562,35 @@ begin begin if rising_edge(CLK_READOUT) then if RESET = '1' then - data_out_reg <= (others => '1'); - data_wr_reg <= '0'; + data_out_reg <= (others => '1'); + data_wr_reg <= '0'; + stop_status_i <= '0'; else if wr_header_i = '1' then - data_out_reg <= "001" & "0000000000000" & header_error_bits; - data_wr_reg <= '1'; - elsif wr_ch_data_reg = '1' and CONTROL_REG_IN(1*32+31) = '1' then + data_out_reg <= "001" & "0000000000000" & header_error_bits; + data_wr_reg <= '1'; + stop_status_i <= '0'; + elsif wr_ch_data_reg = '1' and trigger_win_en = '1' then if (TW_pre(10) = '1' and ref_time_coarse(10) = '0') or (TW_post(10) = '0' and ref_time_coarse(10) = '1') then if (trg_win_l = '0' and trg_win_r = '1') or (trg_win_l = '1' and trg_win_r = '0') then --- data_out_reg <= "1000" & channel_data_i(fifo_nr)(27 downto 0); data_out_reg <= channel_data_reg(fifo_nr); data_wr_reg <= '1'; else --- data_out_reg <= (others => '1'); data_wr_reg <= '0'; end if; else if (trg_win_l = '1' and trg_win_r = '1') then --- data_out_reg <= "1000" & channel_data_i(fifo_nr)(27 downto 0); data_out_reg <= channel_data_reg(fifo_nr); data_wr_reg <= '1'; else --- data_out_reg <= (others => '1'); data_wr_reg <= '0'; end if; end if; - elsif wr_ch_data_reg = '1' and CONTROL_REG_IN(1*32+31) = '0' then - data_out_reg <= "1000" & channel_data_reg(fifo_nr)(27 downto 0); - data_wr_reg <= '1'; + stop_status_i <= '0'; + elsif wr_ch_data_reg = '1' and trigger_win_en = '0' then + data_out_reg <= "1000" & channel_data_reg(fifo_nr)(27 downto 0); + data_wr_reg <= '1'; + stop_status_i <= '0'; elsif wr_status_i = '1' then case i is when 0 => data_out_reg <= "010" & "00000" & valid_tmg_trig_number; @@ -585,11 +610,13 @@ begin data_wr_reg <= '1'; i := i+1; elsif wr_trailer_i = '1' then - data_out_reg <= "011" & "0000000000000" & trailer_error_bits; - data_wr_reg <= '1'; + data_out_reg <= "011" & "0000000000000" & trailer_error_bits; + data_wr_reg <= '1'; + stop_status_i <= '0'; else -- data_out_reg <= (others => '1'); - data_wr_reg <= '0'; + data_wr_reg <= '0'; + stop_status_i <= '0'; end if; end if; end if; @@ -608,23 +635,11 @@ begin begin if rising_edge(CLK_READOUT) then if RESET = '1' then - channel_data_reg <= (others => x"00000000"); - channel_data_2reg <= (others => x"00000000"); - channel_data_3reg <= (others => x"00000000"); - channel_data_4reg <= (others => x"00000000"); - channel_empty_reg <= (others => '0'); - channel_empty_2reg <= (others => '0'); - channel_empty_3reg <= (others => '0'); - channel_empty_4reg <= (others => '0'); + channel_data_reg <= (others => x"00000000"); + channel_empty_reg <= (others => '0'); else - channel_data_reg <= channel_data_i; - channel_data_2reg <= channel_data_reg; - channel_data_3reg <= channel_data_2reg; - channel_data_4reg <= channel_data_3reg; - channel_empty_reg <= channel_empty_i; - channel_empty_2reg <= channel_empty_reg; - channel_empty_3reg <= channel_empty_2reg; - channel_empty_4reg <= channel_empty_3reg; + channel_data_reg <= channel_data_i; + channel_empty_reg <= channel_empty_i; end if; end if; end process Delay_Channel_Data; @@ -721,7 +736,7 @@ begin idle_fsm <= '1'; -- when WAIT_FOR_TRG_WIND_END => - if trg_win_end_i = '1' then --or CONTROL_REG_IN(1*32+31) = '0' then + if trg_win_end_i = '1' then FSM_NEXT <= WR_HEADER; fsm_debug_fsm <= x"06"; else @@ -743,12 +758,6 @@ begin fsm_debug_fsm <= x"0A"; wait_fsm <= '1'; - --when WAIT_FOR_FIFO_NR_B => - -- FSM_NEXT <= WAIT_FOR_FIFO_NR_C; - -- updt_mask_fsm <= '1'; - -- fsm_debug_fsm <= x"0B"; - -- wait_fsm <= '1'; - when WAIT_FOR_FIFO_NR_B => FSM_NEXT <= APPLY_MASK; fsm_debug_fsm <= x"0C"; @@ -781,7 +790,7 @@ begin when RD_CHANNEL_C => if channel_empty_reg(fifo_nr) = '1' then - FSM_NEXT <= WAIT_FOR_FIFO_NR_B; -- APPLY_MASK; + FSM_NEXT <= WAIT_FOR_FIFO_NR_B; wr_ch_data_fsm <= '0'; updt_index_fsm <= '1'; fsm_debug_fsm <= x"11"; @@ -862,8 +871,8 @@ begin -- Information bits sent after a status trigger -- <= lost_hits_nr_i; -- total number of lost hits. - fifo_full_i <= --channel_full_i(15) or channel_full_i(14) or channel_full_i(13) or channel_full_i(12) or - --channel_full_i(11) or channel_full_i(10) or channel_full_i(9) or channel_full_i(8) or + fifo_full_i <= channel_full_i(15) or channel_full_i(14) or channel_full_i(13) or channel_full_i(12) or + channel_full_i(11) or channel_full_i(10) or channel_full_i(9) or channel_full_i(8) or channel_full_i(7) or channel_full_i(6) or channel_full_i(5) or channel_full_i(4) or channel_full_i(3) or channel_full_i(2) or channel_full_i(1) or channel_full_i(0); @@ -1061,25 +1070,13 @@ begin ------------------------------------------------------------------------------- -- Logic Analyser Signals ------------------------------------------------------------------------------- - signal_sync_1 : signal_sync - generic map ( - WIDTH => 4, - DEPTH => 4) - port map ( - RESET => reset_tdc, - CLK0 => CLK_READOUT, - CLK1 => CLK_TDC, - D_IN => CONTROL_REG_IN(3 downto 0), - D_OUT => control_reg_200); - - -- Logic Analyser and Test Signals --REG_LOGIC_ANALYSER_OUTPUT : process (CLK_READOUT, RESET) --begin -- if rising_edge(CLK_READOUT) then -- if RESET = '1' then -- logic_analyser_reg <= (others => '0'); - -- elsif CONTROL_REG_IN(3 downto 0) = x"1" then TRBNET connections debugging + -- elsif logic_anal_control = x"1" then TRBNET connections debugging -- logic_analyser_reg(7 downto 0) <= fsm_debug_reg; -- logic_analyser_reg(8) <= REFERENCE_TIME; -- logic_analyser_reg(9) <= VALID_TIMING_TRG_IN; @@ -1089,18 +1086,18 @@ begin -- logic_analyser_reg(13) <= data_wr_reg; -- logic_analyser_reg(14) <= data_finished_reg; -- logic_analyser_reg(15) <= trg_release_reg; - -- elsif CONTROL_REG_IN(3 downto 0) = x"2" then Reference channel debugging + -- elsif logic_anal_control = x"2" then Reference channel debugging -- logic_analyser_reg <= ref_debug_i(15 downto 0); - -- elsif CONTROL_REG_IN(3 downto 0) = x"3" then Hit input debugging + -- elsif logic_anal_control = x"3" then Hit input debugging -- logic_analyser_reg(7 downto 1) <= HIT_IN(7 downto 1); - -- elsif CONTROL_REG_IN(3 downto 0) = x"4" then -- Hit input debugging + -- elsif logic_anal_control = x"4" then -- Hit input debugging -- logic_analyser_reg(15 downto 0) <= HIT_IN(31 downto 16); - -- elsif CONTROL_REG_IN(3 downto 0) = x"5" then -- Hit input debugging + -- elsif logic_anal_control = x"5" then -- Hit input debugging -- logic_analyser_reg(15 downto 0) <= HIT_IN(47 downto 32); - -- elsif CONTROL_REG_IN(3 downto 0) = x"6" then -- Hit input debugging + -- elsif logic_anal_control = x"6" then -- Hit input debugging -- logic_analyser_reg(15 downto 0) <= HIT_IN(63 downto 48); -- logic_analyser_reg(15 downto 7) <= (others => '0'); - -- elsif CONTROL_REG_IN(3 downto 0) = x"7" then Data out + -- elsif logic_anal_control = x"7" then Data out -- logic_analyser_reg(7 downto 0) <= fsm_debug_reg; -- logic_analyser_reg(8) <= REFERENCE_TIME; -- logic_analyser_reg(13) <= data_wr_reg; @@ -1108,7 +1105,7 @@ begin -- logic_analyser_reg(14) <= data_out_reg(26); -- logic_analyser_reg(15) <= RESET; - -- elsif CONTROL_REG_IN(3 downto 0) = x"8" then Data out + -- elsif logic_anal_control = x"8" then Data out -- logic_analyser_reg(0) <= HIT_IN(2); -- logic_analyser_reg(1) <= CLK_TDC; -- logic_analyser_reg(2) <= channel_debug_01_i(2)(1); encoder_start @@ -1120,7 +1117,7 @@ begin -- logic_analyser_reg(13) <= data_wr_reg; -- logic_analyser_reg(15) <= RESET; - -- elsif CONTROL_REG_IN(3 downto 0) = x"9" then Data out + -- elsif logic_anal_control = x"9" then Data out -- logic_analyser_reg(0) <= HIT_IN(3); -- logic_analyser_reg(1) <= CLK_TDC; -- logic_analyser_reg(2) <= channel_debug_01_i(3)(1); encoder_start @@ -1136,14 +1133,14 @@ begin -- end if; --end process REG_LOGIC_ANALYSER_OUTPUT; - + -- REG_LOGIC_ANALYSER_OUTPUT : process (CLK_TDC, reset_tdc) -- begin -- if rising_edge(CLK_TDC) then -- if reset_tdc = '1' then -- logic_analyser_reg <= (others => '0'); -- logic_analyser_2reg <= (others => '0'); --- elsif CONTROL_REG_IN(3 downto 0) = x"1" then --TRBNET connections debugging +-- elsif logic_anal_control = x"1" then --TRBNET connections debugging -- logic_analyser_reg(0) <= HIT_IN(3); -- logic_analyser_reg(1) <= RESET; -- logic_analyser_reg(2) <= channel_debug_01_i(3)(1); --encoder_start @@ -1167,10 +1164,9 @@ begin ------------------------------------------------------------------------------- -- STATUS REGISTERS ------------------------------------------------------------------------------- -------------------------------------------------------------------------------- + -- Register 0x80 -------------------------------------------------------------------------------- - TDC_DEBUG(7 downto 0) <= fsm_debug_reg; + TDC_DEBUG(7 downto 0) <= fsm_debug_reg; -- -- TDC_DEBUG(15 downto 8) <= -- @@ -1179,85 +1175,65 @@ begin -- TDC_DEBUG(27 downto 24) <= -- -- TDC_DEBUG(31 downto 28) <= -------------------------------------------------------------------------------- + -- Register 0x81 -------------------------------------------------------------------------------- TDC_DEBUG(1*32+CHANNEL_NUMBER-1 downto 1*32+0) <= channel_empty_i; -------------------------------------------------------------------------------- + -- Register 0x82 -------------------------------------------------------------------------------- -- TDC_DEBUG(2*32+7 downto 2*32+0) <= channel_empty_i(63 downto 32); -------------------------------------------------------------------------------- + -- Register 0x83 -------------------------------------------------------------------------------- - TDC_DEBUG(3*32+31 downto 3*32+0) <= "00000" & TRG_WIN_POST & "00000" & TRG_WIN_PRE; -------------------------------------------------------------------------------- + TDC_DEBUG(3*32+31 downto 3*32+0) <= "00000" & TRG_WIN_POST & "00000" & TRG_WIN_PRE; + -- Register 0x84 -------------------------------------------------------------------------------- - TDC_DEBUG(4*32+23 downto 4*32+0) <= trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(4*32+23 downto 4*32+0) <= trig_number; + -- Register 0x85 -------------------------------------------------------------------------------- - TDC_DEBUG(5*32+23 downto 5*32+0) <= valid_tmg_trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(5*32+23 downto 5*32+0) <= valid_tmg_trig_number; + -- Register 0x86 -------------------------------------------------------------------------------- - TDC_DEBUG(6*32+23 downto 6*32+0) <= valid_NOtmg_trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(6*32+23 downto 6*32+0) <= valid_NOtmg_trig_number; + -- Register 0x87 -------------------------------------------------------------------------------- - TDC_DEBUG(7*32+23 downto 7*32+0) <= invalid_trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(7*32+23 downto 7*32+0) <= invalid_trig_number; + -- Register 0x88 -------------------------------------------------------------------------------- - TDC_DEBUG(8*32+23 downto 8*32+0) <= multi_tmg_trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(8*32+23 downto 8*32+0) <= multi_tmg_trig_number; + -- Register 0x89 -------------------------------------------------------------------------------- - TDC_DEBUG(9*32+23 downto 9*32+0) <= spurious_trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(9*32+23 downto 9*32+0) <= spurious_trig_number; + -- Register 0x8a -------------------------------------------------------------------------------- - TDC_DEBUG(10*32+23 downto 10*32+0) <= wrong_readout_number; -------------------------------------------------------------------------------- + TDC_DEBUG(10*32+23 downto 10*32+0) <= wrong_readout_number; + -- Register 0x8b -------------------------------------------------------------------------------- - TDC_DEBUG(11*32+23 downto 11*32+0) <= spike_number; -------------------------------------------------------------------------------- + TDC_DEBUG(11*32+23 downto 11*32+0) <= spike_number; + -- Register 0x8c -------------------------------------------------------------------------------- - TDC_DEBUG(12*32+23 downto 12*32+0) <= idle_time; -------------------------------------------------------------------------------- + TDC_DEBUG(12*32+23 downto 12*32+0) <= idle_time; + -- Register 0x8d -------------------------------------------------------------------------------- - TDC_DEBUG(13*32+23 downto 13*32+0) <= wait_time; -------------------------------------------------------------------------------- + TDC_DEBUG(13*32+23 downto 13*32+0) <= wait_time; + -- Register 0x8e -------------------------------------------------------------------------------- - TDC_DEBUG(14*32+23 downto 14*32+0) <= total_empty_channel; -------------------------------------------------------------------------------- + TDC_DEBUG(14*32+23 downto 14*32+0) <= total_empty_channel; + -- Register 0x8f -------------------------------------------------------------------------------- - TDC_DEBUG(15*32+23 downto 15*32+0) <= channel_lost_hits(3); -------------------------------------------------------------------------------- + TDC_DEBUG(15*32+23 downto 15*32+0) <= channel_lost_hits(3); + -- Register 0x90 -------------------------------------------------------------------------------- - TDC_DEBUG(16*32+23 downto 16*32+0) <= channel_measurement(3); -------------------------------------------------------------------------------- + TDC_DEBUG(16*32+23 downto 16*32+0) <= channel_measurement(3); + -- Register 0x91 -------------------------------------------------------------------------------- - TDC_DEBUG(17*32+23 downto 17*32+0) <= channel_encoder_start_number(3); -------------------------------------------------------------------------------- + TDC_DEBUG(17*32+23 downto 17*32+0) <= channel_encoder_start_number(3); + -- Register 0x92 -------------------------------------------------------------------------------- - TDC_DEBUG(18*32+23 downto 18*32+0) <= channel_lost_hits(2); -------------------------------------------------------------------------------- + TDC_DEBUG(18*32+23 downto 18*32+0) <= channel_lost_hits(2); + -- Register 0x93 -------------------------------------------------------------------------------- - TDC_DEBUG(19*32+23 downto 19*32+0) <= channel_measurement(2); -------------------------------------------------------------------------------- + TDC_DEBUG(19*32+23 downto 19*32+0) <= channel_measurement(2); + -- Register 0x94 -------------------------------------------------------------------------------- - TDC_DEBUG(20*32+23 downto 20*32+0) <= channel_encoder_start_number(2); + TDC_DEBUG(20*32+23 downto 20*32+0) <= channel_encoder_start_number(2); end TDC; -- 2.43.0

    k8%Js0Qi`g9R_*Ek*32ut#D?n4h%NB(?{0oeBgzznl>^ zG%*cKBIjVca*mhGIFb$FvfAFaME&&Bx^FsxmoT=hMQ?F zIUZ(;UX*9RR!qIoU{J@ZZ(@d%44lki z7G9pCMH2M@(CnvRbL2{6IeRCS-n8@)Pe-zQ&PH1I9I7zw}_G_oh287mhzNnDR<1Z?OF9!Fv*JSN*38rKx zY{TsJ*6B;|YhSkvzl9@T|9o--d5JoZyJ0GUOlnUo82S2z3rCL2VBgSwA#sC@nei*O zU5X@cTyk3`$>p!Vz3|b7ZI{g?N#NwEeW%1;PTUZ%gvdYIIs@2eL%^B61e8fmY~Ly6 zE+KWUm^umS#HAz|buK}Y7avSZM;*9rx^Lv`0P2TLr1A?+&5@*i!j|b3>&_hBzV7L? z1^)rL+b_p&{eAlxc9Qf!wZ4}HrIvKXx&z5sdza)Sxn$e{+|Uvhd?X7pXW_^zWH!Ji zl6+(Pn+s-k!!B2ByIpdVfa{jsp3V6gAn#s+B-`d7FIPV>^7T0jPo1#sVhBivol)WB z&dAqac1#5+q+u^yc5C}}3n|l1ZP<3H%YAW1oz)AknE>)Q36d2Mk~sFJ+!>2fg@qO5 zCFFKJP_<9kiuEVgf;&l)OG7;nP@3xb8;JW484yR3-WHTvW(`XgoarP<>hvK=KS0u1 zydSnhp0<(5-I;!X=6t`^*?Jkt-TME1Rn`s|C6Y5@nvU(|(YSB2 z!;PU&0?)zGKvt)cTX z>ZCz3Ngkjj#@VYg0wPJpQNEP?LZHv?*ZC@cv@UIvp;p^M|AtQBM^ry?2G@`}_)(Ip zVLf#itrVm!=tz>4o0c-tS`v4zI+ipQ))qj9i>yhOJ|-TYZJSb(1i8NCv8QUpLhlW7W-;p@5oTg zr^n4MaEm+fRA|EAfX66<5ny9pLkj4{^QnonfJ4*jh(wx9OGnUh61dI2iceEo%LG)( zXsH1EOtN0*0vNT%UlLJ}^Fyu9uBl~hooKU zkZa`X$xdmL4C zRAqP~Ug*k*T97rS>5pU9VGS`bvyp@+n;3W-l3@&D$}z})F;**pjrTKjmc%RoxGNP? z&@}*2S%}EYBr@Yjl53QtAi41`WdZJ~IrV1LZ$LP{vZG5rQe8x~mm4J*UX!J0Ui94RKiF+8xRUm)U ztnG&~u0DmZNz0NV`J4viZh%enxRS8r%KnWOJ(J*)Nk9hWA+sZ3+-h+p zaRiJL`A$0yd*Brea5qS9B^V{=pf-jK&x0v0-LNhkn<{$g3L9Q*GZCcUXR705|WHT9hIa>D^JO$ z4Vz1@-$Gi#-jpQPTF9_YlIS}~oBYB4PEllZO)z3HJi;-x*^d7T+)j7pLgaqj3h8k} zlOR;8vPt4p7MsXl1wb60P9e!=BuN5N@gc*yIiHr~5Fnq&4FSt2=WPMb)t|@RTflz; zZsVyHvUV_KwIXPX>K(zUkxdyFv^@fHOxNg2lPQ9l06_KvG4ax)Y#Fel1m)C?Ft5j( zeboLjT~$FfU9t%tthS?tR588IVj!0?ZkjBt5r`=pIwaynl$k$J1YY}%0oZxE+EK@1 zS`yeoL&}N?n%=@<;5Lz#u$f|x#swNcq@*AtG~$QP5>V6uF)1-AHv>0<^SA?O2*U7l zkZTboc*%;rz)QuNA5f$bRB)R&L6^87h-u6MFZDM(W)9f_ao%esEj1x~Rn%@fhQ*al z3PHi%0D46B$XE5+vxgn3ucU!XNzfEGIh?ozYW z3Mnm&-Nij2Uz3N82Dhp_Su4s(N+f~+NdOLUITJLGDNQA4%Fv_}6ilR#ET-u~#1LGv zj5l%YKsF_Lo{WGZ@auzHP?1pm8f#!L&t<~5*o1@ASABv^ruyj`Uj&;R!}0@@6vUS% zLwsmO6d-5GL@;S{a7l134MKb-Z4Su7)j zNPxbj;8gMTKR95Y$FCTQyz9*U80V5w^qz*mmQ2vg3|N`KAC*LB%hUo&POAeNBsEa) z3lJ%6wrck7gcoj)pizBsDO|8FlI@TsgFK9*Cxa)MRP zp|5*hPOu&s8FSnRg1muAP-lLA&hwafUO4jOoeP&w*!IRqEKD58O_JQ6LtYN<^vrdJ z{PMz?eG0f>B$2P!cAz?afRH5kxwGO+X-Qz+^A}42bI21O!7|4FHn}(>32@UOV@RF% zrnkTR9Dp8>ecLXHz%yuZ=JBy)sTAd&F zrR7KKIzWcpQ>QPLYc1f0hBvRfvoG#+?qrjk-kv~`a?N7n$eyixdKnffW#pCx!qM_@ z$#_%6&C5@xH)qs2HrU5Rz|R3`t?ZPw!y+1&CFDq}5C6wB6g)O$8VC2`7CD!^CT;$PajB+OyStHFKM zAd>rD{c0#bbtnOJCe+}R@72((&`)WZBrz2~Ly{wt=LH)kSwF`bl%1G{D@Z^i*f80P z$pvK*CSGiBTp_Xmh+}Vs4C~mtL`pISrh?*WQ>C?BGa07ZpNuSUx$AGBDFa8qd;BBD zoZ@d7b3@hrbvIPm^KY=4v3dd^XUgCP>wYrqKuXp)bcw|Rg`1=uzoE@0b)X?~CrwV9 zim+Wt2>UR}@8XJiC)!O%1wzS^fL}I{$$I{jIfls-jWT<&nCx0yo{j(#~wrFqhOr(Zi6*SXV(0*7JAeY=buF@f7F!QjF8Usmkd_?FS8q9FalpxSp39A_a7NR4pg!MP5 z^1?fay| zWQThVRnU{HMN_gBG~Uyc_4b;WMGg6Ex86>ZL0Z%T?$wz4?^U-hc3P+X$-Nq_Gzc0) z;!d}!Shwg$Q$alqr}=aQBuN0`G%V2aPE3E_gi|?WFSTXGp~zoA{?N?!OCyWH-fV zwdy3C3Px^`eazVr^fVGqXHt@L1tg$!(JXfRfr^u9M*bnWN%^!oIUt87%l_12;5q^x zOp-%`q)Sy=?k|(u==$?9?>nG6&jy-Kj#2B-XZ=ajLFp5SA_&?zt&z_?Wy-o8WQQ}D zz5>Z`;&!?p6myeuqG?>Ob11iH^Sn$G6!(arVp2+IcuZExWlKDX3Z9kiV> zX}*+auR~KhSIXQ<7tsm)Xy*hq9m(!RHcBzM%s~+aGk_#;3_nv7h!gG_+QV?dX*4NH zWNdY&(J6$WM)8O%vls+HO7Sj76I+7^M+BB0xF63jybMm0olY0vV=N|if}V4rpsJPF zw$z%MR9wMnicS#09RX-<$L6vZAgV2eGD91ur)nY)U#y~F@ZW=}f0LK2yRBDVrt zlO;(uZqiJ0*{~|aaT3{|j~D`7NK)?KN0OuiH`#Q!nLf+Ykz>9$k2&I(0C@J7yJmqB z(^sfL&ZmPwgnlxZOv7H5CwVNg2M!Sg1Ub=81VKj#xpD5476LNy%LyWbj2nbRGjmR6 z!FmzwWe1-YKj3!A!OAM$OPXF}lG`CvX4J_*&?l(`9rb1S79uA( zoi$Cm(}a6xM$(1=RiNt*vYR9-8M>(qdX3AualztV1r)Ne%8KMYiWQGE3=sMh0NeyZ zR~-<{-V{!U#7M$WEUMy4Go3V@MR1W~6jx|-SV0^XcR!h7INndze?GQz{ziR=kNPc` z!Ma`=&c>`C?(0&9kLns8(zclE(b)zZ|*)>n2_Pc*7~HtbEY z)QWBE>Eb7W+zc1=1qf*$@ zwse=aaHs#+WA{^pBWF`DxZ&_d7N{M5GMxSI`e9dfd44?V_dgB|9JBkBG0ATYKN@oN zXNLpgaHl?{BvVHx$x9OC2-W%YV9HnTs(6H#T>We+6-=>r9=&Q(YWO|>xafhu&;9oC zt(P<9rqdgrrP{&c)(*bvqqpFZWP@YDkI91TQeP8Lhr)Tj!y9NeM_a1xfzEeDw)GM~j+JX9s{R~}=!s!^jFQy4C1Y6n(*^cL(}l0)atCsO&3$%5;9C@+VH$gn%ZWRq$luU$jr zksFA-TW0Y`L|*r6B3B#PY&g~hVt;jxOR~a`l40X<qUw=}mmO6?5*JjTNTV&sX zvFaplzL#L{1)fxo2NlNQ1oR#L_U#S0LOJ$#u&4I=Ro~t3}Ivy^sGm?Dn z(*E`x+>xgoS@>vV!Q{w7mxBV2?4chic~s@$fQ@+UIM-O_@8pu9X&8uqV~$Rhgqx;`ED zJZs6`8BbmDK*zOjZ~ft{@2>n|#oE2ITyLHu2g0N2+gpBE-TmKF+jrbP3*0N${$s}S zD|U2z3htR3&-!8ej`weSYx}|l&p)^4$t!>N^p5dcet7%a9k;f>JAKw$JAb^pbMK62 zujpR3i)$`9q)chHd#rM zK+9=)OPAkt`L+dTErruy1v*l z*5$IC-14dSfrW683q8)f62_R-{n`4C9osJ7JL{f~-%9eGkknQFA2+S|x9)`>jDPR! zbFbX<*C%&;@W;14+tjh+vs?B~?cTHFrT2a|>*Nly=xyuYe(C8Cq=Vd)<1TuS#CH7V z-ZWCf(W`6DKD~SRd9Bw1`}8{&?$~|C$bsvJAI!P*Mw0A6pVL|LZ4Nehs9xrR{CjI; z#jKxS_luS9?!9?Aihb(Utv@(4NG6NPG+FHb3$lA(wmP)~%la&B(i-8^^YGnvmP?9HF$mX8wNbx5clZD z3Pr8;Yk3+RwubRVrI#u}RyE}YG!w&=c8x7&{<%zhT{Q4jss?PP7i%^<@dp?B;qBzE ziQwj=?*cjA6tFeLjGSzQwC2(}U_&59AqS#F_T%$ui$f8{2QiRYuq3ZpV^i?)V0gl9 zjl?1_MM$cX;l3B|8xcsw)Ig*p&$bm4{$~qtHLJy%Aim(1PY!bP8nuW~;`f4^j|KM@ zONTveCMqL?idyWs3|@An+{zfg)@&=~Q~Y8sBpUo4$Yiz_3)gdUBj7AZb|UYia_zGDLyUD60IyIl3cf^59MDX+N5oC3pY zk4VFo-=)Bb8GPGn$~6&xfFnt6@RGbn(_-}n3|hi{G15XxVLqQRtCoc?m8O~kQ~6*M z&JEG1u>8najSS0;KoF8~ZZ4GB0Mo!3hz2*n`YDPDS47Wx6^rt+c0NGgL++?A;tLXB z6H*x7%jX0}-tfdQbIj*JSMoO}T)TsovQGp}aySA|ui#4)9#Ezuc=U``(L|PFM z@t5O0c!r$5OS2f(febZQlHS}I0sl$J&V6|y=FZ3mH+dF#xKSTw_@K_@euv>L*T&gzX z-iAXr5kHUVmj?0SP75n%#dz14g|Dd}EEHzdNAFAcycYAaVF7_9`!zN5o8ul5Soq*d zw#gV)0=DM-6D#sc|kg)kREMX~SKbZ`4CM_sLB?MC8 zcvR=fRXE#d27#r)6LC!d?_HMp;ti_N21$bC_eqe&zBwLOD|KFQdx&gnNNZI(ckt@; zRKgC}_-IS8CWs|Vi^YF7t9e^77$pkPXd?gXaZ9#V}1+ zdX&v$l@mjDAaS)`kL5v`4e)I%Z4$MM+ZOBffw78xH7uwa_Gt{Jf|eQ_Q;{V2xa5?H zQFx$&pDicTYS^W4i6_GFe&thOO5&FG9t(G906FeN`|3QaMJ=`iLP0G@V7;9z< z>Hs6*7B&XIda=5R+}4$Hlmuqa|2ixP?ka$IaS^fs0zvE3>O=lez$)PSWSFYLEU1av zYyq4$Gd~v$*j!-gZ2#U2Y@4tq(`KkTEp0gwDHb_&GLi=Enm9YzrSq@~h8aYXT;MVs3m_{bo}q<`v2%jN_EOveEE57T z)sfSg4ac|9O)2>1C{7)RFQ}RfA8M8QKq9n7niN@(>Sl;8$4@a!WsJ0j@EWri2b<;~ z%L|B(%W)B_#Wfr;JRz)dgS1CLGHH*-raWtENfrpCNB|7_>(Afs?2*x6uxk4aOgi6k3L~|Z>A`%69-S7@m;6C!HFm43* zJgA!8;=Y=x=mt1yOvd1~4Cs#9>1QPb+}gdERNdlGNtWdJF+p2XcLkuUg!{1+oWOhI zT#5v;&QK=-U%-?_l(`hZPnV42GQS9t6PdKi2I9yBgj{~H|b4DI(Ec0DssQUcV08+}>(@652i-2tws33QG7RB_k zqTh1DesUl{f)j(P(j1$;8O}J@l?n5tdL_Z&(bF4Jby4Nptd~|jp#Xajdr=cs{zL<< zK-s@t6q#7mb~Q8e&kH5d-ZLXq!0e%VQa{dUD^nD-Wq;7-o4Ts`8>kJIo`)53B%jdc zm!Z6>z@mm6?%I_z!981Rf@ww=(LY%FW~VJPD{D}sh2=9LiG~KTF2>O8SD`NNNoLGIU26Q=HeQUgqV&9kaLd-(C(r?R#HW$b?OjNN)7T2n0;Hb*&Z> z5M3c+%W}JSL!8J(2apfo4$355vTwGDBx-`4qrv4_Z*P9I2u~~95!;LZm^2-6q9R<0ojKk=t{cMx54XCZ- zl}T0;T%a@bPSti*#Oqd{;q+j1mA6$ejEO^?Op8ZG2i3XQalL+#{ok^8)TwD!%X#Qu z&Nbn7ezL(VZow1lY%QT6CBHF0t|vK%0C;G4vidMug5Y;Y_y>#l6roRKKB%)O>Q8uW z+_9#rrprhbGml4!K^Z|u-3u?fP(F%+MTG_AgaW^)y8*Yxs49SIi{;8 z;%piE>yEctT^)=Y8**2nB~@DL@$I-~1x~_|ayybFxs7$;g z+lw&*f~lb}ufdNl%1H%$a03*kD)1&zeZl@k z&B(n721*zQlHc4S)KgSD)Uvs>liac1H}=C+8(=6D&R0<(vGNkaUhpmAX62L(IEH|g zHAWAx3ttL3Ny2Y2$R_#^5YXY4IWIvV2?6BnU19&(==NYR&#K#~egBuQPZ~~l^^Dq@+ z*V7?#$HytJ&d2x9|j!+ioN8ykSFk^o7j zn%EJx69jmYOre}Z%yhDrKr%teiG%1!AkQkM+&S@dlnaXeo9UP!O+7Yy6>6JlD1iuP z{K@q3zr_BG;j(sc+>P$nzU7K+UI{3o0Kh%dGdGpg- z-+9V$PWp3v0|b)bOXQC{;>-H`y&E7eUvnisRY8*6y7VFG$F*eex{Z#2kAeLB^wS@d zJ3KKAkcHJ1W=3p}&l`h+Hv{K?O|PAGXFj%Ix? zkssITPn^<@@1jVLvL)}6-`rPRn92Vd!} z_L=tS552scyzEM6uoFnYtv5;sxi6=^)#9@t+oX@!N1tiNw?&rEBW_^NbhOO)pM?ca zB>^3>W5Klh;wd0cdkok!o@jFDha^9_uHa`R;Cpal>qs)=iHF)R&baEm=CV*$!0X%RIM^>=b6ItU zykvSCEVxVxm2K zEqA}kcP*xDa3yi>hK%xQ@-a@*vEad!KZs5GB)8sozN{T0ctO=}w&>+l>4NpJ+>M^t zH#f+|C)LA=ZA1L)z>eE{vfE;R)#3?%9zYt% zCoeuWUq2o;xz7bj_(6tOxT&Yr^RQyKS@gDYV73?U9+Pj|usI%ISe-B8alBC+#oNjS zwPP85(xisP6}x$H9$sP&Bob(TOZ<2lm>05{wE>o@Wiz&mjLpz&+Aetk+L z%>ICEuvZTnlCbeHcz^MbDL0gT7cWS+H7h2*t2+)V-4EqUARMxxLPIum&KY!u?IjEP zVZo{hzZv$1mdMLyq58PLIKM8|UjH7{X(vhi@OoGj9WxB-q}}OS3djaBEf~3gUSGHB z*Tl+%fzGpv=Y_rk9NkMozOzro=4!xAfH-DiHqQ7&5FB zFsFRaWB+lfH&}N)k;MBP6oC$_r8v3lP)ag?a82aUTv@HU@2YZEja=?vH*B1-{&|f5m zO4?vNfQ`q*M?+eXL@N%JRn!eOnmDT^1{0HZlmvnw95RB9m5v|A184DW?SxZ*4L_(iE<5hP^-q zc8ES#iCJ0qVgTV<2}-gBOld}eK^IX$_d*izR3WX}5iDB76v}BIiWo*JW&q;z2pC*} zh_{bWu8F%yJ!T@Y*kEpA;G;ZjK+AEhL_S7AW0hVAM=EH|*kF8%Cu07!!tu`Y4xFJX zZ)Qj9SF{h1m<994fvt(A;(+4u>-C0+=y8QBrefGpkv9kH;m6esiNGslBt9rilC*15 zlDU3VpyXxue2eqE#smaJqY^MwwAfJ|A!o3POtMx>_-%usL2STETg(b8*29SxS*?Ai zUoaIQQKkmNL&IwMP|?WPeGmCZ6qN#`R`5mBCmH!wP+ntb_TiIv?7aFYl8na>w22pz zC{b0hG*oS9nGBr-B<`sd#GP0u zWK|(`m{#O+qphPSMVWa@fEN5=D(+X}bsmrvwCJ$+AlyZeq!HMBm5(cV$N5442`c(k zBcinhA(^D0B#G?zl*5A8TigtXOBFpo&!_nn4G$RUwMbGh9u9{XE6me)IqM9-J21GT z>=YJ0pXF)c0jMLH_EkSJ7D;LX*g_}oz&2;_Jgi`yC(65|L*goL7*AUW${mXg8$oV4 z%A;f$MFS7;5$l7NOdE#{gI8lH7!D&f_kMRyO4agO08$= zpn3d6^_tokF4S$T6ERB&Fa{S1p#^Jn6(G3tIeQqmP9h9SvQ|Zd^wJ3BOlXbF#25_& z5tj=oN;T?9F#PNJkr>XU11KULr@cwc7;qp^g~=4&L~#mvy1?bmfw_Qgy||E*nfU8$aq8cMwcu0#wj-bXZi?q`dr>3cr=Jl0BDTO12*! zWe@4I^q}rW=k$d=Vk5p?e)-nR{9oC6JG`gvZl5JR%FcXUepnq-;8_=}4?(!sGXmbY z53|7S7rMSyyB01dxqG%w-$7(Yz|&vf=_HBQl9u2n$?F%6+_-w-xf7-i8VUEV?UJ1B=K`U zP46Ztzi*#4)%33;S=T0Kr#<0H0YRR#Y*72UACKI4r!>{fG5A{jm~G&`b9(zKILLkf z0bpND>a^hl{F}Q5PhYlEI6Z3onQ4%u5!`s^%k{Fm4qkRM0!qV5?saE6 ztV6z~I-!FShvj8kdD=E&WD0kd*{JcrcTNOHSh!*|LF$^F<-V&`PBW7s1R z@K7MGm)+g>%Dw}JgO+XMM-!|xhdvs=qjniq;~xnSjLLzH32hA2G3X7#P3n*_akBu6 z6Ow$VKh!4t1@J#RLUP9~yeUutDOd~5T*Gzj8UlfEv#m0apPW4hv*H|Ihdr%AUki}= zmerQ@<&XT>k-Sc1NgbFV|fjd4OdA4#nnuMmb1N> zZHNC^9dfgnB5czVUcZ6a>)`b@WLT4o>)KlisMkp6yLzO|vIVf=trq_8@Ci-&lxi+F zBpF2NjKGIzB}f}d;y2{Nhs1VF1q*hPO~}2gi;ck+EGW4J7K0+{yzy*mSwUSKHmQOI z=S6J+>#dq+MBb~QNrpDN$^Ixhmoo4`Ql=9Q!I|K7FXA*-$AF zFtBbBY)Fnh@w?|E=dW(Hg~UEcK}M2eH$)iR^`39}A~#pepRZK>dcL1&P4l94qaox` z7kdYUMXD;bR%vf09GmQy^9K>|pMva^lg~zdfg=l(Ddn6ob zLE9#i#{W2vV96djadT!Iujcc19%POA@PLcK2H~d#v=Ideb@^qGlBmKxO7AmC65XT? zBtg(T2KMMnBA9Y3Xe&X|Pw?Q_LuN?_hdX_Qz2tU}Iqh?~9lGi4Xe&5vb-YVKNtPon zXtkyk4;3*QOh_`Qj)0}C00bmSWF0gblPRn~mzgroq{P*jzp_I3G2!8Ujb2m|V^aWT z0Jln;7@abakYg&GrW0iS7Lb5V7Bs;~D@n)(PlS1KWU{d!5!nqGp2=&|$2t2@P8=|F znT{=@F>Le}Ukq=RA|}ufQM6j{M@#O z#b?j)pYZ1C{@L~3VL?903VvscCG3e+&iQo_7 zMYGd=o;yo|1>SV!AGh161sPODE%q2$omZh9F0!?E&G`$9LuiVEk#DQP`l_-}y#?R( zk{im84c4vpKU)jzdXPYd6pG{?4X?5wQ{TbfLM6YtPXY1gu3KGlKVm_$p|U; z76Ql^wlr{lb(*a&_4vE5o2OVatnG$cx)Qj^)85%Bu3rCjFypjm7_diLlVLstqzIAPorcV zY{*Sr3a=wchD==we{ju(!-&%biGw7`x=hkEB3sHjWj3hjlp!0?rC^(_5iF+ILME9b zNv8M!1Z36_@s~+`CL=&CtwET z|CZaB6QkOp$CMSBpsgySPK##BxS;KkkxOu;$)eZ_s+Tddg=h;yHGRCvrZ7cMYGsPg zRE1!nf`Mqwk|r3KE^4tw{f_Q~lth>et(-2|Kx}S|<4ao-9qE)gN=OSf#nxaM-z%=&2aU6@ekOXEGzyNju8gr~#0LoM%*b16u zEoIbR>XgyIq^O`Y98+et{SaLnnynNCFUwN^Vn5n8Jb-ngB=Z3kU#IiiAl~ zmW=t+*s{B)S)^AE2pu7qaw}-7nka`LbNrcZui=+22-Kkf4g^6Pl{S5V^otDB2x6qE zTXCcyxZVXJsADVO=V7n-CDjhQDB&+CC{&avptQ+}Y#5w6fCx|(`4d29o2(LSMl}J)X43}9HaEQYVR-P|c z34{iB(kL|~UIPhvHy6 zaXOQGj6>Tw(|gP1A-Dxau*YHxKK7TZ?z(WTJATi@Aa>)RAfB zL&1w%v^L*FL59snJYoB__AV3m`%M@^=w z^^dzw&Y8Tu9`nVwwqGbcoJ#WLJEs3iPOa~ozGU6PVMy}SS!o}!pH5#)bJ3r5WSrRk zQO*G0*!=SI(<@vjwwM^Mp0G9J@-~l>oP&wsUh~Cibw*6s);)5AHATX>Wpj1AcXd z^@lt*0AIGv0mdl_8nsvRZjLV2ks)gKwx|0d6-4`$>XarbA8p=@77I)gWMPf(y^~& zAPww!!Me%AFe_fQXbfh>ADk4&MEr?O34ck$@L`nT&orjJ&SroZ? zXj>vaR0B6&s0da9q&{ZpV`T0OwI2lbgJY$=F)0EoW;3k~vn!MRBr|?+NlT}squB=WDW@ki_b7m_G>KOIx~^)_|t z*E{F<^V^yh6!enB;g;$EBqLz|$x}_K&NE}30)d65BLJb~`k&;nRUb7WNf9nXknO)sbvE+t*Nxi;e!1+Y+;!ETWlP#b-l9=&p~+%ld?oGZl?Kk zA*09@P-;vh6J<6fX<}D_pR^4&QC*i41V)mDd`XZEf$wi%-z$Ix(uK&zNCrz(wF*C?qdtasH)3DxYeHPZpt8`=aZpKaeB&V^ZWW367Q2Zm|F6n+QvJ|cgsJsTRH9yZX2tsu0CB4GDN^n@wF&r*h)l*~ z5HV#@$^Vc#i>czL`FTFs2*%K*h+GeHzK7XStyvTgX~2^gkq<+9^N1GAj%)5VHXoO1jJ-H##5)6R=7uaz-LH!bV!kyzU(kJ_$ZHW(B z)Ud@0To|{s(f$*g?XqC4!@Wp?#4K?f$kJ5)A@!EBNJC@py_qGj9s=?ZyryVq;n<>v ztyULY^@>EW1j`vB@;!g3Ccb4+WDDN4CE3w0fm{1}V9TbNR7uO77EpmYY4TTW6|FV6 z$J}5&E9G{$g+N!u6;PUrfMi|s&jy;ARr}oBuO5gfQQ9x{C>_+_Z`yhQLkFjed_@FXR>*4mJWpkY)qy zejzMeE|t|LDe7<&7pK-9leV~4YYdq<&|0vGD2OWBm{tS&V+x5PWUBz1E zmW;~`r}IO7A2n=(uE_Q{;>XOPBfBO*Md0*Da)6wa6l@&%(np8bgHe^IJ?Qu(*;RPK zlS3beCQov_|EZh&Ac$rfn={HqwO(P0g6^eNu5m%v=mO%UnT~MZD{V)6WEjdeCppcm z+DC~0C0}MZ^A2A^s`<54HW3`71?4U#h*H4v%qEphoNL2?>S!V{Je?w#${9jF6STt} zfCpHxmyh4$W|jeOFmQrWCDn@Hd(+))Y3O~RDAcsYF$3iSlUM^;Grz(henD1kj*U!}Zo3PBT{aYBno#}2ipWEI+= z8Bl2}paRtn2=W;Fu#9qBCdW9PlF4Z@mb2k_&lUy3FceMKOjo3w^T#NPT7iNoxBrrx z!L>)-cQ}OJYF-OR<5sBXwTd;Q(+p{i6Ah8{=e5*$Qjrs?^b2kq(6g(sI&|&_$#YV# zdRmD*x-oy8V*Wz|_Z>aNUHE7XUe+vrL?5M?6Et(=M|bQp8gJi_YN;t=b(dA?0mU3) zmxZ*!(}^1(!&zjsrC+eGqQS0+daK_7_p2Uory}QCdg1oMl}`>#g+}d5p1mj8y@z#o zdv1TzbNjxED|eZxk07AUOHxUIF!@ZQMYk#yu-Qo^^=RXjEN|AMdABL>`nq(NcV%kT z%G7JAoiEK`g{c+C?IMS*g+zwe*Md|k${4)9PU~^Fwbb4x-%5H?J74TUKcMHl9^#fD z;8s%qAne=U&l?Bs58*$lH}@ph>n1$P!e=C~$?TpU&Xjv3_w3!qY$)GC%3n~WcfnLy zdtcHjP1O^rRVuF3EJK_9jxjs+YN5=A!X7roBhmFuaS% z7wn7p6bs*RghP&7MRn3&^dl7l7FLy|)j8+kxrIrXxIijI)e>V%;r5yQ<{FIr6m!qM z`m0D=#=O-qY%013UUs{urIIKRSqpY02dAPhrNR%9y;uV3V zKZLKB}c7H0y~x3 z>|?RQlmJM<=F=APQ+JEC)7iSzW^j8@JM0oyenz>24|B?F@KBPCLuBsYt0ywkkmLnD zrq9zDY($bpXQOr)QFJ@ndtj$4J70B+U6>@*a_*Z#svTfK)DG}d3@uCD+5v`5R`*0d z0{P85K6@Cg2&w@Xmh8PdWTe=rRLyr`Z@hL6vSYCQw}pT9tz32H?Vqf>5~gAg^q5pT zd?*V4!KznP^ZmJx{P>d>Pe$#a+SfA@a7_Zr`$(OCrSR2iSvxp=pkprh8J?uc*ai=q z>+|47?&eJ26n&`4mJ_@JA_@7EcdVt#R6pXAbE5^buwS$L@xSh z1EVAh1FgQ-CXCw7ho67_x}9w8^)H=S>p5d%_yo+*U(S*MK{*2mS4{z-nG+P2tde)| z>ZS$9!S^%O4vb3c`;EhQHqKyq-OE8{1D>ro0*e=CTx%Majo1fkji&%e_F)eep%14!;9>|I{OHVib$f)muRF@S~0 zCNL~abwLl2eMHtK=Cido<$>D+QyIRWvnv_f)ieAhF>2hCssCoFs zKrr@Wk{m&+-Yw^K_NyIWS!hW_=n03!A<4}0$=<)a{-acR*V^+^Nj8eAfr3_cLMnOs zy8^rmx*C55d*jWKR%Aop;YZaky*TQUn|9t)Zlqq?0|CFSoc%XmbU7QLjN*M?awOEh zd44lQtyF6{gO}avPwuKto>7}Bm)UkCa9{E@&X{fUFsdE?cFfauM}(dv$H)TRPM=aX zs?!Yl8m~9d8^y?C_--0-to_k|UFbXb&fUk)S^w&A3<_pYmv)q5j@QaUKJlK>$o<1x zXk>B1ivXd%YxO9A*f&R4(14@vG6f?G^j(Sj9l|b3)_5=uY1B_RhdS5Mfz|KNwQqYB zHyOdGM zqzOlnERwzd^JhJZYCw)G3TO!N{vC2;@gHvOux#lrBCin6$O2wpr@7p^94ib;*AC=m zmqr#61lTlcIsf+ekLyvFranA1s=r0!kPP=E*C#JL%F2<2Ec-OFQ179Ug%5JmunJnT z$UsYuEHumTVGxD^M`0^j@PeMYamWTD+Zf=j9h(R4-I3zo=)U_4dXk>AZaeETac606Ph%#Kr z$KBL&Lh||Puco?diatBG<~D`vgD z?E6o>y8<3%w{^g|?%vbiUbbV?3q-!|DflP__s2W7UAp)5KXokm?#}0CZUo40H_h7j z!tdLEwC$F?U;A^%j!oO2JNJ!_?=RoJ?d`W-c%@_Cw9%hFaXXx*ZvV;5w>z$VYRQW6 zYoGb|v;Jc*uwQxlgH2t}-PS!ENlqL2Cve|Aee?&XcR&5^rtL3GCP{W|o3QbPR~}k& z>n*=5gwN7V+aI{)@?U@dsi}M6miFammfm{Hw#OcjNzQy@*$4BM?7r=-CmKoa*G}*5 zSi7mqQD?{Q@sQ-1CA+`5_Z#q@x@r6WgQMB+KlPul%|wzw!j+m2gd*jLWlvFVl%pMcBTO*>cI^5u?FTAphoEe`^>iqAD@!cI{?q+fZ@p#r>2O24>G2ice7oa=r*_;*>bwi= zO_JR893;7R`HsCa_dN5;(;)ACbR%rg^Q&86v3Z~F-MM!8D`&0Ud&{TbUb5*oE6&{n zkS<8_gr{Te%v*Ms{uwrT{ISm-0C~x@nXmumm5#4Zo3-imD?4`lZ2Qxbk;U_NP=R=5 z_>N7;c`6XU`Pr=Qr=jJFnUH(W*4uB{_OFb{+@~rj5 zee0~>&+2|?>!&yE{l+T`cf7WJ?{yoWxgd1yV=w;!&UioAI|JF!@tid5!u<;J$^*UC z-n9JO?v5qVhrflK{`V)h?uD1#I~Ojwl^oTz8uebw6_4=GF{`QI;E3W&~tb2Y1 zN6O15q&l{|y7lZ?C#PT>BuQyWH^4`c7v?*su8(#pdG5 zNQ5=jHda}z4&G`t0df&OE^Ic0{|HI0E;8!|Y4&jmxL`HrAxTy{1#($sqM^iRCluCD zV)(Tee~HgLsI`rjspdlx)?!A!iN$)P9AWdc#-WzU$fGQdOF&+1PT>)p{ZKL249c_f zS|U@BWI24>Ms$!ZW{xlMb!vCTB470zKXvZ{Cs$GBk5}Ek-P{?Qc&F0| zJ+oPUI)XV`QPaGYp*=+0GEcfFX7#Bu;SklWb5B@`0N1PG{f~;}9p0Wmlb% znFtDkJj9JZ*Cxa$O~MWe6y{@8(VIri$jt zlYP5KTwhlc33q3%K$L4cy#R#T{>0Aa_6Sy}4P-i`bARBLCN66K3RYHS+9MHW-&WtA za_a2P0VaT-OvcN}z@6xxK1Vk9!h<#9v54IlQq8F^*1-7w>svae>$Jo}eJu(}T4TXV zWC)}VxI2(KEx4Xu6A5;TcH}C^u7Q=BJZHmgFb~`vZK2M7jvUH&wy;bp!d+Xjl&y0t zXLDS;hmyg<1Qoc;898{AjErPJ>M)mq8{3M)k(@UlC)+#FOq8K|$Kys?Mxv07)X=8PdiR^41}fIkx)lUQ;?K!tcSVUI5^v2>pj^JRe(w0#%SP z15S88isO&PY;YIhi8MK@$s|ORg=p4fl2rh`s)R{hMp$fCAAy&35j>G~b_fV)j&PoL zEfHGPBb{5_99Q+&pfIO;z3`GcX7vv=10a`SPqQUw(xR`exoFPYGS_{F zr?`So(y&rvO4)$GB|tYn+mmrrO)je}4qQEa=GX&QCA0?_;U@{!s`X|d3-UMXa)Gwg zsH5!l2!TrY9GZZ8s;DQj>d87EB;&K-#*T@^y#z>QI*Gx3o)!YK1#mu}_i!hfX7zyb zl8%CcYDsMyqI8Cw?RAz11tlYBWN6YBr<70WD6?l18LmN;=jPy8;FvL~GX(tY2^+vv zm{A^pLY53+(KnFGas#3fc675|Ae(}$nyLW==5Eyr_V%$}awN!%fy@cp7voS2_d&9Qi#h76f`*n*?9Wc?`6@-H%+$j$wh;vbU-Sc zNYE>j?7}+TdORVyLPi8x;v%MqBJeVSj8h-`#o`Z*4TCIkl&$c? z!W_Ke^)Br>3Avt)2SCS?R0>vNm-v*smFyrlYt4p&U0{%QW}(%5Xe^`j8$6V_6PFl2Q5yS1^=97$jv(peW3P zHGwBGbXSK2))q)^D}^`&w$q_~VQms$t#5zLHt=lG@?Pkd!(gZx9aHcE?c?d$# z5qc^5OF_^<(gE`D7i>vfNIYqRWnrABpHdnrBAqI%`LbwJOd(M-3&fb{-5xsqABp)i z5}P4L#@hAqm0aHC$J!9jf!UN?YZae5qhl=+?8<31y@%LrFglf^sa&h1FS&&+6U zh_i550O@RceBCt0c5Y{y8>{ebU2aD>$oW)~-R<##DYLB!Xs);oGb0gSxME&1y{iGh zvn)Qz-WLel?nJgR;5;QQwrh35tCBPOZ7kw%0qScXTjyd6xA1$oa4wBmkgY5=J+9c4 zrzD`_c_2`GX{|-FTTtZ-%nm4^8T?GZ-gO&w0d|MfYCUHNW5LFk~G6<s%nO1VOgkF3AOxwWOfaLKO?zJVa(hc32pCqKZRh%w*~*Kt%?pImz0bjlu?Sy6$HU zTCJ|)W|tk?*W~0=bKMhef$xhQ(k_mN?HvtO8rybil}sgj z{quN}vpvr2eM_e#8MeHS%XBK>-hED|7t4d&7Gc_m!C!f6URv?(Gk7o-h=;@Bm0>nB z;^xnTIjzh(2lY(=`)dFX6swdX3ZFg9OWv1{GqeZdyDVQ+*W+UsHKR&)gyMND{b-); z?hCJ*;pF2Hw;$Z80Olt+4+r8uWIF<<%Q#?uShYmey^cXa3DnGeg1FO)*UAK%1s)Fq zTl7H!ByPdhZ9%j)K9p4JgW$H@^>$wq!`BY!&hr>?`y6Dr%HG$Ewpv?kN7l)+KwNpg zSB*T#)HKPM&apC89i`SlHPzV4Yu0eOUR5H6YcB}yvcCtBzfmTjglG$>*K@eg4@V0b0g(PwjD~?wll(chuR@b+yd8>$j5opPF<7Zzr`mY+q4}%^i3)q zUNxnIMWurzi#?{@L>9w)6=Zt<8B>CxNJm-NjM5!VbF}J~P|7s*kgvP5D3(!)!5>cB zUP>^)Ae-nMcE!Jfj8xs_sG)g3ez2YeJ(h5CvTwD8Mry=&u%k#aS})WzC)1-A`0r<- z+P1VGQ3I(Z*9yzePLMrTbkMVxOog7+{Qx>{ueUaOPPX~hNe_DX0%&>Mhir;c5~-_$Ow$+CP=jgwBwg^B7yARwe>jm@PkzfkOS{aT)0(;FMcP2#$ z+&z~2-<~C6AVbK6?bj3eo%tT7$-tlOCM%b?_2jVxjE4|%o$qYTRz2E#_RNrndYQT> z@JSK?9^}}#a}?n z8rvKS3aWEO|3J@E%8E|netmPAb-O)C#3)?l#h`a>F6)chg;DHL@7$KE~HsWolB-34~Q=XC-DenV-7;U>Uxy+4}*JQ9A z^-U{tA2rH9r4VgS-BnaN{2nj+$pqbA!~?*NKoL<2MKa?kKqdr;uJAMa2ho+e#-Feg zM_Ydq%84}U3&tg98&H91c6t~$P*Y$s>w~C!twpSlMQs}mz&2|5b16_X1sVI$YzUc# zCSsc9Es$H$dJ8fx@a1N)3H%7kGNchSf>@Bz00pHGA|!-De@QVe=^A8F;D&6QqO2sl z3Q^ji6v-|uNACobG_2JhB@jP_N%{yOh?!!ip!AUpcc>quSeiV?QHR-rft;orDV-3_Cv(w?whWUYssKPK*Aav^sl1<{`v@ zZEntIY3n6+AD2|LTdWgB4@^aGM7cFBd3?9o;*y{6AqTS@t%+(@;BN6{kX1>ETd1U2 zfSSqZh#3WC9GdLyi6Tn&A|9eH2Q)#5+BIYy11B_razUhKGZdsiAKH?Y($?I0O~yW- zxCPlZODgD%i>THJvC@rRJW~i*RY3u9n`AJT$39BvKpcWesDnqT8lxnmp!D$#9Ll;O zsY$pF(LgcJDOJdPvTzH3yz=Q=@9e8fbm=exg>+oR&ErFY)|G{nFk&}iuZ!oexeWVV z5ca1bKT(DJ>o}`A*6d8As`z~Ap2`&6w;C= zXB48m?qsdhz`kYK1!UHmE;v5;@aXd{ZN_-G( zxQ*TeDcYoDNGmw0FNA$!+9qR%Rj<8+6wG?jT*O}21-;v*<2zmxrG}1e8|m+5;2to^ zu$8#+(^gI+1)-egZXcmwwnzs4EV!o?d{W|`N0_|JrWMkX7xjkhnIu~)=-p8iVxr`l zJ4jZV)G2C$Cvt^k@LNeie0`oZ+_17FgrbD;_>u7-6F@E!txRqjpIpJ+QRIeWgHwf% zEoAfu(t3klFEwwP7{shKHiJjXW80gyzeAM4)K2VJQ&f`un9}URPCGh@nb0vsZT_ifNRN$vUEGqG)9XL&|gtF;Lg~abPqwHL5M#RKOGbu^}#$|5<>KfAV-QM z`SioYfr38-WcDjqmz+)F(IBRqkgKOZ=@j?%(L|;S=STlj*@8Vfm%cMTD_L0#j-)d| z2OS(KDjqVEANqzYBth|E4%;e&s=O$jk76p(kAEUZvDAP7X$}{3!;+1FC!{lSr=q{O zaw-fVQe_z3|A>gFB|pU#v$@!A7%L2~eDVa(p$B|Zjqk|K1JeAdQ~GaBv$=d59j%E6 zb=yHQ4@EBKwNU<{|9@0mQE7lrM{rXeOt7@@K7?FELMB%TxvebQ)nuu;k=Cd^f`DX0 z9n7rBv6c!U!LlaA?P%E?3UbX|kL^3sj7niCAf%gw)Idm`hF~pdk{e@=QBadB6wFL3 zD_AnVr)bWxgcx&-@dIUZ00SJ+9;E6sZFyPWchcBY9SFYIu-%o=8`FPqm9Y}@md4*5 z84u(ElME9vtVm=HkPC9QGIi#`Cz5fjRhG)?YynBNtN_0~hk%0eFG!YEiw2J8uj3{g*-l(Wyc=8$9Uy7sBu@uu;? zjm)-l8!B?_DC3D+n`5jzhHMuA(8NtiTofYxF3aUhA~gm@I(u9pr94O;{L6B?SN@AG z9gdH<$%9l2H0lARk=znRdI5#BMPVX30Y1Y`WGsnEgJU!qgH35rSwf*)_^40SV@2= z?TRS1CVZ?WKz+sYqZA}7L4`SV5EdA&=m`2r)*2=a!<>+;%6W ze1v3IrX|P{>pv=@WTSk-G8AQ{t2DO}QZt6zXflQ@%R-cPmEEWZdO_wQJfA$sf=xEI zxp@~-z;a(ilmKZ)ycKC#ax6w+fz6DR2qJYHzZVJ$H*qm@Rf4Rn^xzmxj-p@%+2UwV zS)3A%5$v)e;{yzOpUVUxCL{K;IU^K&OOn}p_vq4rT4yI0$OFY7izk%%i@~OMp%}{K zik<})!xir<#_<0bq0m^<9Ey%sgfg)Sn9M*>N?%c-!7Ag{R5MsyDTN6L!8=Al>Z5KL zDt&5XYqHP;qp2nvAt2*nka20a%PyoT3>^%~wmS7mw3mp<%3J2Ve3Up)0bEVg}<0+ zfdoyKI2#*^t{8nsjV2uPT0s|tchjMc%28$|7^bQwK@&BhUB~1=J_8{bcY1TgMq7E=vY{UNYJ(mFTcK1Uw zicu~;xUdlA%^GO-1*3^kaJ5#D;B=n=8MmMbwqYNM%({N_9~U3Q5ID%joK-_4Bu7yi zGHw+>Y)fFUR~=YoTvgbH{gTaa?2jR2#*p4@z7J14KK&=ViM((Y-!rN&^G@ z#6vHWCXW}QBq6_q@sz|*5FoLMl3cX_aJ@xMipaw&$b(D4ZSskZvS2*)e&M04w({A{ zvx@#6$FU&YOZlW?S9v6wP95TYY@>G7@iX!e!52fw9nhJO4c3^Q_D>-h3LdO5-e47I zO)h+ed~#STc<-V^M(^RxXIF5)DN+7ugcMMApZXoO9ez)J9N+A>eoPRsB)V1E3Y@oy zMmPm}YJm*IIrRIA+ps6wirjs6B^i5 za}%fRG_skKy`!IGZ?fF*aQ!c~CqEyXy^@D_E^WeUKalV5MYQcHkUzBAb9Q3K*}-y@ zI|0>8QEDr>=Wn0xvK%0QA$v}80MM~bSUXgqcc7@Z?+cKpLqUwqm#owZ`dhI8E;n%` z*$AP{<_Wn?B1&fkfasb$dlOa?b^*jT8QJo|6<&AB9NSs6lE|bt5a+->f?SlnA(om7pxLZvQ!;#p{2i@J&4y1B zATRZH`1M%`fDrg2*+mLgV?0Lto5;i1D!Am6X%(8xOaXZq+(?G9f+6E7ket-gX?W&pn!<8P~PPqy|%KN>+V`gOSlR0v-S~`26 zdd@}fv7^(PhE-%f&4(BizEgv(14@9=8z|B+z6qmcp0DuBS-o&BSveDA^2>?5X{{vW z)HESi9rGV ztl<%8?t$#$KDf~qGmTQA|MW1{$*edS@c9Hij01QS9k8ASmrjPBC$b>2Dn$v3CKC+- zvJnch^|_)(^tj|v+%~a;dlZ=nLea5O2wf8a54ka>xMI?DE1)V3Jru(zO^RQkj3(<& zkQ%KwV&D)&T^U3rMk-jrjr)qcP*M;l^;!dvu~HLi;yVrEVfDI8h%M1)*LOWv3W1!9VE^ktW1#O3OEh}m!lX2v%7SpZH!+u>fT%X z@_hZB#fxvWM59@6EZohap3DFT#=^{WO{paAq0%zLu^)Pk8b*=W8Uo-8ibZgulwa2h za%cv)-B=6YbhqfTbpSi4qx=J|Z7V7lBir{^5#()64*2yQ7oQbNJ>pI2$aebvvTTpF zxW-1|UzFXE1zarzk&s~$f+({CBpXot4Xg!KjL-!dbWP%9F*I{4MFgUm!l}P z-lnQ3G%1YnWR4+g?(6`0khnVvni#UNGGw@Iax8)GlJRu~?mBHw2SthH3NYS8Ihj_B z06SOYKwhh510kTbR=WzDt7}(5NG>-yCbcF5XoP%2%ZA>k0lcT9b)x1*o2LkN+ym}Z zYfY>Lj?H9idLX+yR}T-yp!Z~Q6Zu;kk0@0L?UGie9b3I04G_|Mq)*CLPE;WzLBS_I z1%Cq_n9Rw54DV^j_8vU^G;a6*ChN9?&}EpS<55cQqmeZor8k10au^>4e@lrjKa$RK!)|{dWBd#}A z#;{aR59)4kJB?blEY>=Ce5Gy$sNRAQfw49VH8@i&g!YD3&D54C+d=G9Awde-3J)N5 z5(2#y*`gI8IiLyrNC;R8NrO_=gk8zatUL!5WX+w? z>fnlkqC-J8acDBW@?lI8Ijl%FKD!jUuIwssb1d(tQzkN)VqhwDT1iNY0@*|Sv{c>2 zB4TXTz`@;VNyxUXEpqz9~of;t-bL^Q&9?5hCT46;Fnt8AQV zO~_T45`c@L8orhhAmbL8GDL>%APXFrh|xM`g)=N;mMtZ{Ni$6*E9tI23Vl>`3@`>2 ztD#i~JDWWhlxZU4A<&&392vYVaa8ynO$fN5xdSj(Bm-ccnfnxdhLxm8#zsl9Pm+w} zMZmWs^heQY0P-ovNgM?T$5jvMh6N)ISawCMiJhXoEtcNMe=SfJ>RmLZeRceA6j_+Jb6HP@c z%PBuXHk>-zgJjM}bP^+FzVlC72%Uc7F=U-+?FTT?RJ8K*MW4J5Fy*1$-!%$C zZzJToub3oWbV|~dCR%6ogYPy8~}QYNDItcK*%8alRLF+etQaX zhY4#nQcK8~VTl z|4PQ^vRQQN!Hr>#N^>ywFv=VZ8yF#kn+wCi?GRF)AwzC$*ZK|Z%_L-*x25@!hF$B? z+wZ!s*7i|ly&Gg+;x5W2D@h1`TJ~0BWl0lqD%~2#vBp(;nuMYxO~&EQjEr(CCJ%CqY3axy(3rhDbM=7gZ71$2si^`U6!y_vWi-LJ5Z{{n?uo30 zH*cxxXtMhgO>EN!7?1HI9py+@9Yw)$$yM;80S%@ke&jv$N)3|UYa?|4m!>(hEfpR- zn(^bxE@k9DpAh#|{RQ`nC);irzoB=cQgnlG1haAr?beERVX*~GtzR9?>JngP=_^S` z?3%9s(m92^ZY!E|-2g707KPy&4Sa-ZI#dQO%B|>1`mxW)n!>1|+DlI<{Nh}41)N|T z#J_>Edi7Azpgb7HhCE34;elg^xVdeTg19AqdHi%Jr;sdA#{0t=#sny6VR@WL{3wiQ z*Ap28^!ug0sSipY$8$iLXY^UoC^7oRv966NlCcRocbNT^f|kwtjTnp?VaNg!Ed0z} zoE$xnJWIlsi)=cjuH|-xlQ$=bq8M2?QIwX_7|~lK7Vf>p|GZ~Xx$SW5BG(jjdf2{L z{-NqDlxQgFbw#c#7R*_oR-KOG9OO}zmM#|SHMt{;*$s@0gS!Xh4&nw1DGl3$j7l7` zU6AW(kRNrzfFH`B&LP=W?0Tv}mev?e65zIKu_H(sc3#VdprV3^QVRiz6v@-I@kJrH<>zS1-zex5WD|LqTm^Ct%9Wxl$mS!+S_lcEC^30pxT%rQ zQ%HGgl%+0SsEya$OR~mFGKV}ku%#dboVATQO5<1}hl;WZ#8yu<8SW*X3Q-axc_~V` zs)M{o=9t!(vwXUa(jftc43i4xWJyRj6eQ(H?^Fgt;3~AhkbUq(OeY#-yD3gM#m8$q zV1Z4n`q@Y_kzqYs_6Pm7Spid!At09&B-tdlY|aUiLpm+Psk0!Yl*BwpdWYO8S_t5x zPfa!yguC9Lf+s7)oikh>l`IzQr&ypBYa0~g6zCFXrp(k79H?}sfRQ9~qY*r!P*F*` z!v!nWXSgcy(^emr-XBD=7AA3yJt%2Tp)$lFlcH5bMBMmWREj7x5sx6~PzZ$PsaZnB zx>}Vr7Mf{HlGK#B?Q|I!p5u4x;jcoM!Yk zWQCjbs|cy$IrP_|!Vw@TXoQ~$ism;EGIX#N4s2pkeuyq1jH0W>uNd@<3r(_+u@j3_ z3fpne1mH z<`t^3=s_YqElN+H1lc%fcPK3ul<@;CaZcmM1uZh%gUw0NoZ}I1n*c@^TNrB8>$|TL;_dJEuK?O(ZLXO1}Nl%!ka71eSOT7 zvl^>N5A^tTLJ^OCN@#9IL?f{vw?ICzKPK^Y+E+XPJsnlLMr=YBuWW5$LG2>3@RykA zcaj0IA<$9KNii65@oKb+qv%#+i6F)*M=zTws3uE{g_E)Dqd89LT8WX5aVmUDA%!%Q zra03DzT!qbR60_-qQp7=iGs8wroe>fSQ0Ek+m9Kv@!3y`YGhEVQ*lL}(`78jpSX23 zj&GxI-~Xf1;iVJS*gdlA(HU&so*Cg?e8%~^X2k7XFUNP~C(bxE@}AeqLXQ0BC?OaA zZ&~&c9p$fx`~43R`RN}M_rDN%&nhC%xR%IAGF2z@5y)9*{-O61zsr2$7m1gD1zbHHD8~R!7Z8vY9<<*S#~wq- z&Vt^?_)E11Um*_~pS-F)IL0SqU3G3bbt?RQ;s4GXVNTH}CzMYvJUTaA#a#_w{JUQq z0dm%pAJhvb!-JIue?8`?&zx|Yb?CnZyK=Ao{estt?>y;xPd;PVl%U|=( zeC2QV>J)h+hj;#dVw_PD=lQpP)%hx${VFj2D2amvyS(qVeB`oS^6i3@XB=_e4JX%j zn0aK^KhFT7?DVU51wMcNe|$bZVcyGU%>#7osgd^_DGLEw?MNXPzFwAngreN@0CE5Q z7m57pr-}RLME>DyB44nZ$YX?zQP4zbWE*ZR`{d2}!_kcg>g!b5tV@Sqe>A-G_02%D z`_lhqu6jrRRZX4ezqj*S5B6TV< zL}j8?kjEs$xO!i3)Lf+aSn=+?!N7P!cnK?R!x=e1=K~ia#hPT==`;n*7We zFTTxCcGn-$rGqt|7ssU?+0{9N*?XqjyV%6@ccQeM_p+Fm=QB=?yeD54vg?siLT04P zvVX3l+@-nmMEdEkX?ZJ1G@q5nI9@PH+ zo%YFjqkZyyO}?~DMoVm5y(<#!P0J^R_dTsqjgRDY=^!s$6IerD48O?Ex;cMyej47% z^IzHV;{SKrvD*#~&vQ28+E^29ysIU@h3j`ANg`BmL6)|xzjTut`u(n5BUkl)RwD7T-y-bXXu zg6s>KvrhLcbm`#indbcc)~~+)%$fh!x8~)i);v4^+k1+o1C_~yvP(_z!DwR6-@?ZbkfO2c z>?m;3Hy!))hF^UG(54@_{Px8^d-&r|8~_UJLo1p9!TPfapLzJ>1Wx({z+ex2_=1l- zbmP#+0eSnv=KG)d@kei8{KP}|e)zx*7c74G(}O=ec=N8y3CeW-1rx4(_|W#5-@atx zcRxP#n!Eszpqqb4LUzCV(31x~eA7o}K*(e^!eX>d)7}Me&?47H}|F=z*ScR)%cr- zHvi@UXkq|cZ{G9GL;v;3!+!(Z)*m1G@J)|DeB;_f9|4Z*58$eE55M;#5B=ux|Gp92 zHx4a3eDklKUHi?CAHMF7i=KGs4^JGpV$H9CWiqEczkB^Wh%MLw2vNwVJ`eIn29s53< z99~1vv3p)#^~VdId|v?|7g2uure9Vgb&jmu_Fm+ZBft6f|2_HInLw`vg743PQ~dhj zn~r?t(7tERx!}yrAAI;317iEyk)z?}{_%V$_@n1u1_1O2`vK(pLqvJ#@U`E%0I8!j zDL7V>k0yk2!U*p7{`~V0a&XPZ9zOKwbB8ZL>J0qw@XbG)(7A!Ycf*&1`?){hA+qvq zgR*<;`;H*ISOzD>$EKZt0Cflp^eDKZa|t5!wnk#JHynezP77%VTj)0NhyW(erD@ku|(@s`dS(%bg!fb5t& znOxN&uZ*-jGOa2DZq?GMQjvPNrhlH2_YZb*-kjNB_XHL0*1LV3%_KY2=>hUFa+*KL zSgOv8L&)47R@c&5Uju;LmOGOS_`Y5*6mIu{)VlH4oX?GH@>@8_!VTWmzf&Nt+XSAD z*gd58j4<(IMk+tEuUi^WiE!^@$D}Q)YWa z%p-F;1yGOSK}0#PkO%4MISj_%-WY3SXFmqxaTh|tT@Os&NZ3)Gg4LmauNV`lHU=Rc z^2v4^3XjdmkPVL+bCj%yEMl|uvtzlt#uqY%T+az&xJPzEwx?aCB+^a*5ZahSQF6pY zaX~@)Ge*c;h^(`{+Ip`k9SExHH8lqp&&uD zv0%e37SOGLK(!=lrERY{O~7Et{acc5Fs!tdfOm5;JFjf_k$a_pbjaWVpOU&^NX8UpCx;9UVz7vbx2F* z%yp+v?&e$O%jMciXJKs)DbGO0bu5jKxnPdxq$4?)lS;-S?#8BOf*|ZJr#{_V;HYo+;naTDV z!S~d&Jwbgri8*uokd_)5&PK}5j$0OGWG4i2L2snaoA65gsfC#L@{={Dbik{3QUU8o zD44+rIy2XFhJX*IM}z{mrrDgaehd7Ts3fx{eV00tz}dy;+%9r*v&HHe!`uD{=8Pve zE@Kk6JMa_At#+z!v8?-v!t6|^!de|tB9Dc&SSw{)_+n3LO}N#N0EDL-vaufNY}%Sc z;s@P>AnW;Z<~C|edO@}m(8uPA9Qj@rk#@nT037YP0G**mvL=USosKWZaUdCZ!N`)sM$* zXaPaOVKq!bip^n}0-1&B2?rbC!x*=bGdCbWh_LPUqdeLiWRmkvn?o<@63(#Ax_hew zF^5|m-%-0Y5ULs>U_v*9;=zKEDW28XDPj}Ma`^rX+7kfa zQuqhqD9p5KXjL>`3j;b;zir_|`Nnj{i9l`gpzM+gpl0*{2u|c*C4*5M1e{>cX%OR$ zHjYvWY}8AP0O!JW9`yy|surn+Z#fvR!AtaJpExqQ}x*Y4~&XsPYuG_O2L(hVt z*Fv90*+Y`WB9nX3%y-)6t0vVLTe$KIc(LcS#;83H<4Ju~DzoEXKnEmD@UDRBO{0PA zH<3@`ctLmyK9TpG6O&bKFith7WWsmWdD3zP%q@D5!T5Ey%EVgZv(ve|(1lotOq%qX z(IRJ1VK(wMj|Ne(Cy8`tJ>vHOl5${yRS*H<~FOFsVrLvD>pX8GF4Wj zpWc(Unr_6+(onGX^k#6&K6Juj>6w$zzwJrJ07HpB44`_u2b6bfYjgq&^7hMPE_!1; z<0QHIWTz)XkxeWl92n1#8X0u(a_1@D(H6~7%Vl|t!SSg~^W0i=_k>PM<+Tq-(KJ6T zmS$~f=Aq}dFc#u!KrWogTt({_lhIaG-_2=j6Z5keWC+!E^JeKJC;fL2Pq)UR%pVNT zPKP!q?{f*~%CydGv!|0ehywnn*9rNC^5Df(I%Jtl2iOh{y{lsknpC&4AQ=yCbT#4c zD|`DqG(~l0yMu&8G`S#z5{+cXAsYo!Q8unj>7dCnCVO?1c&MbwiM$PlJC@}C?Ex+t zX^YxVYtn549XNzsRLoU|Oqz^&rA{TvKdlh$gAaC`TF+ukGl`(+QR|_lRty0paoRu! zWSc^$@$?BAVhm8NHG-}Z`04F)Qts{m&OH~!ZrGQCxf3|9a2;akSSQ zCQVEM&hXw_NqIFYNULfCW7}s!3(j7wnMWMRr!j_Fja|zcC>9ABXjCH@3xW)qAkcRl zLiY{m3XR)5i~;fif*r=eQFO}KZ2Sr)+8(ux5g-H2MlID1GkY4*(BuW`wM5s1Qvncj z*vk~9>sYqZLcpTHLb9eaFV8GVx>d0N#v?=kD7*OZP(ZMVg2iDJ1e>eyN4dKcs_6;v z0}7WNI5Ml3sX&0`NhBJ~%)MJvaZuQ+30lO@lB5t`c^Ct$;)2{JRZ4=#oryczHRz~N zr_hpVY8K;7#|^0UesrAjIKvl&=yNv$#visR>EhlL^B&g$#Hg? zo{rNg-IgrSV>3lY;TiPYz!+zDvr>rSW+A{05aHtwZ-X*!Cv^oa&_F>4iLtcAf%r)X zc|_l(zb1f;XoS8nN2SkkfMVcw;GH)mq^lu@ucZE;ohOM~Xc$w6+a z)uqE|z}9iVNy`ADC2&$~K2d=ydV=J8O0qA-?idH|`Xvb&eBaRSZyU7Q8!n%<=m&QZ z>g&IsRl+RQvKM@<0NC2Jx*tLw8h(7%udkU1Awa7=WHi}j;By42?Kn?84&WZf%g5Y`?ubUtvev(k}~k=tD~&!0Qd7G z-~g~~U;g^mdq~I^Yp`30aqJ3kLlcnaLhnm9fA#sZ!F}MKTd;fj)~!R>6XpI5-Rn2a z`sy{L$z8W#e|td3UN^A&k6XI2C;#*HB>S!d*w5vw!y58-+s2Y?(q#45pDnmbBM*NB z-1iXqt6Q<7{3RORwK8=OrLmHPJWsN}cGnaLSv&NyHh%YK;?Vm81{&FTaQ(JAM0vr} zr1wEe9Vl29^4K++tc6sNpQp4mdTVY216(&cvsI$(j)9K70o*f7ntWyDL&Nvq{*W>L z0{FXmQGWH^xq<8Y_iVepZx^94pFvS-pHym6P*5jBLGN8(ngs9ECMRP2 z2?^={x0`k8kfQHOyFGljim594Qgj4>=t+~?Nz?x!!QM!L!#z&K=CTvq*2}r5Ww1Ro}3?y=*_CY|KU4R}lW}ONeq=(_p8U#mB(W z!4RyxvTjOhmEOocH<*3DotwCzKNRWFLb3xXnQihrJ#Tm|xXV7FEj)~?ti6M@V}0x7 zcI<}V5zBh&v(4B}HR28t_d<=bE2YPG_$#u$G2X=hIkqy#_#O#aA@JGoq`?UjYY8-X zUUon}N93w3b_+RoaDAk9PI@xn-QsN`}dUUHG=S6+i7*?EF5Jc4*O#O|?yo zwJ)36p2A)(g9Yx;^qA9DhtGyJyzAh`6|@`4B>c`NE9dTSN2Zd`BuV&F>zdz4PJN4KwF1bn804Ct^Ea3Jgy21d_pq5O@$Dyzi$Z9wMLY0OH)> z+DN<3J|MTR^8Ae+8w_oT4&~a`rGA9eC%soSfjbLVA$2y)Ohq6_n^Tzwr*uF;kYP^K z;Kr$5|E9eQ1}AK|((F3BU#6Be4R&`-^Eb6c2k>cfdt~yKi&kkXeGOkcPDa)~DP_&) zcsA9ZXtDv->xU*s;d58(Jw}rgdK>QQw{wx8Qt23=) ziM68ORp15>8npOhLO%@-N>XZo2sT>B+yx`k`_2#v!M4$44aZqKgAm3(19mC35dC2^ zv{#aD1gaE{4mu;~UC`W!qH9P*46;-Eh%WJ!xs?Eqt>7F-cVM&*bPvor4onQ1OY`Se ziwCVRvmCnxWWkE?#bI>BC|Hc$qR&#$o@3OLIZ3Ajog+5`H7r?E4<>;hBE%2uEZ*Dh zv1X!75p;xG3mry};;>a3H&q1em{OsqD2dGj+ToHjN?Y{8NHFfhae^s|NOR&Z3(%nu z;!GA#EC1T04UFt3qRP`zhZa{)`^1rFgeE9`Jj$UTy4%8$jOM=}(wHcl>Fkt)U@UD# zdRdsh2WG5M3*yLpNQ`JT(7CmkyGl4C%(JfNF?YD6`WihAVnpZtUe^ zWDDjGPmi(&vshS5+B%=bgp*p;kl>#8y>4dj^KXl~fk>)D%AWR> z*`(!pKZSy*Y|mwubTLHkw0rj@9G>;R$9QNhPpcYyuyV zZqr@sHZrbqp(sNuCbgi&xn}9KJQLjaWh(dmO;I~J`Fy#Tc2MUs?oIWB4E3b35}k3# z&h9Pfjot3fC)qNrC`t^Mc)s649&~Nm{Ux0sAen5H$Nle1>F4SRmbPwAnq)UEfR%o? z;^2OlQ_o@@3v4Hr@!F!oQ{Bqh=hshS?}$yo+9d8|Z@C(i|IyD->R@~WdbcbfP2gCO z&2CDzPQFDgKd*Jz0ey;jMfo^*w{s-#q!rp zo>?O&&unRj2Uj(aY`>e^IiLdz3w!=A2>wmS_q<*pl2|p_Eh@yK^;Tp{EUo4_*#O#8I-O{&4YQo>3v!@L3Cw!k4_`BIzHz4~@Z|rlEjnQ5% zI<+PuF&mH14`O^Bz`kir(Ywgqs4^0^qXL~lnGX{FEJlt#@b9it5ipG1s;Ql~s4++2{$dPijjO!E^e zOtNjkY@0@zquPTB$$EPTd{=msI>1ayQxZV$kwT2@5;?*qL{aWC_tPnunn*;5do9Cw zA|c^IZ^$^Vkg{Wmn||6HBGWlE2?%x(v*D8_Td|;4ka87nmNXeDRG@g z2&xeW$poEKK%-fpAHeKLE&G`vNkt9syySS>9HbNzf(xWOCh5TMbm2ARZag7+*<9*2 zfSuV@QVIN(i8T1-;8c)Y3E}jvnP9m|34zQ)<6d~6*%;hsU6#P9Nm_30U@El9cWwzK z@RUS55g8X5m@z>-r647p+V}}uA0ru@LsbMx2S<}Fln!WD%1-FO1sPIgayi~P31c9d z6zQcuXcaJaMM}~+Dj4{HWaH%{Li#r9fKafM4Mw_E3R((VfNMcCE+F4$2~FNW6lUS4 zAL(suBfjtIhc6^pG`9dl9pLXCLQt1oz3-?KdZ z$D|0cz|yPpM5}yS^NcsSEMHyzEB09&uxf5t4@e?Gh)gXItDZSQ}wd+i04=&6L`3eKPG z-dwln$mKJC`P>XZ^?qRJl7}XOeD+U z_BchkXEAn_f1o{7B;eP+P%5L_`>$_B@aTA%i@q-NK5T+ z!YRJ$z~wiz-?=)t=)ms=idGsA&Rh)8V3-8C*l_-gS(okFJnNSSFW*)~@crB?Hx9Lq zf&s>s`Y#|EauwtbN;Gm+A&Gx_LlI&aTi+KwSWdK}g1EJL)@7uLN#dqog8KzP^{$8P zOUjOY^*JMC{dZl>T}rg0%igGEzq04?S?y0>PX0DK?N_==lTSF?@0)=nxHmm@_0WXx zWL|;JKY@~ZOa13o-Mi=ytM7U2mDyy@QLKE6kqaohkDsMW2Mr1O2JpMuXmpu=eo@FU zdbX|8Y5RCX_Go}L?XQmzM(mbU_PlD~q1wLZ4f>JvI^Uf#_tA(uF996aW#W5%Q@uVl z(Zw)wEVVr{1>Ixx;S+=F5|AHp(c_Ov8JVA0fseITP4m|^3hYmt9_rebo^vst;8UGB zS?(*(doUO2Rk;m7IGq6@S%*gR*ENXsXF-##ky^-(?c^TA#7dvfG5mc$AXtAy(6Q%} zIXhPXxsCKbw+6bGAljgf?`MQP3_!OokZ0qUo$A0Ga5oKN+yPtPBg*L@H&KV0gscnU z%Z!lQo=UQqT)_)I830VLMtvOtGz;$Bbm}?BQr1K#wX6Y?HqaY_AiGi%EqfWwSr`SA z6K8_t>|BZ{@hixl*Ba4e%`Ln^67o(Mo{Yr)V-;X&mo@nQRL@qE-NDU~MbLYu%nnuW ze97CfLtqvnk{(>|o(~1XDY#0mYT5zE5*g9z)S)QnB)H#)v@DV#o5(uKX-$1yKZ^Uq z@OLsYWhap_l|hajo*ehPrpMC5nwy@`*=K8{2Dp7ie>;EzMp_EY4>8DZ*K-y+S9O}y ziS+J>M$##X-!vVit+cB~BwA5+(N)rl%}I#xJg>oCml@x&#~0FCp||j&xd=WHh_qv| z6VQ?i*`5ddzDPW}scw;^!Kfnn6y4sL-lJfBS6o zD{5qq^d<=KsJNoR@GsLjxanLsK+!;DSTl%rslYtJ>52)_Bhgw#XOtSURbWz+m}8|d ztihO6)b8DmTLmEw26mbv3DFOR3^v@wTA*CgSEiyfh4F%EKB@J|h`ma8q z#fYa&um$}kbL!~?oaGj>t-y&WDM+sEM5O5Ju-2VK%hQ2mD;)8dZiy0wt`UQIvYEQ% z6mUX8;%DxdC+K=~pmwqvO*AA$s#{uuqjkos4vNALQG{wRi0`uLPQD)`-mK8$Q;4l! zD+rF$SSLCk(o!jfUPv!I7;Xr_O1D{%5LjV!>%kWkNFCZ;-pG#7#Exa=Zv+FgA!|+O zRxt=VAss0|@=-zyJ-!P!n~RP`ycn5bLSD%!OQ4UYdt*m=zJe?e8#+sP!){k_}CLs?#OsfF2Z-@-u10{SP9Sr+3f(|RT-}@HyJ`uQ-=T_n{^0&hBQHR zHEL}vmbwIjvUkQhGWg(;_vdnpSLghN=R3nh_F~sO<0)`==K`f_=z3@Zy+Nia0n>KS z_2hu@pst1r6}hfhW~RVxXE{Z=D~C$lxQfWS<_o!+TV4`^-uTu$oAvE1j1Nsy>#?XI zG`R-A8a$0q7_$tCqMA`_gho!yU$b|SPP5Zk{7d1ePzjP&mx!1nGFds+jr zSZgF=xXJhiRQZU~jr}7uaUjHj&i+eY?zG4ZJTb)y8C2sAD9Ex)!KoE&msqHgsCs|u z87~ZUU~PPkqJ)wf*jKxXWUCP7pjs{9AM=4Ybl_2XSbidgCK_0 zS_yJR9c(lLKDc>t|Cn;6g&z!BSJ{aUaO`C3)895G}EDcvZP_hTDOK#%7^qK6-qc` zPVsFxzU-q!`6nm48MCjX&?T6nqp1Q+IVXs~6djI1M$MtwJzdWjZe0mzvJ!%x2U)O4 z2#hYxGqVSsbH! zdReZM0Toy>ij=dkdf+qn&E+Vs^CDn7T=)z_SeAwHOMyW)0U53XR+aZytc~Llfo~g_ zEd@3V=u-WO{;1+{VEv+y_aa;g%!wGi@wp*1L;c7t8)OPf$x5FgpE6brH0Fb6kDTtSFZ zbXtg#K3gOq2#OAc>g9$8~upxOs;j47^G{YBk3^NGA z;;JA8^NMOrK>8*)q-v|)1i{AW$Oq}g{%`tQk2kKKr)uF z(4iiuNO_Jwr!4!}=Dabzk0zU)*=u?hk~IJG1e0nyE{U~13fE{P_M(lCT~=_aeG6wQ z&4L5H@c7yQ?{yqkxML&7BiHI_FG>gPb}2{#F`ytI8I6odoRH%jX;;xLl;TV=rxT~l zl6Y_FFMbpRW&l}|Gq=`~bPYOW7Y=lyMd_tDblyV;9Z1N?phZGS2%!}}I_V_TM@dAp zZs@yc$y&V;Pa1MbCGvs5i%m+?2?7a)-ddzSH3vgB1|3Cq1$RM;1gQ!?5@ty>f!(w0 zUMMAODlib}g{P5xp>3hF2tKT*JEMbzB3)=Tbx%vm0)zew`S6jY&nup$s4Ifh=c`V6bP*nu77-HP6i$ zS`2{P*Fj#tc^fqOcf-AOVE1>f8!{-n+ZG*peAZtdnEl&D&t3Y^?k}wz+`Xypl|5ST z4`9CHZ?VqZ=)JK72CIc=GEilUQ9fQ6qQ>|+%o*N%;VbJOzoCHoddHgIY9aka?jL}A ze_=oy+%FV`All+MH^_jb#pJ{vwFeIZRF$Iqx7E+=-aPA*_$@kUxbacN&|`#FyYU9{ z_f-cUuftpj2FsTaHw|b%GrYMP?P3o@K0vp1C}GCw;^wPW%qYy zE&45KQufK7-;LvMJvs5p7ipr2G$A16OUvlM|Eh&(4gv@w1 z8UI+Bd%@w$Y0B%~@Bw_LL*(y{qe;lPt(_F+P3 z!gnw^@!+ga4sWWv>bH-tS#%JxUn?5_fj`5WMrk?HgGkF#J~=^iNJ#(uj4mCvP;V%w zJ2EGZ$TgM5q5WK23BL5QF>ea)`7`St^#t~#Jc3CB3mSZ z!IErDexQqq{%S1sDGM_v8W>GMK@1nv?##JP^K$e)g&EDyVKf1p5K`#bPAkb)gedEV zHT+vbKohbO^1(d}?j>+l0ZVwe31j~emr!;eTaIY6(|)!K9vs9vPvXWPz|JN3$Rs;$ z%g+5x{x@N%P8|qYj`9B$O}#s_O@sb{hWt9KW^mJn_Ib}*)!}U7_Uf0s?oFBZARYe= zzbmUgvEj;gE@G)imfhWzU53=TLamKlNvSg@8`zTS!1n~C;D#&wO;{01{(cn3uW0I< z0P^?UE8rImVw=c%|C~%{S7}W?7jX#N7AaHophIW2yg%Y@3rk=L@N+L;fZj>Od0v z_;LrDkZk-4{yw^p(XyNDJ0evI6L9P-Br$^!DA=_^?C6U8Gh6Sr%V#n9wnQMWoV;v2 zaSU@tYx1UXyB{~-X#UxnFqYC$O{E5*)58Lasj2b~o(U)3i%ux*cNA7}bPbwYsFBDe z2xyN}L!P4(HxtBkKQ*kWfh*r1rC#P!_>$Oi(*iwD3TP)PW;HWBB>L+?m^2BJ0!%z5 z(A}nXsbC5>DOiE{gEa9VF}Bg}u!c!Z=#Mu_g^sz6hjeJOl{ujXIAXmIf4C$X{el3` zEpp^4us?Y^X;snDp&|m8AHgyId0ngGf2Ud1c zM~3HnaGsXP1*Ts^e<@VhMN+kAj05;=ILFS1u^j7v>4u;KjpXP+YI2!?fNIQJFo{cr z-_b+yL2D`!keQrQ`c~!Wj~(}pgzvRPNtqTwu>K$hyaMieXjSyBzVmiCe9-JkeM+Te z0n&bS(Uqlw4t1q2x3MA~vOz+TPUT-0!JtpZoI)1lP885t|8wqnQ7nUKN1ec^PN1SZ z8{nH{YTgqawRUrRI^N!t_2JY9_{xnwy=-4>E zb<{YnIn*HRw0G&kKlW^~c4|Py@|j#NP@BuueIxa$x|js&Yj}S^`5xvK+OGeCA34AM z*}52-L8hfaCH?s2b|~^!sB>cNroQP(k%gYODHN$A7~n!RL{kn_4XIG1b~uNc58P-{ z{N4e1?S4Elxz5jF2?WToEZ-@!AZ5Luo@Tw@Uu&U4jMSv;<}ImTr3tV01|iXC)tSB)zGmWTEXm=jx0O~@}7Edhhpjt)=!9B{6PM5Ots%y%@oPz~BH3}5)9EG}M%tH<0hEK=7g|-Z_4Jhj8u{^l&-xU_Vk+P^dfTVyK zgY2Q|J_In-evE{Nx|mFL$pAJqLg5UNd`@pON@-j2h^)5VMx_T0GhDgNlC-iUo+8;e z57CK*2X(OVnB};(V!ZE;c(li=hYbRwn_gIH4IJFkW(mzND(WOpQ(&Nt6InD~3I!Pw zB!Qnk(8x7LC+SfM660pjk6eKO0XRUpBv*7w(PADzF{@=Swr7EeIiOG+I$!|TNWoQv z1}w4f3*8VT4aVRmK6-@^38Hc}hmBhZJ4rO716ic4a$4{g6E1r#z*1sPr$321j-uAt zi&_F_^8~8pB!8E>5+8P=#t#axV4Jvf2m_EX1>Y;6k>NZ={ta0wjl0#JWTG~MBWKGJY%(}V?#~>!J@Y3FjtN^m&$Y-oYX$ETG(?~xuvHJh1`yRk3itGQk zdwa(w2C_K<9+B4FBw&KA4WN~#)J%?umz1xb5g}5PJ5UN(Ef%Y-QkaXI82d{xYWS!2 zYtjZ3D{8e$t8F+kzl29~>kk2_AVDWaB#m412TWBZ~=I z7?dPnygSy?AL3Fe!HEB;bp`y3WT-8&IU->$M4h%3RrZ_{*i#lTMHt@2o*?MxC6WGx zOz*s%CJ26)NHrN`gn1VMy1Ui^zB)b_}YC!`mxM*&5%;Y8fS2C3!*BuRzrj|^7S zbj&(AR5WG6NxdYfT@->MHfeKljNXx1i>yo`B%kJ7^~-n<3F2(E0}YG1&5}$h^vGb~ zcErXH&yPTy;Ns@Jbke$ax_>b@Ws;4>IobEZqoM)sIYK9hGC;>K%26w34z5-onk1ir zrp2i283&Uz;WGU%h3L(3_z z4WAvSW;MB&_P_dZx^{2&!*hKv&n?@rzjz6g<;xcwOolU>1h_Y8bn^a!uNbLWx0bye z-9~iBo8((VdwxA&(;I#7+=)7JLzhJ>>8tZI{@mqDb(P@*>p=!hwQ4W5w2tt|qzE{G zqoR;oFv_L5mOGnQT^yMreTBJ!%APYG=xR^Rv8e3X-TUd(z1qVudZ2|)Hta5bM9X~; zBB&Rz9c&PKS?U=Sb5|;gRi(diaQ==CfaucvXC6!?wN!O>hv?HKdeaB?R2hXv@}pdr{K2weN2yQQm!D zx$90E_Tc{=is6f>RLbge@bXj_?u?s}rAl1kSd#(>$=>gvN=^-~e#uhY(LP<%6t`XW z2F*$PB%6gqhB{?`aqV}TQ**85)2qAQrrTc6dULmL$6U%Y?4`}Asn!i0mUeI0xAeTX zhi86sP1)BU%>G)({!^1@9!hHWinSdgu)-`G4p*VjXts4%YO~f79=SmT67286?M}$0 z8CLD|=-2KIXQM2Kn`0dsY}j`h(BuYoY&atcSD9nWJwx8J^)M)Vh!@hxk>pFB+LeV{ zkX?mT2FFfH-Fx2FgJS54vcEQGzavwC&^=1Rt*Y-S+tES3w^9=~ufBM*$KU55=?9N; z=|`N_QuOUjH@{t$y{U|{y2`%x7gfa7mmU(!mIZG6mHy2xZaT7``3MpJai{dnHUFa6 zK~KUtlufarLfCj;A(2W3j7$5B-bdg5XLfM3Z`0_qREJb#O7=-5Lm5pLxP2tbI%I|h z?y_W`2Z>(384r>%58YR~`i<<)5?ZfwtNaMckVY>B^h*sNz8_3l{p=X- z=urvCCS*C=@HK=eg#{o%E<2!QcW5bTP}$mFZ>Q8hv-hzOD$yiX0~9)fVI76~{?;Lh zd-ClRaz?2`Z-D4h>dBH+yDt^$$hJ;kd{uEqnp~Dj`chUZHR#(TroVp8{I1{M!L0*B zLi$obi=}LpWm!iy7U4-HnS5`eX{pQ_76?zL(wc!yGiX<=Mr%QqJ=_*CcTZwXSnslq z1ibu`QT7r)rFwD8vJM!Z_DRah?y`s4^WRBGV#gxB|K%1+z1;WD8+=cUrczBdX{nb2 zRKgzg(aBxxsyXMCWH)#08<`w=XAF7aN@V?Op}hbC{jWAvMs zcDyM@{{FVLukcgkp#S!_tVxqHF7GJ6ql-mle{f59%(?uF8BZLlS_j-?$2sXOHgf1E zn+i!5)gm^%Aeo_0HOuzV|J&QA?`YpOb4Q=tQ68$@_)>;0%oCG^8BI30iR8&0B1?bu zrHry<#-E7ZeFIHQZCTBUufLgnBH~N9+w^Utz(Id@k?-lzUyhP6Yw48?yyxOWG}~ln zQPVkij|xI-DVbqRHGM6GsB4g2LB_ZA><;~aH1QbPw4d(!XP@jYZ8cg49morZe<1{`YI)N?evK>y$JTw`#_;5rB^$ z*0}zp)$ibP7NS}QpK2Ytg)ljjTL&5z5NDwqJ1}Dg6~}7IcH$b`?;bbm*^#XSCMeK4 zz*QxfRWZvtI9l)FhMVp}>wsw6^d$>@DZ5V>8qLJ_Y6a;fAm^KReV1-~*>}lq?T4dj zRIOO5pVN_nfgoQ)A=U?;j6ML>r%HTFI?mXa>_0i_k>OaBj_0bEGEPo4uw%*2?j{o& zSCwTqd92OcqjiGpl62`nlT%1S+4m)FNt)!fnDgn-{OnG+>enErjsL3h;5szvG`6N} zQj}wd)yelsdOyL}b?>q$`QCis;P1=6z1}--c1gWUzMuD4DX-F3M>p<#)965OyT1P> zJOH$^gPGshW-pqV@$HVp~r_8sciI!yY?d*U5ie^-2Pis&w_*0HQcipZA_dREZdiZ)*&MY-4oyH zZ6kNQ(e^f~z17%dX;J!Ts_*ZLX#V=4n~5Xc8P*MdS3L_A(w?Is(&e57Ix|x(Y6)kj zqIv=&a%WC99(cF8VkCMNTYj*e^7r<+yNMo%qU&RqanHgapzN93=RZTK>UB#60tfUgGTYL91+)%}(1n*OzBdsgN`l)sh))o zeFg3mFgN!@o}vBRv*@$6@2}6I?EAj*?wvI2!T(F`#AqLS7R=3$xSpN`>&2RQJqx>p zXm)UQho!iqKGn0pJ$o1TES67?YRNDH8T2grIbW-Bi|=eNL(c-maF9A)&+fCq_xi6< zI`&fIoY|IyV;jOb8_t`!23?2~pMNmBUq{E~$&=8t0C_3*EKoXw+wIZq=*iucYT};7 zQtl3-!vTMT4B2yozk$CM89fVh*+v`ahcv2Q3wJp7o2O`O!jTEle^2Z1?c`Svq6>k> z?u57BIu&gopo!rs!95H1;JW|WcTn`ZsO%5pv%h~Vu>)lLo+|6#L4Dr3@8Zp?yV18l zWzPcjBxBR2eX3_MxT~zp>pY&n?2wqbD6sff`ojOho(0X{pkjyPfU>*28-;ZK-T&yk zhS5_QXm%dRFYLN%-Znt6?t1>3EqfQAx$^nF4-dO`)vnL)y8iO5t1n;n)aq-VzyHaH z_q_Y|?cd(<$e!m`eEXUgR-N>d9~@w0*4zGZ-BqRiCZF;8k9U7{{Ek(=mfflAwq@5s z_O*{q+Pdng=YHRL$tDHWd)AKM{_f!`-udRe6P`a4z`oa)Ui{DNm;K}M(;#HTve!zU z`}~w^SH7_8|K`2$i_V9C+#($V-tsuYUftO=s@e zy5aG8KVE&_!w0Yb&W=Ynt@`5iS9cEGK5|bdFnWOweBz$xui5e2O{+E>xVEzdvY-7{ z=Wln6RI)cP#Bk@lJ5B<5+pe#!zH8O%KmP~(edQK_+Fte8X;U5sAo1$YZ+~;v-IWKf z{M_C}i}yS}|MAane{}Z?yXIW;{Hn`$?&+Mg<@$ z7~CFwvC{jY9&^Bb?>yEUcI=-{Pna&DCyaco%B1H^ZO}#KauR7 zd*{tP{P3bV8*LVz+&6n4ulnib5w zrQ)WRh!DEb>HtykR-@gxsWf6)QNy((;j@84>SO?T7GFV9Q6y+tcH)-|25a2l5LE?- zwiv!qk%4$|2O>#~sJ+4V=>Sz#n7p5-kdbJE>|kNS!NLvr%E!RFJ_Bun5WJjdQI&<=-%R#>zTnqxC&*7EL&qe=D;-|+lQ-9HL^(H800?q z0qO>hfU*l&8l4+7NO8keYwSp!7!lCoIrg~k7_LkhTu*XA=Bv{}-di<~AQQ87Yr;kR zk=!^B?vIqs#bC531!cNw;wN8A`~`((z$Zc5G(U!d$B-pd`t*8#h!ACt3~=LsF05pP z9xMw2;GPK3fE-N$_ZSMe=hKqwT91%j-~)1AiQ8Pyo- ztWo57{w%Ar&LH z#UBd+NR|Saw6n0tcicQ*#0J(u!5QITo$^VpF32eYJ{=UKkqzO2Zf@XGVB1z}b&Rtm zK(_5n{20WJR5)8nkK5LxATy#Y>6$WL39-Ru7_v=qw=>S$*eGPx+l0U9|Z{!$gHgKVrNYh(qShOjju1a2q@3^@TShojJ#o3k|IfG;+j1bmds zK7l!?Y&N*rDwH8yqe(UvWvQ%elI#-z&tV=MLaJ+8;?QKe^$TQ~rymy5{Kb%}$gQd( zxAn+|5L^bw7NR#K4fr2=D-SBN;y$cNH}^4+b!t=!vTQC(7wBSH&>IV$vhnaY#erM) ziDZA;8?f84AIluBRlA?|S_k@sNxb(u)VJ>B^(T$)L;MQf2f2{|Jjv7U>dj)=Vre$2 zF-}{UBhU@7S-4E_G>47<7QcduHAN^>${cFJOYEpESesl8owQ(2FIDT1tE3KZeFb_xhwhT-%IKADd{s}JGgFy4}e9s(~7VMlm|@kQ!N5E>u^a$zq{ z;*KH#?jXNZY&Y?7P~znzoWuJ`{Qh>KdKpCE5))@ZW=SLqp)DaYvcnl+EZ=IHvyq2v z81KE+VHQ=uN&$_LY%-<5%@Mu@?oj5j5QxQVXaeWKa06?OfeU;IX+w@(u0FNQkPuKP4nORg+U~U;{3~%t!Pe9HLH{;PfJi0S8 zf4qJw*-I)$Axr!Jjcww}ipC?*!P`$RCg0>@#IilQ8qGADw|4eoV;*VDf6y zHwR7ESCcgJjk-A5_o2GO$}FnEc)Vl6%FSB}_`#l=WvnfN$AbKo`A+i>cp7O1CkQkk z&46<)2?u2fLLrJa7r@FYs>egC0T`WNYe?e0Yy_EfEad|1%WxE7KtYDcDu^~-MRsW2 zs76i3&9M!-cK;VMVt8&oTST!zV`?ZMr)50X@+sfXB8l)6GB-&YH1k5kwy_(!Axqy`@=Mh7Q!5}s>Gn$ zxT*g|H{Ie)+YER@rdSJ>ged{H>&*e!J|L^Od6lwC92-0TP~GrmK?IVd>A8Q;BWGsVsyCW0`}$bR8njTGu`^R2ARW}1v47o3^5+d>gic$E zGleOF53=5Rs10?yXzhb~uJl2Z>B7xGflWYkP~SF)mgsp4<0ODE?GC3i4Be8{6wlC; zdUIVdZdEO}-4Vr0QDdmtkA<@N20?2vHSF4GkWk!dpJ?t^vIvb|vnGMEORgC(u_#b} zs2*1FGYwd)Cjc~#? zJINVl)e?OWmt6+B5V$AJwIwh$5LYjE`w}n25vc=Wqr6m^^%t&)SgY{!Fqwo@th~piDNedPaWLx*hu~`QX`*@wxdjd2t2_jImAvnk+<4yedNEalGuR{oW z4=rTa;N=6{Qi$er&`B7MK8lv$!+d=Fha9s6&f`i%xMmiz0LCm4WZO*6$6bmW9tRxq z>!#Ecw*i?3uPTF3y2wP9w7vxKi4Gocf{gQE$L-9r{4fCZdW|9)!Vkeg!k3_?K^3MI zlbB&;?gu#!pzVZE*_+VWffzdzxpRU)a5aPpq6Cd(r4Z07H`!DuRF<|_4|4f9&Sq^0 zjLq1gMlq6qSQFEI8qu`g-$>$6^C`=c66d@;1p8Uzt1++xkRN(l7u@OE0D=W0$QaBT zs6RTLW?CxvDN9t2rpOvqLM8nyc#x=& zdkfkZ6x0_?$A_A{r6%5}J>EfLA@50?_2Iv<0c1aq7 z^(L$`q2NZ-0J(rk1*mExkV3D$fB6tBRq@!8g?kt0y)tVeKvh?)_zSonSawyLLLuE+ z3Nltv0jTPWb2ctF7~xbw^}aN9V#)Z+f9AoBD#+X0RhF}!!7>;>Taoq3nSB^0^~uL> z9RN-KFbfN|D+Q+k+ZOAKq;YenPV}I9fhfBdUDNJcz5+rXRYG22Zb0>J*fr>7-K!cSgZ4d%i&FZcvSh2oG z!Hsv7?maTg{Se~cxQK-SePG4PuH>AbC|KGbKKIOd?C%qS;9Crz^b*pOr6Sj_{9DV0 z(#Hne%;Z0Fp}of39;)#j3!yiJu-X zs3z}+)BPyDyScHl%&W8d=hggd#fo!xeFrGg@b@ooU$zPLB&}fYBK}|nKSb|;A-QG6 zHq|;r_`A|Nhrj*oK_La{Gb6V__9B+O9G{yqdTKc!Sf>qX?(4+ec3_&-`?Sgl`0DpE zrwZ_{=;Oy3-W8vL=S3JGHx6>VE{RhAtwN?y1 z8aMH2ave~1aayY)Q#0HfktG&|S;Puf1Hsp^btvi9#o}DVY|gmqygX!C^@=v6t+{vzz>fWO z_0YN6YKoM%W&+rEL7hDW+yn8M`D8PS565TPCQzSK)i?%~+@Q4K6ew$px z>%*(~;}U6_g)O-YL7>U_yMX5t$lhSp_=S zY>A{S3SXfk5Z`e;RMWGA>=e_mgwnOLqYSL)X7 zwAq-^P=c|DjDmJQ5#kbHKw?FYrv+eVS}`RVcl($In`71X4~>hOMR>5pblY)JJ{xYd zPS=<_IyOWx|I^4)_62t>f*W055~-E|*NI1j6Sw_@m?SuWv2F3zR0GzC?{-z7KA2^v zU1i46V#J*`Kx(-4G(JbC!81f1B7p)S;8;H|{1!Y>Iri#`(E4ePCnSR4!^#!;Si!%7 zV7$|A^K%U2T=mw;I%0>xG@NfQ&sYWNFWJv{f~ln zZhikVm@F84H?C;R)LXetnf*g&W5H{RYvqnJL)J;# z4d}Vk3I>&61f{`z(Y^{+wkKkS`(!m>fFV2DqsifV0=ZGjGHo^o&jd|_2eE8E3JqgB zuu?)n7vI6S0gHv?l}E!M``Mg2&-jn5$Z#w$cfeh2)Db|KZv9B^4^r^Rn}my@*S&*4 zQskZyqdMJ4*4}y|_0OZo>6BGfd>Jcv*vcb?9E09R*W@!4Vy&=M>u`LrKe?eSFW1rJ z`|&sl0w7l}F&To@nZfw8Mv^D{b$>HA{h?@uF6}YpW5@u!tEmGZ*TPfJiW-8%`Q=y| zCa2t>({*ED2|0OIG=`RU_Spi%i$-6x+WrB9Y>Gj4Ed|9ngr|>T_%VP) zT_v!qX}Q=MxlwF+V*}Wi1!=C(v_jOk9&Tiim4NXWtGl|%#+Rc}A;Afopt3;{Si*ST zf>I$#FCPOx{Ade5b_;W@iU6|I$kxlxHxuTdgmJbO%E}F$ZvpRj3@&ljG#KelD?*Vrhi(vS5EWyz)XjFe8(e$Ut*)njpZ_Not>kxzofa-;*k@wU=OlmhnKb@m0 zL?h+(I+bVFX|`^l86xW!(J&N5!6R}+Uf^aS(1i7VA5`yqWW%vdV50$1T1P+!hOTTS zjAbF+MGUg4vByG2p{2P|iM*Oa0I-ev6wvA&0ktuRyAZ9$J1ZP=HGE+ho zBr79~21_V*FH+=Yz;5DmNOt;<_c=iysdlhICIj^8zZC00>iwg5P;kgKx1oQ2F;d9e}##M--V ze`YVTynG7Ce*<)^lD+cft)*KrqCa`h#K*wB%mahvHR$uUG@e*G{5k&r<-1#{X)oBK(p`8 zd7x${lv_CG?u{podLm7}1l%mdTUEYfKggGKFHjEdBXM&d1E(_QTwdRPAwX>J!fN;z zly2L#Z5OO6N3!ZJD2tLR@(38_7vQ=Y(rYew$FLSzc^>v1m^w~3J#0= z<8SiM(h4doXES*VOgb(VS;h=$!{o0k^39W1%vrYKH~Svg zxV7j=D?h~ee>-{CL^h`-yP0*NKOMJmPMSo8~N# z4tr2GV(I&F;p!tHhVycGby$4b1%%*MzQT1CH>~UyqE@V50NL#r8%UE!firXcOuNk; zjpMTvt$S@?q6c$W=@)ok>|?U5(*x+ zl1qLpo5SdV|50SmoPR!hyn7Z$L`*88wG~P7)*FgPK#F+F#$OXA9}q3-HdU)2o$0*O zAhCxxi=>@J4Zb4oUZ}1Ch&KPZWYwULLPkDL*g|5~Q}v8&#u zB1hbV=vh*cE0VkvJ-)ao!Yfq{Us=spD1Ccr0+LFQ-;&-<|497m=o=w*hq&Iwnxb{f zNFS&lRubA~N&aCU*t`R3MhovCftW>FNd~mHOgq!CD_Rs?@5!B|+qvAW?VZ%2Cmv** zaI8t}kuKOIlcy5wd`P#Yrmr_z$HP(s9W;LhKJUma0;5D9866Jp&%?1uw$2f=WV_em z9XAN^gQ~>{(1MWd@LUUq9SB_5!K@0-LhGnDLCrZNHXC}5(4?+Y;Xw3>q=t@UF5Lft zDHDnjomx^%J5kJR{wSWlDftPyek6J>;Vh94XGIi4enspKiNB+!qN8$rVg_CErJho# z3Vf8M%W~nC2<~vb|J^Sz{D-D>C#u%rvuwIr@nq^1fTW+3Smj)i5e=x;vM3ZoYuS!R zQ9a%bMk5NqR;>D71Wn?u5l?PEfS{auBZj$>;4KY585EjvUS3JfWy1%3 z&uMZ29gF90j;syVga8Ih@xLjb7%#${f&JCP_S~LUzTS<$XdrfgNkDytNvsJ3sK?Mi zWsZQAA|4+lfP|~aR)6NM@q~<0j|v+L&f}$Okj1$KZf(>!tjX`jTL|XJfafa2Q=@0S z=(zX2SO7rck!}F<`sWTj3-by}h_R+M`6LGk@QDg;JjfjHfvCWD;ctF905d3>#ACnP z-{YWQBwjcwa#exruCWaldMn2=xfo0K7d+!)vgyVkfPLY;v6%db^P@R!<>4acVyHyy z;KsGOdM(Ed1VZN6p#Tj=$pd#sX_8~L-!Q@y{eoF|kHVbsU3*~i38(JHAeU#lLa2HI z{HJN2i7W;Voj@$oJiVXI8|-cxHti!^6>X z%~omD;ss-ARsm>+lJ*FGWF%t3F!S-e8$_8yEeG8TwCDAv#PgGaPNDGyy$TTwWZ5!8 zl*AR}gg^p&nC&vNwQ7(#3v$#1bZRKwRBG{tjmWcL=DA! zfvpBrr2ztXL1-WY#bB!|C7$yzUej`LMuv=XTn7_mqTHZ-yx7sGhoq^+t}dV!Eytg% z2xA0UDb0etdSc-MBwaZbWbE2j0;d}^7^e%r(WuNp$t$)bww@+73a~#SBBhl~lkua3 zFd0emMj3xx$qgzSTjjKqGvq8Q`Xk2D9BK2(f0ZVm*7&Mj|GJ)q>@J!khN@wKAdL$7 zr;0tNhxouitcu%)31sm7!}S+CoK8?%+)7AZP|cGXn5R@J6ZM%ng?EUX&*42?9eO+x z`z)H};XLoFG5#=BsAF&&YOoyVR7z*ueVG20?>T~8Nq-pNzI*@ z62631MFcUSfJmFc4rQMpCvVOo?QUfb^R(bk==i1szf5%TQ|Rq7r4L$DLzJld73_vV zO`2DDcw~G?f+n1$PHlqhAY8@GkxI7r17*4`(eZE*OjR4+`KW{ok)Q+I`dKDh36nh{ zF`gY6!+?-^R( zq{t%2Vu2du-w3E)=H9TFvwZB5G%W3guYG6brU9k<4=oAe z(u-c(n#o|WJcizlcb1j~~FH4g_x|_-8f_(1c(nna6cei40fzeYjWDoM* z#lyJJ7?T&%4IpEx0Z_dRNW8$44G%8IM-||nwybec+OdoCJR#{Ux0ap(A>+8@Uts6K@J%YavD*1Ck?gV!a^6;2tm}p{6dbnTX>T^8{*pCT>8u$brEP(90H~r{*n3D(Y zJm$u<(E~MI_$1{ZuIMg#$W~TjBFOW}{=K(>w2mFCT(uGF0{*ZYzS!et7+`i4+{BuU zXLGu_(?WVH2yRT1w5GG13+}F?aDRx94<|2BlPV>XU*A%S+WG(-punX!-lYny$5pJ! zhYLw7`0wLxdF=|-IxOKXRXzWGFe==qBhP`{Sh2f2xD=zyj8axwsW8pH*ai?=e3XzA zxN$@@QWzZl`>TdGRb8dE1vKE>1_#Nzt&4ym*YtG&5wQGDl(HwM2V8_^-1j=$OV z(yzw=!8-K}V8~tM);EPBmvX1HU`gf7R<|B+`_(TRw4wgi(E68e9aRZbIGoA~%y3*8 zT-sc(53Z~z2t{W4?i@F>SS~udpl)&SEBB#~U3H2+xZr}9Vw zqm*edr@(E_#EX&W?1sam;Ju|)@i*$8WbV8{=prjDHcXK;uww)9;>P7LhspW)Q$n0( z^s(C(1ruuG1G1USqboNSKuC3nVe!oAI*;b7@%aUIfn1)6354|I#2dw?h-tN4Xp5Q# zV>AJS7nqrO5eiO)00)0wSyoLC)OE$ZY(PWQ=Lpx1)sg+PGsJl^Y6vkdWTwb{5iI^5Cun#PAKXhqN^g z%%R0pBf{gKtDFfyV)kCQf*4ww-cunCla=wkWgp#~FaH zI_O9`6;Tq0QrLLqmuPShbUr85$HXj~F9#fqX@?Q()s;|!vuMe!m6FW5l1vWcaUrMQ z@y3U)On<^h&R#+wUk(nPU(q*I^ek{9OA`==#75vd0}~-{5k(a#i7i$%;^YOwf_IUb zt-48|DcL-vfh2eX!Cn$X%~`?csr7V<_D96e^8FyvFczixHsk#wDZP;rYJy=UAVY`^ z`2n@d8|m-hpR|jVa8?kn73v1YEew2ypJBk9Fi*nFlgvNHle14ZI;r4bWH~ z9%qo<<2a%aU$h%~Qzk2k!tx;>)rZnCF@j5GD{>NNx|8VMfyASx5>-r!%|0sgu`sY6 zT=MCJ5fD~Gmql=n4Zr%6FH$%|@M)Vj{}t&goyRM7P@t;4TC{lY_79&ZE|Jr?5iMx1 zANV=9HZN+T5sd+Smxp@mUjoMbq(O-V44RIw5aKs1!8p2G&ksdlt^VXE*0`b0;0S}% zA3(z&kJ}3X-_CQ*FXQ6`#18zZxZmbkh(Y)Y!JNU724rXQ%!wz2qk$RIKW~k@Obad9 zi3xme(jl7%%ctuNVyCtQgZu~*9DEk~OCBsY0b;8#V$+R3f|~}Adw^zRm(_vWUF6}< z3Pb}YV+niQ_!bfHuKh!iEAhAh*^FuRc#s=-81huc3~;YkPp4Kq-&-4RoOF@|ch*!N zgdhO9+G*eL^P(r}gTLV*Aun_Vm1*Z$yl}yGT7Ets&2rD&YsnyrV6PSJ(?y&up)K(P>AR zB^LyjL|%p$88ny$@E@YD`ca>&dU;@@c(GuK?q~+cD1w3bcGX({Pkd+sbD*SqqXTXg zB-BJM4Tcbx9DD3A7}+i17cwB*@PvGJ4|3%}#ICh37SJN=N9{&>^F~@CWiR3N6@0)I4^AQQ+dDG6{9;&1RoRnxZ$eMk+t4NmM zOH@N^eake1Heb!Fx?oure#mihaiIH(s1~#H0AiJjsJrSnzz4h{icS*$O1N>i8nEYS zf_TG^I0O^(!3rXQjD+xKZ5A|6S`vpmL4g;vv0+s;l{*eN8NBhORp5PVtrb>iz ztdtEtmL(((Bx*5SQ& zN{`^+2P<)+u#qZI&rk`m+N(DoVHUFQxF+Cs$DAFU$#u~ybnF@GK#!;$ENE7X>-mcW zaI(+gYte+?B30@_s>*Hguy?OgkU5x@(@;*x(#hZ%I!y`WDKn^rV4vR=`e6khwcziF z)ex+kY2|S+?^!v@cHf}`Ox1)O&PeUt;_~Rw@fLp zBjGtt;5Q_G;VNvQ3JwJJE&O__mvi$YFe{`2di78f0Ipazet)K4^EfKhR+`rk+WNpnn5kaWf_|7_B|IeMpqt?^Q5Og0%z18@VwQ&B^BU>OF2v97$ z#&u49b9I+hcIfGx3xe63B4@8SHFYriK%4KYZ<-icU6CiH=)Bb9eYa8Bq1`7Z17)u^EfjgFd#h3eRIJOp zw3|EVfewH-JyVu?E|m&F2*9#Pvv=(Gbp*;-cAklQzgpIp5?IpsbYsbr@{`_9@4AxutMCjlFZrgc$4I!o3Y5Pqpi;pqhTK@-48 zvFs#}`rs-vwZpf1u5Zt{?Cl-?vbW0i1y?77{*<0`aLl2E?@*ULr3F>ko&QW(GMJOn zeJRK`bF~5#TGU9)Y8pvFAAqqalG=X)WOudOW&Z@kuS`A77@-t7XSjI|7n*bO>^|4f zmwqSC`8#zU^v$2^+jMo`=O4^M=_KifB=R^iWzA1LxFhxF`Fq#RPyNr1&b~XkviI-L z-dEH2%49aX3Rbc?se{TKBh~rf_CxDZd)Fv9x+6us@5pOMAS8Mq1=uoLuYu)Do8QOx zF$vkJclwvmm2bzcOlpsBPjpe`Tq?f%;3=v7%NI4>^^fMVhl6E{Ce8eqQvbc|p*N0y z>i`SwBU*=1ITZ5KPNEq3WT_^n9LgCc%Tn)v>`U#`Wh^@-Nz3l&2k=`>jPfIqAX@q&=$ zVFhns7*8+DZt^5>uSvZP*?f~zRC(f8%izTb6aZI5QB-eR95i~K1w=c#pv zA((OIdIzB>+dM?s!jySM%39~}Di)Vp8W zyYx$`f9!brvh4@6_rH<7FWPr1x-ecbusNv|@QrWo=r^u&`NgSsNAA7lSXu`!k5ND! zJixG|1@AE7=1;pTLueh=q~4+;pZ+CV@93+|&d}yTFrMg}$J$Lw+{9`Ci{0h=HEsq&U@u#K_eW(fCY4SQ+swIbE1=~K_W8_bB&yHr{epj+bqIEE4cXP9B zCj`8Jx98S@0cu%xlFHuvJEWyfS~qHx?}>F;+oIWTjWcGW-8kL&__hZ79p?d@yVOR}8_A?)Rp?U;jbz*LUpy(p$^EH2;~^ zPcPn*%KpDMGdm{t{hq|git-?vlj`!!xp<#FF16;`y@_<&{a$e&aC~|yWv4a?-_`w9 zi*lYS%Mai?R+8_$)H^4aWL>#$=iKD*eWMXO+%lJf-$c|D5@(c!qDa^k^o(?KV%ZAdiBor~51H5)Zoyx!$|MeFzaY~9XE%(fEeJndIK zi?I$mGu*T2`hq*#y5UvMGG}C@Y`8i0YxaRQ$2zonpEcq)Py5dZj=aFEc%#UFv38)X zVEC_#i>!rLKYid{aWh@s^)+*Y4?PRV%2Yjz%)>nkJ%5Pyr4E>bo(1jWNVo3}^elX5 za_f*;wkx_qWTrNtXMrxndKPKf+_TVcKD6+G z4lheHwJyB$XXW!A^lf}Vd#uCXp>r2vUvx?r?sp~oS~|4Tn61c3I)ybU;GTt-B?~D_ zW@;CPHxN2c0D@Mbc;@P)zWPnwkDkSpF+cd8Z_jJl+dBMbe(y{G^d?96hv*kh$(X<9 z)UIDTgWEA|hhiwEV9r}%f7vwjEJpk6Qh3l@IID^KAsf)Mz@40_dKLvE4*}v=?p^Co z!T8Dvj#+UKz`6w^yUNa!U)o`v^9Sm@cFg|KzAZU@cTnFgqh0YqJqu&UeATl!#662t zXP>PHv)|sInHrDQVPq1nf|bggvy?gJc0^T$l14vdluW!v*u=luyFwm`4lks9+0MrPgE-*}24Sf`u` zc-QZLYsbTo-B|@V-ADiD@n~s3Wa$B)et+3hP^fKj%dXeJy=m3%-|l|=x{Z&#_VaO% z&s);(24JmTGwfVI^{$<9J+M}uKJwC7i?d~QbPysfLwdzAJ3?H^a|d3?z845!<< z=Z-_quSz}oKhXQoA0OY-Ii+(tuxvdA&wB_8ZU*G-$~2;E=bne>J-cep!$9o)>sfzX zwc8_qk-1-Zw3n;q{}~FikgL;}yxT`3%L7+GKl6IS&x|)7BJhJDn^E@WP6XQvk9>XA zKRV}bVP|yi2l?*axVaZAGO8xdZhhszyd8_DfGrK|nl~CjHPx}#oA!SO#t8heGp_{;f$cPvJx%G@>XO4?ty`3R|6)m zva*uILq_Y+9Bo|CFI@-;=7$Os_keq#aZ?NmmiBFE$WRJqy=bp@tAZ6Qq_VV++gM&y zHBhsRHAU1QP=t7_}E6&3f;IV zU(=HVxpW{KD7)oemeOv;F~|_&84t&XjYJC{8(aquBlxoHUS#4dKe8hC<~I52kL1oT zx6Ppc9(-;V$!iJPYiti+Ie(1JvpEL4%7zg7NFg6D%j3%J722$7>y^C&`{YZ|8#sjo!oJ9UAySx$z9Qm+bT}e!BZv`ApL^Oh=|^d1xVUL6OaK z_DMWiaLB`oNCM*cLYo&D;T;~@^xHU7LX-prYicmBZD4*~z2^|eMbf|>KmlnPMi6f} zVenF;fWSrgg!N3ZYHpV?oErEA@EWA_2WRlEUZetG$Xp%3rg}`mf z$E$3hahq)~UIEe5A`0`^?}#0ID=3*=R{90ZlcKZD~U`$cDsf`7pzKSwi3{ z>qtA<-_{+R^h&_a&(MX@BLD6{k8)5$ zCxAPI6*@8ZPR%jorJvsfzp;aD3*Vy(jUE)jHfZhnMieDPFw1S#%SDScydwq!#O0hJ z@|B4sGznv$-%=ubDCl?{LxRAj!#+TZu}SO;T_AIta0rrte==GG@*q%(>I$dC(TqO>N!iFe*xz>0>yd6l=z~?2)kk}E-gIv7CrTwo-8s#G+xDA=3J%oz{ zOwt@>KlF(SwBs-bXOL{Q{g2bp1Sq@G!{lwo(+kho!$LGa1GN8w$qZ%M zj>ZVjy7mHiYz*#;+G13J9$@o!I5JNUHS#lftOv3fGYhQyebllf-!Le_jXhoq9D>+E zm-Pj5NLDa}N1T&2-SSb^5Ui-4nR_3Ed=ZT()jB|v*UkEZ2yT~Xzj9$0jTycXYn|f3 zqSJ=q1n!*rIBxuk^HE4=P+k34~$M}HvqKOsAbpS zWy6tQAaiI*BQz<3m^tT7+Pd$CG7-4 zxZxacsd|DRJZ!D{A=Hw^58LL}NSM&j+8j@T3H}C%t--N_id#@)rveXDRW?G&qlf|4 zsVXwScl|8%gRpH8r+g6kL%5Gh4vvx~gtZ9C@c9bB-Dos&jrEn*6_{%xW2_CQQUO-C zyRZ9hfRzZGV9tjsc+@Np-)Wz{5LLg$|J~oKbztA42v}!QPUTwwmH3#*!G+9SH6GmY zdmtoO*DBCjSf_&=sv4zRh|qT;7_5&Y-sEP}%?O263?4#5iyB1EN!20T3B{|n4;7v|0o{@11}a**ouW{4G2V@U=TZM|g7FhL zLN^0>5_fRsSk_x(pJ*`ld;|)2a${EovTTivnGH1X;TdSYctHkVXsji1J2eX2AYh6i z3%3tA!)O|%MpH>IuR~)8N&r>9s7kdC4LY|xb3!|z@c$x9^BcgK%q^~b5^qEK) zml~-k8p#YjIa3r;Aa4WZ#v@$BxSg=dTW({k1mtay_5Qq1lHf331AO)=q}?+%B(auF0EdJ z-d4!q#%_XD9~TZ(z!!6IcC1&~@KZ?kAcO*902D&8*$@JsKohhM>{uKtZU});Rb$bl zgX{^x1E8A>$D*`)WHTB4Dg3;TOrMw>Cb!ruH`k)y2L)A2uW^<+tS6dbR`8zBHcQ=e z6`S+P_5Ls+>>BaLcSicZEx8*Y1xYhd zPCSu>2&_M!&bP|3FO7hP9}Y&5Vhnr4FIgrHjO}QMfI$~jf+m`1tuXRAbj527{G%sh zxEquvYXt;zP@;lZaAQ3}7HB28lR-w35c{R0)LPJOKF7Lfs#~P1??J-?1amWyuyxzx zfgYeK_8@5CmlrS zfQS$TKC-kkaFM{jBa-AJ^m?$xP&BsKgZ3&xp3r!Y?PkHa*y`T(K7+)Ottj4B?H=b1 zV~N;WiH+8pb3#e!Xw2|sF0Uhmej8p75t8EzBu`tl`=7iKF5U{koJBYGCl<{(jGp>| z;G_$&CdDywry+0O%dNA6&UJf>rVR6B55NEZJ#&`rfF^%8X1R4=%{`f8P@ z_vBr(_X72`4d}oFHZDFZo#o68GXVx$hqXl>yS=X4Rj)qoA+rKb7kYymkheew-nC*S zmIYbP(6I|{2juPVRF(`HjMYd~F>ESbqPUf-itoWfBKIy|hV@(y+`jP8;?mbAfn;6_5=wFC7n`fIMr~#9@;G9b5CRryv6oFIYSb#t+Ma-WP#; z=+D9Z(Cv@Bu=u)R*G)XDOBEWp*LXty;8h<5jhwc!+b5hQkcY8;{=LgrUb_qx;t^!& zId-L|$+D5HTu-{me^d&>CoCIfxfR@7vA_=t@f7?pl-+y1V(_dyDtE6eKTP&Lo{%BR z$}RP*$;8s}Kil;yEPHbrJopcWi|*F@!-O1N?_<#9vlG%%Fi5oy5&lxN&f)K2KQp+| zL86+!%>Dn=y$gU8#kD_N)zdTft^@QA!#caJTD=dm_()~}RAMzsNhWswx|2_=~JgpRac#Qbp76i>IL?lmmAeO`CameAVvB68Q2)6DnaBO z;6C5^c~sQ>bSYqIL-td|jn9&&xY&ur;|(hy1RH(;M(xX08#8rNM$UI~E1kMcB-yqwatHy+E{kEHpT5bSrR@zeAlkC@VfUGK*jjipf!KN- z^~}sIAJefKpK6zvH{vVkDcD5U^V+bJN~)^Mzy_vC7$5_bU0KttcVkg4nU5G54sXovN%rM`<@{HNm6_>PhEE%^xGB>I|+blN6ogn6yz{+NPH z7NlaY^YXJ7`(FCkolq5HZe)2QfD|A*k;j~gw|;pTN|wr5t12fa>L|+n-?Y|u--i9k zeyMu*-TCYaq6mFbA@-2pQtj}!!{^`75QFb)72|+cRSIX&V)aoPwTD+&56?^DF8)F) zn@quTWcf05B^Ya<3$qZdhLalP%;e2VKg*b+XUs;hnzisx;dj#q&jsOol!6Dr0s(AT z$XuWzxP_-Nz9u;Ki(%yqo1?Oexoww8rVu|x$@@9`85<&jDu z6xSDYX|gmCmqQK3qIC1TI=ZPO4yMWSB4ERl^d)24cTmF~9YxWtloA)T>U$`D8FOX` z5+bD_CY&fqRueddS4z5MaY{kLX|*fOlC2^mCE`>8%;1Fu=_cURCrTv)>YnbRkppYM z)Bw4|J*lJQoNTW(q4@zJ;ka|?3VT5o9rQEzz`S8Y2J|&%eG0$Y!Y-WyOSB-qk>{PYTs*cHtwM<1AFu0+R4W>?d6u(wIw2L#=NH)<5 zgsI=96~vt?OLtUpZDKeHKLE933x<-EVzBhDe zGfW{#W0K4HdQ8A5|AIci6(AlM_UUmT`S0S&*wCYW2gy*ibl`13^}^6PxhY0<>t`ch zo&uEVyFyT}LUv_Drr40FnX&|nquyrh3AETO5Q&x8tODDaZ&eLqNv_$-EslIy7}#W zNO`R7JlC=oB004Vy`o+q6=h9Lu|g{fc7_*3uqGF*wpQfIqr#|+C9%(eyF*AcpRftF zkx?M{+OP?dQ?uT7GF}IYK@MA7vB+3A%Cb{4A`MNDoaSJz(q*B9z5pk}U-^xwb%>ROPWPz1u>XaGmqH1Qm#5MI;1ZUm0%AFpzt_4)`Bk<=DX6 zu7XW~_e zAKcrZa?58>Dkq~@T;4#bBux?>o`GU-R9T1Ol{z?0v`u__>nzq~@Ke~tUUZwT9S$G% zr-gmuBx=G$0tX(X8Wp&rHU@0$^DsM2;JclPV2H_-IR;Y|hP_oPpw&8#VkI3Wnxy6^ zz!WVzl``R)AaHFM3NNy4sEaK8g^{B&}F``9StJ%rXoO&|SABl2t zzMXQJtcNCotut$*N#RAY1H6huNSqPXO*93id7g>!&#;P;-iELD49v*$=Ew{*IY^Gh z1xt90(GCR$K!d62v*ku*>r_fU!jx4pHpVuh)~}~Q2v2~KWrGacCe#9A7z1>^O>oam zM3Ec-<1B%R4aFsT7_u6Ww}xxG@MVnu(}b7P3ffbH0TGf>(^OB%B!oK= z&Ul>(DS)Nr%<4?dVFlxSfhak2W9=a{Y0|Z2KR94Omix*|Fx$w6I8*jCrgZKAR~V%j zD?g%kc8X=5C0W2A3qnd*V!U`v4Cq^Ssu={bNh1$px|W%v;utk1o0u$-c6hSn+90dQ zpEn~Cj|a+9a;h|Vf|Z!p9b+PqXRIK3l=yr!(IidQ;9&I5&r9<q zK}RXdutfzH!361BGZTQspN}SoDwXVp`*iI9d51p0Y4SI9IZ!l8AZ0xTS^UF~4bK=x z&TL=)v$mCE`vBIRO8%6N+<{r1%yOB4_EdHf|>0s zrEILL#I+QR-Xtn!Omt?M;5-C#Q16LhZUyh6S=K6!hno`OlJ#09mR>PCiJH`474VyR zo|y`7j6xc!Ns6SIm2SL5Ry&IpNKf36OcrpDQfDdsLs3dr%QiAD06xZpg7AVU@_5&3ksO(VAvtj!!gHxC?VUhOF3J>YZ(?@j%?1Nj`E-&qL5rz5(4}f zp_yVvk}d~>pc7MprP{8Qkc|mJ-F3qgrLc)aF6f|z=(BD`KOmzHk0VM!mme7sk zkJ_in%8PrkJNvg@x_|5+?>GzOxfc)S`3 z_d7_={8!er?i}5xaa?Z%(Cl*ThdzC5^W<08d}~+czNIVAUIXmeCztNC$(q@3V_sn; z$sSL#VX7Euf=L7b`$G5Cqo#peweze-kncX5_O~bUf79;l0QTLs&w?-c8OUoCFh{s0m`0YY??`)_CdohK`4|M_PhMRI6vVfEOV*x-Nm8)rfGbbkZ>l|&vj z9n%7{fA?;b#oU?s@*lvdWk2ZK+uz*ZPtFyT9E#T8u3ua5fUVN+yM%xPp4CGtC3fZqlPSs%LI(a9d>TyC6bfxSf*YjKW{xq5$ zdWe(or*X#)139T>TkiLHhexQd<-mDEhc}5q?Mwq!DA)C^7+GUtPQhF?yb9z>nOR2) zc6bdnrW%fY%YR=9GPakU%P>a&6R+XK-vlDIr&>rzD|*e?-V?*>=&$A?Dg2dgH0)Uz zLx+&KY1TJ**z!K7QYqJnRQyw-u5{|cct1Nu{5%>_H?OFy`dSmF1a{V0ZF728DJ{hI z+M%c8 zN;yF8x*P!AK?p_JR7wzm%uQ$dO^On33Xv&F2$=#~dk)Fg+$lecEi02K=6ttzi_Qj` zBLbPCgpd}^eNxhnyK`%-66oz=6eI_bJKA1WPat3!P&%u;>QgY)5YJ;qX(MGrK(Mnj z;xXmaY+4+#6?})uu!+?`+2HhP+2tvK{|y#4B6sLA=wl7~dXv2o6{3aSuu0q}*To)t z1)7k8`$OV2Sd$YN>d@R|+=>q^$Sq_O`tzgQUx=SOpYUzan)qnUGSWDCzGEjA3Mg1- z=0=tI*|7YnN3q2*qWs+6G(U?!O_Y9e&i>uu>sjpQK*9UWu!<+ZNtKDZrgP^o% zhSVxhV;B@sk)f7ZOJ+-};cV&+oe-kERzV*qv?xTapCnGLl)lnSbm**JUqZJ$N%k#{ zD{#|+zW$Ki#G=qy#y_%`o}OS17#RFxXo3@1*Aj8`b?AZub@Xj^BFl}qPI-nzFDeEP z+lG1C1#F7FcnxCn*5go0GgmBS6fDgqlwO24yUQ7N^hl9gN!j6TFZ7 z5hv;+?tS80ltT;!n+z#*YTC7oIGGFMP32TX{$awM*ya$cp*~IZf;#Ykdi%gCcf-Cm z!IwQ3+oc1`$z+%mrxnVbfy7d+3i6bHn3IMt8q~X7C z(~$iNT5LDt8KE){!}bu;Ez=|ISmH-mfeTHHGVClLn>q=L1kfhXnglb`i!pQp$hF#r z;t7z$|C#a3h5y;%b(eV;PBLZk1dH!Vj%T4T4~2q7#R z(1e@>tqHZ0XhC>CkwfJgW!IARzLSta`)*(7wU@^(^!2vdz->AiY>+?P#JYhw;Yd|0 z5PTx*6lWfR6qlFCHXst7W$*Xf`3AUzp&lrWoUl(JJqddr-6bX>2ikbikmtcUo zmpk6>%7Jr|-aFq{Pz%wr0UcZB5^k>6yBE=M@*P?yVHbbcWQuOzcXWlyM`Q9M7E6|w zwWdcmVtEKtr%>Vnz>A&mVH4y`EDRwkgQW_UFf1|*QIZf}HtFprN1IAO2u$T`VlJzU zmBJ<@B&{_8_i%C&-W3AQcYOz7H@{bQksIhm2Sjz{~ZU1_Pt{sG~&J-Q}`q*!K1QAdbB78zJ zRh#K|gfXNu&~LSd?GQNb#~r6+8O4bU;IfyP9jRYq$f7!4A7 zRzwx5;YxrTP6apWjRNlGrNUq~KGWfGOD4c7=HO8*kFsUbz?b$>*4puVAi;PF0rgQjq-4YY%9RdJ#0(m3m$Q*d@Zwoc}fpfZLi!BwLqB2g>^DRvqpf8Dnr8vQ5a z9)Y8Fne^EpH%$l$tBq**4-*!M&3AfmM`<$CCuADvjsKW0kfM$Wn92lgv8-1!_-wTm zTSSavFJxG-f4MwC8P@Rv6Et{Ca$zg*Dvk%Cw`8z41)+~frC^YQ;FBpEd`&bN)^d^8 zS;5jEEBu)TQd;Deg4Fg?xxWx0NMVIr2gn8$LDAs=ZX$eoGKPPlg$QaP5=R}g5vJj5 zC5ZazWgloNNIHaizfU&%?mvGnJqvA;cMn3JTKZ8 zRvY8dl=GDRf@SfLBQP>dB)ZM6Pd?(eTi=t2LO_e+)AXY?#;?R*F_0cwYx+@i&;he0ND7r~1Vsm8 zH0Xc|hwed$DRIfnZo_c9ZQDgn0+0v+9))E?lt?KMD|Vj16U2(Tj12lwK`>dX$be)D zCMiCWz_iK|#-bZY2mT?IeyylLp{fwU=f{bnk6;v4BrB*>h$#~E*~kQxC|hVgfftz` zAu`OFWyzPqComT?H7K7O& zd->Scwk#nshQA5*6=<@+hy~|sTW)-_6=XdyObVWM@$)ZjFJhJglh=ny{lW&V-~+F% zUlk$z*{M4(zI)N`OZxVW{oja@YY3Tt$=w)3U$X_=BzyD9%GQBx;}`ARd-I~53-8-} z+N-V+l@o_Ra<wF)4cPZ(;?FvNs&wlQ_sxf&tUx(HFKN|ZR2%^z^43_(_wEuF+-H#zT zn;#xK`(;cbJX$3CWB>c*JZ2#-({mP&Y1~|`iEmgR*cX#QuPxP?Hg@)IUkL1d=hBs9 zUjx4F6Woset=lYo`TPcVL1Uy}fVFESgbteELQD$|ZRH1bP4!9gZ&Z9%`UdW`pt$k;^k zXM_s()Z_&BOi^bJGb%7R5(6M{DJE-Tlcm##K?a%#>dK8KvduCzKgNKLnagkwgDqYz z8cWU7S=@=72RT8xjws3Am4r+vF;Ijk6(&AN5EY22^J{uo#tBk6A?!OZQYC>NI5|_( zQ{M*AKgeDjt>|A?+U172XSq9SZgBV16;vj$@u)~iVOfN^^OnaraC6;-=aU5se~5g= z%N^ZHscc*5rxIk}RJ!;yVhW~*OyxNazJQ@Afm9o~Cj)D6Bfj_`O`x2wcLaLh+2f7` zbTNd4bYataBZb<6n!8AzgXx_QAp16XANRqL+qG<9J1(C+IaAkz!c18V!vcbEd2I4L zyVP~Wr$jo;Zlr=wSqK2U3iq@pYM6LJX?GPgCU{iEpTlPdwREN8Y-pH?q#4H)!Wa-R zyAcWFD`|LhGHcxyq&1KVblsokHd{xJkt^z&(t*=%@3_1QBF=iGYTf`>ZgKvTDeA{(NDcFp*8eS0i++XqQfC@ z7v%v8r*MV{5NJtc(IAGTQ}*03QC6*0E3hZ9nH6lVjXIc9c+L=`@(}cq0a}32C|nL`vL0P}um5!{s~R6Nv+AG}QFKQU?qx+OlKt&0vp(H3`&I zpq5ulsHvdg@7_PU3-GT9RB zJl6K-NaMx?0CLmdoHN%uzM|6GP<~yq67qri3L!bTD->n-lGZW;$OWlq3g;V^&MD~} z$}7B^%D)mLpC{owRhn?T)w=RWJBe=!j<2*!8;X@bT$aVq#H(bn;}IpAp{cUogzvz+ z$~$u&HMT7aE_CY9G-th#pqZJ94t%58d=({!`z?sbp#41#gW9o;-w{^w5@(~8ak^j= zQvvc8QvwsApx?y(v|~v%hu(I-cXZ>_^Jv7K1M)WG9WmFj!AU~gZ?`mBufY3CU?Ij1 z7yz4RAxbpOHkK;%Scx*TJ>!HHx12_|+<925k#TP8q!eaoPT^>8gB_cyGfi}MLhmIG z2|=bE3vQYzsPpxPajBj3;<46wm2tQeJ??qVh`VSm$1Z`Oa5JW1+zbOZ-=IM5qUIA0 z!bSKa?gXct@5sUD$pJ)}qWnIvgvlG&0W!QVB3~5gnT*_l0f;*nij?DX8X`lskFuN7 z3LZU}94(t;E`*-=Xx~Ana-Zx&!@Y`%W(0V@<=t)0$R4;GS*k@O~K z@_$LePihnU;`+{LPVeZ#*GZq1+tR|ATqlG#4@cZaJZ&b1utIyXW0o`R zhn$5IJl(BhKN}xLFx3xHk_+bHx0VTJkHz>UhejBj)XfskitPaA>m|oTNih_uhy#&1 zVABl*8a$J=LuZxc*!PNgK0j1JhzP0hgC|KorH0%Q+mJ!ppGE~3K5v3NiG!)dO%;kR z5KO6mSS-ZD&7}>l*)9skd0cpGv|4P+aW~OC3Z4O6u5g*OL^I-1c!r+Sv1M_h1Nfja z1^RYG34ID4oKW-_^M%8g#oUd>MOJ}AL!(OD9P=`@ATYGpdc@K4=!&FaeF!ZyXc#5T z!k4C6ER}s)Y!#;6UD+MH$B-lz1Ed_R5Qb2v^pE~VSL#!tk8}v_JA-dX=cdvmK~hk5 z6i9V1d+^pQ1j);vaFVMduc0dv0j1jolyxCQ1q`bNU;w(w;-MWPJI@T)ppQD`PM=|?GW z?blMoi|8?pi(mh37urS&pK9TqCK5VnoF;CCuP#eUVnsa+5qOkg(!>uX_|ePQ@KiS* zGBJ^2=27P|q)uYeL!oA;CxC%2=m%VsR=SO#=uixUv1x9Gh(lQEgKa9~H2GRf3eK>swWP^cs_}yT#arR0X z8Ae!GgoGQ_D7z^$+h!}kDuH}7yYW)vp|^&MEdeknEYjz&Id6i3xi|oWSBn767PK1YrtufWbP)#ZP;=FyYF=%a5_?0~F;^$1Ho( zbVe+wd81JCT1ybHN(0Hu8jOeE3jWj9VFN$^!o_Ok)rEn7lm7yY*tgtqK;nFD*dBKE zJNcQC&wMj`E@EXT0u}C#F+j$gu&vM~BLf48fi*{L8Gpo<@Nwhb7*4pk{SOyffVKo+ zs)4s91Geoe8VgH9-V%}%;B=b+9`)Ki!+e0;*X8JEfM*BX*wf!)mFKor?qT!)DLr2T zWf$Ba7XfnL+H>j!YQ&7fYky)}W(Kx&vbSdh&MlOZkS8W=DNJ~iePIi~dR*WMg410_ zaJm5N0-|iom)Q~T{9AAl8!_v)5yVZP!EB%vn7r?tkK`bWG_bG65@zh}VwB5#A;cXS z_B!B;n+R@?*UbVJmdQrjz(5AdZh##z@R#5WHsajdMhtA7a9Ls8Z{;y>v%Sw~INiSi z!FL!CdRn zsmmGsV+v8HzfRe~Q8{3;RLr9dh>WPt-;`P2mrc)wRqKq`Ue zCynRWrIhnWA~}qmaPQV*27u1`j}$6b9?seUmayJaJ1}^Ojo8)|D7!$y1z;;sb^+Mh z7Gj0QAjkuOfhUZYeo^{hs`OzE*y@wnbHf1Gx}B>Z*>k7AB>>f{fhVtChgX%a31;(@yFj}fwiU3E;lRu5 z!>sY)mn#O=n0x+e?%6Z^k&VZ(@PvW&Un(@dJ`j7Iux-Jwg`mh62BCT-0cQGSa2F=@ z%bA_Pz619WZ?O@n+eR=pGl>c*t}!D)9^{Uji&)@^Lhw&4Fq#d+KGEX>sRB!BA&;czH^C$GWdjxyk&=+ns2x^?6sw%oT1g5*6VwibCH&5LfWJc+1ojmu!LRI($?J1B zve9_2d`-~)XHh#ad>=|EyQm%B0^$yeOHg)^ZEdL+pir0%XINU^);b@+5yS= zM+!gn@hptPrnC9p+5wK=80zG+tpU~@4aibMriQQ=N&u%@1~}cLA9?3F@gC<#~t;mDyy%h+To3Lo7m#jrw;qZ9yaUO=GE)W zix%9O7vEw4_O+uvENvLILmO+zukmqQ(R>bh^QO`ixc3{whFnKpYHt9%u6B*k5?LbUkBEwX8kF_Rb*aX!Mn9lI?l4Pp@YM$fv zyfwN>oi^>Bf#0w@Wez(JE-eCv19d*`L_U2cy{E0gvxsUHaq0<2&qg-wy0qgxLw*U2szO zB!@9~0;itEo2E#G)eGdLmH|%pTzTOpL?t%-+^e@hN87=b+XEY4~Gf-f9kan_>o+th@wbwB(V zJ7t+W{&wrAoy&l-TltgdsfE){OH$9`INsE7!oNN~?B(Z52Yx;7VbzfeFA>=JL zYG@qSFnShe70S;2H@|j(4}9`pYfnfGEgQe}A!Q5`M;!>pqArVCS_E-LnY3V+;cs;JX3c zvk0SSf$rewP07((_9Bj6%WY3u{3#0h$v0o-Xmh{g`F9@3tLp?el4^(+R~j~~u- zHWwadk;h3(T=_qL@5ivKYllOCvip8;((nGq&P&GoD7!y+2H>UN-TVD3&v<6-?i(IG z`UgcYSRc*v2iKp2yYKwu%3FYKyXN-2KOpksd*cL<``u^ffAy+o_Fe%@-tkY~wR-LD zU1t*a%UgGkhR7p(cfY#m86xjJ^MP}=o$~msomZZ-{gf*^cHeQ;zr3{iue-1O_5-sZ zUw%dE`Rchf{5%8q|@_3piw?mhnL*9TE{m%j}1)xTS^A6hMB58QttLEb)k|L(ot+X)=q z-H$xC_uQw~p7FDnVc&7TyK(o^m+n0YHre*#ug-n;-ZPd1e|GyrPp=x-yJ+thufKPv zM(|zYXYtstz54bG@e78Y1&a|1?7iF9zV)lCw*UH3fbE|1%M*YlylvAj&)I(S%lH4& z-tpT9o>{wd@A-S7!uPiCd^mRO+OPd=_czCHe|Y=oyRW$P)ibs~ynFkjdoOzX;Q{3B zMKIOlmHer@-aSO4nRZ=U+{zi+zo>VemfTMR7Q zf4S&%z!hJ%cM*_oA9-Q#gx2(N#?Q?tQ{qd@0 zr+)oc-$yDJzx40GTfXt$?>+SV-iw}p=$QcmY5v~RNY0C+5#|24xo<+#7q$^@?y38e zalLl;*4?M#=iIg5{hyCU+0}_Z7$n>;0xtT>?>}%Z;B^16^GeA6TK+`>4IYjxUhu&9 z?f1U?$P2r4lu(G?hTZ=FD7#104j}CDVN$an3r_m6aHG9K3%SXpA3KhpRzS4YW;NMs z$8}pd9LekI-6ArphSN1m;%=pFpTz53!0CFuf-`ryr_3WEBx;}3k!MM1o7p;$Bc5jx zW~mjaRe3j&h(y=@l(Q+=-PjCTg!+13FW_`#q&C}&Z5|>aa5p{{u~YS&rurha>qs`Z zha73Tm^v|63fGX4P*!jt$e2pY1{vyOF2sD)$(S3DHN6{3C^_9_*OBby&FeA&{&*## z#Ez@L`z3C7{S^E-xURh>*Iw%muZEDg+ljccqsoLE3VGr7^V~?aZS{&aSBClseAilT zb~XoWHzEN`D_T9aGIY(H8Yl!!N+1iG@cf0HGSZx_9-%fO%G=oS=eM`mCq2!|Jjt7{ zsje{2i!}E%mx#%cIk6BF^kifr$!?1*>71vyJD!r0Msk+tveg(*e<-MGgSTCNY;{ER zij7dP_By8yPn%wBvA7^|e8f!DyPo^y+TMkj#OX0}=8tRLO3a5Swo!>lu2+;HcXHRy zK&s2J2}cKWna)#j0xwjD*{lWun~n;F3_Dvx$HA6Yxqc3=fyf4atu#ZH+4Ljt}SS;mnZHraE3 zWUk|mNPuj12pBeEBJnsuHb677AQ!G}>D<|mOl6P^%d*Tx+=JXc`9rurjvRtvjkZXz z)3jg{VcWg<_K!+cHLGrngp?UXlmNFt%cjn6Rw z!eo^KS1ZUdA*rIdUbtgM>^Ba`Qvf%{ocgVV3YT%`;PXaYa~A+m972*&GYm{vAX3>X zb6a|&9O3mY=C{o;!0lLoe`EJ$0KOYqv56~jBsMo;s+S>3C&vsRIYCw)pjUQoh^hl5 z7CyUm>Y1Dq^8`MyoJUAb3_r}c)~WZoVJc=XRV>rF9(u2ItaWDt=M67hWwNp(s)RKM z__LJC3f9`?D8Z8qQKoe&&AFC~{m{G`xW`G-1jwxMxY5C5Y0J=Xy3oW6=g2geAI=i7>W1&%Bj;wTgQf{(LEetyB%t|k- zZnsJyJ5&e6ujI6qyovXO_^L3l@FoEj-E*#==B9yh%(1vD;=y^ZniOLZpot}1D0seP z^~wKx3v3db*E$N^OvWY=u&-b#?nT2~WAds@&vOhohFB2SiEFomb7CPR9)?Z0f1(0#9x}1xfD&uis|0cfnjAoep;3G!e!`!ve3NV{-}KW0AV9!H zr=U>4aSh5#0fi#aQ|FFyp!b|X?nC{i?XSrpkqyH`?``A#+JSw-fUe%h1`ZC%OwEUG zp1#6CWLW|0c3ANaB!LOL9TGc;i`(_DCZmIf!p>9>yzzPyqJ&U3Eq2E1#A6-wh;3ro zv%&IM#v`+oB?{F@vlPY{1~gs4D06QPA&Cau|5Go zPnWZLE*VP;;)QyounsX!TirKWF^OdZxP?GREZmTG00hoZBi7PyLD7R|^W_U9t_0A! z(_l29E*1eKaf)V?C9$5!;}G8r0yL5IJtM|vC0!PSg=Gi|l7NKEMB0{PYLTE+fWsM} zwON?gl?omPFSBH~30X{TC28<#n8FD+6EMgT*R&IAyOnA_Z2P5Hj*$t28*EaD#$C%_Da+PCO2Va!Qy2$ zaa&~uTWp|aP{>WlL^kv=!7RtXJck7exZnX8DaP_#)n!FVvg8&&X+lMcA13 zlamqGq>`HeQ0h!-#HQUR9}Q>yp8N02*6~R(&s>#{&1=L4d%!I%NnUrqqiRMb&!z>R zJsaXi$@;|g$h3-FlVrCUzqkeOU}-X*jO9<6g(zFQ>QL9WKtYu#nb&HRz`2o@_)0DT z3kDjWKwjF-mJwVb!fCuVIWp|&6+_H^#|}|)L??59AIv#fryjsOX3nwx1e^2=7z2$j z60cAq&85KPj7CyfxPz8~yfk&uG}@UpiB*@~n~rCbLY9(xBx*(434<15(dKfR)-mpRHPyNiW_t*8d5XMq>HJa;=wGii7%RN^ki z8|)ovrI|4z$C#*3+NndePzyJ8HNRfrdJQA)3D>z4@r*jP>a`3?=}%- zjKE+Kv$PcdIZ7*>KakhBNF_6gY|KF7<5m+|Qg41~Q_7e{Z!jxGDo|}Bdzs=g$s~g< z%~MQn~O6CI9KC^5djq5)ARD4m)|3WRbdKe7UV#Tj5A zhit~Pb@lUDh^@+40dTWhfet+9#~z@OZ)@ou!&U}iU&Dr}($)pkH>18o&hF%}uO0Qc zm0-!}rW&(`dZ2bV(+S^1l3`;k`XcNdn-uZvTpA}ytWc*)x*K&jl}QwzAPQ4bJMPz% zw!%~f6|RFWu}CGk`5FA+G0J&dQxyYz@BM_N_K`nCHmb(rOG3p5=quDKmxk`iSxtkb zY7)o>>D@obeL$2SBKv=nto2+aK3&fOrSAiSAgZE+4zl=5Kiq9sI0AQxStc-In8mRD zzoB;nv4b2O(wkDo@X895gjy{Hu5W>vvUWJ*bh}xu}%Q*OTK-3sUpi^-2AVtF@u7rP| zPIb_ilF7;XDvZ%GJSpjafZ`_Y3v??XL>Hc>4Ja{1j{_|RSHuAaTLj^g<0@Xj4Hlv} z7c!HHz(xa+x5SAZuRQD(9C8v9SSyWnPG$Jglu1*8TVVDMWCrebQTrGYYMlVq2t9fdO41 zK}SCj^%DheKW<6qNC;9(hl37CnICkD&@rSdiKYfW+(3&Iu<#`-XG*6<=IQqSK}Wei z;qT~N8h7g2!G|(EboiVf3e|hxC2IgnyAm66P?U>y?druY=MND~>IRKMTIy@kJ975U zi|@MVb|A`X)YlUp1NWu7u6ZvW>aGheyJFFj{~46QE-O>|3{a>^=qe2W)+I zANc{0p`efBI*9su0%Y$Ri6}=e^^=1rS67nWJ{bz$nIV+j`vB|*|$EjX7fYc+p*aUVe-!2wG*;0qg3|!(6OU@Q{4vq*@bs`B;=w0 z7y-ljQTE=U6{KBd9wJRf{pE^t$NupzBlAVQf4T`MyPH=x{u|k3S8rBpLObDKAM4n> zs`9Zbu>bt7UO@HgD50RX$psf2g>7$q!%`}NZTlpmyopkIhX(fDFXu1#HwbCH16abl zFTU$|%6W=%)fIob01yLr5J2ui&F$y>6)%u%WW%DJb2YHS^(O}L)_Mw5i?S+CD-*uO^ z$@TYaUL1deZ1Noq1B?Rk>S2iTV^T@E^8tzf1myfNUAlH~@olNs;n0V#9|KNGU(X&K zcLgEJVo#Jo?iD1PKEeGD{Cx)>UpqDC%8Zj=^&^0jE`Ol&O#12KFQlI)3ft!?vz{nt8~z9%APxjL#8JJwfX51J%4De1d5Gxra3Fx4YW$C3FO`2_TnoucJ2@^&&G7r9F8%_P0OZDaAM6-nAw5 zo@dW6@ujspU4LjCi)DoOo7?eKF=h`iTJh+anmmPJI}=qO=-x0Ys=sPK)4-M{b){3D zLpGGquJR+iBcfFs&n&lPMwFh7514}^>nL~3{zzCM0{-D0^}OsmuVj?l#`7%LzUjZ>ekqX%@`o1;2Z~x2imv zTVjuulPYOzSwJHrIk<)WSaa9_#U;F7aL1fFq!L@m5MnX_iGgL9%Ba#-MAvb08(V^M z5{ir+d1eTR#@v;el3;dz210_s6aLlLTFUMD3o{bQ>FTgDriw(fUetCI8hLmMDd-nu zUvK0kNP}e%Lj3X#G(?~t`s9(M;AbuN!toZPJAo~ZWhxTJRzt1Wr2v}T>@AL%IS*UY zw7>xK->=DsDERk4wxdscx}L>B`9DSqE){u%?+u*Qi$WsG?LbKhyiPd8alPFNxpiKSBz(6-#udVPo)nXaJmW5J(AZ_*v&;`qK$s4tBg_s~mBpIhc0r;ip!ZLJU znD*%K3q1;1l-HReJ`c08q*fO1MxrOgh1cDH65J5Q)Jbc zZ_%gd{tT_hFvGs&iMF(VgO2{ko)TvOO5^fKqOl`7qb#{@6c%SA7#88rl5Ruk5|fTl z7rRn3_}LUNOJmvaM z-VLT9h|YM3+vvN2fh&E@iEKs<6=OnEaLHMK=TkT#f&gkC3y9`>^bH(f8XVZgVbO(Jqn0te#YX3DHxG zW55S&9bjOr-lm^CW-Ss@DwZZ4xYtfoAea+CV(Fw9@M*5^>JJj$_uEM+JaoZe@_3bE z4$7Qj0+I`ogsZ^b}toVDd;_At8H0xF)9?#^i!1Hk4GqTc}!Lj_-=2yJB}tU#k0UtXTwp; zUn+T98mSZkmGu^wtIEc(BO}xHPkg@#n*g)18qM<7W`OT<$)w{ov*u8)JjdF#sXARa zHGH21zl`pCWg;alHyun>I}M)c&cN>MQHxCi8ine#U|7X!Zc)78g@%_gV|Z-p+-B_M z!a46nHrTXhc{!>#yDeo(H_@PM$o86nC~HR*G?`K%6H_zwzYjWGEx}kJcEiCqWDL8$ zKoe(_%J8n!pE4oD20AdncO}|ir1BKU?BGaF%#KAO;VGC-Xaji!gm@_no2rjsHKVYB zJ!M0$ti))P(CoMv=Hqh&9jhHM_1$vCzu3!2TMFNF4j0kCyOLfV-Gnwt}YrWs>E*+r!r zPfeB8B9Tzc$_6n6h}lYD@>=#*RMCb^+yvPmqdkkB#tO<#As%3@Rx?1}hRk-uMCHNQ zFQzp|W#_a+Uvw%#$z#FLj56DFZ9B!M^~)4ysX5v?$;}mDW>H}BHbFMI2PQ*nV2-I| zQrIb^#&{(Gj_#m`Xw0aDE7JZ%0pp769FTcXVBFLM_^xSU2oZM^_-j^)Ik*{&qOFs5 zi^&DZ7+Ecu$S%ZS)}@Fz*a%ZGgCj1+M+8eP)=z3T>D)4PM3E}{ofXb}PC1kIg#<46!Q2Lm8T?Ca%D%r`6L;`I!5>ErN zEP~wZY zxo$LM+GSwmf`LpzP);ldsf@E)5)E=Qjvzxcgizv%t}Up^#pLKr`yld%a32hr+fJR1 zaz&Jtwfj->Y0TIcCF2-T_e})__mK}q_WueuJL0Cp*t1{?Hh6z9G$}!Sh#==Z$0G|T zW9%I-Aq9!I&M?TWu4TETcBAQzQn-cEL#J5zfIwpIu`qzIrzoV+sRzQz)DY#zfuVc| zr~g>91IHb)bk_m9!oY6$iaE>^;Ik|v%k%UQGg62IgX#!~%BVFIRKzQeT`mR1OL197 z9d3)D#L-~XchbRsr3FPscMya=;$T-hAnSm3G==xZ4+baQAXe)LYhd}3#7indIK@OI z4ic~)j4M|W#RMy-`0y0H!s{R!Y0raT(IYzP3<;w^YB@y`syX6B5=(;B^uv@e6vU7p z&lM<|9W5?mgu{X0;tr_vkPgz2HYPyMODj+XLoijX)(`9=LCOcrT4Wg#(JoRE?1M!H zoe244CUig)ZXq8~trR5p6;t5AnZyx7@KU3e3|XCq#Dt6ZF@E$d{FqD!>YKe73cBh!6kSr;cI(14ZNDy%xl&Q!Du#}6d zf1vP#H+1bV6QjT%fLTi8(VvOj0Rd0yE#5JJOQ5QcV(|7p>_)z7Y%?%o&w2E$@w4Ba zyXKsouM#XRa9khKQSQ9#irJ3>Xm;1Dbv^{^iGWGP-rr|kF&i77&)zkcAaB<^yL8kH z;PTG!Awln)J^!*VY`$+HZGKLey!${^Z^t(2_l;ij&gutdZbEW)POrv(>uYAuy?D(x zo*dl=?r&k6cUQx^Hr37EInCcHo%9||{FT^Mey@+43%uXWtKS^7No)s3fbY|2wZ&A9 ztHRKF>wHA{pw{Fy2swxLaxWsvPQuVVDVSR|wskL&7h-?=g*rKB(01mu8~$0u?W62I zc_jo8uwjO|>6+e@#9aS)=TJ z3EI%s^ha!76{lVNi<;<->^D6)UFW<`$>a58N&+qg2n!Z($xVN~a$6eo2gB?SsK~6=h>epsfi5FR#=)?$%*rwHHZ?a zK;lsI70qU3;H9<4{)YD{Mrbguf3UYnuq2 z_(N0doslLb9us8HJ6^OmX`;!b3EBHSZ^K6@_#t|KUSw@=d*}MY*s~Diq{0k?yWI`m6eKWTp*@W z@KW$S?rME*X-{6umWu9Gvmk~apsw%Z<42ikL|KoHrNY%beF)gFKA=wD}e_QKlFPyfHo)|bUX)! z2or*s6nYzovWz{4-kZ$UT1ghfZDb~*GxTf(T{1gON3iRt^rM=<*cS!z5@NZppsn!; z{d)$lMGsU*5+e$&4ErPj-5^r;&;=MC$tsnk(tajFiS$F*PhRorALHkdlXUHHuvPA- zsJd$2FB?0wr=3PxAfTKRJ+m_Ftv^ntrc;9W`3R`@Kk~o2D1b-d#nyGEda_=hB_V+K=FT(q zUT{Z47c1laCWh7_q&e-_m#3Z3iUsv6+AGRB@3v!DzYCpv(QrXQ2ni87Fe3%4&ntn#yK<}Mo12mX77BZO*?>T4!1r;2dp=c|SN(|E} zkM09?XI~?qWUxcN_ ztwAzmQ(K0_!zR>5(k;mD^)^Jx`fox~bSkw2;^m*?OL71d50zKBL$Uom^mfx_TJK2) zhaf=pl1&CvsbvH6w@A)3c4i1sHq!-r!zL6ZKm#(Qpz<;e{kih8YnGIQOdIg?#iHl>BM`henvvb-Z7R2c@9hk!_smY;zp+7mZ@{z08TON4F#LQ zO)D=B)*BF%q=V-EXul*q_a{h>BHwreR=Xh6q%YglsU*GeH<%5$^$$R{e}9aw9fYpS z6dnC~Pgm-w3n?f%d^)ngll1pqA%daqR%SKtw8bdQISV9o1D2u9M-9C^TCNm5(vR5L!x?#0ta5_q}H+&C5A7nTYTIPC$?*eIJ-TV*aY zBtD`4Bc)KBd>k<>&cd%S zVIYb09{@0Vn9BtpN8*cQ$ih8^NO3@lD5x}a(*`8dz_Adf0ZLXKQ6NXc=VmM|n8K=W zXcB;sfFno<;t~3orDH%cR?tJc2J{9&6mY=oB93XzZ3vu_NCZ$p!=i#X>-06j7bBzs zcW?)57x27kLQR$6;8u_%Hkx=aFKi6vG6gD2hHyYu&=Cu{5eoRTs(A^Pf?Ht$)L#5C znW&)@0Du~n1kDSyt(NPMV;txTm5~f1<{4_yi zha^h&_F=`sB7;yNcyI7Ppvg1E;2suD=rFu=pi@bywUeTWv76}O1Y<3bB2Wx4Hs1|D z+fTa^xM_*=Sa%-=t_Atbe$YZt>PZlS)JI-ocG5fTcGpVIfZ$uO*c5Pz<#5Dlxsec- zv?X4JEXTY=Gw$f;4m^-(`eTX?7L$~~VmylOaP$!LJ-UyemnZo;2u+}a!jsS)?*<(- z1_yo#h{KmH!J^NKqPbm5jMN9hD{LXL(2f}5)VWFcvTzCWQ1wJ>y#ju+bu4s;#DiqB zW;p%^8Bl5}PIQode8hv4UF! zV7`KoaDkiq)pLR4N<-9;-Aj5uyZQm*#;Ew+GazK?gWpDSXf*$U*)MGSD#>276JzLa z0}yuA*thYy!R+5{$N2o*yYa-kn7qX(K_=NtAH3vdiV_IH#aReVKEPB@;yaGPp>@p6 zfLo`s2zd*T+#>h)m#rQ@`|-1oN+7dh#Qh8(^7i|_O~x)Fh+zQxLcu#RjZriexP9c| zrKgdp8lR_8aes9AE*dO{g2hx00_5Jh2xJ}Q+D2&7xJes!?%h*g02x9E>=+*>%-*@} zdT4R$?A@2uZN}sT?CtBlTAPX#Eb9H_dTo;j$bw{(B3X0qMB;Z&C-R*{hH}sALL_l*(1(Uwe@>p_u}m zX?m^&(fN9B(@`SY0~Dmh&wsz(+9rpHOvzdL;M_bFWav$0@N7TRp5Nb8An(IKrsRCQ z-XCD^L#g)%nQG@H`L~YHwL=eez;e1bL}*_=cv0{JI<5SL*tP@}O6~{OlF&@I~k8>4qMFjP-j9Yc}0%AK5|3tdrWSq~ly~Il^n7 zU(+`!QqdI}K1xDldLg3<3fkxz$K3Ou_d@A9b5-dz-m=KpdcQyUb!OVZX2j@fKi*&j?Wlisx)5_%FsABfaoG) zH$uqSiOf^=namOhL6^Ql(Wv-5Mw1gU>wKp@r-h)m5Awy-t#>-*dA!3Ey@1lK=+80A zOWP%czjVO!Msjr0E4(l|MDqYN`(0<_ROI?CBs)@JwlB9PpKj>>dws@PfyCp(804iL zlapXrM2XHcDF`7t%8hz#AWj1TunB|&&Q=)isMiR8Bdgr!U=w_6kt;2U-a>j;6Y3!; ziEMDxVxaJCz$n5zn}!RpSX2)JnC-yT^PqDzo@fA$VS0gwD03U2$%^5r&f_B~YX|Gb za>Na}j4(GqlMs~Cvk(VeV&_DDfteZD1j<1hvNu@}_J(pjq~Iqc&!MkiD!f$~R+?wb zh5$W)mJ_{wUbMpNidd89VV=nORI(3=f}cW&ee)M|?QpnUoYaR3cK(uR3*H1cyQ%PQ zOVK~Gh6UKVH{e;)BRhk6#lD^?@5tJDEVhyG0`V7RtdH*U6X3H-cH2F4AtWBbvO>la zL$U@@wD&d&r`1V+`3cwK1`~siO*`9?9BUYbV#DG3Zsh143c=VlaLVnPr}3<0X+@Z8 zg?t=+UW!r{AtI?ppz}}=(1Q93{sS0Vz?sS8c`$3cFuT;GbDv1qnIi;)D}NF(Kt-rhtqh)y zgsGn+1vB_MflnW#F9Q91MKNN~h3jbPM-PD1BR)FC$23yXiAaGSRuNp_S;!5S3FuIY zMBuLf5sFUu4!Xu%5<)v{ZyF1dphT&2$x=E+7}cP2;y_rA?IsjS6u6*g4?cV{?t_vm ziHq+Otn{@$fF4JJ@iTadF*jWO-G?9UIP|`QZk>uXvCP!lTDl3(~cuI)j;djpJ&;6>|5~^jv^(|J+Iy*LYB2mFtJe0}mof@}Lq@7ktwuP2#G#LRNP3vs-a1qR6qht+?gFZfIup zAS-v7Bmk{12q8^?uj~h@*{QK1!c3NHF{%KnGud_lecnQSYs^7OYI zbV-GxDjc~QZK6vQs~iV4e~p<0HnWT^@$yAXOJJ;!Y6Q-N(1~NLz*wPP%KQ^TX{L$c zDMjTp7ogE-l)z{nRWL$eBSls_0(%r=)KWQtrKQop z9r)7E@@q_BxHXb zATiLycLG;G(z!rIoj{GOaa`L8#ye`ZGRB3H%oy$!oV>{yi7<%@){T%m0U7iKo5iji*gVA zijiZAlxli|c_<1zgdp%o?JgWSoe6_kZd`GJLjX^JodUjYp&yPXbYY~UxyPA=6cy^j z&hNi;RB6^>$-nf(XJm2|?(`4{P}FG_bt3<#pT4;I^p_4N+x&4)ImwCFS|Zu+uR#if zV*1oWlocpwg5eWu%w=L)3m+LskU0L()&vKV)sXn*kX&ZgW(s6m-bgR7ARZM2<$Go> z!NFN`gi9Tr6kPghbx|)utj3JFna14$8dPV=7~UgnZ3{5zAo43$38_Bp;kb9i%DU)lyGUM7SYYnqYQHeX^Hs3*!H50b);h=(9a~3U1A)C91C! z2QKIk#DRV(9$kj9K>QXoV#pF63$Uvu61Ti4d@ z8JDa+dz(?*Zpq|}0|;wXA>qF71s2x2ZG#Rah9_SfpTq;fuFCGZIIwLGS+Qw} z2|zvC?V78(wjR~i8NB%YrPtR2!PnhV>gqu7ooMih??*wN`y$90yIt${$deyrY9%pPpxLV-{xI7&uH!QchO5)Nst+Kw>t|+xJe-Rde$9V44~QK_R?bZ zjk)ar$aN)umC>3-z_u_biA)M4+{s59SA7@;3M3GbUQ4)=2iLa)@yh|oC6wKVw>5( z-|0Z`{ri&axqn=j#7?6?!i5lm7@m80RV%^P0Rr=)WVstrl5Eg2T6G|PF-8c!E;1fI z0sNM28S)SS%&cU+Ac(M|&~X(K4XHE9w=Urad@m@8p7_R^#`_0>4jm>wRQn@03r_ScbRAfeFC-vE83V z=D(X)zhmc_YjtnX-fcUVfvRe+RnZ*>&|g0&@MV!(^{J>dbKB`PNX71#XE5JmJye*5j&4&v}&^W7`~upY_OYQ zyJHdp1mBP*Cmz#;hZP?InQd`h+~OLvDdXIL_totKgcz1g904TbJ1p?3Yjt1tRc>aq zer;NU(vgrF>$6zyc0#L_0RYWzDozYeCjCkFCY25@SMo7}rKQq=&}tcbGub}k*wuIa zy%x~1W7}3-n;0_S;G%Z+=0?0uB;+n2$__&55Kyu=wmr!c3JEvaPUw~E#ef>1FK+CB z(?#h39L;e|8=oExoggDhAbQSbMNLi7)|1Ez0y8DMLr4b6-hk3!*s$oZBw&C&fBw#0 zKz%*@aPi9`Uk<)!Jk0s?cSu1hVm_RbzwX)MWGh*jgeJLA@S@g?R)V}`#jOm9Mpio5 zwhEIMr30cgT_-e&T3s2^66AUa837^5BqbVBCkN>k0DLh#2v@bcqS@NVTs0u&st&wg zlATQcW$W6huM&uD?*x(o{^m&dWZTB}KrZzD=n6P?K=HGE1h`?&$pP55ClgLw5rXOo zVBZt;&w1v$FL}qJ)~=Tx>vL&hNbe)}^d>7&kfLiv2k%@{in%`gDuma*Eh@1FgV%s9t8 zU`Aq?7|4=&?v`!3taU9nX6qv0)kGS5wRPR&jBQR7u;_$+sK~^`l?KQ_*`+AADFojV zOBdsQNZi^j3{bu2ZX7t+HDo}>xt4e5_s_ve_RP!MM45Bqc=(iRRa$L*~nyfJDO12fqX({9|zxc zTy-`}q!|Kj2cFzA@1pi@?K?8sb&+wlHVN;Dm8?M$lk9CS!F0&JwymCAb&$;II>q%9 z$5kD8zj%X_#@4m&SJ^pp_M*ge6({;jD9w`FT>BGnRhRvNvsbfW#fd&b=?#TC2klc! zhXAlb5oLR4?k{rgy5ikCuV_8{(qn~}CNA!Mr0FB}QnLMSh)3Q8kg{q!pc&oei^TCI zKI?ScE@?Y6Z3joXFY{r*>2?a(hFYL!k=d?~ziq^@VXk-AXB4xuw|NT#R_yW(<6Ed_ zG3p_6b)l}wVfZTMl0%8W%Jk$# zBaY5=z0l6n_wciZUHDVfPVA{x>REW5p2cAfSlVOd9X*S)sF}~?)?Z!pHxupfhhPx3 z9r_)*aq_X{=vlZ!sPI}}OLV&}i7an!IXvSAK;9C{?lWu3doevp*tXwj9~?{o#1=h^ zkEm179vbiw8<4`bMGHO9>YY9vJ&Vj$hasdNMM*;LYBl%0J@akR4Lu72$VF3}gb1#$USi)-Fd^kS2fs*}YTIv(Qz~BAt2`gvqinpU@F*jMBi6}`n+RDJ>J>Ih*@LdRTK?uN((X)t&^d!KJ zH;l+VI=Owvs&<2a)EuRrg_*2D5~CkdTC3+4qi12hMxC&3s&qK3>(qWf(N)hPUG*$< z>RDuDP|w0Oh)Rd_yFSP%lJ#qHsk38CXam>bWW8HrzOf$7UFyxZ+ZRg|2JpH8l_+hz;_S4qQG|#wC^8q>+V5s zt_Gs41Jz4H25o-nz%0ak{fg=L41ev|@xYyD!iA(`7IH z{Kk(?M7ev-o2_rRk&q`IQJM_e|Ka{iZhPfxGwN?pvLAm7-054FA6T~;<~*K+3VmKU z_S^^i_ubMq{Ksn!0NeJa-EEiu^2WAlZO5JmTJ0@u7yNY1%0qv7<+kJsSN&VB%-Pj- z-tx_Wyqz;~@qsJ%zcPF`0CI19Va_Yh>>lve?VUB5G3mgMuX}Cy3(F4NKCx}?>yPhm zJ3x9T2knPH*Y18`C4hY|oI$X(Pd&30LXLho=e*(<0PK5Ra?Z{*o4*}=>^k`SaWeLq zKRte6#<*9~uU&KCgCD*(clVm!xo^R-!(UkQM%()z4*%H`2PW))_y<7nef+>vb6)%H z%TFI@n{eoo7oIqfsJsLogunOQ`iJ4KJW1wU_{TLXCy)w{Cw~bf+?~(tes6QzTwJf& zKlhvXdE)Y4zI8hQa$i`pzir}E*KPjV=3@t5nf?36+s*;l@$O^0Z@cS~uRZnp)+Y|^ zCJf}&-(CY;;-4OycnAQwZNmXet6+c;r9#>L6L5(UB{BrE528q}`5hpJfsssUdExF~ zzjft-S8f9A?=K%ZGUq2<=iNqt#2#=TxnT&%m;aKWk-tW$ySLEO{|Z1Zv3(Nq_D`M2 z%atY*!S<;Dxfjk`dgDhwY`f)~YuV-FuJDYgzVX;!28|#&t-Sg zQDj7UlpsK>a6%xq^m7^@K?@uqd61TENJGo31gPrRMR`E0wT!5( zu@YYwkOKsKninOM-Tp@O4F&QEfZV{CuY@dicOK>uNFj}l^~I#&DhPJNIQe=E3xhl_ zPt%)yBpc-Ha$`|Fgk&?w&MLjzh#S>8VQWH;927Q@0u^Jru6UhXp*CTY6BT!C4=2V`Grx54N)Sl4xbQCv7KXKlo!~x zitLF7##9L%ZqL+ApHUsl1i42hG--|#cOQW7`aC;~5@7OLez}N0C#IBV!6$~fIB$u8 zxXO{1QC^A1jP1w8+bD^atuEDXBnAdCN|>>X8RmXs+5sa!LnDKt1^47ayKt6Oov$(RIwg_30;L>f6I&UO>rWe?6C+EVelJd!RR-4Vw&jRT?k2 z3IKZK!BDw?IY5-{9VZH7yeg0xG>J3T z0PpJzUT;@u?yQ2}K)g8AY&i)B{l^cAuulyNXGtTL$IAVB7BG0BAmDV}8XM&!y=$N#i-#HZ?A2orxp{#nJKhyg zQzFpcGNzi5(UX{gToo-3RO2!KRfEfU9La#PES^evK>3-FZN-sju#&hb4|=Po0x{VK zltVMztX~n++&1i3g4tx1XmBiiLLSW1!A-Um}^Zy;s~f(kUHa!s!fxglS5mq@zv; zj=umM)KVPA49@V{e=Hd4G5XlB-cqya;MTF|7LTSlh5FSv)-b6vK|f_ASX0py5VfiV zi*0Brl@Nlnh-7&N(+xKkMSxqX|IPtq#K7`Qonc#5=o6vGbw@OvvZ`&o7ED4iTj0`p zz+!HkYFLniiVx4D!p1!;MKBo4quokuyr7VYNWg+1w$ZMO8|>P%nP9rGgur8#v>3Gc(^bxvnAVbU0hWXmb=E#M9swl3_&!0>o%K zxM2=+Pm;A*!K6tncTix*m;i#4G@VPWK8Up+Rv?72-;yZfAmbLCPyQHHYw@79z@V?K zaf4)wG}BN%5r_~`my}SH*sT_i=~k9OjN_K1F?B*0(2B#^V1mCP9KRg$Dd=4N;6U7o z0$gHG9z~0)W2b{db_hc0*y$$Xmz^&^`#BU!Fcu3-tO&um#!Nv=W{^oV#*fE+!l=(4 z!@uK+-@VYO?SOieDlIDL+^XD0`G*4d^OCiX2`Ne=*_&)u4=j7hs#YSAbizl)`;RE8 zIz{1+BACj9?nP$_vrj07yihmE0C_43WGc~WP*v2%BgCCWRa{U3)DnW7&IxW@c=% z=EleC_Z!>m(>FZnW9|ljc=U*YTjoV=uM4~BFKMWlG}u?sP+}%b;qy+1m8$I!=`}5& zYfB2vJwWjNV?njeMuA%vjvhrQyLR7%ne(lMlNm?TXn`)9{NzESAw5BjGK~cugkC6_ zdV$R31^xu<)?Y7)@&|L$nFWZ^Z&YBDnIg{6LW)Hs&!z^5wEd$jG^_^nN|?HDK`Q%r zyK*>E+u?ZjF_z>a7x_Z+q>Q{kW#rYT$jHPYEHE-I#rWFhy1jZuFP?J%*fGQJR&`kl55ISb$fxfKW)|wk&17?n|kV zD6fcVQJa-#BQxYv;~K4h$kvyjEMd5gX^F?s2qiM*kt~!B8s#8X83PxFVOg8wh#tX6}pJ{2On#`2> zJv~+otD{MiPLvGGRe>y*Z})LV8ulWO+iefgHOC{)BU~7oug8CV;+=o>>hA zYf873+A)rn%%m)t3x6xzTpJYt6O@){Rlu=m_M@Zp1h`Qc8uXT-q-ikhf=QIbHp=Q$ z>3{+W83If(t5$=oQ6)>jjT0rLG(bM_Zm7k58)aYzS-A>Vij1^Oai_>C$HI6b3v%?Q zybThvNeEhc@X7Un7}j%<`H-tLhYWL+5Sa6+LOwPBE*4H;>M!O$-JD!%JW_6A4`5gFP+5nSn;61vp+b(R3L}`P}O9YqYT+s!%4UO8Zx}H#%N;h?;35gZN z)O$eWBm;b;1WW9}LEsOd6x0(*-6mTXsD^TaIf{V5TnTQWZzRAcS*@dppiPlVU=9ST zfF^zswM#iy!WfoygL?zMHAoN01X>jMcocV0ggR186#-{cumKi&Ui5tlt676XQCH#U zLtciX#DZ_2pHMZl04;qXT>&HHV$Ia-%|n$3hBEllY_+tRW^Mvc4vr(0SOS0O;o(Q> zniRMyqYpE-4JP*|4h4V_L~K>~*b`(*6s}3GdVn}G)ve+hhDRJXTM0VZt025^8~q2` zP*N*Z{Xs)fG)hwFGXzmOi9^b1aEWstWa4d$YpieSYcCzSp0Fja4rA z#WnBV_SY3nw>Le%_QzcgE+0DPot@Yk|_SK_N{6pAuPF z+4j(Hu-64CxN^&#bxW})%DzhMo4@ZjgyXv6*H2(;nzi>|iGG=XgI+p``fO}4CEOk2pjyobywyo0#^3ar(I-N zu_7OieNq9R9#Pn~1WSASiWMtCuDiE4DOgEDR+1)<{+a+=AFf+^9S~)STbTn`+6QLA z_@CVeZb05*o0pXZ9cZ;%*4_y?UB}9Il<@}%mKMOiz_#tHjQ_E{d$ zy;J`nb|ZQ8ensA0jon}N4kb;L5Lii?+}nN2^6MX_M4O%>=U=Jv2}P;&Rw%m;x5_@i z%_Y5`f|aur{%j|FWyhRDNQNt$p8sVwWS{#yakr6zCjtAaC`prjD$(G<#iVy7ad!ec z22OGJt#=RId(%1C`9&%C$chF>L9)`}R>muHl!B*)`~%3&c3kxx0%=zMUbuYd!`GjK ztoa}TDgV>A;fyNy`K_$){wLLT2-1hI)iypk{lajgB*gkO%+kiV4{)Q{P4o?b@0Qq` ze$=2P;x8_0s(LXr`l_p}8*aAKD)BWmX%h2gHO0Y6+|%t!7RcRnww6DCxo=$KJZ#0H z`-WCb&o9{f-v2Z%2Y1!I*EYqghK?@p;jakSSB#DDxEtgZR@NgiU(eCNpG^nCY31It zV?UZ~8!P&Uezmatys_EXW%X@ri(6C>+tCnV%NCDsSomTn_(z}r`;q!!aC#G~F2GmT zKBF-U`Xuk;{GO1|t`fV~rmb zPB!A@n)(u2ZF`?tQ867Guby29ZmVfQw_c)0k8J<+d;wV6P1V?;!$MpF@cWTtP4WzUHywgD z82b|-YYI^|vvC7R9v=%u>`LOEKYe=53ZEOP;}_-qvx8H$U~^cD{jL&@U7XdZlR0WP z{AOr_Eo4*@H^n`5rNZauP>_Vg3VF4sTUKKipTF7poVpT898({p`<6E16Z2qsw%>ds zH&_YoYNk;VBg&qm%d>l7$NFHUAO)vy0<>JC2V128gf@H9cSfZM;x;pd+V|%Dhk3Sv8DnohK%e)2_YTa_UX#spL|JTzo6Y;2pzbV zK!bx3URjCg=J)$YZv&oyPre)lKhMi;UH9}E=vkc9b`mFD(FL_Mg~97_Qcu?cf1xVu zjADtXE@u*TEF1K-LMMg>%| z@K=VNV^o71s#so~dQEsJ;AkoYsJLVds$q&l*(q6Az!Z&3uo@J3n$jQvZj8V~rW)7Q z*+8Hy#x929yk1{VRMQ}hx#SeF3iOLHNjeBR*Y+?CqSrGZ$|^-b8>6`mfnW5HNEI*J zAnA&k+>z2HN>_#_+Ly7?bUIH6($;a5E{S5Az_(=_f6R(93!Bm)Bvg6`oJq$_3s37z zQ9(3HC1hY;0~^nxiCtjTWD3Sx$g${%8R#R8B7LF)@NgA4Nd@|9*207aN*;P}*wgdBhnrh`W6aKQv)Ptr9@NII$moqTx#YB>R^Lnm64!JQHs{uhU- zH>=VCgHlwSGb;ZWRTUvd^}(4U2LY2RY=FpDViY!^NzCfTtjQ_}TG_gn% zLDfWgz_yn(t&eQ0!Vo~WtNlTAfz5~=V!2^itqCt$8BAakGNm`yHJiuKtwwF_m{TFV zH7Rp`#|5@xv24_+ld`b$`^|R3ZEFB~XNd>O2Qp7LUr@})cH>$JvvWyEESBA!aUk8} zeW{EfuftVcP`shW#xN=AZB~Zu7Y%O5JVNPh>6w0$P~WTDOIW!Lz`h#lF*8(VRzw#Hu4`eymYAvKe2q$jk@3_^S z`FvHQ$tMvEu!CGZ4#@y4R9}x^CD4I`@L-AEfE-Ivp2NE3poL_ByD|^v#HuX`G6t3DUA7L!4f6BsM<;*?wd;3!uTK8IE)p&?Fvb!7~&ktUP1pbjY@fo%Ie@NT7%- zDZv4?500M-PD)kZ7vjbWxU7Mwcl;rg@!pb>EA$0g7f;PNtxpfCt}T!?rj-a(lWwX% z6*rdoRO-SM!ouXg8qA0-n@dUJq)v4n!^|Nm2;)b< zkJ>kIPpj^sGhdz|Gs7x%Jgg)PGNIMNrYy@ar3X`raY;$_mUsx+&lzajrXDbGj1 zOqO;wxgOBSI-)#+IUju_JQ!tKUWb(e7(YzYw1|tbJKQunc_AFjSS|iAa0zaMXs024zDUClQ za6Y1hVR6PtZ*X3U?7-=!mE@!xtCtZsU`ojb4N*GAJKSF^$EGy-6d}Sf$4MRdTcr-B zpKJdl&^|j({>MT-MfSg$%qFP4p^m_rr!ydX9S{8YS;vX4nvq-}HAN0zf;XYYkMoL2 zOrNTVLCCXqWd zVw4aCSvv491kTK;t|+*H+EX6%2CUC&i1tQe5B+eB%O{be&HI$7e+`}>=P&m`dpp-$}M)sXT zOY@g9Dqff-n8xvokcDoHi4KR;>PM~<=zyi{Qqo78plF;C4KJA!sFy&Ygd-kHf(!>8 z4=$S&_A(nsBab*y;^N3{9Ah|fw1a|7LM*xp>2NLCfDohuJyQZ9>2v`SDq(d-Zq6rG zi4y?<98#SpHS{bn)e0%5j+I#{jRYRUqxi22@=_J^gCvz|)DNrzBLyV76Y`*PEybE}lw#RxODGFKavJ1Dz5S`g*Bt3W1r*F#NP7PZk1=R1B+vk=66^U7-g zV*9!i<#_B}e$C#ivS+sef0lM42l?QAfR3FHP9?hu`>h|n{4j1o2<_!Q1LTJYR25st zlaTuWkh}B;uyXip2!N2cwp4?>lkjIRseq8N2WI7yl3Ugddhg&lO31YjO(@1D_73E& zqY3bSJ6z>#+U}4KBiipPy}#FaFL&iwN5M|y>>b!YUv0oY9kQRB^#G7?vC}@0hgNLd zIrN(6MnG@OhdljlWe&*1J&w#ds*I=T=nv$znDud>W1qlo`!L>t0e*%|Aw1#B9C%lU zdpx;IY0~xSLnQk!CMe*OwMc@eXG5DUOYsLx-j?H7!jD3b@*pNBNH%TPe=Xp2owQWk zw2MC}NSctlwmb$LzlTIN7=9~udK_@L?IM4@fQ=8^uIvs>xp*!uI;dWR#_!HdQ4cjocC>ae$X1)@vG zpRu5@9|qz>a=Gu4Zve+NsO6i%_n?WEFXsa~md4OaX^1+K9xTZ<>g`-(iBB$#FZNgH zz6t=?dV@9xIlr;T;!xv!-_@f5Gz;#OISuvkbc+DpDoYpF`LB;^<{SBjwD+!v`$F57 zz32^YSUha>^r@(QrdA~irKgKeHZBJquL}bh8V2Gou$L^bIdx$@IUwna{bM7!ko`t1 zX46(^WDW-xPwiiPa z=_v;{qSSp}GXnUxu!ac)8bfDt8AcK?IMN*9h1ES<`RRe^mZSPIRT?pOn#+c0)0A<&h=NmlQiINmt z&Dk;#hw} z51Zh1+LV$@+~zLEL9WG0A=<%J$LgvCW1vJ!St!$@2D^dhj2azn!TK!5OPQI+7?b#8 z^)607Xr&r>L}LjeCvZ(6#2C8jor10}l9>2N1ZoqwOkjcl zc8hB0NCqKfITol^bU+dXVMOXCG(q!O;Lst-;pVKAX>LU(1vQ9rNhe~>iAxutqf3*1 zmLiV@mF7gKJ7USSP`bKLJn%R-a_EkVf`bod0sN%`I#CETDuRG{2Al{w0~v0?6%I1U zT-3CN*&vSv)lEz>5b)`YuI_-~D33K?odQ38E{~tNOpW7${yGA>`4pWBW~r7Y)R`mr zBzX?Y$wyt{TAWP#LRST;bp1j?{*xYljH}W?)d^>;M4OD_Jfa|2rK)TJghL>YFf;yT#}G9gJAp?lnf^(O85psq#f* z^D_qrJw5!DQvmM@#QE4{YCB|BSD{TZiuJ09*npmQK&?%BGmTs{xTwKgTvTw9ahedTirOV>gd|vY$+ijQMq6bDQHOm z`&M42(-!Yk4aH-ThU&PU8Ly(|iq9MiSXwkf;;}t;+>;HIT|%n`$WBMwA;OJO@ZdZ| zH;RP7-|%(Jj>J4v?K_%4?qETwvnMkq0M$#VF{5;7@pFY=gSJD=0}$IdT3--?*%RE- zR2$O+L|H^h=3v`26;-PXs`&|3jn^0w(G8S8`$VQ~V4`APFBpMt`LIS~2*d$*g z1!2w`4mWAy2q8i6;Hk*UoRlV=xc?j8euoXRhYQ2C3*03%2vjc z{C`Q4Gs11X`AaGt+PNyRBpo{!yqpM5f%t{U5<*b3V`Pn&q5d;Pm>C6BXB57#;(D zt|=u1l1$0&6cVRc+mGo~oZ*uPC0ufS! zL~69KTBUa>qJ-%Q8imYZ9yEO%b8K8|DoSvp>7|xf3(^FV7UNzXk{IV{_=H<`$SgrO zNpI+>jvPlP(LO7ZC?th7F(36}FOeS=xMprd_lt%;(=l)AVh^<2ioqjG&=)u!3 zq;)Qp4y{V~=|51WIaS~e(Gr-9fK3{_Ji2NOOT&DalUBIzB&)-SSu4(w^iF}n%o4h9 z84_7SB5Q_`@@Q$}%NIq*sCFu1fosRvbY`Qi+aYz4=!2xg{)a~19cgY4M%Ah40+Ws| zh=dv>VG221iGrq@E-4*!7 zyqFU+(Hz;-em@Z`eBn;gnP3L=CA(m$6i}XN9M5PHC5NLM>+k|jNGD8|;>Qt(6A7}q zVWLw;0-2%VSZ~tl$$>PWNS!0f3>5*-s_oE`V(N&rl;wdDDlw--rw8dC`GkIB_@h8V zi7M1D9ct;I3miJ`s#6?f#|gMOZX%%s69&72=^;%sPjb<5)_-&YA*UsXlcc~r$EuUb zC-M9jT&(&?l@88O?@k&R?liRCF)Ds~$TX&_2DE`Ix-d23{w~3des9(6eHiB^xY3-q|_q+BawY9DIL_zaRk2m z^py_~_hm5WZTh=Cg;q8P#anlhCiwfL2hV^eANR>=d5i0Re6}hb>ZpGcr@t=*8TN4@ z7}P$2QU+wT4mdK3uFfn$bm*IT7GG)1@1I^W4c%`ox2AHB8=B+;z;;M%p_L7QvB6_+ z?)Q`6#@yIKksDj$J3Cf2JUeu0_g-U4>|SHvs5LGxv^OpL$u+V0o~sL|W%?_p6EIpN zwk%>dt^i6|+;?^QG#v{7FSxhhX0oy&=ILfNE(1*O9$&8m)AMDzmJ0;mf=A+a4sln# z=nopcY=7j9djQb4X<0-wx&VCF1C+dJ{R!I^eQYh}f$TUGM9;R_>Dk^P!dfhDLw;?~ z*rTOSg1c@^r0^#gx__h!Pl%j*z+D$CC7%@4eKV4~V3Um}0-1^U-G)I7KYg0!ZD7${Ma+;pW>_Xf^bdw7)YEv5n$Q`f-uMXNJ zHE=GhavX`VP%#eK)J(hK`hkDpSf+H+bnvZD#2B~4(V@jqLrH_@f9yKAc%bL%8lDh? zk${DQ>s)Im?s zi3@Z~^hLxaxPm6B{yIl&0u-XsFYbgGyG=O&njlD`;fN~$`(omQ6O}d6Au4ddSLW~# zH!O*ZJhES>C!EJHgoMG41fs+9P(l4?2B(W$I((!x$Q75CGqtf9B z%I!}r7Qb+bR_Vx=o*q51iot*VU$GEBl3OV?^;$a@UNClYl6jf91F*q*rWD_J!EKCOq}8^NZM zs*!*q-5R2%NkFDbt759Xb zcQ{Roo|vE*TmdX$Op&1N5Q)Y2Kz4ss*_w`!x*Ae2hh+Z-zy2~JkK}bVSkj_W9v+Ng z63gLMO(9RjHh?^ggv(5kn}cv_e3EV4-z^QR3^Ie?RSFXM)9UvQ*>Pp1N}bciT^+AS zw7~m~`+%>UL-@*+XsHiWmGLRPkqrM11wWThtmniTZ#$^EgNj6{%0+d_QJG*P5>}uG z8ArXLv-_u8s3uX-1SA#xG(K6cFR1G*gNq=YQqUxnX3ZWtOCX(34z^Gf4<#L0K!e074t$!SWH4Q5XkozFB6WwNR)d?^ zL77-Aj8Lk8a~Pmb&**_Pl}-$)AI5|Lp@fKVq z&<}#dR%4tMrr#uLF*gaPavU(PML+tIQUM`=ZPJAx=scM2f4tH1)Rl@<7K*CR5zQMjp>uwH#_xflH>| zI!PipI6)^aM?Nl{2?V-Pir1Xt5%{C0h=vmkuTw~ks;lCPu5}ZofzZQVv`Ev?R}s$G zJ@tj4HI-b9)7gn3eJ9EQ(Mi6<5rKa^FCNc=%4TrDXgVP1kmsley(Q5=Kw*KNlEZ*U z1kRlcIyn)@iW21vr3W5_3>AeCbWWioMn{NHG}5-yG|UOvshJVQRj7_sFdJgDt}c|7 zAW)F_QxW2qito zgtACm*Ls$1@OzddE3Me9Zb$1&Gw!*3)@;{pEf>Aen(=fin`Kqa-FY-oI-o^#S#J)X z%6c0>P1<^4V$jj`V|TL;)-l&?*Y5VOzq%l4Z2o z$SHH5U5Aa(m_Fbh;VLqRvEr98Cb20w)9RjwVo4;~S%==&FV7pkx%KQ6(sXt!%Oj7cU# zUD}1KU04ioR7pe$G6B?vx)cF2YVOO8`4f+99I)rwwx72Hi|RGm}1kPaRV&N;f8FBWGB1M{Xdq`KIFq% zSP3{Pjkuu+;HX6J`ivXO=$$Oyc{Isp6WJWpE_%0L@-%R2TMusCqXCF3C425}M-!N{ zV|)|L@eYE5$BH-a>OJfT8x+1anR^uGyqobsJLwItW*kO2R!mlg7|C{Zn3J6QN2Lj% zGc6?A)}x}A0lkyg!yLya6F*pQUP?l2e=IowvY3`EAKxkrmNwxSJ9`&^@RD#q2 zMov2_i1Et_D&;4BknH;IZAhI<9zUXzIF%v392HJ~ECVn3QcDMAfEcRDS}nQPV~8#Q zV`Z#&&M?>T{rzfMGXNc%@aS_lCKDiITg5Ocu>fjC?X_Wv0gr5K-@(4~IMau@e&7Ce zuCeLHmh%(FB;WqS?7Iigdi)k`-7dTXQRF>f;G0bG>3tOCHObDv%hwKbNRt!XEMo)8DOPOu{IKpMvcp}U zvAu+RT)Z(QB*6!?vtiHu_~}2fLw#=Ay2nLD3}i!-xj@)UzQ>X%VxIj)6JG3YTY-st zZ0?4=-8MF}v+8ehy-7mu0#+|+f{@XQk_X1?1Z3G+!4Bg|ZzLLg0{CwDBmu0=gp16{ zXlLO|sUVH|qe)2(09VpKJ_GBn>6T(*^KY+Y@<@tlxModkuLcto=Uk>%(+*lia*NpWe>Me+Jrs=BZ=b^aSuFq{h?|mvAiVusg4%1g%$ewyXV`95&YrAWA zt2R5p+MXM5u-TQ2hCO$-_i)cUHq4Zs?-JTNQk`9m%t}QA{^PYtwjOrKNk+9+3tp!I z=^@X3N0z-%e^Zx9%ZEjuOiGt}%QDpaevuMF?~S|bgY4Wy=EY3wSxb5!{oi&~I&|jl zkiBslbE(oH!|d65B&CVs)|G5v7k{9VvUtO9lkC1E4X+fpv#xv3eR>xgz;8~!T(4WURRy^3j8OVF0Qny zrgYmuJ&Tba{MOZffA4dp`BW<#V9Df;AGUxjx)h~Po633_xkHxRc2i>5)9c?p$}*l~ zUBcS#ysx(yX~*QdUr(I-LCFtaV=aI8{{9wLjGo2eLKG=z<{wf$i&o)UpFV|Uy^1F6 zl0PHLnOI~f&SS0*-e(*0^q;k+{dl#vZ{n-obDqAC9s0mEp+LX<{qwfIr_CP7Zb#2z zo0g2;_u-u%pl5Nc`1iN;`R-v>Og#&B^_Zj#p<99fjCvM_i=QQO^6I8!$fbG~&yVYK z-;wFJg|BV9f9clfZ73a7&m!Y6^%aU=M9+d6z{&B068fAenY-(|%ucW$fV{0m^(<1{ zs%POune=U(CS0m#(Yt*Jl@6*_RXq#WQR-P_m0UCkF2)=6$KSSwfB4 z%xzVrLj~$G{plI2j?UUjt$$_Cj>gt8e@|X@_t6Zlt7eqtp=R#_($g_Z=5l%ZNY25J`V4ivU26^Gy8RY?TNQuZvHm7H?IbHmv_TD zUhU=T9-Y7L#@ojo>Utf_*HqHpb+`_tino`0Y%Fr@32HTz%Qd+yxVp1HjCt*2nlh9@?^a@8|mxogel zm-Y{s^ZKB9tt$bL`{?{9R<_;HriA>p+nlX~X2=@>20MJ?-7Rf1`z^c=nl#^j_3KZ3 zv-Rk@Ij?#~tU2)ERrh}7zBMbaJXSbo_rAIj^R_xo*|muRZyd-~Zpymlm8m=e5UOwSQ@w zy<*Pu8f?fdSey zik>{+Mx~(vbrjfPQ6Bu~92ZhLi zEP~TLp~)gglX-y-1pytaWRFcL2!LE80ZmeXtz*kzPD2Mb>o5mjTxFLfz6K%PvSNAF zd7_83j5`XxsWc((D=Er?N-wvJhxm2DX5S-=xSKUEcKh>OvSP92^WHP%G63ir(Md|d zSe|^4qGS)UZ%NT3U%Fj9tu)`VT;Ylyyf(Thry?k_W9!2h0l5m_BxsGnc<5du!oQCh zI4u)G?)4c)tZFdK@v(ZA7A@&hCa1gkXbf{0^J2St@CxrAc*UsTFWp)JyFb|5sN+DB zEYDor{SEjeSbjltn%fKmleZE6@6UW%!=%wwB75W=cA@6?mDL;3#)`#USaoUie$CUjhdY*49SCMKpJ&mON-4UP zEwx#Fq0fUP=Fjz4iH2OY*qC=bvk|vt02h}9&+^X8(ez-pJBFO0l6WJ?NXzx$9^ENJ z%qs{2-it*k(YmGb3BDb}L#Yg*eddgel<&wV9YWYY5mMe^j`QwdPz6aWfzsh~M|B4@ z__&pyRW@=}Cv*OpCTEJfg$f6Zdr1e+hX8P}y%%;d;R?9t43P^IR!~0BQgs$1Oeq~u zQxqjZ$2QE+qrMwBGmt_hlY2*_4=Hq#tqFirc zS$}Avkeo^_m%hL@!z{fB0*<1Z>1UUzTjpI;Ed|ILMH6WX+E9D zrh@EY1E=$WegTBPW^F;bzYB{`mX&N?cWKKhY!ox33>QqR^Y8@?SS6`1t48XimEOCZ zaLoXX%$g!WXhI-kDv2c&EG^gBuOi>V=dYQPlK5(+i6AsFLhL0JKFTL!REAJEhA}M6 zM#{%1iNi=8$|oKEK1D_*H0I;vCE!jk-Ihw7<77DYbH5GcfL&&}oiP%>q*uzxKA%f( z-q|N78UN4@r*vSSD{$wj)X%9zNQWr!LsR$^IQ}I5ItPuPxWae3SONgH0FG6XZqOKs zt{ybyYMke)p|={kh2cxwHAM)goCzF_bR3vfKu3aGLXd(PMjB4kQX44}))eNJbjMjy zpyLKY!s1K=i7~AgzQ;9psVL81Z-W*Jm*7Q|xE)r!(J-?{GA3wwgC(ItSy$|7h}BPN z9Fho1(mz;>W|s=1M=-2u*o{&!lmsFx;o%ShSro8R!01zTCt`$d5F)U|$QHW5eYJpy zNe}Ln3Z1?eMPw9eyRh1XItxl>oS(+R;Ro~Byn1MdrN~UjlCH{7n!&QUDGk^C79Pto zJWL2-Xk6n0%L7;`XFO}BJ5FM(anEC2`360^5&PqKNr>qt@T-EnLSwxlQHent58T1I zyF}*nA%6=z*GmuMgVsu|9@i`$Ad7l3);*8uVcbru!Fne7OKxejj$$?Wj-J9Svkpd! z(?gKWfEore_;KvQ24V?|PF`S0M54o048;K*rKBV5$DiXI(gcAkt};4R>~wOfz!g!_ zk#zX9;0XP+yNWJPahJxx4JL$zG>}@*vF{Unoyd+5-zQj35I(0SCj`*hzaZq~2RdC{ zuSO-?zCdaD$s)J$6Acir(?|e@p~H>qMgog;$kcXFIGR-c89+}38FgC_khiGcC5kqx z6N&(`sOtBy>9OKdK`uo-Nn};AkPrpfYL4<}8M47o+zW2@?;&z7GT5klHZl1a79Z*? zi(9->zv6cs$2d!i7TuY^4i73VOZ}=H zh~^=SQxYRBrwicdf}GGyw1JpAU?qY&(vTdh+72~N%MRS>wW*ed$|r<@jH(%}Ml>lj zPCx%BBL|2(4as1s3{l#NyK|F5MVZQ)pHi#VC?O{|u=P!p?Q@hSqO^iEsiFL>$cWP6 zUZBWIlT!&Hb56+qoSJ-QA;A+-cJc`{`Q;XBJGB2Jr#eaSDFRf=I1TsXDbv`IV3fQn zx`I*4A7przY6F(`Z({PHAbAh|piY7EUOBc_mp0yCkTq3k801vKpOp=0$SZE8Nko!t z%4jG%&|L29RE8ZA?bs+z+|0>3$2AF-LiUm&c%{-UY-oZ)gM>5$N7Q!6M&-?H{VG34 zo7@2jBA;eR`*jskRRoGcN#{FRe^5t0f zLlCN5; zKF17Uk*AwuoFQ(Nu zRfH{1*6$jy^#%}=BZBw5s(YNd3kxP#9ySX7CwQUSc|pkEaC^$%slEbmu$j!QnPj=L z8wns63W2+z(;Hk(Nu0if$ds#)Pde%xl_6{$y%v?(aQ9;wB1H~$B=Pa8s8hC2s3OLZ z-HGhTK2GkM%CR3KQ@-(Grx1mb6i1(Tkj||VEpIm6m-t^XF&Eh z=Thl#1eyNx1o2NF8cP)Jc1>7e#AR|G>XZzdYYpTr+R6*%uu zTaBp4u_08IU`#L#Rc?TqPAM`=Byi#3IOqjskY{m;(tvJ*i_@_LE9gbibsHqJ;ucC>%O zYUZP$3B*_iRvhGE0Iw^Q6wDZj_ONkC^J-_as4>$Jrm=*CWH8O8`-b(xsEceMbH4f) zsH}D6tW4|~oTmwx`nqQvb_pnqT$00UVw7op(*MPuM*I-V$LWD<*0EhdSQND#G} zPT?viI43XwR$`MM*sbV-u@@QR4$p}rxNXY**&yyP1E0+E_0A@I~y-) z6Z^H+4(#gjPUS3c*B8=GC-H}fOaQr;>^*D3(Czyg9%|VO^wdU>pVFT=2=Lt%6NbL} zCh2|UGqUM>;I4IFHh=KJ>nY0mhp_w0s;Z_#O0Gj@?KO#%^!C>!a+SVv~{%<9j_g0)wr z_vX#`5(9Y|2OLt`-j_da(AEv06{CeaL!bj??HExbo7x!=8no?dZEIIuy%S!Bw2K%K zA-cQm#0HeM7PYmy+O7jdmbwaTSF78t0`0axkz%owZ`%dT?{n^ZlZ5c6f?(SVdGp@6 z=Y8%u=iYb!zIP8c;Q6=JkIn0S_0g~V%jPLJzkZ!ga_51aNHTY0J^bdbgiT(5oww{+ zQ+DfsgWP5826)$XS6&1VR%b{y3?#{h9pdu#XYFS~KsZu{Vf%Xb2lhUMTYDac4`jx^ zmburgfjZjrFxzB^I>WF_TAn)c!wTH4wIB>Vib)4jUZwb}_!7bS{Tvkhx_q815 zS@@K?W%R}NxLzq=19vt{k@6U}O0SS}FkhA(pGr*|50H6Y$FkT6PBLBHICj3_`vlfa}nKZmkw9t8qbz)2XRMct1_uj4T3C3R7w+Ks`D_L$WI zzI(D6TAd!{dH8Pn#~-RlJtI<^#*bUxiG67DEthvLHZM+%rYbw@O6)P>^#a_VQb%I!pb>m~`1-mJAc8p(O)q#0LW&z0=Bg>2Zzj12bs zw+!S?vIXCgXY1Qr_qmqQD+nOo&`iT8;KNE4)M>@1;GW^)%X3WTC^J4&=gvSDMTb!nrD9KMl5Y?oFxltS7l@FUez$X?1=rIp%V*+S zc`H>vG^2wkx*Vg*Kvh7Z99>a#)rD1DUM7^mcZg)OFzrq|Sz`+quPLCPYS?xM$xK?} zLSSvif)0?StVV%=AQJLDl4`;pIWdMX6&zn-QUC)QML6;m_G6Ze|nNMWXxd8oo+GK& zmK2BGVov{aAcs~1l# zY{q`ZC(xn?izUqnO45)eB`0I`(t6j*w=0XcgD@*fD z;hfWyOKtTCjiEFe+&eon?UrgfFL4HgQ2dMYlnjS<i_U=V2#-4d2Ema^npzXL;U=>hp{m_<@Pb zLh8-hgyZ%u$@$8gPSX>wwNM56QyJSpTV^#|`Dmw8V~%NeWS7jor+J3a;C;HzLep&7 z0yb$Sm0Cxao-gXHTr+LRN39wsI!U(X6q;t~j(5FYnqHQ#_TYSXNecBCHnTzXDLPlF z=DKe#TWrwicruMQ&t|yktBl?ay_lmpBgokG!{p~&ZQtrCc~-f@ktWFJrRIl7qQkf8o)%t0LUTgWEfVWopw+2aCVrM zx%m-On2}hy;V9>2R0L|qN0l83b%q7DZ$YmH?R1(!=8Yq`gzT(93RY~A!pcKBJyqFm zLUNMl3Q~;ypgBH`iO9x8BB!v3;Lr!+k=R(=-Xyn|yK3sxXf*DI)dqAyWw72mL*A89q1zEuWqD=m9zC4dQTS&YkRrVyut*yyshXU8tweAk~A$L>@MdS zNQPNNiAO%RHD!{OaC1;6X5#Isim;ulx+nI zoJg3CN}%|JbC86|C{>2=i_c(^r>-CiKS@ZERkkw1OUa@zJSLmSO9^@2JxP$*2_}hc zijHh5%KWpg9RQ8T=~M@P*h_)4G6=j$JV!OOVmZDHZ^r_HCsgF4Lq7H#WU!6H^ z6O9=ObasI$Gc-93gtFPh~pYmhjiys}eFb#}%B zOA3s2-PpwjRRG~uOQeZpO$J9?aKfHrJ0|viHOXwqT?4{|4l*fJ=d>s?O@NYJP*pj4 z*lHFA_RKU$5#EKMGJjj04y<5H$M?=A@6=fwavJ)X)Ka!h5y-+C2VZ(&ill(B)e}Isyv+UUZly0D*2z?3=qvdZxwcEUv=jw zOjEC%%ob!5&MAXv0nwUm=w(RBGzfI!QSYZt>HY30HFcvIWoUwI3A z25#GZ%c@uJnFr^)6N21fNgiw6dDE&b_sn|?er+$mr z?VcFOJ!y~(?ALq&Uxg%Z44CQ{yruqGk5fQOX{;;9xo*2%aLSEgUrmj9t(IDl*e*Inch{t)(*%UHbyZy0;gzZ zOHV4Bv1i=iF8^lDcqG}le04P5VDdKX!p5lFi@D>xNVKu5V*(t_Vi7@;dp&ZgFA{6S z=BvJdOin;c43YDr8?jAmT$*MzxXV)WeDcO8`x*UE!?=6cSZT00GO3c`T$ zkQ*e^ENA88S=U=$KhE12I3!Na0XMRkJ^X38QP?K>S)3VXzh=1J5`g4ev?S0n;P!JK z<%G5L3k2NhCRj@Wo1|H8IQDhyp~~Y;qXF(C7G!y7@%ba%TJCIM*r5-}I5sRKsgLF1 zI6x<9Vo`+)1jw~6viFZka#ZXi%6+oAvHo;40n5h^j4TfCSiED3-+3Jhqxixh^o7y+ z5~U89g+1pbnMR6xVOG!;^ZSz$1CBJ9(Q`%@7H`z>VR&CnXrho94x5I)V8Y?SjwvKA z5di@tyzMpeDgHE~$tOCNk6IRNrH{@rM@V$G$q>}Q0q<_iN3FAE?9Zl%s{Bnb?KgGjSmp9CAjG9#uyAql9kwib{jhn5&B z5N1#ufIt`tMK*z}NEQeRQMk*@30gyxBMc8&X{ZCocsP}?24pJ74J;ZX^1na@a3c6e zeTqZU5PZrjGyLmE>QlZvG=%x{4~8oc7Y~V8#{Lrq8(Io2iJ}gi1VNQf4*4~3^(U6M zBoE7dv~aLn*A84sd%i0a_F^*BIq8X#v1j>o-iF=fzkGnLJ+p$02CJ9?GMig3OLPGLub9LKahyr>#3eDdzF z^6YRMARAp?Xpf^U%X=B)#eDVnJWDdYYAj_%JhhIMZ(x_V3U4sj(`(|_Wa9C5!|j43 zR^)wEcnyM;zjz5;$F?VOa2;FtVcs-6Ect8Yv)Ophy1Tkm)+y$rM&3)u%Oi2x1TCA% z=z>k~hC$Bz8mnUxYpGk+Y!*BC0|cguusR{gU6t$0Hf+ehPkX|xj=*O}W*k0;b-li< zs|Eb4XgnUlk|+h zNRr6`x1X2D&wxA7G9x&PJ$~(Ex?g;3?qeQ-8>CZAj^wLzc}UVey&B3R=XH{Bja(|o zC3Q}@B-$oJO?52gg@xQX#IOV0&WqpCwSz$IVWQsGKYa8nHo>PSldSs%Hm2mvfqJ%* zQ#M`9f>~sd5;|#xWHE`y0c40EP{Y|+Yr~JpTitVC0zqi$JU%rkf}^epO_0$bDjc}! zw@Kg=$r@|BumqYW#Vt(>$Dyx+(8}1D1tfGQz%5B_(x`%#XplS^HO%01+k%=EgCK4_ z4lEX49w-<3G-u)me=uK{FmS?Tr$Vs}Yj6s8-PYFtC2)$44j)^hww0@cB$&Vxe5b7> z$R;=Sja?%kvR21vRs;&ZdqgDQ8$lL=>vJ?U!fEx-4K7LM7 zP8jQ0egalE>XjIHv!?UTT&5;0sb596}sadl$hp8wmNU=>qMT(dj94~jo2^(Ta zGSY}5io%2xwaM%O2<8vr*jGu4w-_pJ2uM;BNCJCTDH(w9jYK8aQnP79!0jETiO0q*kXTkxWLw&3x^TSriHi zK)|P!l9KNdq1j~#1)Y5n$lmf#m+$|WAKNoPM zg=fSEZDuaqn8A+WC*?59nZ# z0BelJ^8?pA+DN z=P>Gt6J&5JH+-e@6G!{AxbrJ%T|4aK$y@Dz_T6w!%5%C+zBAaDcutpHg05u;xp%%b z)O%{+DU>J6eeyR3xV3xN5_v%GTeO$mE&WaVwj5asywX^?l6F@$Tl>(6OzUaXIK)^MheFz{P zRyP8}RXX0^CilyMBC8+aKoL*#^bI{fo^nGWKn^UKfDyY`zxo?0wI^ zh_5nUn%ws3iLlAMDM<3MjVsTFmXkmJ>mOrT;D)sy-+d*xKl_+A?A?P}!Xfb++KKH` zgEo0{ZQDaXe|qV`TYkZEKl}JaQ0GZ}d$P9ehnV;OK9(CEpR{lD@0z~2|D}m-YqD$G z7UKI5Nb<3#wK}cHhL7*O8R|^b0$%c61~FSX8+P5IGi@VW^=`Qn+>f7q^{BaFmP3_CvL)sJtjK@3u^;9d%!UDb7ykMRT|4yfASus( zhdU5GA@UL)_AHpdgRcSX)zLASEQcT0YW})mfD8v?UIBx~vv7x@Joa8zf$6piWk7P!r0QKM-{i3ji`7Nsb@Uhb#`OmJ6|h0uZM> zvrhEbpC@bS{1IFr)RM-u{3YiOY?6Tl*;)%0^zwx?xF^Z1ac^p8eI$}u)Aya~dzVMR z37e21ds3gTwK}p;hn?IpxjO#EAq7I`CDw7^_^&k!=X{OzeM{%N)^e1S4weMd9mBZ2 z37U+Y>1m(GIbUz7Gs-&i7$+^z&UaC&vR-8V;W?jW?vqZ2BV||+$*s;dJeR-wDwThf zkZG+MkmHc#6eM}bBQ@|ez_`OqrS1K8$X16gRlZ>vgr5X$DreE>21gbryQ_87&XJ$c zklq)1j6(8Y9(_uo(1G;(mfTNdt`euhdC+s_Gw=p~_%U&n#IJsf2R+e7KKFy)1?5Cd zj|4i(R)eWXU|fzMHHbddhj#2*CQW?doT8B$WDcRHZwT(xh6+3hGIG&{P9ek{+k#oJ zu{vPhKT`l3ga*G8ZZuR#$O9!L8Ky$yq(qVw?Vwx%Fuo)ayv&_ez9|g6T$fLp;!opHn3Lf@>`JAN1icV2@_(0ujorwCX%pB40`mY`2 z=^SntJXaUiKLF z4rAx@b2DCF%Y`bLt(bWhPiR*$c4TR`H|Al3s}64VqZg;@*)waG@s$iZAm*4ZH7704 zsxjKbwLM>SbH(Y|+Rl!eF>19*?F(w`)&)rN8GD17Y48@U00{b03#yHoZe5SP#DQem%$ zSK~z8_SM;pXC=oh?x?zrkjsjo#TwYvZ;{{_L&zY$ToKI9kG@R5Rl zk19n8vh$_$Bm83898}cp(xT=rfttO709>I|&}`{g?szDi$p-$`&Oy@#&U4X3!+$LZ ze8s_x-~a&Bx|UVPC^AI8Jir7bbu}AOHd8ZR4VQXO6Ym2dD7r@CF1+;c+1VXPAFmjr z>1CPtb_We%S0D~B&=skW1{zjGNxpQZhbe8Hj>L=TXCY#eFOX;^ahipVv%rGxKgG}J z0HR~c2*IR1PO(hHR2hX#yD@_mno$jDjj2d<y18RaLmXaY-d0!D?E#soOU1xo0u6%)HVwHJaFM*gheyy+a3VQA=0LV_ zGf}RQljqt3wT(`!ikexRp#dB^1X@9QoGINU7dk>}S^a3Am5+y9e~FW43LDrD11BZI zyYlNmS4&CQMXQ0Izxc3v1=kL5o=QE;BZHe6wqp?dqRJO4uZhn zp=A9~{#lb*;v)!nYRC$(!PCGA1XWh?+q!np6dVlXfEBQnXu+?4?=a#KFQ*PrjnF^y zx%2P&Zifd~8tL7xI4;Qt9ZDeAmk1Pq@Tk=f0Z5q4LqQTH z2JE8hOVVi4TXd_jM_!!J3iJv`NMFK$%lblKOE%c;UN2lgM9cfkl{87 zZ5j^p=5UaUhl7kf%qC9^2idKy%m-_v&dZAi2tn?QJj^B!4zT#SLpz^qAYD#=6O@xC zsw8+%g{$x0_itxzA6wt&my-~h@*o5tzbIXoB*7l#K`2S0>nlwQK)OmC6oBj)AOz}6 z!gDhT3tL5c6U$KuBlZli1R!4=AOs+<3=jg43kC>*BqJUkpadZ81B3wNzXu2b$iVe6 zPF}hF;C=Vf7q|0nBgm0Z1OM>jKjO4%Y)R~gYs+=*puPLO0>6*D_kVsr|GWeTgWDee z1g>v85%Nuseqjq7IPSda3;*-j>Amc%@aje1Wq*{P0`{wG<}_`);JQ_CoA}~4&wTv( zy$hOVZF~Gv|Gl&6wpS+p{i=ieC%^K`l`B8J|3i;n4FO(#?W(qIXWUZ%tH6)uUUtU$ z?cM*id;dTD$KxOQ&aeLG*8hg2&+nhS|J+Yp0J)#S)vq62xc>{j-0XF8V&IbT%)+mL z&FVqRbMe#vtNot=_qTWd{ObSs$`3Bsz3b{*;F9sv{lD6K@wV^&`th}U|8C1$+n##< zmTkLk?!RDn|3kap+V=SVM|Z#`-`jR3d@ugr#gOE_*Y<*Z-OoP=iyhb;V1xTt|MZzx zAMbzs0dSwYYcG=gpL4(ZyWRUAdg?o`JpQpq{~hGX|MB?3pI^P}wr#)L{a?R&>aA^$ zeRR(!nf$}YcklY#{>fjxVE1L;d>R6NY$7Ck<>HU7e18Au_MiK=-+t)7e)aUNTORw^ zqtEZXdfT@?3Q2C;e;e%m(*O3iZTt2=e3xzTZ*WAp|MvZ7Z~NV^Z~64o{{|19-+>d+ zZEIh-VE0}~0>7pI^{YQH_HTZ%|JE(HJ^$_9dmsPJ)h|B2d)w~UVWBrJ_$BQ9nZ-99 zWK+HL_;WDqRR{2yN3 ziM)O6mR0}z)Ph&uIP<%^Z+Yp_tG7M2`_-L)-v%e5h28rD=chMKxa6hB9=h>=zws74 zsNTO8Aiv!Lxj%<&;k?w?-v%~k+VlN>(fs$KW}27TkZh?Uk$VMzJ6ANL_F& zkW$e^T7mL^D(=9e=YfCfN7&=LAI!nqpVeXUkIlXTQ+%BhCqTwbSsgZ5?Y7{+Q6?T; zo~tt`b)V%~39%^}YaEdivm`rkbcs^SBH*@D)n-*)E;B>QGeJ&_+Juc^EgKFq(>=6K z#FMhA`R+P{9cC)4404rg0UJ`wtaZMCo>wMQ(Rj21*eU5)x$QYua~om?`x(4Tq)1NC z>dc779<&UAfDDhG*saxCB&xKhMz0Lq%iy9>q-@y;NnBFZki>P`@dW`u+PY=Al{2bp z%A?I*a~L3wW3CgMB-}lAUYM;(Ra;$_QNW(AkOVuak`u|*gy)WnT0LH_&W=W_M)o$O zJV_O~rZIGF%<{0j(H?ajwwNqBk##jya1IGqk^q_G$`L;(%u-VAW z05|m(Qh5n%H)O(LW?x^HB^le*`(R3?%#n9JB4}oH{y{G!W;ju&zN#>%HA9jAguP`o zB!TkF3@4mx+{7+N?-DNVwmRv*33B&XM&qVb$^`alaA#WaCGRwAQ}pZ>$`w+nx$)V# z8hjBFwVqjGh)+dF!-A7iN}ldv8$0?kat9kGKH1iyAou$--@$4 zC^jsX0a!qG{KboPf#Z~nT|UMePoe?tV2DsXIv5O&SIn)lO4!(TA*aeJOvf-*7+;ch z3#kmDN^qW8GGcywdORKN&i24_d*_#xtpF&$GrY5)IR#d?&mK#al*s#=V)B(=m??dj)$rb>SeBUFI~DrOX#SSDbxL z3ui-pR}ve-b!TCdq~i(0W&bsn&K=I;nL*Laa4by6-fq$s8&*2lny%jWTttX9)At;$ zAfpMv-G2FAe&XeO5X65-qSynZii=NLaj9aty?VHGjXJ`m!6c*a;E@`h zFf0SBldeJ5Xe1&4Z5X{IyX&Z;kBpss~Clpp#ujG$6XXBMi!$LWQIOSd1SEWho3SiOpX^0E9 zm`K+tYJfm&Pl+TQVZ;S?sxwGTL!Dz3tHJ-}8am5zsHnPODodHHIdnEH0lUks@UjVZ z%1dQeuugPVc?Quh=M)k%B?z`LmK4@NuXo@v2YXfUdyolHrw~Q{qADptttN20@yZD2 z0i?sPQUHd!(Af_H(gF{X>;DRwt0PP>u|j@ukQ(l>aoY&8a{={_{#cB9GJ?_|5Zez@ zmsf+zOOlwSn7^D~Rr}K+eFwCRToZHM8s;X?Lv@dz6Cv~6F5U}3ONS;eH{C&*9p9DV zme0kuBQ`4k4vD^lS(bMxW7qWL=b?d|-e{o-#J#AxxCJ?jK^}(B$R*Ta1mslFHqkF( z$#k=iHW&?$+av8zBYZxfwTgN0(fMGS8+vz6#tuOXG`2}+DK|sYp$^(}nPQiWoo>Z+ zwAfwH+S#{}A^Q`f?xy}+e`s_k~s`Y+1UYs!% ztq+RV^!QR}IWF048es!{2h?*6(mb9lGYqG8G@&rRXFEJdSByj1NM729>Jiu`y)Ylw zqR|?Ml&=_tnhNfHA&HuZbnWzW_)r@zFGr%s5-HJ{zA zt4RHcM0wBQ&p+1TQ2sO2^od51DMmCGQzN^PmyfWBG^*T^(DNA$+(q8W`_ zoR`lcFO9H5nL};QhHVA;u!8*Xkb>+Abkdfbc==9o`5yX^M2XM@pzmM_ltGjmU>nJ; zQ7d)?NlxgIIxNW{>I{|Sq>%l-!~68RlD|a;u~DJ1->c(}JKI`k!Euwb0Fkk!wP-Zc zgxlzLY74w?=&=fE$}aohwm~c5=H+K4J~03 zJ;oUcB;vWUz5y9R7{y?CBEv44ITJ2$sV+`cp7ULFc+&*ADUIjC;!5K*9*4MHpN!)> zZk9XK%~ebTdz390cJg79tc4)YO|Q=4*~OztD~wb!jpynNb{b3%xl)WXlN-4uMW)F} zZP#{HbS&4bEjPz^#>nlu)`_%q@*oUOY5pk;S` zE4LkMJ&U;eOzILK!)w$lad zH2OuH4Vvugy!0T@u%bDa9er|ajZkDK4CJM2eL!_%PUlRTaxQ9p*XvsfA z1^g3}wVdDo)F~KQaIxiQ1buah6BH%`Kj=Gyh<_+54i0DyK_1Cb+^t09kbqZ~Bw#eL zNEn!atpd0D+?o-MDX#dLLr{F^7!u3Z2#}#d>9e5+i3_C(rUZxK4=*%9AFS)s#n~(| zM5rk8uh4SqoBYmf8=ikM8Vk(7-GTfVhvaaJQ4|gaAS{+mX#61(@26s0E-851#z0EZ zgAzT1#<*hr6zfh~(X!(X@Uq^b!o#T(s)GE2gy0AyC$t^;?L-;HxywC$JsPsYl6t9e z13Den%to+Q(JXucB^4=U*o_im&BB445zW|zK6#DG&3YtcAszc;3FJivjy_RiXE3U{ zBLr6)Dl*c=d)ETv7ptt}8g$vK%5u_lEB<;5?CE^Z!la*+klHj*Pc}CysD}|0xNUXb z!&HHuA<;Udhd~j9CcWP_Vd)IwW+c;HJ}5ruVBm;9+{Hmy&|7iN1;ICXoxbY!X^Tp6 zLw_y42x*iGIW!_T_i2MM7_hNSL9zkU+yCK`GvsFLJb`QE7n3D*@df^109H>csDJ;0 z4zm7YT{{eMo;TFB;X5F+he_>t^5)mBy$s*h2j2b8$F|8JZ(MmZu%86^L3~>Nt+Q6m zdtm8(U%qEE$e(%XNp=qDlW$zL?d$Blvg!4?qnfVX_0SNff9x=`=`+_}+0?c_z|QF; zJ3;S$z5k_?tjJZ*x$Op^MbWoe!|>OzJB(V zH@CgErWdU?8m)7)!cf=zbr8oTqx)vN2Vbpj^||DQexuzhtnNo@PTKXiDrgC(mX`$NYX_1n1z{527WXj+q|+` zXPO2HB=K!BG|3@eH($S&H;5RgxSaUpYR>sxGhy%Rz65pP=(CjMp>huc7*7nA$+&*%xH1OK0AM6s`Z9??+&*Z7P*9Hgt|-E%o-spGro{W@X(uTKR&WUE)3LdA)+px>-8)^Qb9J<;v%`$1=ZxlE6=vF5w=cX9a-S9k&=`EL;iT5( zW@0Bw^2TNF!1kDW2kVdU1{B#jDL3nd#~Cp%8eKBdgcnGs6(H~Nlgwhji}&%K7pdd~ zU>i^;yCk=8zRJI+s*|^SzH$z4aWHcRtmiG{JrteX3Xo@F_k;QFoOaH%abzulSDyT` zxJ+VyXK=T+8+}%LZ)XIqB$r0ZVUr&0hj}*baP#+M+~={+VJ9|nkjXRRGc#_oRk*6N z!QB8ypW(a{N3RDUMk+PWpxn5u%9n?c73{qd_BLL(vso2x$SCZ0ZNVlfawfq{r(=%? z))E^z%!ZJysdRMgtn56wDUtOa%DTnVutJ-Uw#}L|!GS8N)~UR;Vs=C5%JX6ovvpIF zP35*GGV}U68H7fA5omcC{-6&2h8Im6AgLVy`C1mZu?`5>dYNgbt{>OVpUQUPj{LG0 z5LE(69R}jS-T*lq`$Wn0-gh4zkZNt~*G zIVB?t?mqSM!H|5^T`c^n8g#U{lV!X35y1s|w5INgOF9Q+qCSDH8M@8rDk|nlQJADq zbmqG4mjX-9Y$-5XBVwJZMh3@xdWFK&b_34QUzE7hU>0<1|IwyV=GO(?e}?|R!qoj# z94z#D(Wxw;TQ4Q|Nc|hae3PC&$FC^Iyg1W=M^vLbk|IziWMWF6gH$*uk&^&bkOYBa zc(j4IOiq#+!*raFAw@Uh1!4eWpy!P)J%c+S@M=V}psyK-4kW-?1qqcQ!mtH+XImJT zK--ggt6Q7I{eVH1jS@An0L00T{ST@qG{j zdRH_vzi=UnrYhV4!;IDHfEz=MS)3ra34Sv%l0XVLBOz%j+tZZLOMlS{2B{z(RwoKP z;6lJVBs{D%q}U--A!%oEE0BOkF_~r#i4n(oK4H{>@Y=xHkQ3#z7=k%9HyZ?^Y?WmJ zNka~~<;bU@Ba@Gxn(LwhY>0yB-wV|^;3_PIKEI{6Fv{bZA_9gs1opt0D7>V-_y zPif-1^&OEX$+Wan!jNX!xaH4C8x6~7s)@$2<14W16G+kuThs}!+VJK;yn_i^Z0SG} zgQVw~v1$`lK{}cGB0M#cdydy>rHX}?3wuKluvK%Xg)cWY{v9O;jaidaXn@qEo!zR_jM0+5)Rdq+1 zuKPkKW|#8avkL%8q)nPJ&6Sp0yWzBETAYu*H^bcN6hN}YMu0p+mX$Y0X>82S0pt;b zJ55D9pRa;XOC%|!-IaBxL7hBV5_>Sb&pT`O}FT{2C}#rJ8!&?k`VCvX6D{*A<4y@^UkO) zZn0Y;tUPk3x9=WJzQO=DYVR&^S5X#C5K_#K8O_);e>tmxxuK4SynF$EvLVTsREtbq zwSx`&S6r&l^8$Iaws#x?){XzthNZSgOGl3;1`2jq#1&?CbBaxl5n{@4Pf*$w?KH$)R9LeKh7#kC_BSbWIY0PL@Gw>3Dd1)A) zT%{FT?$G+!R;e)GoWNGdK1lOy%-J%xl5jt0)HxtaZimqs=%`Ag%__fCcKb=rraoY! z4V2&jHwhXs4U$+xeJ@^`K%5=6JbOZ7wn@d1vsL0Ia5D%FEVm%_!lh{TLz+9$X?dm* zYX;jMNRlR+nm|vdEF6PpW$Qd#%ey9_p(F_qmEa_Mt=x8O zBxduBPBgbvhuKbYx}gr4^I4KIBL=edIh+!0MGAG(vIfX(ShEh;VBZSm&BRA=YK%~5 zB1H^*Dh9`s7G0WR@;#WiCF?u~O;**V?IgrBR@H#lO+nUdoSLwpZHUVu4;c_d(Rp8B z>?aZu&H6MPjhwbgU98bDx;aS!kDlO2gcZSMIdTEmtY&h@w6HKLJ0T#={}4c&*j8>O z*(UTzm|yA$vre)qogsHtVg}X3*e2h!tl9U5s=DvmDr*dtsO>gvcN)u0v2Gl?8JjM3 zaj?UEIELARTp(}^GEEl<->?vnAUArJWutJ0yo6t38N&*TL5XR22PMH4JW|m-HRKs6 zC&n~3A^eKs7|3lPqx=Ffv}l&pc{c^*+&Ml;=)XUDszw%UAwd#HQYKFDd=Q;(D;(`F zp%kOfWzjY09iSLlBrtbe!?(b!6cUItd4rQE=J@Nzc}xa?algg80>!MVGBXVdx)`KO zm%a;doE(?2oKhfh0%X*kCP1ty;{;J~{CAd6YxyII42VK1K7yI0xf-}tjS2A6Hc)fib_T>?A;1Tye6BDhEs?`Pvo z7?KPJ$)P4Rm|$5AIF3nzU1E)u3jZubNQ{+MiCJFp6OW;H)OL0YqGu);i3x||IRdCjqh&=*eP^2IrDEJaD zq3>kf6|RpFIv5Z^56$p~mcD?AQE^H%M_99gH3SatUZ^)nD3o*v#cFm3DTn2|C&I~3 z>5ae9wZr9@Hf`I58RnfgK7_wjn;*m9%BB}jgnToDu)D{lkKMo^m%jlwmH&Zt3*den z44i>L$y7dp2t*RIhu=>U4 zut79d3pmNyYX;mkeNIgXnEdw`%1tTf1c+?pP5hfBBPF z@4RJ*O`h~Yu0WFW0`g_rR9J>-+sl$%2IaA?;A8J&Np_yugf)vh*Z|tF_mnn6t_BHv zI|JbR_(PJ{phj8&T-0shJ+ zD1S5OC6xCC)Eiqjy?6=lrF|uXJj8an1Z2IJ_DYah9p?Tl1iYc1v*8jZvs25<9{M=A zH{z2j9~{}-}sO4bpl;nnxrJ||1uX_pHH>_oKf<38G*qTy1KLVGgdv_1v_A~9uK+6G;lS!`T z zus^a|=q}Gsa9#kuG?!hRa)tde#;P9vHlFEtEAH#Df6(&Y)H8wSz;U(SdiY0N(dx(~ zMnqYBr3LSm*7$6niZ=FrGkURh;Ft-x=RxiXju*k8bUH@mStcjE4O$(?W5-mF17bZ{#IF`0ofsGmvB;ci2>^tf18V5B7a$BHVYvD;Jda{cIf#$L+1yzM_XHfk+Kv7$fWXaE zKL~|ibk)IVQCiR{Ge?CaNlN9A0tv+GAT$y{bl`V*&~aa;83bbkB;cov^}~!H3ht!BkAA3YuHg1#WN(22sqzw^{lRLw5WksE2cU=%W!4DVf3W z<8WkdKk%pn!AM&jBn$erCZMrT)72{Yp5i6l~fq60YnkVgHRH2Ooj#Z zNded3rVw8V)v60I5z+K&D0| zQACO&1HyWKfPR0uq37>)?QkNsC93peRErUUU<f$SyGF8Ood6;N;nD;)DzB=@a19 z01h)hU$w-Wd(DQ(=&G)bXok>K*r;;tdfimK5HWL@cm{}G4EF_mvlcypsT=N(J`vXq z3mic}3M<=-9j1r2HXCERz&PO{l1A`6f+6npbT%STAE{TEqp$#yp%si{&C2EiK=SqPXxk~<8v!Omj5 zs$lya5XfBxADyn1k6xx=Dozr;0gw&&|8%?ZqGS{F1dt7Tvz`Y5^HC@d?CJPpY*-L( zL1F7Nd@rZ(!^<9UIjYG%Hza8VHlzS|ujl>DKu<#}&m9l|Fg|Q@5kRz$&J;4u<|H%7 zhK#!+k55Gb(yo&j=XADI!SMo6KLpmV7+zfIESqlickB^MP;2DPJHTnW>WC45G03Mf< z!{t5+NgkhoC&=woEzq@t(Dj?*BUi+J9bBrA6%*b*Su(d~Pr-8pDbc8MgzZUDp_@~w zB8FEJglVi3ffj0uqG;xZR^bj#5)8IAD2ZZCG@0}}zUUJ1tF|6Rgw(AJdLjnm z1ri|Iz$dsuZv^)t2(n}tAfPdbLH=~4=;6qY6b_h&gjnE)Fap;+M+j!-#(fQ8+$ZX& zD~h%h_rm1N3br8@l#*wK6_$}KLZ86DmGAePLH{*&NWzHt(gJ2GR~iHafj^KX4#{v0 zj*f`4cBxsD|gW!a*O<5|gxJ0nSxX)ii(AO9WSoq*U z@P*{(0(b~MHuO-I#CKZCVPFKIb%SlS1jGwN%xdU03NwRT2pBcDe$cRFpaVY6uF@w; zlzB)CQ~&;B2rkKYv3^2xkW~E3$Tk*55TPxq%&4irDYSIm%yQ)5;8VHox&~B1952af z7Ni%isZKE^C<6NQj~pb&Bh7&Tnf?4n4S1}eWjq$c@y0dtT8Uo3F`>Zm-u-9F|E(5X zJNWa(JOivfOqOPVkDL5t$>ZTCunMvH`?v5a#J(+SykzB* zz`j{?FJ#|~;4ICdFS?l*5HRn*wrvNddjIvZRhWlnNnY9Ye)x>M@tZu){VHbjL4FbB zwr?CJ_b))6tta_!0`}fjoA0=B-)7$nWJy4tVGicGeJ!uN8LJR$e+u$f^d$eyAb-7W z*O`agvj>S_B+R!-)XnYNvu)XNR8{ws4=`z}XUvLrk!zH0No;BRFU zYsua#*;GCVmP!WJL%_|3e=t#*oW1PeQ&Io93M2*1A#jG zma=crAzC6y?MHK%fI}qlK_2jvylfTLL-uW6?%U*td$qj}$>Je$`xY$84S-{EAC}}{ z+_1^m0T9^y?g>7&R_E7&O*qp|UXp_rJhUJW(ejVWeN2!-(?(r8^zcY4&wodEHN}Iw z1$xZ)wz!wEZ>F*BE}Sej)-O@nthYFNq1DkiN&Z#KSP9>YWfIBFukGuAr^XJv&$z&J zAO$>3X1)CNwHqLb%Evg#Nt9jKlY)n+(TQ4bNwn&@j;(R_h=lk4dy*N^m(TX*^G-0} z9UX56h>eja)Zw{*xMg%wbE6gUH|u+5a*~fN|002t1tX$7LF&U@-Q>uofZ8T zJxg)P1Yo-r3$x=otPJ&aRKLt16}RCIjF|Dq_Qs2gdaT<1Sxtu5%?2;;BFbON$V}IR zX*-n}=Xvu$Zi(|;3@hKt6FLC#GWA6-3NirZGKleVYNxBFGsqdR2{Z)RUdXMI8dsciBKmY);F_@$oZ(#0BSr29K7Hh_U%=(j*)O0-q(v`}9TL2qQuOf|O*`XFj z`*sl%3|xFx!2Q;0;4X;%^QU$gJu`0@@8##YeH&ZGELjn)2Kl`W33o~AO|d~4wOf^T z!sHasoe=+2*1eA<=>Q0vb+MIRtgzQjb@fIHXyFA*(`#J5VRm2E?xt?hU8{su-{+i+IS)5xK z9m^aTZGNi=?jGrKE2Q8HiY6$s>v$OdFte!yDJq~h=T9i0TPujRBL*RjKUNM+p3fIo*Ol2MfY`O&f@$Rt$-&q{$^l>X6Lq&qzA*PEuhkMA4H8?jU4tfAf zLR(S4T4Rx7vX6?dp}}9^u{sb0*-NYnr;#U10HS0Ds9IzUFf2+aY|y}FF~FcCB1STf z!)r7cuk(SkNITBaijyy?p&^J(g*0Fjgd!i-Nx}dq1PdB8)+7nZ3PVN%xv9(qfxa3q(;8Yz%R{F(1mL0P~-3@G^AaDl#C50DnJ(+!n3~EdM zLj}DQRrvyZHivf`%QNoA4qjJitg<{zX8)3x1kk=G46e?Xm}tu)y5)1Qy3y0MBb3i) z&-ZJ2=fhn2Q#Q-Bymi+6aT~0bQ5#pz`Tm@rMdNs7->;xEXoC-FZ%R!wT90VoGOSs= z9*v^u44R6pk9`&d?X8Ox}E$n z+&$)_t>A70z{~cIuc@Wm5jA=YfZ{3L8|dAND3ve5eP^_q+cL>bGx`qbJbC#V7j5KN z?oy=Y>XxquQQYTY0hw$wIh*Zb@<-fWaKEQBUlVWE0`{_&@X_hg3(3=&yZy76f7QJK zNU_89BGEBjEXf;eLBG4gU7#~|n7i@Jycp(1CD$#0Cri?Da=&BlXv*2{Mm zILR^I;+A;(*}4Ow?8JcbS`w}0(OOj~p9|!Ucd^`a9o=h`I|Pc4TV!k|9}@&xLJIzS zr`!=P%(|0s@vtPVcZ_{f1UwNC=V#wN*?k8?SB{~G{y~izC!lG>zQ^eEmRnAP+jYa}(7UGzJP%kSoxPj@g zaXu`VXhtNvwN5H*h8?DEp&9sA{3(5bR!8B^L?gGmJl9>Uk{lt?926OHplidMtpx8l z-~a>EF-B$zDFa&vfujR00ggXHXKo<)a^q4jA>cRqWgM^=MV5d%n5yllAu0;8n~@LF ziJ8e!B-y0oceVtdzU?4b$&yXTf??^Oa5G+#*@PH{m6>-n2q6lblUkqQDU0ERzm)4Y z-gB~RheI{D_)?&!hs8;Sl7;;xQPl7%oHV_gr+1X1be-MBd4WNPA@GYotXW8$`61{BCBT7Ih_Yr>R2o|!^jk}$^!@r!gSuGyNuqYvs_FUl zf$EEK0ED|$RFAfVsYy%*Kb~s{PcAd~_EuymJclX@v0T0U5p1b#2McKGc{Pry<|@?>;kF&XMN|8AY}i32oZYvtbAQ{c3y|3~3MvXZ90 z<=Qc$zRePQERen1f70J?hAH)Yg?;8hcdR8o@!KT_9Dszsp6aL6<~8<~-&Wqdr}Bn} z%l;olisk(*^f~k1#a%LAiH7{Thwkfl$D2(Uc!gDdI^6 z4s-W^{?3DY*8b*m-}ry@jiS@vpYErr2k4vw#@haUYxe;A$4?il0nOY8raoazdFxjf zTu=S`AHJQU+xpFIG-KPr89y~DnH$&}r+~YEPXE1(eQ;Iet3Jp^1_7Do^&gn0vF{A9 z0e*b|NC$OZR*swL?#we72IPHPk2GLRTFiVM00HjtR8ThRRucD*t*9>XIo%q3yqDuy&}>WJl-A5Bf{hK&9qB zP~3MTePdYd01&ozKY@E{|K!Tw@2ULF7js{roNVmxclv430j^Cd`}b7t899(-Km8oo zPlWECymRICBWLaJyPYO&>$kTtxmI)EsUMIjMS#Tn7clo*SC#!8o_7d{=N)7}$r83N zw@?0A|M#W_Ne(5mfJY_+WKl(NBx7%wQk9XeosIZb!6mLN~+p9p*Z@0KU$|CF_Se{oO}VpAbWZ4*C9UvAV6_^0L=m3R`S ztT&MJ+->4aYYuTo=T*G0r_w1mZeLFmA$Pog1x%Ib;~deZ zD)LznN>zI4nltTdTw{cEjS0Co5HZNAg~`7?Fz>CaD*x|NXmqTAa^f5-{&?g5hAQ6- zPuUaujXI=uV84o;$Cl)HQ+gNqKkfUoIO$!mGD~6*eI6C-LYJ?*m2xjVgT6!g+TUL^ zWgT5~Ubt8nrs8DPT@jLYhfG(TbLTqjLeO8d!n75izs4pes!cih!_-h_$n!#7b^Wvz z8?MveRn9C{j{3lqgOb}aHj}*g;sI;vCzuNkN(~oc9btTL%FAlX^Vjct1hs<<6^+>? z{wV{sgXaElo8Kw>85t7oB*Q$1+5s*a@i?LSVs8C7fg7FdGjDtC%$db;uh8B72hJ#Qlg4I{73L=ogbrR?_IG>AG9?hk_60lyo5?@=_4gK)K!PNX!L32e zsWo)^9-8b6sJSZ-VC$0s>=5LB1=v&jf4k^_`LS(>s{<`78c~dC-0fP+AAsB1@?`j@ ztmXR$lpi###Ko!l6ZBdiOg;fA{bAJ6NyP zotnNwg(pcBqnBf3Q8{(;8e0Eh^c_Y{Z5z@5!lLJY6fP$51<&T3U18Kt@*0K2Y}4V&s6ccOOO;(tMCE_G?00k1Swz^tqY);H!83cKg~lpD6pC zJO|j^`#+sW7NkcOJ~mi2_koWdFfX_bBa4bxxORx5OWV&QiKDMn#7N_&b!qg2Slcy9xMnhi9BMYC5J~u#0WCp3N zHO|>%YvqC63b`u}&Rp(G0+1K}k(0z&;hg?`!2V$WzO@5M^2ox+{y-!8^Y)tCVZpQe ze*o@E9$ADg;M&2L1Ry2D4hZ<8KudmpuRCCh|FhQasi28Pt2X_DM7hZW|<@uj}>i?(iYv7}*&b-gLb2Hq;2sgukLuBi@`EV1g?F6h+ zWZ}#Z=q0jthzKdAIs?iEt-dI&_NNxlgqsju#1X?s+3wrK1{4)_>jxFKb->g`<-^rl zY!#7OeMQ95Mb}ouy#MFinUE-2KZ3hGkURH2_qoq`p68r8vGEk3zCO2i)WY2l zX_Vck1}@SlqyqsU`r^KCPv3L~G&(xnbMk?{B&QpsKqx1S?QT4=n`u^6iJdPk^~ZzE0zz z9va&lv$Xq-O$&ECs4+{oFMJ-Dyx%*#@Wp@I4wPLec;Q0_4qdnB{he>W0X)>pft&lg zH*WjVPC)e@xPRP}??1ocjl<&)eRbD{1JBQUc;TM=FT4MZ1q-(gT(t7Q^Mv3_dXE7t zYM^~R0k#6F_xXztj|cgeZ`^;)t_|I1T?X>F|MSQJV8ni9&xZAzj@-5IKQ{dEq01g# zxckf}AG+*t?cuM?Ajn(D-f-z{dk@e2?YJk;B4pODJilT6;Wt(k1q|}z2WbEy8ZcuyVpLs;W7yMGBkPS zyPJT)d#QK@6)ED2fl2u38<0>~}Bw%T+t?H;`xxUl^F) z@W;c~KRg{kzCOzCrE9hyzV5fTt%N2Q9RAXUi`OF=F1T>hHO-G7et-9ix2`;J`20-| zLCEv_h9T|#@n`owyzqrDEjkHF2+-jBx9@_`JsYmyaA4E*yWdB$Ac=A9C-H&{A6b9+ zy4^6~rfa|d%vbkpxa`my`xl;qWB?|wj}hC$jfXDWK<3cXy&-S=hkObdzx(nPDq0FuTGOLtVnrY#~cA9{at;a0cX5q?qVB0#}C=As$dmNytSfmcfTc*Kx3kdSo zD-Hmh)V7N=3PK39mKy-EZ84hx*qX-`9bAx3aRXN^czVhyc``JKrh({dZovtJWQz9+ z0H2Pm-Rz{rSB}Zpbl6&sK?_ zGQjDE%&oPo3}m~iLjY*jJasw9$$E2@3FC)4Aw=P}l21-8QH7y6<}cxsvP{qs0N)K& zq))ZgR)E+}1bMJM)Fgk`+r{bXfY>_NYz-2(09!>PzOtIMsEj+-P*Ztn=8hD$IamOy zcTDh>vJy_l*FxbC;4)xNCmD~W6q84qUM+_Qs|mgsnhf^Rrw-!)H}*`&ITw~K?MA=A5+#wL3@{$#Z$Gp3<|ekZpr`u? z=@+t+^|k3T&LR5-v*055+a8LT(%IYK!JzY8h#5!qp3*N-9)BVJ>pJ_7e(9hHD+tSX zvAKy$z&*%C_%Sui&nG2&-s$+FH)>Zv$a6&meFX40+rx&At$_JqBpaZ%o>T4=CF~`| zK}QwY3A-&&m2esEGJwS~kEsqIvjSx|g~{=mrHVImYMF&q3II;^kqj0)xN*iD6hn1G zl<8GA#v>ymLpxmP4G5<+>1{&qsycx0wsnv>(>#<2RntQ)rq>}aiBQf3l2e>;wt%ZQ z1mw!dB?RTy0gPA)<5}4-HmoI_DTu^NLlKdzVp)K5ISgwqLdsC7);i3hbpja+U~w%` z=)DD2s&d3Ul9QD#cD*B%-FQiJae)BYFuXaKh%X{59r&bqNDH?J-6{x(tQ-t50}31& zPp&E`gb;k)SPnof!}f}mh{zBCa?3535jYp3G{Qkfi3Zs~2To#E&|?J`FPe=+W2rmh ztD>O{X#ycI2isI^AXgoPR?7-fRsvAI%_%ILm=q=;FklJS zgFFnf!)CQ|m}D&{s`je65f*7lMIs+byh>x5nXE&smlFR-7rO z^Pp)0FgLy`B-Cui@S%IN;7ECUt+@i`4K?ge=slT{IfQ}+O{%pAbuvJO&y`9?kJqIyV|ok2fx?Ap6%^BJf;i7=;(Ra~;BHMQ?oY8>0lLvDOnbOe3a1r}#fZdA z)}%}e)9qN}AaPB97Ggm7GU5d}sxNRbY5v?m-p9F$3iOE$iBqeH;|SF#2qYReB%S(! z^k6zl$B1RIZks|omURo3#z)O05Mdh@4jbk={q>?Rh^P=p2WaE3OG2f}^xihujTtoj zk40N?pU4oDjaw|pT20)EgJg1AlVO`Q-%p{US{qkE+%bs87N*h;$yQp=Au>r2jU)ha zO;bu*V-9kHPNWDb-fkI%kdBdD#;U?nX$N67gkuWElt9i6Y&nr-I^80#DUQ7#F|QzP zUc?+07b>F!srZyh#UKO=3>iwvvNp!s3<(O>am6$E#R-QrVVb0=(0UsO$sdLgtX627 zu{sUK7X}?rp2cBDE|lR*aMK70ojN*wgLFrP3n8nl7HP>Ruw)o=a=~DV>CajjR3(}u z@#Ku2#q3zmfd-tuNeurZ0gl6e_*-CWUL>d1Q!+X)ypcGGm^IM=z&&Y5(A0HsJ?eY39gJJN6monKz;{s% zNfZWcP7!mBXfq%%tK;`EQ;jMjtjn%ye5e5gU#_4xUT)p`VgSTth|&%^T;R)R6l&E| z;`XQ-6Fav}mI-{FAdqvq%qGFMWT>JU26N5;zO1kea@9|ZDn=V@NbH1!Yh=RBSVvfE zKL7Du0DBo?xA~F*H5%O1iRXyGX6|e}IRm)~= zW(RXdpwKW|=2;UmEQI!D(xR3aUJ%_hT!$Z4f;=G6%vY7nxdRHYOd%yfsTPvRkb+e` z3fh`n2)0yTy*srz#BCOl5U<09Ig(@}lAM*8LB%yA@NJNR_bb>%0DnazbzUoWN{MA0 zWOGuVRdAFYp|(^V09#pebrrY?Y@134lqG1K z(j;o^xslF7RZtgKjIB+z;^ix$(xJ-GMNDKa7TdL@PJmAo-l?jS<3rnkUVTFRdqA?U zZbd)D_ONins?XenM2i^MioeadLqdh+>kO`DhuThTac+o5;JT_(WubHshQTNOS)pg) z#(!SNf>lK^EJk?fZX;QhKo^2ls(OKPsuJCkqG2tw8IRQ4R64AhyDDg?%N8X`!ITn3 zao`j)7#~J~lmrGc3#pVUXbb|TB%7)Osxk8lAtY&=7jdU`I#S-`b;dM?LJmcGGJ!W3 zy)8Zb{iK$yy$R0XyY8~bRwfa$B(1BAe%6x7ct7m$GCJVZZW;l+LPO0Ih zn{GJjz6C2Ig8#fJ^m$G&oontKQRaT}qjdXS93|ka=Sn}L@M&i5X;Mw$PhWzZm1t>4 zSQoo3q<$&}2de3XmF0fRM$| zBkju* zHI<3d2e9NY#$*BdO7~a#Cax(cZI#}S%O}9E$O90fgQ<_xWR5FG?emjlPzjp+PX$ZD zBRX>EjUW~MT6p<{{yG2`nM%6xzcTomA4|0#4%MZ@M?#s-z}6riL{I%VNYLxRJw*eE zVzZB*6M*QuuU~rgm{}95JN|qGxT1GodGF45LH^e+BKtcIIc%E`OC*+milP5AF}ditD7PFO^TB6 ze&;Q?+s8v)wH(`}+v)2WZQpU1MrOV3bze4ovX=B-y7B)G*|BnjZ)L|iM0rO` zC1n46bsGt3Jn8INm%awj;Jm9eR4=R?L&*T{P6FQrXz=nkSB`n&lJhA_a9^>uWzB-- z9UC`NGTiw3E|9fP?na^=*zvQ~w}AZGH4yU0b=9-hK{oV0SGy{oIyX?1glhcQYC;|! z^Lmo>UcZmXw|Qjzs^yP83n1kgV-ow@*FnhICf_F%rB-nN4O)nwI@);RhJu7s?8E7< z_Q{uggUE1f-pU+Vb00S{GQ>;M{&Mv_!S&cXXXC#-xMPw1`s?S7p0)4cyN2(((pRvL zPmY@={b_vre*|>t;Np{Nui2qbS;P4I>}Z~y5Q5!A&+rC>hPl&+HduQr8{|Ca?l4dN zYU#3?bW2m|dMZvgKv2WJ+&wTl-)=X z&}th}9cHtW!dK8|G`B2^G=!jJss|>ub7^SEQN26r=*!#!2wB@>js=n?_p&k>*FuQA z!mGyK;j2pI`y`vQmXSlS2|rwAN2Xj+ z;KpK(cT%;}^_Z={_ja$PEo7=MkDo#)5L(3XDR{~$ zY=DsFb&j_dQBpFTr?=d-N&8Mflm7$>?KEQUL)}MjToJ_J$uf&EhVL%0zLKBP4 zCcIzAt8|>swe>`fxYJKsh2(YJc}`cFr#uxJj?}TvnHsVh=Q!?bbDU-qQ39|~b3+qw z*Wo*LZ*e2141uH=?mi7mQ#EyLFQU8y$pFXRhAn%BhN5s&-E&FDOjGKFSW6R>gHPNA z<#p~w&fP;gQrl{Qm$B#0XHD60PMb9NW+L}}4_>R1!4O{lR-zEi}MwdazPq7t?ZE6o9 zvw`fAPkN<%zoOM{O-(?yPo}pN4Z$`>mYV>A?-k|fO9}MPgxQqIX|n9NYtqkF+Gl;M ze|r`J4HO|TO~)9f&zRXnBi)h#qKgNNf1YNW263R(2%11+lUW$1Ku}DMwoAFJVse11 zTbx!DWJ7JGv1u#I(p+dVF=M7JAsKoA2u3>^MxE`7&aqz%j(0#9Io9(6vAd*p)?iFOdjAMn}`E_pH?F~A;Dx>7LD`*!7>sY5C4lmi`KWJ^ggBIu(PcJ&3Qj4L{|1o1`aRA8oon&X<2guq24T+$s1LctbU zi!D~^8P$jb20nA)KKx2L*N=0icy3lFN?3yl#%dWWE2(5bn$-!|g?JJP)lLrJL-aGf zd`5C02xN;J?TmH!og2l_#|PPDLvY(*zlkbznjo8r!MKiL5SJb#nGlW?G3X3>QA*=C zp4L(^=bX`W!OYWeLJG2&ra*Y4c}ngvJR_6C$Xz85u5Ph;lwYHcq8wnQ&AA6!h#fN~i@xnq1*X&N;gobC@lm zv09UhG*mAy)Q+XvW4H;RSu24ZaZ(*w4zgDZvTetUusvL@Bn3Bf?szDQmb9?p4w6Am zwOXwdPjIJ0b(s>|u#^BDc&wX@xDNlA<~5<@X;Nyew8ArK`q(LfjiI<~y8ZDpyF5F=Nm!EJ|aWANy$C{nOd4)i0v zTZs&S-22?&td$(g1^3b{+vQC)g;5`=OIWUzgo2RWY?@t&GGu%y68QnMevslZRwMjm z7&e@RqNJePu-8d&=>}V3hcoLGglFVs@tH}6#j$H=ssX8rVP|$299^yuIjLT{`3- zL1QR^cmWlGp9K;$Ocgrep%$|kc74wXeugcxS&$7G+T0kovXfDT7+lLXeD0}Jv6;vT zH=&l{71L{N6|n2SJJA}7ueJouL9~TDdP ziNrmSDklOyMHxSltURIlsFi2bOJwNFCEq6uIIh_64pw5_ zMNBX&4bWgHm_P+TXS9^UWd9k%<0V>?Q?XD1Q}ocA8K>7$WjL|IV%dT-FpPo?@I$NQJQPf7(<`oTz8_S80DwfRG?5hMskffbRSnUI(oWEYu(3t|NItC%*Wy^5sKu-gd5|MYg$TkmFvJGRZdqdLc|7Qy z)67^J3Kkh?Eh1h<2)?EQ3~(!BXM`I-dCtBF#|fM<6+02u^vQ!siF;&D580N?Fti5= zG#EF@-;Dj4jzmX$210509n zQ65ioj>=}|clK}30$%U~5*Y#c1h-#S$ZAbi9&8LPk|s^2{Wi>mWcjAPc#oM3Xfeo>0CJ6g=QFs9d0+ z?_WmSGRKyR zv5T}26Qv8*g(*@VZ5}#686Bt035UxlB)~+H^rkYbK)1wMJgOkO6GET}sir$2SVo2u z&IO{v91SbPw)r41;TnP=)1$b?Q*jOo)`H+h%${hQJOho*wp^@8V#%;Iy@O~O<}vXE zrZXtWs70v*jeS!MNy70tE|EAo6SWGGC_tq`&FcBrI1(!YqRt%{LqiFv9M?h$v4IV% zz}pE$znB0`(DOxEs8b+RXh@wBq@l^RzrPqgjzcWpeOQ+c=VNgA7*M9qeh%`LYo1uX zU_JJ7pSI&C*oOW3v)?;#?V1Y?%=yL5Z)2GH)@z>q!^$xi?!R!%1&_bHehOj4E?@8< zqC0=bPsYzWj1lI2XRmMAi(z}(Z++#Z&%UV@T#W&3?Bz~Sy?7!IWjX^$xH&{w&D|H? zm9}{AOUBs0-Vpu+WQ=ozjGgxNrtPEq8b1L*vsbKnZ29Q_B%kb?F%sNsW<9=O&9>zW z-aZ$4@7y(J){`^FytnU)qq6f*z0c+le4z;;%0lm@WX`Tq2*Kv(=V1eW+*&c>S&de^ ztF!^Yz76D)+?M0-V{7`mRv_Bkj`g`+v8e>H6kC zNx;&=i@xlijCkAE#3#?ok+Dg>)?^0Ab2RtBUtCWc!aw`s%4=x5enffHN=h`CLp$xS zJMSh^5{`Xv$MTcUpR^o4nGSOntp6df3iAGbbNL9$Y*TVL#n{LmJ{k8cnY>y_Ni2Eaid`hx*)y`{Xy_Nvp?;(OOzWvRgM71XScSSLS zcY4kzy>jd)H0M)lLdgKfelk~moNSGnu%Lb1NxF1EpA!8^{C$2{FU(ocApeS|6Ebc@ zC(jdwjVa-UYbv{pWr@zF(CEn%Lc=FFDmM8FVABCkw`ExZPYidr+%|mj1bj&_ukvnH zJ%`BNibNzP*|&HM@U9mFIn}|g@-&)XADKJ}UCk72+Ri=Cse}L@rA(7kAn)yMP6A{M zUVz-;c}WNTcL+g`oOU@+rDz-29QQ3gKrS|rPE}gi)fTeJCqVGUrmk@`S>{wS45gT= z#%T@%KyN9==i@^y3n;r=!ReLPY7Li_d#B4e>l&YY5nH38Ai?yy?1 z3$JpjwGiOyk!+c)w5+``&%+Rq3fbmu_0PG+)5Ff0R{|m2w=#z{7`Gz{tv7G;DtzPH zq7Y(hyk87pXm0I6C}@y5P;f8E0^GLt39Kx|mipLfzh;T&2#h${olTiyH@>WJGU+{` z68>J-3CAi}DWM?9MRm~=JKG9G+XJw&1#%~8*(ASrTJ|Bscyg5nQf9PcJ#+U~cA2C} z?+igH|ItEl>vM5Wo(I|7@rE|p%nQx3B<8pFAtT3x=>^Jx+uSQ_9$!uR&r0oByZS%+ zxo3e^6aMp{C{Yy9R)LEf+ zx1t~DX!YFY0?&$`ONJn#lN6qZ9r%0+Aqugup&cXRT(ok#vK?b@%nr~!#+0cg(rGC> zs+b@`FR|FhQ8C!1TgINg=^u)rjP65lpIbA~t*C!r8^+Asg$&Ddhadw;*wH}R3S8P+ zh#6#wEVJj+S{wm#J2ZjS6VFqV~Rpc7dgcNZ>)G391H7jsVw=mO~ZOvj>0O#5Y$W_dWu%;>& zcH-Q`7a!233U~b=^C!88^OyJ~5e%bKLHr0hXU0Hw27Zn{t*OWPpcRwJ5cTiWOxC2d zUaXTVTQE^%Cnp0m^QNLXE8!!}z!XBwTDXokH6oFB^@7 zNtzpVJcOjsgtIlUuhtvJH^A3a#kGY>OcMkA-!vjdjaHCkw@wneh2%SykItqrVY9b` zT-ECFh;=T50IcUt@n#Q`2;@ODoMez3D}2YM%At1+fQKSe;8>aD5$U8_yi|Hc%gHss z{Dp!+?42(n7Iap#VZ2d7R$5aaB<75kDPfw}<9?uFw^Ex2LXa}_mrDknXuZfs6Ahf_ z5_dis93D~XDz*YSz z+a7i{l@4zSUFaw}`sE%~9r^ND(dnlkXThCKpbjZC6Ao8`VRDUSnqurm*@W-?Fj9lU zwMz)QHNeu3mSGkg-|aDW6AjQCSF)|hx}B&F42(5$%Px%7auFvXEUcJ;|BP2*(Jr3o zQP6Ah6+|6G)LcEHNa~06?owEypvgvGZd9N-Zcg>2qev1R&i8N7gz}gKu zOKKtQLf{+&XcD7z7)HgYDBbjhuq>S#7*6C4$d;KEL|}?>F$H8ZO|27zC`Djl8cbOM zgPB}d5j7jNf7Hw}Te-HtR~8m~8XiROV5Q2#jS4&eN=S(c-fjy=%b=zU+yYUF!c?eNVEbVY~%{p87RKld!iYzmy!Vi?yfX!I{FNv&G!vR9iHC<6>uX~I3Wg8wA^ zr|88?7_!77mo;h6S&AkUtVPx;BL*VgMnykr2#OAUqV&lm#DGc^v_OyCg+X!(Qa{J(yJ4;gzB`HPdjkwQ7W&QU6Bc+3 zvgv_V-xJ{FIVWho3>{_-7p5c%vEk#2TAywr zo~=~E=%=BC4uukns!Y=sB>>z2h;@$K^CNMVkFJN<=OiyFZ&%LLK0L?g!naE}aE?L@ zhQtBEkT@XZoaSHrZ5^*2qDzOj^=RiV8twcLfau2pae^BY2pDvJQv-;;+XL8&;qNy= zUb^9$x1U%E@-sjo{nNdeTX=BCFRr?G=E}1H9lH^5x*KQxWiAbWA9?KG4$+wI_8lw7 zztBzau6a$a?%o60Pplk8vZwWsX`DNcSqg4~(*-Fv$p5L#`TmEvU)GSfJ62xOV-Csw z*V&c$jNz>vD_0Y??RWMadgOwAS3ZL2hI@BxfF>I-Uc74zEbOgGUUtL2LjZ%F3eaHE zdxY=r>F_tE1R#59PVYBq(0Mv=b8|?zG{doC%=dRJA3;%G@5`=$m9O*||C^pfTMDS& z$5s%6uhv9+5Xk^;=siYHP7pxuyxepHq6Fu&0J804<EAZ-r6Z@ZC_fa9(A^Wd-JnQ~@w~ zAI7}JDmm94>s-NBF*%_nm~x(4vFuwIO(4Kl8j64rbm~%pPOUe3Sn8v_N0Y2@R8>(?%0ry_f z2~Ap7*oByGun?u~#wsDC(rlT7DH!aE1#R5R?a0>Iyp?3PBptOlG^_<3b@$v!5qFM` zvROxIqxV_w1G*7z?q0Zxp749kTPOJnCX$>|#{?GJk`>D^VKb8Sra6lxw>!kG5$D`j z!Oej`OPrzxXtm!V+*~FaA7W?Z<&^?wxco8!*m{@6R!Guu0qdpr; z9Rd88BrRxwVLEk>ZENmGjF;%44rszv3wEk%GD>4maB_;Ta=-7G15?#)hK;3;22#hs zM#I_Lc0%5KU@f z3JpPtu5<-6rZM{~*)rDdo;HPg4pO&IO*cO%Z?M{SiOrqjk~bDq6bUpvv9bg+9Oyp^ zzt3)G8Tzq|m8li9!Y_oTC$1234fA$E9b+w*AyOSw?iWo>>&y8zHL?y95P*AF9It!i z38@+N5$fqiZ4-VJgD4yjIKE+K)(eJfB+Age{K*uV^#_H?)+F8Q%EyhrPl=i)%Fdu&ynJ4>hP8#u3 zF#;lpel(!x1eZxD6U)bmZ>8@(S#wnQ-1uOdq348PJb~tWR<8t$Dtw@A2C|@%IS9T% zN`TBE4Emb9)nfPI6LwBaG7#9T8Ci!RXiUQe*Ov>Tf`~CIX14n-WV{O23lbY%%;>9g z{ZxqT&%jkWLO6D$027?b4$>Z0ETR;)BPCXeKf0F-T+&HS$sDcskD!Yv9RwXHg}=Cv zFiRLO$|Mx@lfDn53~RC|mj&!sCyQS;q(6Ftqj*Q@Y!Busrnsotf3|lf_Fl(I~3dxj1h_yskK&xHSGIaFTi<+ng zLD?$2Al>ro1S*M%jB#{dh^=91-MRQo0%R*s{$LHl!QJ5tF(QpQA$YD-~Cd!wDnS%4t$WQKF^sm;r!XG=pYi5Bq)w3ZF8#X}ROarfew3OUnou$XLc`>l zlniJ)V2Y$EmFhBc=)j1Q$X1fK1ZPu})SlAyWD*T0tHz=F9bm-66lpRWt6frDp>5&? z(fnK-I)%7%sq=Hl_7?AjCVkRUlYPCxjp$~>=US6;Z)wU2dwsZ9kr18Pjt6^ge<$& zDv&%=00eFt$EpzQ%eB}M7OSdFOd2SZv@xTq!m@|3s(@u;M9OT(rwAshl_nCz!WaeQ zIAh@D7|4{U-HUV$4-y0-aDi(bIhEW9{!yRyKA;tms~qv%NAPI=21IC6g@!m$E9mb$ zanX)JJdQ_&UB1{XNybqhihu5hhKKj{QPC^`mxy*)OPe8Id{RN88>0P7iM)}F$kf`~Jt z7~5Ox>Rdu5I4M?vWi7g$h0837!9J5T)q_w47A5Gcckn%=Z6mV4zg|K0Iw$jK@{87Z zVFE|d#ouJ8@4sDVVSferppaaX z!GDZHn^NHljaQZwvekAne_!OG@r%1ym4oJZCr)q~CW0`OM2oygw8O83l)_BbXDGc* zTnMCuLe`K1bW8#=j $zy*$eP)`0#|4RRgdL)#J^d}IlqC8o~>CMz}_*}To1>B`z zmI*{baTxkq=_95>1x`$bLmB=kNc`!CNJfvyDtb;cg9;s#e-p(YPL#mGf70~D>uVeo zrgLQe!dKeAGL)i|X1k&%ikznYef<7u(wlE8egz$}tLQ6fzIu%`Mjz1LP;AaX@Tr!r#@gj)cnA~iUj!6}l|!lX(87%AL` zzuZ0Yk&&FNP;BC*ve-nJAQlmm!k@(7CkndU2PZl(CGrzJ(T_|5@j52UpooV%MF?4% zf-JzFiJLl5QYioQZ|3-as`|0*AdywVTmao_%=|^~*)?xH)%}Vws@vGH&KUp3phuoM z;qbw;yX9BApDwvGKB(K>;S|m|B4(MD7ykE|BQojhvWE__-G>4@w*{^S7FK8Z__r3l zW_#B?T|&rNlV<>njf;0X%cCs4peMg;M}rKsB>*`7`Xl`gU^Jjx<-(x1kp&4hixLy) zRxEHt>fCzoK`mtb(=`{e@dT#}=va+zW!^_PTlRYpvgXqF{xsmov{U}!xs&f>m%o$z zW|nu~nLeKtEWESeIxf23A{o2#*~2nINh$y>LQ$k2p+Eiqt0wvb?e0g*LFL-pQF=vG01M~xc)O!u3QUQIAo z0}k@)A9vjgO-Km1VZ7UYh4^O0BMj}QVCu!MUMe1UEI2;oseun&<1pJ?NQ z;}5G_B(SjHt-MeE1lf;tXC5ISFnDl4_v;1I*pQib3`v;kwGhZ9K9F*{a2gj4p1AP8 zA2}F$r2Dxi0EyQfn=+ieNBFY>+*#w1-^~P?SYRIc734pZZ*O8d-wyodjllTsH1_Kp^ggT7 z$gJ52z;}HdSH=|^=(in@dOVbAhrOC78l6~id_Ujn$UXxzKf=w%eDsc0O z|4GtO$PnZ$-ZBV*=K6gfc^=9#Nkla0ph^%Lv(_XMAe7xX zM^@kb-mf4il_*Dlkg0~&t)6YOw6j&72p zPNeT4s-jO8n}LEy-xq1QtLT?66}>!V#9Q*Td%joFT2Zk6sQ}9a?vl)q#`i|3LUY2w zx9?^<|NhZk-wS+iSIjnK;5$IsWwdU-0{xW0d&a*9h?||rrQa6Ls~nJH4H>03GEX_i zZ%^rE62YSWvyppwdAj z%G&Rlab+k%la=?46=)7oAJDGzh4-@w@-M_x|{RX`6h4U`@ zhnaHJZxl2+m{+hz2o(*!Y#6Ld2Lja|B1vy}$~T9&Pduyp@$uP;cS-htpmb0Hln$pH z#3m6adCy=-Vz#-KWojwWVs_{FgVy->*ul41ci^c(XoYhYm@lI=1~L8>wW8Z(aO_yX zl@EHmy)c>c32y2@=`)C2)i;n&eA=MwS4lzMy`t;jf0+jZD7%3U`~?M+4o&xb`@-&b zFYG>Y`8)G2-}1FhyVty1l07tb*|%m)TK!)GzA#Pt&tU%r+qP7f4*erm_Vg^yzI)L@ zd;C8np81Xucw4^i1YSEb=zexW_ms2W%YOC$)t9u!3m$aGb8Gu|Dlat4M=t#Oh>Z80 zrw$EbyYHN^GZ`5BtaFN4K57`SrSsbW#oHqF<@y4I#xHXEp!T$G&YT&d1zjB=k`HI z)|@i-&!klSqs%kSs==yHBg@8e%pto zePsWm-QACNvwz(4J~SCItE)2M3o-INi^3nSg^;x?R^RnX)4%USdpRIG{0=w~7O7U>1qCBuhPudENDc=2hRm{n8`1-}`PNm?`Sjvp~8K z$}aUR@@eU(9LUDK^yb0Jq4!ePXKMGnZ|od5U|RtFzAvzJF!lz1ar>xk+eV%7J?dHP zLC<3IySpcx_`~YXap+mx{x?`U_#S@hC0#o73(D??Kt8jLqpsSsA=UoJ=N3L!yy&Dq z9-cqO1e1o-yeKm4`B7XU4lAXs-2Oe#=zfob~2;p-2N+jG`sGeGuHcKd*;0!|k=uEaf#xVLMp z)w6Oqt{?-j6=cG39k=KHbqfw00ykY>xAXmT794o~s>4Ar{qBt)t=O}nd)Z&Ey=wO_ zi;g`0{Pl-N{A9y{?gNL<-MyiE!@WDFA9?@q+XwbfKm5k-ht>m@_Nw0kmKH#>d*1)% z%F7TXgdRBj#-?$n0K^uUu)D`S4lLU|ZtkW@d*1PrVeFHK4jlg8p}z-r5AyJyt9Flj z;`Ym)|K9#nq5d;(Y=pYs{Mms=F4*(SC)?gQbkX6jK*2q4ygO?rIre!1nq3L2{&M*@ zMXfkK4155Pav4DZ211l6@uN=I;B;HQUEM3C-R*G#63I*Ur9X&x2aX zuQfFC_4~iF=&Z+|dE?}Tdmg;J9X{E8dlOtUf8;=|AjrTHhTC_)|Icd<9KPz%S57+X z2!NF9cU4}x=E$`RU-<6gv)1pw`rQlaMp2a4zxWGn<(?b=6Nz@!yNGiAE?^+!)uHvDy;iR&bEil$INupU1r<) zxhtUHE$|7r(@x455i+|>qaFK*Lw4C@87uSB9rz$EWHytlO2Mrt<)I15E;U;{a;yNi z{>NEhzN9zsQeiGY6c3Z7DVs`j<^JLry z8IR!yC&!D7SIDUQr%Tb0~G-?~3Jq>7WQg2y0UDjV?C& z@ElIpo{8&EMlRIcl}EYjAOznpVj~aM+R+L;ALCb$Z3S?R*+~t0wI(v%K9dDGKDdmB zE1X46;anifdX3g32B4&xR%scD7N^<4LKjusj8U#gGy<^QMsS->%puuOuqqyb-YF}I zok+CalX#2PI~>Bb1!Pue5)*8?txT`-%f2~)#EXZ$j&$Bi225VCRY3?~fLSH*W`kyC zja3(^XN=>OWB?F@-bp~6WeT8vJsSdZwg#bKfzt|O0qBO9>LqZs+(MQCH)KaWrnq&E zJu;pp?z)8MG?!Hw8ZWICK4Aq;al$Ifcr}bw)!Us)o$hY2fqV;YtTO;v0Bx<70c-I0 zQQ&OVEP2f`&fn1iO@a+@WV`iGqZw~rPz+bG76;=qGguJZktZShftnw`#Ajx`4h*3L zLoEzYy_3Qr8Ln(f0`W_c>{tneIFZ?7f=1^ROky07tgMDPBqWXntAMx#X5TQ+QH)J0 z=_1WuB3v^r8NL_oS_fz^$+m_8SSF+O28@NPreaSYehJ{sm_mjabEe^?;P1pLQLQND zmuT6x5pDy#p~Yg#;UI@pvCZLj020G^$ku6D&%7Z^QgBs0aCCtV90YcAL763g-HE4H z#Y39xCow0KT~CuE_1c3ju0J*-w|(;bO|n0h8y(YJqB%k^;QukjtVg3piQ>gsXwn5u z{FemzB0-t$GrnnmRg->^jUd>^#Epz7Te;}X*?D_fgx{$x0iT{C$Rkp0zJ!AhK@`s zEfNvf7ioGFL~Y@#e7BINj@P0q>;EdOZ*Niy2p1bbB~s0LPC zgKqj!#n|RJV{wb*(8IKDz%-)_Zw)cpG6Ze}Y3JAeSoA_EDK!}fENeF6%*GpuCnYT& z7NoIR3oKiPbx-)}5;5dMfjL!sX<7gaMQD}LtqiDbY`CHo%I&vw;=@xOQHU>Vgmh*7}Y$m?>}?VakKl z33ewNq1QB(*mxLg(y(pjpfX~v(rGDi#;R4ufj)}7Wn;BFldKGT@+yS~iim-N0rvP(C1;Le ze-b)+P6$d*R72Km6uIgOkab}MSlWc=NI``tQz@$siC5f#sv@W>tzv`FE6agDX`rfT zMHOdzS16$-McPPO!aXGsuLpTVu_&>(LCb z@L1GKp^ny=yttbo1tYLB(~Ncr%JEKcqtdEJ@lM>CPV9Z$ipEX2r5eo>zYuLSB3_DB z7H@$$Z2_2rrJg8T(G)_BEfn+w>Z=S!L*Lq7iI;1(?G>;}7O-=UgIGy7nq#vS*#{1 zHZm(t2ls%6CKLjtr`}h}iYu*`ETd-IoOESXeWDXWZkpX{Fx0s~LQk|+r$zC34NI|m z#+N5Wq4&I1h%(cHD61xAmQqRbPpGk#(~z}B)U-4zDERg5fCVkB9c}Qnu#&Op8V5L< zWnXWj3X(8UyPydaey{*rAJs=%Sd%jXiDqP-Mx@StNcnb@8HzOt_VQ?)@KR=#vCe>^ zw!?$ENrALPB1x+Z9^@cLmjv6mCAGhcNOr#3XDxI$#ESD-lR2&NurgPWtWW|9AuVmM zl2^9k$6OKBjZ*0#P&$NUGfLho$)?7?-bULs9k4y=Z3N7kdI(VS{UomSGK6)dGF>t07O&NZ{;;)hw9?GDhgDBC2L0W2am}iqY9D0+2+qCTH5(b4q2Ai+< zXN&Uq3n4w_&Ch+XX8{ZQgk1Zs5A7o_V0`w0TF=ymHurxbs`1l1D}b*B)p)|?|Xkhm}aBQs3hr!yTg z$lp+qzrR?Q4n8t#zk`$huIxu!Y#j0W)lq^; zy)mS7OJSI~%o-JFu(#J-59=sk8cDcdR^n1Qd+CMku>TGyvr8 zxO3xj?2DrH&L=|$013vdUjE_+C^%%ywEb0(J^P+ho58(t^^g~Ljd@kOs$<=(13=kb zb1m?d|K+J2yGA~7$<=2AWf$C6YvUi&2)?CH_>R>IKD6c?Sc#M;(BSD~rkzG`y7TWL z7+{?Y%XL1PcGtRf*lZ@3mZi;_JMWV(9^3Zx1p{@;KeBrH&Xr?cLXI82e_i#I1JO^I z*>Y+5zlXbhmfy2*=?m9phdz`2d?>p|g^XO)m@sBdj#V`@IA3diFqL9W!C!kTmd!l9 ze(3P6o1K{rtkT?`67BbfrZu+&a=^a4jx;&ZNp`gjHVc}zVES(8aP#+-ZUZ)Mxyea& zd4sirRZr8W!@bc{{aw!MHCYSkF$bUbPRC}TA4b`gKDTdtH5u;4-q16=fu$$732cy>;-wAnUcsg953IHQFQLB;O)hq< zSs*u`mcpmKVfv6+o(JxEPTgw|;#JoG!8hF86l$1UPeL$;FTj0z2Q(QrP2euT*UEDGnUUh8Q+fhva=NpmGi0af8{rxB-E`&Te{ug+y7k*mncp#X!8xF-!Qu@Gf^4#@LT-r9P5D3R;!(QYc~W|NiPO{v1Jrey^l z+Q>zvHKbr&qvN#PG><_@7)=$s5OBH>QdB2^ZQJg6+0frLd)PiE6dE%*C1$!4o$zu8 zyUYCUd>9XW<@(WXH5^-WT4&p(^kMfjBD)hvZvgv}f|r)7Q$y2kqL0R>2SMhPI;_AE zZ7rDhgq3ym!AL^tlxJM;3t&Q0>971vuEHiiB>Q5=8&bf- zOP8JRdG)K^rzgW10!h z84t6~Yz}9tLWL=!DjQAsqO{8d+F1&1PK6;lx{+&km0?G91C3bAR84*dLE-|#@HmAQ+L`2i3f?nVW%;J~@W33X)DA`45p9qu~Nn*xV=vY01g zv@ePd_<ZhKJG8=d4JDicLC?;!g*OBWF#R!?3ASqp!%VbgCGu7+~ORbi9ZzB@R%9 zo{uxh+94PrBPF^ST%hAXcaS>Z@FY@26c|q75(B4<4Izn=<809YnvI!K$P|8<;=B@_ zfuzzgqKo9!vVq2#=n3c`(FdU%P&{}l^j2(ikqH&{CP@$UCh#N7D9<8k!7g$l1h8d{Hx=9#K2Mq8Dt~N(mae{EXfKbH@L|8 z^*AeVJj5ISI!gB}BtvP|jL|043CuuY1SnG!i=Um0Bv~(E|y0OPi!UF(~^HPF5 zD5%0Zi7!KxwURuT)!dSRW)UT-eZc8PQXG!0Xo?no(ld(|x0IDQakNXOF;uiQu@HB>G!|$C zfnW=tG)l%AQk5mV917|r2Ar<;pzFq}I7e&1V^RT;CS7(iV1OqQ*m2xuXe0y@VQ(b4 z%5F$Z@-u`ehfh@3(hv$YYRp3!@&Bm zWC9~Jl^}Dc?RI6Efa+BQF|0FN3dW=LHO+(G;SgNqHWWYzd?K9AABaAoz|0MV~$VeeaW9EBlpPuXd&>R=fO%NG$aN1OuRcxraEFnYlOL+)tXH! z;1=y~--F=xlYt!j`QNnTD8!zyUY8CZ1t6M0&SJ3`4qO+39|2C<8}c^Cjd3AqnzoId zr4tF0n=%%~@c4;_TOic3h}G^5e`>4Q4y@GxGk*$*Wz=2aL0TU{{oOtI$4Cd`J@;EzGuzjxvekc?8PKwI-*Y0Zp>@R0*=A1DjYWnN|5cZU`ud zLK8v^miUl7lb2N|Ddfjvfw67u=>Lc zqpgPom8~osn~3?n$(1NJ0%hTnkZa!3Ambtg$4JH}()5gOu(6CQ850v;f>OwAwP@u` zLtNx4w1&u4Z0Zmb4inRekCK?k;E4HIv#mGxQS!%Sh>yr8pMos3f{GOnGwHh$hg*Uv z6!=(^V%I8WzXS!9|L*uN$)}JF8~$gx*`?3x(gBL}Z=hU>ydvYLa%;50sbb*_vIAqQ zc|uebNdv1E1hdPq+mld!gI?e+8pv!gOLw3QVP}}EY*-PVap?}SFM_R-`uA7|9p^D_ z(3_;AhC+Qpt})t&Ml3}kiTvl^#S!^ZKS{rs!K0(EjWRQIZfnjo5lshE5WY3^;$yyr zvit|**=d>pacGR3F$aH^<>6Syn4)uubEt@8hV-@!BB!Oqc@<=1Z;n z{`PVFZJGq(iWD*o$v7>&vzjPp6y!JII*ySaH_^Y_r%Q+PF&^DN_?$VAPmIw&xMTSt zpuUcK_RYm(Eu!5;$26%oOYETHw2r(58wYAaQEHc9_CEje?Ix7_a^!0W9A2t zx7Wm?i9 zdD%Ys71BGmm;19@2upYd5CV>B0t>Y!ckMc=;Dc9cA&55T*xoR}q+q}1Z>^xOw=a8F zDQ1+urpe0}6zo_w=KQ5A$Nb>s-;5o;Z_bGy;{K5F$6vuu6{VjH?L+Ex=|DZA-dm-A zPFOFjE^i4X-1y1t@p)CnR<_bpPPB7H8*0GNEH@i!X#CT}Vs~Fj3p zsZqdUtL$p4!A|E-C(Ok|vB*C(+D)Y_cRpWY(gx_Espx9knT%vaE0yz(3YVBdt-1d?Gy-P9TkGE|zLQ{MHPWp?L^(6<`U zd6Y?fU6D$+cuoy^%`j)A#=hH{A~N?N#B3Sq&xgcui^#7aN{6D%m@T2`WF2Kq@#HH+ zi-M3AJEN_PFSBA-h$8ppQC)2g_Z|xa&F-{F1VZXkF(40fQ_ZjXEt$3VbQ1Yb;g z*jvRBiJlO79Vn0_Gr$nnc0bcA{0`3Rk!NsB9x$(i+$X;0P0&!a#FUR)o zew33S2tJWXn8UG6>rmtq3@~V)fSl5U(_~o&K_>Ubp?{5$!R8Ff@^dAaIt1 z9_%E=coK-7OevU@F-Dop9`Gj zbd7RWUGXcCr{fWZ2Dvm#rN8N;i904#>ZP@5^s&>w)1B*l=>g9}EXUFK|QVpco)FJ%S>X4>rp zjDa?^CJ4N;0bFQivl3wgn5+~13&!C+mrTkBp-=D-i0v@lrz;W zEUUKNvQj)U3{{Z2f?GR6d@IPnLtW*b=3F-=)!~^bf^Zp3yR-XsLN#_#@9@06&adAL zowTxPh3y7$i*R6)0GdTTO!YgFCgBgQ0bM8bs`h)6Q8en-0G1LQi~M0BzTO(JwXsKb zIfD?j2;4Mao)TlB4XPo`Tj~~4q-Y>5Rls@;Dv7ZSf-rf5Xa=1-k{TbQ(Omie)O`zJ z6xG%Lo!QAUi7S~b0hfs7P98SVYB#9WMoMl%aq=Gn<5m3J6*+WOnAv znRCxM_s)IJy}!7z8=B}IfLh6kPUP-JNEimDs5{}bU;sI4YdGYdjo*(3G`_{_h}_hBfT|D!D!h5n6uH! zi0no=S!~OBQMA7BrM&AZ&nLwF=_;rkd-73Q+o!40fvYk}($OvIP=X_Hb(C~G88YX( zKus@9%-3hvlY;Em2-F~AMkn6Ot-mUGlo8sAo~ z=?!BzDi;*LAW4oQnHf?O7-VM@dMfA;;iD9EWglaX8v9CF2_d{oh=w|fLnzf-2<3F> zjqoFWgsAa}^OhvW7DNFXO<_2I(Txnfnbngiw%lAZgvQ>0Hv`^ph!ZSp&&Mg21G7 zHiuU?a07%2iKZW=EJDgkI+t`f{hE-}($9 zVlNmy&b>5LcW4^I%#zH&KQDWNE=jp`BtBO*Q`V&b7B_J3SCOT6p?-uqCN#Ftqh`?? z(@bPH=U9fQ!?JKhL9nzObj^@Dd6oj)#8s5?=qS}~#g7b17p`9fbW$%Bk-QY3)09AU zP6y0DlNq86FE>RlP(%@((*Ys9(JQ9tLeS@J>X(kN8(%`GGmVbw7WL84>;|tUWEzCK z3>@YVk2(-=H%}R5${-Vh?ja~S?kOEzZtq%pzn|$(KD6LWkg54>gv!nw{p!zDr_&Po zk{49lL5%>rW9U7HzfYRHhQ^D(xCwNzHEcz2EctS#c z+C7F2b1-@E<#8)-Z&5g|OG*xHS)8LZ*@Kw^Qc&UM-huIdl8s^e8IO*`l)w?_sV~4M zqkyuD#Tq}wpBiz$!y7|?Xx82t4|?3c-uhBXLCoX)aM^rl^2Yro2e&2%MLft`8vdS7 zQv!=i{@#0u0^gkp63uYTf7+9M_mCaXdw`b=^P%83Rg`N+?|Xp;`QgF0f4SulbniuD z|G+37a|a0^*;{`6HYs@3mL=_hy_i8!+@l_R_Mj_d{;|pMCb*wnvW9#DA&!FJR(8og>5QC8hLg%{(~%*N1W(Us#R{A6KX><);~svg zWZWUj_MfsQl*FGr%4XjJRXQxC?pj>+hE5NxSAu$46ZHX+V!qUj`JDMT@IdzH^!f9H z8Ig%22UkuhSejl|(AqTJ=lHX=dff^QAEpnej6Ok{G{rAN7k1%SH`gs3TM3k1^xZ)& zbNoud>(G5R&yVu-}go{3Q z9-FIw6}`_IL^+^h!X@yDZ8)(a48mtEcYs6R zW2V_&(6(3^Z#BZo{~V9cwLAsUe{bDLKy>Ko4}&?=NpBXYi?v8;g^VWwr#lHCwwh~> z+u`jmoolPb`N7b$#dgTNA$=aD{BjS?Zeno2UED~cLj5s@ zMDm@wm?jB`ZY~=@0D8<`_c9F@#V5pAuVDYSy1U0!oFByJnfAR^yYgB|c9ZqhHYgc+ z4J%5f+xOLAwrVk)yO;(T@R6uH3lYk|z{v8LmL>r~h)6x#V55r)(yq@vKXRJ`}h*;^2`}m!HaSH3LW*eU+KZ zbRqF=Fm^12zeH0a#4%|0spxP9A{jdM4745mR{yp*irY#0c@cZ=(#d65sP_G$w&lV8 z28O94`$wYiuU#r`07h)I$jJnVEtX%@0DqRn(orYmwavGq4f8|SWxGN`WxKm@a}CHo zf#STYwCr~Tcf-+TD@`|0t$I|EODzr5lP*~<52oV-1O*z5CdF?fQCv<>Pg}tHJ999N z;R4Mz5ck~OHolHgBb-XD^LmNWb?H8&Uih=%E3ub=uvsHztpG!}evoJ*xwHqeKY#HZveLVMEY9X29X$LDZ zC(l5&?`#YX>`UZH_#op3Al;)zog1U^bMndH$oW`;@fOHrB_<=Ia&UgtaYlwV9d@fvGm1}6VtH+G^2wmAs`L8{sM0tR4MJiq*zu%)d@l5!WR;U9 zu+o?x)$-$^(7d<}++1tGy;l{Y;j~iv@x=1rd2;T;P-NDTRdd})QqW2PDTiVOkd0;> zjQ3*!>Gx28k!&JE$YShz2`kYkbDI>3`_sm@HR86$zt@3A2fOF zGenAXlZE$Tf~x4nS>6p{aMX&ZFG&;n(3xW%BJADd?yrt{j5@}I;sc3Gm+sXc+vssl z^_zj})DW;v*KD|aoG@$#Rys=8K9}Bu?>iM{Z z4Tj)id}@S@Wnx5pIXETu>y(OzapHi-C1g2pyucSV;Oq{Za;Y0AUAT9yetPotq>Jus z-h+HJw_s9Iq zH%jDq$%#XU9f%Wv4uVP{&MZk62>H-PvZYvFgXlb)%G_JZT=x{ZE9KN7b+`LhE$;5VbUj1Y zD9Ap$&2~G~CIqy3$?eGB*CaQ%0xu#wJ;i`+@6&C|m zsl7anMTXQ8tkSqP-p<$saQiU<>ES}>9&-l-BpiWWeQY2hr5-G;K!zKw6^xm7_AcX` z{Z?rrSe#_HPpKSpXzHP5d6%{44SeTE&m@M(;8=o#n%YTVuwN<#WRr^#3v{b$f~7qK zfZPkN2bs+wD|3J;MG#q?ctHsC>R|QdHz)cEkjExVeYdx>`#fx0f`j^lxE{#E*K0f5 z+4dgf1h|2PHC3VPK9+ndlTda6s0H!}fLd<7&HrZSxlg}*?zM{*rj&L4f-2%BO@K!^ zFxfuy?Oihy+S*rZZzq)96bvwgy!g!a1k&TOQZ{4-V8MK)ERFCl6IV*e23Qw_oHbLs zDEX@|x3k%=H;+kZ*MCrZJ&{SsyVLlp?9vU1a}>(1FFEw^%Jgfu+abp{`(Mxe)pEWe zM(t!BUu3u3sy}mx5!@bRx77-uoVv3ABiX4y#uz}xzMTNN6+C!#a_!kWSn1*Y0~5v~ zfh1P0>PdD}6+*D7W)JRsH@w z1g9&{Mjq)6xJQPK4U@p+-Njhc*Oob;J@bS13lE746Ib99TA=LeGFpKlK}}M{LM8L@ z0*U$1a3ZAxJ)DB2#cA#e-(3&4XYLA|vzee{!zdjV|MlU{35UNm@45ClnVkW=H;FLH zh9rBS6L6fqd8Gh@4JeFQz|x{j`dhMdXs7S4>p|{4xU>ycDtOHeR64jIw(Z7v7I1NM z1FRy!?n`0Y0@(KtqB_B{sx|B|vAqZR2$bCg^p+t?0A?znS!T4aN&9o>IpurKz3ygL zlj{js8&M9W(xLtSWc$^b{A#A%dU5Sj9t?11myqp=YaU{kh1u4yHaeSq(459d_7I8^ zrP_w%<_R->KT7^`9!iJ3(aB6}POEKB3F&B;&BT3(8;3u>e^6k@2#DsfAt%) z6Ui6Y!%1IqyZ&Zp+V!s9>oqDJ@DHx~ZnCov0hBTTl-^9Pf(HQ_e8Udk-9z>cOk`Xj zQFen2b9#{76o-(|vSZ2a%`ucoDjoFG97C^m5?I<^@ecd`>~h~Gon6=~xy$DZTsTXfHFw(c&$6xOZa~|i z^da%pRhfX;ot>a|C$Lu2wxNa1?$69gWF8!RA+;SY^)=`F*tUMWk@>_pT~N`W+SwGv zQQ&|WsGa@HO*jy0Uevqo?&dU?~+d6p~-=*yM}(5^_`8;0nqIG(Sm1CXjn!&PjRDk7?|t?cKFq- zc<|ub6uDdW_V&z&V0U863br{DcBO>@zn%CpwWlCtXmbDEgMHsj4*EuWVD>tc4#mrs z^$?N}e`CPXHJD1Yhc>KYjaTgym{U1Cy7aH<%-^0I&mNw(A$hC*+jH1qpIA7wiV@jI<9z5UHvYy zmg(DJ`u6rJ<(bbta?xmZ)`D$sDmdNSR`|aEZT_`I8jx9Mdp(QHgO%5=G@pMpb5*-O zJ8&^t>xrPRx!lJh{cJSz`8b21V~^XjfI-LWS#%X7AJemN3rG@Dn$UMrUjf0~tY2{b zJ6~?k=o7FWOXwdYBh#jsTMtb=yeO~qwLJdb;@@pso6sL3?y~^PODMYs6>cs7a^0TA zh18zczcl#ZV+m9BEHaYG;JfHqtV2u+PIvHmZzKZLiXNLhuWaXL{kJZ*t*&|&)z^2j z^9~NZ?YG*@9%SN1^@;9@0^fb>g6a&_v&cxkItq}t0GfS%3<1sl>)v~3lO};MdKRuG z)U#-R=jL~#GZR^FZ^et9N1Xysw(41IyJjRI5$hHMD=kIqu`hyY)VuFq_WvYLv}rXms9DWFnNiL zE<`4EI6N{X6@p9d;&zFj!qK;bX%8;{={x@W-_dU0Ry2DX+bWW`i*H_6_1mpqUimrh zS;S+iba3HaPapQ@t!qhE{hks4%l2xHzjE`A-gQp^CbgveY6b5)Yv42AT746+Kfm-6 zAV6>Jn6)!`Ai4j8Sv#M}Y~BORQeds_SNOA+AK1AU$hWI!KQOQ3@VDPs^5p)HMIi;k zsfR22%IfFeE~Te`F-BpnCZWQIz>N-hb;v8LfAK>CY`y%zOTgrPb@f)I;O`plAq9ur zzxu$R-+1QwS609I`2Tjydj5B1Pwefu?e*S2S^f7v?|)*}^#pnQ%6DHm{M?hbK*5V& zS$$yb{-3}H$;S`;aMq#U?Y#Ry$Mg>fBlea3p>OVd5Zr)Z9r7F$@=$g+uLbuv9^ac( z#w+q)Rv)+es$KCB?tEX-;P^nUw+^Zdpa)O`Q+CZ zE_lCW=Wn081kk)40l*di`H_~xTbDrgZ*G46w|8A~lTz^Azjy5abPU)>Nf}=H)(gkoY}A5yz_}e9n(Je!q(M)|I6O*erM{g#QYcG zxCVf#8;$gS(R0YV8*KamlXU4Uyo)+5)Ts38pztZ8L$`GKh>s8o+I^ zh~;-2EgaEfma&poIwDw6Yx{r^>vs$d+_u&09$qaXb!oMB0m}s@uhJwK4B#P0oNxR% z7bv?6T?PBJSlR1xheJqyEsS^d4p$PKF4TwKej>vs4I+(C1{rL?&4u3MvshJ*9{{;L z(l6KY-&q(HVpK(KZV>>A>z6uE_}&p#epz880)XN~G{&k%8V$|zf~sJy>72!f*RkNz zdOHo6yhf8_SeyFAEV8mfoXcu$oAoE;;WWq!hK+ho3L5JM;Xcf9w8EMk-k6P;g~&4M zqU(579|zmc7Dj^AA%P?nLiexBPe;2iTjuM$20QEKXK{=xEmr1i9%RG~lEoc;ERVoka|KW~1V9Q4!^k6d%Y_<^rvXHGQ zP#{BL?wkr4(jdfx#GBec7)JnX1t?Ho$7Z~6eZo20v1_Wr*CP9eB4$U6yM?HUp0CdZ0uxB4ZsH%)!oT z4wIU>!LszG0>~yrBrIzL*|891^~fwjL;@!7JmS{FO;VEHE>IHFs~uZlZkN!lv;esZ znk3AOCdECJ4@c^FnB{C_`69c2G(8F{ z885u{(s*`bzWFB$u(d$pS~raOV^DBv2;VZqLOOo@EoOxIbtg&+TCI4UL&HK$Tig;t zl-0|pFy=zX+JW)e$Ph6@Qywg1=C#$v*^-Qfl~a~ZhBT)r%`SrRfn{m982aLlkL;HausRf$|mgQBgiUO4bo)nEMy1>;XII_3}GX?3}V9w zr`Sc=C1|g%tmz3kA`hxJjku510HB(FW5swk)`C^av9Li2MdnsvQZrq3Hmx25;Sh&F1Qt$4raQKemETxbkOiE0{!*~ z_&x{U8@U8h1AwmGce`|Sg}8SFlZ^&c(1ecc$Z%xL&=3p06T+0bq{lfOD4fL&VHt?n zU7_a^!OgrV@oK1$0b@X=0Fc}Ufl+#frUSku&?KHEy>I~`c^I2b1&Ixg4OfAnnv=yU zcgLq0`mjcWhu9zpIiG8qs4iPNQAfO+B%Q!B++dv3d3Pww0GY8L zfC3CKJS9^1Wa{K(eqAFXJsweFTyhWU$(+I*!?k6d6~hk6rkO}b8#A35vRjPkK6TGr zCmc=vH@RN?7q@g!RJfa;D)3*=49yA4)S*T zcr+4Fv11n{*4l!NXv@*2k+p~-$Q}u2Bq}2W9b1sGKFo}!LO==!O(QQLB7zw<)(Qjx zuqm9aT1F#omQO0^pua%d{1oKtD2;|}P zq1e<^77ZVdck)-$9^ydRb#9o$14Bar*0ju=1if4Br95(hwOnHbg&Vg&YBXt~VKpNy zBd>8=rO4hgL%S^G3$^MjY>F#_tm&6OjZOy2@l+NL^vePSW?4=}7;no11CR{c=Gg*P zVh>wsV}=1+xFIdmqt^WCTC@3G!vBmxeYkFV0La6%zgKvk4!zg6Gy{B>)#u&C3QmQ)DDs5AwF-laJ;Od)ep1xCy$Ga#c5OF6lieOg>RL79M>TZHGrR zkn!1BvAH|NJ@VsZLt$5TPrZ*;P-SGu{xAhkhY;0vC@VXcN{4r-83a>EuD}TrNTm$r zf|DoLlMs5HRC^Q6WgE4iuKnIFMAkt%;!PM2?>RR_AiKY#0hYE3WFXAKUSw)OEF(uL zUqbreGB3UiEn6ki5wY{C1^@uHiefhC$_c*FfDfFwtOuZw1o)5*6$nDtw& ztTEjjKaJx{Pk@B~iMmyVq4D8I7)l2;w((A8AV+1*gAg{UKS~Gf-t~AVRWf9tPXiB* zM`bFD(#xiiPvAjT({v$2Toh%IxgI`Q6kAb^`dNh0BN`h-n>)m+u&cXYTQLGKz!yIt zCQ{eOlIioxfi+%VY{oRvH*QxHgeF~D0FPF#4-FI9c6ziS!*V7gb^OqzfoH_At&NN| zppR4SM-fx=^{m5G42(yVD3qh-jjRp+EiCWfY&6(46_;yKW8G_ z&+3aW6a$#%v@+U)+yUNqgi41+=!B)Cymgbou~g53uFW!SSYy0MrH)E8!7!u(GFu;V zY+qiPGAEVt-H8TrR}v!`D9Jt9XMzmlZ3P4DAjhI!K!%8#dKktR&7+JgDeL9H8E0IR zGhwCjSmeFa-?Py0ny8i{np()lsh}EzhI@q^@39#0A_CTM3e~DqEmw~VWV+WQ(6xFN zzq|+ih|>>gA9|}Mi52zVaYB#C)aFF0-$H63GZkBZTPIr4{HrY}<}|nT*V7@I!@!X11}R%(!wI)2yu81gl_MG(?HD zG0itv#O<}6X1c=XZcx0h{s^xmc|OMsg@2D_XqMA?6+D4zltETXI$Q!?}6 zqP@e{z5QtZgI${Ja~0gXWAEE%2!-_FF8o>2`!E?_UNY`sV8miSmq!UYcHvUM>6Y9z z9(#+tP8hK+K<*Y`#Liz_vgbx@^x*;IE>9!936}N?dnUv9se}>h0{gCi=zscdd7D@e#cUVHo3TS_usn7^px*}oEb<%)5;F6q6zbw~GSviT3W9=vhP9WG$&s7E&vK<=X{GR#r_?(*QqB_(?>%j}mz^fPF#U(=EHpoNn$T(((+Gy{rknku~dQ6hQAKTVJ~J^&63B z`&QmL?!SQ!44)in&Znv1DZku5k6o=whX}3Xt+%lZ7wD<99(JT!K>7*Db4dsmNbfC} zTyxju|b8R847-X*RZc89RE}T)jV#aIt1JRs)<^qsgAo zJkJ`jYze?xN9KfTrn~!^*07A#+6sxt{oXI_`>_K*|x9e*svqU`TZ}mT(lRh#Sh-@gX!P;q`grD^NbchBh#Ma*EGrwv z=Tuhr8?fmnyQXH!C1)?v>}|gaj$GDcjRl!SreM+guCtmKwP=XWXpLjFd{FJgi-BO< zsVIbkR(A7zASwnaLnsj2U)4{sjrl=;3uIUQiO9Z9U7DP(9NV2w+zesE$`g`pVypf% zB(Z;?;mp@FDn|x$%spwsoQB;~i=vLq=|b5x$sF?F3CsRWxvf8XGn%KnvEw$jAk}6@ zErz9eGuo4qV;k?E({8COn|tjz$vhz#W1Wbsl~Rf0Fx5FFuDd3 z*T)SKGLIV|#0|}m;hn0sYu9<|BrSCUg(#HMuVm01t=OhQMZ-bTiSARa$V!uCTUo3c z6fs$NVvQU|ahg@cn-Cz=jg)>-R)xLzSCxT0$CD+&&`3L*$b@pl&&xmv_#IY<&ICCE zmpEYm9BJwi15I;67iDZ0$3b&fCK?jwB3n=pjT@1+!rWb}tV|=>jiVWmhs&xZi`X|Xm{adC>BO@JWaYq0VKhKC1_V%H z;dO}j>kHXtO;pgPEhB)k>%@iOI3v%~byT))>Rg(R_KqXUkZ9*&Tbxf-C@Im-yp>sz^Hu3!RST3a zWo0;M$jitqv<(*fL(9VHnpuY7HwC9_d62gwprEu*T|vTJ`4B7hp>z+%D^1AwKs63JfPJkx4J*h! zpBUEY1n6Y~hb;1xHnE4RMgVWptOZ6aW9K^Oimy&|uCJ)9)NE(ed zat#ZNA{u1t^G2fy0e@G6yCzb{ zrB-X8@;0!Q9G6RXOtn6~tr>~$&v{QMx|Ic}C^!d#E6gkwF1CDZaQ_q~EE7Ko8Lm z<8%OP7dKtIK}(%<{~DamDb>6{Sr`FNABP)SbO#lI@UHX4S?ZqE2m&%FUDzBfezbIT zmZMjLAceru)lkwIKAY1bXjag<7oVh4?4d#g0h&_HkCv%sqQ32(5;G}0C~ zY#?04$|%q21^ma1KE8y+puj){1eAlU>A0y1HIQ*?g|v})G8d<$s%G)9j%_-TVM*_F z&5#xoq=TjrM}wrJ>LN(!qwC|jC7_H{=|Fc-Vk`IK5ms&r990N7l74}*j-$XIhY+D7 zm7y3)22hw;jpASvx{;z9LVk?BY_iZ0nQm=>tnHyZn`dl<2<-Yz$7!;XF8-zV+~ z%l_gC+4~<9C5$IB$p#=XG}!}9ut`2<3sU5RkUehdOZU3qyB;9%qLLAqEufv>$E_UY z0?k4;CO%Y@w1fKI?>#npCH9{u*^6BAzA>ShDFs2^2yV6W`xw&1OG{F)grWqTF38h= zF}e~0Qps?CD*L!wr2|WN^Zjt_;tO}|9W!M6o=cY1{C6Iq?Ec}6B}1;+`RkG$dxx$W zci=caxh9PL{(muUoh$ndFQ4qYwxniC&u!Q*P{ybHO+HC!vLvO+r;M_DrE=B2+yB00 z$&6oanOia%i8hm@Q zR!(HSD`XFG7i-Js0||G_paGj)a=@ID)#_*$Hf`(|#uKS6-g^p3k%!+<%*491IhWeD zT)Ys;kgd220lr%m`=t}0D6O!BuT34B(ULi&w_N}M7zIg9*nqswb|fbBL6yWOY>t~DR9b#%+L`HD zxGh5J3^S<5LAez} zH@HccZh#<8XK*0Tj%Fk3M$0r3G#8QzvPZn0D<$p;NY|od&X;kSu+$UPxPi}r6O?eK ztk$_|;)BE0sgd4b|U){Z+bTsirD~BqU6lstSbKn(A(z8XZT92@Lob!MmT?X9g z3dDx?IJpXaw(bBCWEbTD6=%xg&wHt2W68 z5^hTank)H)vO71&Gy&DCs^*y8)(lME1*Az<$n;=oqp{++u?s@E z{PzQ)It{qF(`>k@m^7ib1GwRn7&HNt5QNO{B4a0i&2hL^3$jAl9hPg7CXC34)_-IY zAE8ONkTXx_1{9PI4ELXJ{y^ABeJB_upJ+X-JknL4rh;DToJ5q?2U}I?z*VUx>FAc` zZoR;XK&AF6k!2MVEXp{$G>sb#Ob0k3L|ObUL=o1ws>mdj{J2K7p`yf?6~=hkwlr}L zdy)kJKHIGcDpb~vZ50Sf~z;K@M(IA zoO-NV2p;TVPM7R6NQOx!T38asU=|21Mw#Xlq&l)dGvyqz>$6l)MK9@ia+^l_*0>aJ4HCb zUzJZkl2`P&i)l%UNJU0xUaVSUMHdsS@`8JYf&L?d!OD&#;EGsP9(UCd#GpBvb|;;{ zN{~f5hV-rTg(i@@bi^kZw?uxvbba7H*d00D?+Pv%N0b8yL7^#^x@3BiM$!=))e#5W z2AO-8QZafR2A#wxg+1eYMuZ1+{Nav~df2_hk#3nxGz!d*=)wr$!WzvQA&$yRQ!_M} zCWuXt3@_leYZ|l>MAO|L?{?~f<}y_1I!Q5n*1uXdCQ?uupcf^C@U%g(spC|7m!G?z zts_+F;Ekcv5cO%n=R88l{3RvB_w8I0*n3G5!_>>R{1&6v3ib8M(KNKa&l|Z`gGeLjp_LzDjow>xZq5X0+gApXP^>;X&b3Bhpk$qFF__rAS=#-qD5NeOxTO^p8o zH&-Fbe*b9MOJ=le`B};LFHa#KwbAZb^U6FPYO1cm+^beGTt1YY%_mjdgJ_Hb%(Y>4bpRFqwLAQG0Hju36mD+SB=ojY+}&1A?m<>Pa#u*r%{{oI7q&^jyT+^U zi;M?(3i^{+B7wbpsT>R4>-7F|`JkmR zC#O=hEUm)iMBK*TpgzCCu)PT(_^kA)zzuetQv|5Mg{JcdpxI687pjU)84&q>f#Xc) ztpr;a2PcL)eh`Z-tpZGMoc^fmT^*_#MndenA~XXr<)U~U`oS3L$aupCAqt|cepnu& zwC=$lfd4Qv!)rI0f{~!Urt2 zF3s`Zz>UR?*U{Wo&3L7ELwXE)a~nf6NK4@L{X>zL($rDh&TCsvsk2aJ&B~Yt1vN@y zXaO=fCwbh;96a&qkeZ26dWo-$FP(fqTOOZM&^m`e1u^0T1u<&V zBcFWMdaJBS?)orSS*w0_26`5%1!YLpG)+VM6Mcq4*2`_Jqrsc-X&i0dY}$PZU+vsS zeBMyFrcNzgGT{^dC30~o(Zg@P5|U0pdW?yaWx$&kcJ65Vda>C zXs1>=bsng_ZB8aMK)MTVw=Ifq4q46|^AT(TVY0-aYlIOs6AXZ1y_ozGM*fLas3aMTJYLb<14^(dm~KQQgm)L{~2Z^9y*+p>-W<8lYNI%3-KT z$E<@{3-9Z=vx*crJ+_fR-@G;jb5gYI@uj0+Z(@P>}>B`YukBeY!u z3~wz0Iv%bBfuEGhL~-3iXHZC}9|kp$@{p`6f@E$;{d$+5!4GHrE*d(*@RG)b(4j&h zWo~q&d)o9FX!Z%B)CXR7M%oVjou5(tZV`0s(wyHnXUA`NzVwJ!@FmDmFpK`hLoVi~0`O#Gc4)CK2-p{c<=<1)=gT!T)qo|I@!$aBt{D&5TO`9> zyAdT!LL&hcZq24iSR)cEcJ+?gtUQ$NIMx7h;~<(Pc&ePZV?0~$r)57%xVe-Jc`DJ! zc)wTQ02&zzg1n-uBKNZafYS|4j27G%+V#cw^6`ZY{!o@QqFLg6zZEUlcGO&Dx6KE> za;nmD9s8d0AVo{&KrR#{*_&dp5Pu-4=87U;xB|B%{M{wQ<5ofx_oq@dlVc+>&CwC1 zF|xn2z;CkG^ebwj8pw7-9Ft9psqN5h&Zn#(q9aYbx8dY`lJ)3aXQJ(Z;?iKvD77>U z;u`uy&7`qNhIxGhl@`s}a~}#?Dq&F%NQ`$2oT5g+_$6wRgl*}K>Fg_D5zXqxaSkU_ zB&RC4(lfvODIEgv`O65Lwp^Bn0Xh)Shmq;Rsm57U$OOI`aUVqk(bd08fD}jg61u3s z=|pNOZZx|DV%IS9#UPO6fA&FQ`iJY&l)eTv;G$^p!eIi523xTp^|ROU)HEnfI}@dY z(nY{ENX9z#+M&^DHed|_e1=qY>d;K($br($v5H?E$g9ZDg5CrzSRTAb7a8dS+-i?VwIa57B2ibLY>LH5M@%k8? zk)4uA8I52nQ`~5mLNY2MmXCHRwbR|_TR1)#JFA-b8|jfFg7mK76L43kD+5d2IL;6i zmBBVn>5>A+@)i70IY5Cv;-O$jPR6(;AjN7*Tx*Oq>xQKZ5-sqb?DYcf^$3VvD3K^i zScZGUzz_W)7Tl(^*HPp_XoNuv+)`x4K!>n0fJs9T-R)zln@9KIOm>A4*0+25=;k&@kDIDPwpmf~2P4I?nZmB780mdzz?pc;~e1>RSbofKxJ( z?cZV67sjn0{1i+6)3~k8cX;BLx3*`#-^d0lkF}R)qFZ@LfF0MF?a9*Z^OEa353%$9 zs67$Yo_UJM>B{w`ZCaxIo_mP(1OvfeAw^vAct${{?H~562Y*GkNt3tOv~8=B+cjXg ztrEQsO-&vW0}p22a*)kVloA#T%A7=g9`OXBJy-|^C0F3p$UF`M*8~7H`av|iIhf0+eyGV(jYP3GL9to#Ag-#qe)% zC>`2~e3Hz3_qV>oV&K+xz(OV|pKLg^xH<`_z|UvU8(l1{)35ywh(zg-Ik3G`igRae zXoL3_Wd5_wmkdu`(4L8WA*m$wVcT>Jsv(D{fYKqko|UeD>igvDw>!0+FB3go)efa? zz67{cq2jWC^pRdw#X%V`6`<4cgAWS!xRcq=+Bb%iZN7Gv3|pC<6Y%ntzLj~)TMY1) zAgj_Lvy*QC8RmcsJoDB9RXPy&=6lw@+L$?L5=w{5+vV1T%S}-xbt)ag zc=5Z041S1ZZD*qzS?_5sd6X%AgW2Y07QP3sG>|!aaw{Pz@>>ux_L}n2*TTf8Zz$d=W()Vg*qxPGGUl+Yry}-V!((=X5E6-hJ_;*K3d_V>ya_rt35q>M)=y7*(e8wl5 z54OBXR{B0jm;(}X-O}Ni4Tq;#$$=-FV?Sdnj*0%f>8fX;A9Ldq5~v%2%>!6^ukcGk z676uiL&3)<6KNk<}Yu3h`8^sVu~c^Gx@&@dI8L{_E4#k<&T6Cs=4 zG9s@V)6R0E>^q!&G2bPJ+nF&*ls?Pu-i()tN{4Q4S4dCt;(JAAa^2EP=;Z_Vp`{*o zk)SB=p(4|_#@Kor3v4>~nfKT;n~2QW?44*k1Y>x;l_qB;zNQqkHuJ+$pNJ3B!hx@C z^YkVekgYvP#V+Z+!n~MD2ad~LotE$AD@%02^yWmmK0bZ+qwMj2WF8*OUKyZ2w#~@g zR`G{K;B9U8)$EC$~>xyBjkfTjfh`DsAUtzD#?VmHta?Sj^u~^3PDSQ;eG4O{QP_n=>@-@LNbf zXB*3$7~U$ZE0Y_F|H`huIqlu|wEr#s@{U!$^ijY_oJaTaT?Cr}(Bo4jH z8ve*0Y-C%H?pa)NvONn(^&JuD%V#nGm*3qETd0qdd60S* zB&3b0o&}LRK?e7fg1To)H%{CfLC*r@!#BVd>a`S&q$uG&kk@7=Z)XELsdOl%8XKdX zvv&&L!Hfxqz)gLHD-+<>4o1R(p_gxGrLVETrC%z2$KzH#3*Vv22g$L-U1&WD*{WyJ z3q6aM&;B2Szu)xOOsj5upRWbj<3G`!Xk)K@W5)gMJhT1kSxoz#D4NX%?tr5+ywc$y zyM&B~!={kll@F$R7T_spWV6P;v;oObx%@ho(R%)UZA`fe_dfd|P}s{C?kWFMddAPC z@5)1GkLJyR#K8-hysi4sl^Jg1Y-}K7#J9>ls z`#o3U?hyc@0OLLI5&%VyAkXT!_|+$GS#qGG8#j07>gPWaW%s6Ig!I&ekXnJ``W%2} z3Ep+d-VTCybu}S)*T3((2AHO+54?MzeaOz$10UD}nADkX0_b#R$1V3icp&-6TH<~J z@UA<5_Z(1m0jGQYgV6g*B9rV_XYG6PK=PfA!S}!Wi}&`Q^PQbbZU_Tq_dfp|2q8G# zcW?R0fhT7DboJFw9QX-Pc6UB`;FXCTUqX}#<&$qbz8{FPUwMw;bUQA%e)Uaj4|LqJ z^U105z;9;#--|c=Ztp9%c6?>$uMdsg^W??ARayd|T!M%F+>H}|=92~Os?wi(5>=*)Q-Wr^*qk%Gm%3e&RnNX)N#OV0m}3UZlmt_+$TVeQj|7&wn5`A2my4gW&AOv35L>%4A|6Q zF4i1}BTLKHfXT+S(NI5UvIttF$#9G_8nwB$hV26z42HXaf5k)1u52FZ!>kJEP0+D- za?^|iD{7gN4de68V6K&2Yfd(lzwy|@s~Uvjwu}})$BKpd1io996KI&|X8`sM2Wt6b zA!e|KEDZ}Tj0VV_g2g`hck3Pf z^COo4s<$4Pypg!E4zkUlv(&h=Fmi#WlEI18O(dU)9HT+_`0znCqeO}btD@Gn+0tMy zr$UmzSkhjez#ht5}l{yqmw_#3WU!<&zAfKFEl>bKDZjLR!$t@iuAq!zg z53B}^l;cOEYsbL#Cnjr3HgKOvHXvASEt)?5II?S1l-O&%D@v1qk;;yviHowEQjkzz zPgw7vVTD>mR>oTx0sE$`1o}^*GM@PgLgQ;3pm+YL-1>?z0K!yR&anqPfx*TN&m8=S zNQO19K)Fg9jt1nmpfK_PeZ(x_=;fzFLA@b{hf>g9mQ&1Z2IHA41a@Qy(+?{#4FGO} z7$$BRD@>RSu1eFi^2WH7X9HLk2vh)=!7MRw^}-O+%28ObK6#!dWX^}ZB$U4a6PSUtA_Q zNRk~%DM%UOw7gPhUWoE{+0_%>(t(}!z&~E18u~bfO;?nzdx9~e2M;d0gO5(Rx{bOG zL8F8FXnxlj(U|%^1wXp_2&+NhR6Kw~&tP$NB}b$u1fA(j!*^K_pU=Li?l6!AMpC_C zP;_{)!GSZ~kIy^sfdOhbY$=aBeHB}?I^sUZiG*>9ESdUn09Op$>Ps3MNaBlkGT2~T zfSb98Dit{Uo}xHLoe1Mhqnpf|_{ZLoln4eA31r2OV*(#( zDK0HI023f&4{M}IRq%e?3woy7J3Zwe6&HP&rc#cKmUP}T@SYbZd~Uj%0zgNBe5i3e zi1W{BsO>wrN814<9_mR|5~ooy`7v;#AI9B!q>xnGL6!18)ph_DBV=EMC{&baQb5*K zM@K1;i%<<6O@@M~bGykSl@LPNRoofnA*J^uklT7{f)BJ+jZGM_Qbmah@klEftAvmu zY`2V<{Y`|PsuYY?j(1QyrFC`|+4s20N7PBZ-ELfvp`caWLmEpbgq0VKz!Dj(=Qwv1HjJ0Wm z)CgV@nk|`Alu;h`v7hszSfpH=pI1xhweyTE{DK!lg9gdzpJp9IV$?i8rEd7OQ{ue9{g*>V<&HI=`wW@B-WAZXs1$b~flrSUV))qJx#%pykk}WraJD@j0w#_yY z{-@0<;FA~0gK%UX`GjzDDW%Z@0lBhVwt7kI){{Nj4uCIKt|D%?ii_a7M}{~Ce>OZ1 zFs)EXrRBPh<34V-r_>=K$H-2R!{P0=$&i+?(oIXJ5ZnroxXg z*&&fmKgUK1GD_W#&;%bU+9Ar@&{IX(A^_z5VS1xF0vpo>;$kKq!eDp=-s!e-DN8R0 z{o8{Q9=jLLtQz{!rG=7 zjLl$9F>&{uzp@VGg@Cv&SXv%NZ(!Mdc;7?ArZS#hZ7s#puF#DkzqV)sZOqJ`Y>@@& z{=Rsfnwi?d&bLB_s6>o)?I+ zjoI>-@ORZc8(EXK6eSPzEF2NZ7~R|=Z5_pc*4!Kt*}5&Gp=c;W!;8z)_5SnCpV<+l zPEI{Dqv=s(X#?Q9Fus|Z%dk2oMS3(C*L2?s2vLr0^w)55Y#no25alEd{*5AuGXPiK zAaYPTE(@G8Dc(V7hP9LjF@f1E*}p~ zdI-V&E^e?X1u1ooBE#RQd~%%3b`+>2s%eWV+Sg5sQX5}Gr70!U#}bOM$_iHq7huGPooMwA4xr&kK?XQ< z2ryqCT7fDC;N_^LJLN`O0zb4tJb36VpoA0?%B%@iq728u%zzLHGERM(cZ;*SVzmB8 z5L+T$hkwjm)`p9DWk8VnCO*MH@UKFf6(uWa3=W-TJ;c(a;csGnAqZ-k75`*fm}%%< zFnMhY>&5Jx3UF_e)Q`v_3&M~M?Vtn347e~F)@zA`u2=Z`vNX;HGd9eI1ZMeQrD3q4 z7WLX-JTqhrh$OkPo-wgL*ra>~DvEMT!yftIh6d=|xFMHmh!?#jdXPw@pAM26h!=N3 zpTH~m2R21^4+ecp(nGt4& z8B=^-7ZokgquKb;gn#F2@r7Gd>2S(GoF@Pv`oUD-=I&eh2=*;mbN;d!zt~Eks`sbR zQ$GT3^kIUIJ!9ac^-6XT8M{n`XRz z<2l$!L~$=)z6=|nJmlif5*RGV!}rd3Lvi1mvuMjXB@f*=X3e<0iu=*{?is+JU7EdR z$&l`3xZQQ^y*X)0_U&s1CRX7rn6vsK(IyMpu*Nzkp93`k!Be``H`MSu<{5 z1MQoik`3eCL{plKQsj*a2{&icjh8Dp-S4afH=vO>ulWfSR6xo#53O7|U{CR*KQEZQ z>@SZa|yTmLuY*oBMU03h*==adYe>N)mIX5=#`yZOD@s&t6Z zBF}o8*2A7AlwGXOB_!OrnN)!XW6PStlH1e>dLS$Qp%J(sz%9XCo^BODopKS2tDTag#lJ0OiA zO|%T`(gGnd2(c8mQn1L?$oQx=ce>SacrcGUeJgm5@u&{eB0sC&WE|pk-9sQF9xIMc@ zfP2wYEZ6oDZI~-tL@QY>DQE@c@?fZHRKF`CvEr8t!R@4D*>kY3^pB)HYR&4XEoyed zu|+NML{OWj{0*PL-=v8%MT6`{0~^BBjKx~ZBG)JNL}uhzi&AHJjK8k7fGvo!>_OR1 zui#T4_s6C!--=vS(6%7Wexz>USDd+eiTG1EXT%hi6DhLWmZiny;w6Uk!zZKqgv?$1 zMl2k6YHdWTW4aV8=KDbR2^jH*CTVty;{@Fdajn|RxDp9h|0A2y(jjgypSZ~YAt|4@ z+2<6<%T7`Vcj_#|S-@&4M=rD~r{~p(GB3wkqtA$f$G$tKVC6>&v9i~ksh&lTCQ}Ua zXbf%ay8qAJ*8oOQUHjjeon0nzA(PF5OT>C7BsTG@3)o80NA50xE|KbjsL`Tkp-|A; zC&kwOtxs>_WQ~1!7%5-c_Lyqi(qguxpa;Iqko@2Kxtv<)C zPTF#6s5UjTM#vyceVu7xKfZ0p&L{?Ua6to`0hN=&zmGeOn#N&x5d9WEixo_crv%P# zrFJ+Qq}t^aEA&K=4jmaLFcCgbiU=k+n$%rq6S=LN>MoY$ZaTN0|I|gCPo2qmWsN0<;4DzAKWvD7LIIc%Vo{dQ{?2aL9$ZkV= z-d605G(z^pJ`=UmL1a^R7MfTVaYM+#xertC+caRQ1cF>4;gW3R?Y_pFbG(ZDq9MRt z%*#-hxtgplCT>H5Jj@7?f-+A*;tt}i48`h{-kLStb{Y($IjILN;-1QyVGjELwrmox z(i*10-?JxqLG}!RCQZ)sF_5kCh!V?;usgdZIOFTTia85#GYEkum6J}_1c3${GL9$- zlNXwpBpZ5b9g9#vBm;3?RuWn#kY5va0^G^lrYeBk0EE1U?Z7Z=7ohhbjOV4}@GmBiA&4Jy9Gn zZCiRNElUSu3yKgUPvo*PS@K9wtOmrp#UaJhr04!lMVRK5$!xM76aa;4R zQl*2!TI~;TQjWS&2*LEZ5r#U#Yw(c-@5XE7GYRNwXam6w;N4ab5al{UCsgB_0xxdrP*9sBfrQJMu1&<|{hAk4 zg@KHw5()|UWPBmC)yiw&v0wm-m~m|P(!4biH9O%Gd67{AS55X?@kv@ldC-Z_nvj5- zI=?1=F^W<~#={(v4U(HW`&ABzh_}Zu#t(>Qd}@B7orBTptd0e zp^mwO${)F_Lh>p79YN6ZpQW*Vojj$JB)urAZqqz(`vJU8XJ~r<$ z2zVi1$Q%ro119x?MZL*Ad%5@GK63Jm3<@cbaQD$9w}X1=drCpTq|%<~P;k>@*egEA zU79s#ejj*Owex#sV|Assi&^TT)wVJ8Z~N1BjOH(*lpp=Vb0B|sDQ@k0igxk8fZ&U>DX(O&n07yeG4B5NJgAC=+yM<3|nm~xRNNkYrQ=|E~ zRovnz++1u3-&a8&rW=SH#n64iX0bEv4r$xY;surisNUL)Y}{&cK!%l=oB;QNVH2+d z`0fll>DVKjwIl=}chSI7(j>OEilW4f50j0pq06HIwl2ZV*obzgQwhoGy^gpOZj?Qm z(00BVbKEFh1+md>yNM@YB{u*XjQ#T|N@YC8V?YMBEi}0ehDQU1v3gFs2s=(Wd@>RW zX1RF*($g_GhbeJ+TVQByRR1v?>yJG%u+yoq*DoHzjQL3QHK&HopIK3Odwqo?N7%;3 zyC;*Y%n2B#smDq~ZcAsl-9&o(hJ-5DLX-M-padkK2~AF99m}wmL#{TZ@^e0^4Kl1kk09xS~?imMO+i*X@|k_#MXn(C3{^!3Qx-JX+7i?@fMlU~pyl_6vZ_@fKjF00dq_xABesu6Wy`Xyuh&P&31!7i z$)TvSjK#)4XDOgLc8Xl7H9&RNs z3>2Hm5K_Lhm>M9nL3R?eA;f~u_o-FeNjCm^lc(Eq0$_kM9yBQ#wtAd4MB5)AwzHKv zB)hLBD#~7DWqds*gREaTw-uYn-(y6g(h}6T>C3J1;Aewuy{<+j-{q=!lXP^;I=7JK zL|~E*pPYP#5+FUzK_{9Tz$T#r!1nK`J19sx>?>Q1&C%)s3P-L9Ce>XbVI@$~ao;F2 zn(QY^!KCrDdIUo77^m}$-l$G#9W@14c8Y2BIoWiV(*}7$LIa6iEWNSR8^+^V`@FGJN zVNT5lNd`ypCoR(p1vIWV3k*W8!@V+)I|Dz!+zvVrvSpHhUq%_kol6~#rb{N}b#N;a zaa4^KNP}>U#e&cbqZz9N)+u5VIPJ|10vZ2llN+cBLkgN$(4l)UYoWIqQb^MExKL0+ zk;B#GuYc(Go&{rngri$)qM#kOyFlH`kibT_?C_tcB4*{3G16_m9U{#phbXf&)pv|3i6sABK(F*A8 z!%{08_aEqvn-llFPftoB3OXDhxIW3;l#D~1ii@li?zx5Etn=tB7Z5GI2>2@ijdWK( zRtVh(6UNhncj>}x&}wiaqLks_#|6FjDmc;1iTl$_oxD3FKq+SA5s&$)DuK~e(nKL+ z4SGE(q%=L|njL`xx0b5X!5yE^j2F`gaL>?s7U5J441W^x*=i&e1GwAg{S>R>0ZR+~ z*^dDbePIlS)pH~6AIE)2dShhyJ4%x!N;VDKe~gtEEGdn@wS-21fo+Q^0oV9#7|}nV zPZW2)-Y?+miJLG}FzGD?OS>u;<>Ks|#lzFKe}CD0!0B#$am>uOmyEgWtxNBoI%nRp zI;G%@Wzd^ahlId;B>SO_Kly$eNYG@=6>kB9cKf&8D1QPWOK$7~NUX?XW`dh$IIhUR z>1NHDPvjR%uiRSla2-b7iTv7P<*KXPVS6Yz@1a3YT?*NwGx_8RkXF=fzXaTw*%Kv% zT(zR^!+A{*@-syD9%O&;){CQot(>lV?uKQX_U!6dbK;oyE}(f9n4^*y6G8t)dMhiZ zzV!kD`|bz9cg&w$z|sp zZR2e>z6&L%J(soeQ`F?>MF|KyRXQxC-cVBYh7J!*>%iO!HF9)gS1RVZplkbyvUcVt&;;Gr18agV>@58Cf(&yzj(x}(A<*5{miiN%k%G&WY%?>O zzZB-6*G!0WGf!3LzV6X!qV8T3L}Zm`eN)|k%R|lL6EHw(0;CZwt#3$ zLg(oi2!L!+k8%HcyQQ%NWDH!mn!IE}c8|Y@x@3&5d|d3F5g4jIznG<;XKJvr-fqkYX-uN8G7DB>1jO8mkrmtSXtWBPRKRMP6|l@XT5D}$ zxUqa*Rm&2+fX%O)7AybKXf0BK$q#SGmuA{>aci7LDRQY(kB>m=HRs+8f-lJ?SIrz@ zEQjv%7ZBncqAXm>w5q#n=T>$!f~+q%yp-=JZcM|>|G{O(ZMr#e0{-UMt#f80%R@ou zFtcVqDF{uFmXyRfe-r6oWb5Cq@AsaCK>HCRxB@*f1Fc03%YkS~AOfeu2|_Kxq0^p# z^*n+V<(iIK*u8b2#-?g$_B?%{GeI}(I{tpD4fJFUf>}{h6~tU$yhnf zBgEOgxbmmeaD}>US%%OmIi05@xD5}T;!A6KCKu>yap>fC*KBd3ds1{Hb4VQuoDNd` zszDCZUkPJbG2nO8}bT|%z zfF{t%%QYbeVP%>?2Ws7Mpw|(oVEm@P+71|TcMJa?#}W-*XFGsZhYY1}9Rb)nEH<-h zDe8qx(RFfuiAG;99<)R|yz(!{jcPd?rSt_(rV;l87NQpLXYHjj;gv~zCIJ}W<|ICU z{1%>2LK>XgRFQj93u?aEsBBq}Y%+yvY;m=!RhQ0AXvySw%_$Gu?l@Ce?VqVa9KO^< zJ?V4H?Ix-|MOiq;?WL%-<$%{Ue9?JQ0|}XhzZs-=doyvvdo)cFn}zm6qOF-cc$->z z0W0C}Z;@=`2GafXL~_WGP;+wRFjVA327EF6%}2EObod+Qph`6M4rA&_U!!k3XYhinKTK`vA;Gzk?DmN1dgejo&2PuXY_Q8p)vUoxlL zEIGHmGx?he;joVeRO|HlCwXKXrs ziJJJanczf5qb!%i5a4P;+I-sN;m%K~nT53cF69&LPUVwhp~+D*-ul9pes4RV?BY_3 zqx6@l6lZ=th3Wx~iGv5+ck7CSvwgC=k{%OuI8cDL2!=%C8KY`VIVjCG+E zgSb?^j$c~SU8C-K6rINXn1+fALEz88pXpY{YWcUuS&U1A;aBLmM^g(NPn1D1^C*~aMI_ac zlDek|P^5z#l$P{@B2X@ocFC zBSk}j<4@o(DpXNUmyEURLeMwlfh-rw27inht#q@Y7#)-{fu}+xy}Ohgrf1?H#{9tP zLg|Z`@#~-nqmqKiF+s;;A9Pm*DzsusH4T#&6rky6HH?h(d+D&pvv-GQ@6L)etN2O~*kE`}~V?VryMj})!jR2;)fM3*!mjHNC0DYrCiKxiW zGggv*`)Q!irk@hIlK0CczD@wmt)MWsXi8N1BKJAh1t4`_FDa-~H zrqkE#tsAhX)!wd?f@i9BT#yQUjLRD8@=o&IC>`qT}-W?x~zxw@uX19BCj ztWK|8m4bqZvNrwR2{&oO(;YVDo`oi>+1OLRT z_wG0)<*VKmSVi0r;>rec)g4_xy@X>!X~MLck?uy6XKd;6kd{7)YTd%F??z{t0 z?g~_sCWsPXb@1RFT~OUf?|y`!gWq1=&CcINdJmt`3G&!gsdJ_7B^1DkmtJoUSwHZq zuELMHPEV%>bc*$cIA>I>I#qCK_X(@wh024llEGE%n!Sr)4)i|fItJOdz^4?1XT$(t z|NhHMc^;gc9_4#ytZxT9>8Y1ayw2r2rbkaVMjDE`3B9j+Pbv+J(AUPLHBbox@G~3k z{b|3K4jVk_^`7nt{DC&UyN%!vQ+S;Sh_NT&Ed#Mn@RP6iccTyIArb^77fOe&BFdJK z90t~8o+gTnLgUM$-oEPw_Sa2Me5FhOK^wcHYY<*lydVr%uN2krZckDA@2(I+>h;ju6$05a zGO}R~iWm-C(j)}tNMMBait;bu4tt7rji4ykcS1-JMX9VLy^FdAP1?QuiWFRRXE#d$ z{I}cV8I>aLTT<0xv??9iJkRqJ&n{fmb;6U60BCdUIq{1b+i`L8rm$JvUASlS36D@R zP(r{}>@Ry4j_W49ms|(g9k-B82!gHuMmH;2^G2Qrho;wiH~+)CnVlGY<0Prrj_tvd zW}oXqx>fI%$h1!)SAG941PA)rE*2O1j)mgGO>g|Rbn6YUjDlH&>f_(a})F|*}$`VR-dV@)b zDjhiP5iuiMl@3;V7fUaTUe+;S&yQ5z_M>#TC50-L0S=Q&2NH}xRzBVJ`9H%a9x5FO zw-$F?<5ltX`**s3_#01c`u9WzI(DjjK({fn7dOdKveWN*w>;tf6Dt|}#wpFte^ zJ?ExV=cLMu)6Dz8X3z6h&C}i!;)Ne|dv>HwNWcDVjh!_LDrr+s*Gk(8dpyX1p}Th> zgg|e!2CmrM23KXm`T^aZ6UVJPQTQ)Z9{i|UOE2#1dcx@Ppmczh$3AFcIFp0+_)mKw z@8ln#H! zZ(cB2HQMuiLSeu3EOgbgK$K`Cpy@v)Q#w!qxuVO}1kGjiEG|s%9nh^;wSrvu)J@N~ zr0_DKg^qA~xBdlc&x5;n&%%{msCpKK>0O2CWmU`TiuQg(wTu1eS=^GMD2u3D0U;|W zW9!tj=!~n<0qDHffJ{A$Le;YXH=;a0y}gj4e4fbfpxO_y?!ZW3`94KLTum5y7K;15 zfm_hC@Ko=`dsA5bw<)VqXu?2gc=uKYGFlqoM$ZD=vGw|j z?z8WJ5b9ZkSURdo2f_3^sAmDbu2)kfs&qJE6!c~lZSS^O=99ZOuS^As_lOlQ#j3A2 zzw-B@2R5JZR;_Pwv}t_OEDxbLpsa?d-DZusctSI>KH3Dn(v*6x+tUwPxMv0Kvr z`smMh-~3V*mKNUMvSIgkxBT+LN4M|3`GuQbS^00fuYc?GjVo9DVaJcICv!GDdDE+7 z&qtJ`NfsmatB-C_2)m#zZJ?vpofSv8<-`&)qOeFZ{p-hC>fR7w0k zG|eL6evwkER}!CfK791ub9Ink+3{Pr=7f760yjLGO*AE>Cy9@U{L>vzLiWG^{h{60 zJxV^=zwB-K%ER_W^|TC4X@@rF_0@y8d*bdNU}y4^B=a zboD37u0l91Z#Z;>Q`W!_gWKrKJ*f|x1Hts7Fa z(9yn*C_yG6BaKBPiy(0FVDfTD(`23HvbUu^EHU|%8NW>C-*CJ%Z6(k#W&tT}zTNd@D0CR3sr zvdG1=aFK_5u({gd+)oRB9(kpoRx zy)#jEB0mGFr6o&t+5u05TwEbJz)oB%Lptv8#^SGgZYb=X6bnPg=13 zqd5);XpmhW(}OJ|*zi!)GFXdUC_<%Enau=*g3N&_*U4l%9@3`*f;AOmamQ}Z%(&$^ z(f}DMz~810cs+1W2MU)20@bgX-UMj5RBdSfT#$jT#(<2cTRt7ww&0$;a5(gyFnBoz zSTC!^>O=#eS7za0=ckObS>esHbxt$sy=X=Z+^zmZQ+-u5m<%?z54#$!3Ic8qPm+S{ z@^A}I*%|<9wYL8N+`%T&`PqI{z7WM7KkDXug11;9EVk zAN5EzlGta6l{1O#Dy?jE7;=$}l`$r;?j zgxV0sE|`8=K_$pmYmLT4V{NO!<-|B%)2c7xTGPYe)|#U!G9C+3m^>R~AvwoY z!IaGgMkE_S*6>9-GvyRWV!D(JI0U3g4eqm8O2V!h(!gkh6if<{fr8Eo6&b6s6rCv9 z0KfDOy!R!pG-i{0cm0)NDyNN9T!Yo|kPe)<42c6-h(LPpF9hdYplReM z1jvMTWVB#HQ4W4kW*wa6*xlXutXn!rYAc{fK5~4{{RqjS)gCGK=YC|{sG;{uCUZKo z<}}%U)JCYL0LZSm3ELLc5LL|zg>((@XT22wCmjc}i{R_Fr%;FvN1cGyfUT)|5|EgH z;)RgmL#gdR6-zUOSV@l8K2S%kfr41vfb3ATxWg5K@}jC)#0o8E6ok~q9ivh6M_VC# zl$C<))F{dQ`dxUdMo1l%t$rpdEgyTlp7DVMQ;F0m3+tM!SXyuDn+<52QV{Jaq#WmPDe#!X z@bdYhN!F@`t_}kOMx*y#wdboQa4gV9vp?w5q_#9ZG|GNOFW-a^G#L@1_nm3#Rc)D} zmzUO}AJW{8w@8n(Irr6@EM&|OXwRdeAvNHCLhl5~g_Dzs#`=N@LzC!A6%1Ia>&s>~ zyiWZPO>#|@JnhXSTfKbPumpLV#lz6EYT{p}f}DU)3auYQ6K%qR7R@P$O~*U=D|D++ zIvA>SfDc;jy6Is(B8!{r3mVC>0QdwMLdU^_=vIl4J{n}5;RRqRsxEXvxvBurz4m?* zjm1d_Wkqr<6*1qTtO+a<+kllQjpf%>)@>wm4Zf-rW7PAd$>C@=HTgka@NY@hfI2kRl`1oo<-jloH$r(sW1D%xDR%Z_uRz0 zMZt?EJA+PEwQ%Aj> zX{gGHVRjKVY8Rkjiy+7b60RGhhV{l7MOb;^B*qPY0=J6U^XSXd-uBpj9_w#8Z|3Ov zSgaF@yy1~xX@EwcAx6;4Q&1RuR%`LFAoXUVaVE=3S|R~fc8P}Fx3F_Mq)2@;Gxa-f z7hVz8!-k*zzBI_n0IH4smAKU?bXGG+qHhI=a5kKK|F3|=@@E*fUBa2U23>u~kchIt zGvIaTZN|u)FgClK2CH%Ino@HSk*fkJDBBvb$aNb2WdiX?(%VY}!;m-%df>nT0`SN_ z0TK|XnAQwp$n3dEXNMX#6JvqE!BWbwAQ4nBa{6W|_!aK048=7zL(I?)`lGo|aHVaQ zldBVvy+kVYoF0E?k(*WN&;##!ykM4MN00tRPwl{l98cW+i;XKYD7%D&J4!`)K?dG+ z_NCYtB?FV%PTZdxj2%7Rd=tBne?;UP9)6q)2TOBM7+>;JY9{2-$NVRuIEaE&%trA4P8& zGi@uPoCBXcwu|%zH)P*{{Y6Oc3?}cRcR#c7e*k%VA~b2Qf(Pd>cdcB6{q5fXxz06b z#q##K%hs;Cd(r$QV?LrNuel!(!*d@7Ik` zExU;HCi3r;Pwu~638^5*DsrzpNO-?_hL6($sVF)&z?eG6l+IM_95sURUcp1=B&IVh&@JzO`LMM2{71N`nDNDIu{3khYC(Y z*-h9&aaY`LB=vBzgM}tWec1KAqO{UZ$VF9J##QImjSCYuaC0G~AVK7&+X72tB`2Ee zBNaq$X*m7M_!2rUeUN)mDRI}vX7Ytim0oZcFKP)~9*e4-cxz9C5O3xE_>|fjJijzl z30KvQw`)bjb_UGG<|kHgp@Hw;O9Q2`xfQk8=EZ0_eMHJPCMYooSUUwsxYt2%GN+1U z4@u&i?<+13l;VSQQ<+nVWGGcz--ronyZ*Y^OzfRM$ChgYgPR%`Sl^ovSUP)71-M5z zO>^2vh%-okVq#QT3FJe~X_}qDjyPWg1~O1~r@)-y=g%hNxrHyd4Olu%Tqwrz z4rwQh#swF*I3?l8C01#CHotsbz1^{BPGWIVAG`=(hi3qC-PEaqf^Ht1r1FXF<`ebN z_}~^N7z#~^e>t|=o;`bOWk-YEZXDelyFjI7EWtaPG}}lnFr1{sB;^9y5$B*ecBXA~ zQ1%I&H}R5I%#v3KldQCJ<{Whx;6upv`5ycPnplH0DjnYabQ(2o8%rEnWSJJ;;R)$! z%AysG08KPjMWS>`*9ZZFYfRD^rvpM3^-jw#3rL@UQ3N*^lN@c`davhmOm4v^rXNY#H?b2Cip##$62DD$P_HYZJR5O z6tvM0K=)&aKo7Tu3=SEyBZBERv8zpCw4lZX$jWg{HNw z*Xo(g?&D=O#Q*eop!z~DU=3r_UAE?IW?_O78o~m#a{&KtAW}h z5Y)&g*VRDCaLpoDS&}Mprk7b6WY&7dsrrNm^W5i@OG2^l)R?h^X0i5!;}{TPolKg9rs}b}xm@U4!nrNQf&LS;ZVik^ z|KE%;n4@8L7G%OCMlJ%_%S8a*vQjH%WyQ@+tOk0YZIuiOT~6HB*;t8TOD{994;&yS zuTT5U~4!?}i9l^H_< z9OG|wXr2_0qeu9~ejHunhs0A-FVlq1u@$|StzioZIu?+(c)|6p9H#|MP&o>$7*xAK zAxv(5hoUSPs66;NM8d*T==q2u-?I=c0g^qDS(^nICr{?!8Q95g<>Q0cy^3xAJ;;2r zK((z6t^vo{!8ne^DbdW>rO;bIw$QC|EUh$+v&neRWum7T7x8L)?d$ zA;!D14T*>sKEfIM7gCUE88S|fa;_rBT1ZE)7qQg9vH96&KYDAF+ceD}t*FId41t0$ zzTXsN2L;GIWXB#%LH73%s&s%k{Tt-I;`zRWL%r4xmGNWv)Eq$6Wg?lH#_%>H5A-~T zD`D6mN#H~R#vVHt&-j=L(&uh@-I%Y2C@><2~dzl zh)_X?MhEYt4&k)2N`Qce7?3Owu?0O4BoqRND-GEis#`3M2n23p@)nOQT!8gXT)+zu zA@Selc&t@n39~rVLk|P@G;ZS*DpD-yqcGMc+F0w51}1(WTFX%u6j1NS)CKxhyn<<% zfiTr;N00RmkkLDU83eA5ak_=o+ZqQ&u>J`!3l_+X$JE(DBMMRi(ti!u!r;Uou0G>o z3BLWucNQ086gY#m`q_g7bvIT&T)hdf^)W(}J?#ZD-o+Ko7ovQkz+mS!VF(=9w(d6U z`L3EnLhy$j)PF}F{7UJxH|8y?**>r1HNsk5a+>Sd7sp`J_I%kcEG@{8JMBY2(qf!xE5VC0A%8iTE_VIOZy#PTFvU6VB`hUUR`2_5n zLkD)Z)L(?{>2t`#V=mr)QO?Q>mYjR>1&dDW?!NTgE4I(u1|cNd-neq?3mY-R0Zp!W zYZtb?pSNs&9-OYLU`|L@wo09g6o~D5;=WC3f@vf2U?1)S2sunLZL9zN$0n(Kf+%~e z?1_@HkBbYv{nF8ObLK4@wpv;F%*NZslB=dZcxgW>*pIR^D7z(JRiy)U@YFW!hlUMy zc-&@2wolIk7;C4&;g5+@?XZfWb#NX6H~QJV(bXXTfacI<^Pw1ZdK0vXDKxRU(VJWO zq)j6sR%atx$-aOFPTDKJm)_`@Dl!Sd-@*VeVyDzir2cBcE{G+nIvvep3|RogBE7G3k} zafvX5Xh{I%l7el?1)-G%!r0q~A=PDIH8sEF9t{!vy6)JmGqfl40%0-f78f+8x|!#AFaO z!G`dxAX1_9URaoytByFIObKBQ$JInRHf}7Qa(T?xS3z=YzTO(wf`wM+V(apWqSQZe zE=THUG6<7;=c-;-?knW@;kH%EH+~veSo>>VNh0=XK<i z*>lT4T1l6+5F;3#{DTeQra%hi6H8vqaElUw4qD*0IJsPG{H@wd27mO6p zVKHQ7?uyY|2tr02Iu?qLD%0DI7%Hb%T3DVvd6|U0_VZCF4jN z#JfS@2Qvw{#F@^5Xus>aME}B}`<{#}sk5`WB#Ow2SMg<}fGCMm7o?TRO2Ee%L#&L~ zq}&8ESg|H0yH)VjJoE#r2A_UV>SrXdg9br&IWv9K0Yhk)bkrp`UT0R=?^BmVI_g0{ zA_uw`vdYH>gWm^}{2n2(Fp?4#9YA*k;$t5@ei_VvQEi9MNQrhd%ho$kO$@>~dZIGV z_z|kI&94(OQKqmSVL}O;ov=suRBgEe2fIT4PFuW}bfQiW6G}(dqs@aS97g~f zX9^H}v8y~FZ$ZMkfrR61RRwhO^5TI4m8}WcEYaYSJ>V{ekbs$@hLep(g5oa0N`qms z37#;KP1Nr#ke>`ZBEek`*)>W*paT=xUD#0Pnq$TQrR!`>fZMIHq4)QuClIAAoifdt zaB9ha-}>n2y3fJV@d*={KGN9Qjwy$dVA2{E+xWQUI@ny;f`6hV}ldbA4)fCXUdQy^dy%iKpV~UK%0K-yeRn=v<=@}0lq!N;G)$0Nj z2=T9Jh}AUUgfj+Gg9b^sLhz5KBgdb{QuX-3NS$P6v#O25xOV-Gr3d6E3=39*o1?{K zG)Ua@v=!jIxyGzyDUNFksiQ#%{_uf0sUmm)M~rPkf;!Vp7L{(4Of^h=N)rz8h&UXj z^u>Rs-AYR=bfZPT-f%e4WQzF^rAd*PiYFtR2}G&WF=pUNOzx9Ni9gp$S_gpuwGB;^ zn4n-$RxYC~CRs^rQWM4ZqxJ@Imy}CRe8g?ZUffyo zK0-bb*?|W+hLmZsIwIkHgg~1t8SNU2l}RR};I2e_OmWjl?$OZX*a%^}Ust6A+0gGn z_KCqw*FNL}6YvhXS?Rzmhk8c?qs(Vkt-*YPIyZ=q7WK#QL+f2I-$#@K1~e;W0~7B3 z3@cVZf!y;5u6lydg%PO9tX?9rpaZ?!D&%4llCBk9P)@}Sh~f0YWMr$%O2quQh_w}@ zyx?l$a#P+_?>XH!qyvdqbc7cdYDl7GLWWzqm#&2Dz3i`{o={40(9B|Hpiw@Bi6FMZ zk)jr~AemE+sX|smP4E57*$)}sAPqSF3_lB_r*a{P+j}_W>*6&54Q^ z{WYPq{rD%3V~$zZs?tG?a}$oM0zSpKE5^_<=K8;#O!%`e9?;6)-2-S>_~fm51T^b{ z!QL}w+uB7ghDZh5fjhMnSPMF$z* z5>ROwBja-l_Kk9`-LbflzJw|L+N{#0m(8)47R;k}q%n&VBXoL#a!aL!@oqYk_%9Hb;>PR& z+TZB=qjNBvj)j9P8j)bSH;#6zIaIH$WTRcdpmI#}F`gL+VFtcRkgAhmvC240p-r#T zvy6r(aN=6fMvr+U2>QS{xV70eOweElI$E9-vHS1=Mj>^oIR4Yf2GiU}nMcKpUWi~- z3>I0%f=T9Qv*Cv_tmWfO#x2vTYsWlKh1?wG_iD}ca0L9oaP^rE;-&s?I~=UY z?f3G}E#*}`N%cF5a;p7Mu{@0N^HZtjtIX61+%4rXuYgJm7_mgAMuO2o)sR(OLv>jM zTfyXtbA=9E>w@n#qjEugqe&m?8_b2US*XnIrKoI+lgToSUN1OF!ReBa{H72Z2|#A8 z{hK1cgj-4A=3=0{)bV*j&9m^;Wu#=OKT=^N+d&SLIE_O>6Hg^z-^!)t>fuguEB*i) z>{Cd%mT7ZnQtV7f*qv>G0>_ymW3x&_=2rXHX1$%H)_^Z?k^}D8EWGjc+R_j;5bVTl zNqy+*#ie0$P#|=9{qPS2Oi9`r%~_<-8Zf7qqJForxB*%y1>uv=$=XvfgQCH)5s=|3 zpEZz{LB#$Ru)dy1)YW-4r>UXX@f8m>=96QG;G0!#shDe*JN0EGQJkQErDCmd$~S3HiKFkwiGoswRu49Tah0XGTf!Jp=8eNtb!!>K(Z@SM&L3d zIXV>khIJnD$kJN-Al&_^_kk2V{3xw&Sf5(k;dm)UGu4$YX@KkuuBvXF84Z$J4|Ik< z)Z#dJS&*y2`LQ+f!_Y(I9bZ4 z{ws4a(i9#3QS+aI#2+=}LC6J8a_Pi^Bp2-A!jRmAHqQPkbT8{Lvu3g3L}fqQhZGy*BJxuD(mg z3jl6`KT;SUZQxhaaflEl8y0|4$65!B`{p=%J1#KzH#!P1TlhH8qrL5d%1%MpS>{mQ~C<)SbGLZ z3mn(HVBC{#U6l&llAe+wYiw{=QJ2dBZY|9Q0BvbgH$a$5K3wSE*0?HVvBeNFLm}aU zaYYwUAUiYIwhA|Q?;^t4`e%-h`2M))j*r?Z;^6)xAnI5;jtu14 zGjXYQ2VkuBY%X}4olF3^K%jDE0}Bh-wh9PLOMldG74zQmruQb|zT@5N27H$l0rIw* zeZh`>$6>aJQ`0lFo^T6x_&ejML@)Z}Bwz})4_v^0}fug6Q7ZWBg@T#C7h7uGk zC?Gch3+jUJ68zS#u5)f-gTE?9y~Li(k>6YKkLyl;VC<^TvwLpx?40DeYV)8y@e_Z`syC$0Vd9)q zPESAY34asZ(BwdHXM|MN6SRv9uLAa%QF_aoRWAcb`114|fOSQdb!1blhxKTj35=pV zukm!(z*oD@d5d5IKQ4Gy58BjK^o9Zze6#g|_dNf`zDkf}ANSnxegBf5^ncsoc=155 z(qRx@kS{2-+EuQ8Ks?Nq4xkjInE|B6UEKh1JjGW(sx(S@WDcO^o+h+fdPbq_x6ryZ;Gq5YEbm-QmDmdN0TPPih zGkCv{4YXQ?qv=;zms;A}(8hdnh)*iADjodbu4eDBr{C%Jyz41i1-)Hv7YP?aKJUWP zZtA-5gtlwN=&q+j53s)woGw8OW57(w4l9h#yeLz~B<9&5E`AC6^pO8?opuu&C|qt> z2?g)?@XmX>7(gwrU>dA^jJUNrQqUV8#Ky|Oq7pV9mm{>llF_)RWiHc6esP0LVS%!*5nBmIS9ehhS;D~a2(5O#3#U7*poyhj~Uz&-yS*hr)bJ-k+NfpzY9OCAi;iLgbVy@@H&-`Lc{;_Pw#2ge||&JF01g-GtPXPjcMUu(Ac+&MD_LB-ruOw zA**+v%-8#k)GsgiS1JRC+?uN1CgJbJi;)caWmgyVdGKfvzRCP=Fn#O?=X}UcNqxM~ zP3*lm+uc2Ok*MwtcXfGhU@vTWV#)I#|KRb-LES~hF6;c?-ga>9gsHuI7XDS~)_YQc zyV6r`QI5c&=-n>>H#ZYdI!t(H?0`*01d#jB*3voARVjRE2qDAwUItiNu&wCwqi6A? zD0D$=ft$N$IrS|5xwL9lJiY#Zo_w|8$-4*)cF*!VKCG{ZqjbpiEKtUrAJ)-VSke8b zg6`A+5`vz^@GQzMux(YFyEy#-dKTj^eG_=U;J%}~d%*YQi77q(Zgd4ZA-%KUCjAR( z1K_*pSv-{rO-fH0NkT4Eu(YRq`N!y4+>+9Zx}tXhH<#daRnLMDd=;E7+B`1!F7+(B zpD+4A4!&@6(M#;A9QnN!@4b8SF0>s0s*9e*aOzp;D?aL|?jq3OZ>5TNy^7KSD7)vU z*oqIl!&7F9$B@`G3yZXG5ZA8vyfeaEy?IdjjMHBfgWqm_2PnJiXODW`)BT^SXW?3z z@j3lu>#2Qu7R!D2ya!<4s+;sxFV{koIdiVrds$@pV!)aAQ~0Jn{5*qKzgvB1rNeyo z#m)BXHDiCm&Uw|k{&mj{)Uz1yeAb+PQnH`Lwsfe{;W$9q?I&E(TXv7#vhvy`0C3Hq z>~7h+HhL6a^$NJvSIi2NVG4me~}!U!Ob1J z1$S@Q{oCCucl=@7lY6sd+}}^4eG+Wj?@(MjUwq>g{9Ltc8^Dgwy5pC?SAOF2udUp9 z=^Gyr*;R0#C|9}Uvl`F&>)z|fn6Ey*^Y?GSswG$b6zZRh$F}wW+~t8`4SY5n>ok;u8@n6V&_kG5 zY$9x1K=qat6ZyUx1G4dPctd3j*tQcIxW;NrGYZ;a225T@TxT?t4c23T>aD?M3IwNn zJD(&3HkL9pJr{Hm@o%oEB&n06r~#WSw5qP-e5)fl1Bc_R828 z+bV}_C2N=91K)`_P zTFO3l0~Y~*a}AJ(hZF>iTgzj!W5X3U&}v-|G7GK}g18fR+K4hqn)Rek4GH;Oi6LvW zd@`7b4L)gro}nS+Z@SKqUD+mGe>}NnzuZ$YN$h3_8Pnl!4Xzr{OhQYcx7Jtof}SW> zPuI8>z(g^)k(T(uj5ZWzbe)mi!~IG0{*;BN{4Kxgexr%q!0=f*KJ5hnPK;oFG`e;S zTpwj}ydP!nUvF$k(%YP%!XZ+CtvqKXZIj%`_yUE91dLen$q0=Dgee2nOAuLD)IhR5 z6?B)i)&nz6uk+bhM}Sp6HY+FDOx}+l;x=QZE}aTY#Sxh$fZ2!dgCRRaaC-o;Rorcb zYvSM@Zl(xB&StDqk=vU|NOA;_vwRGjy>K=?z5(B1Hm@PsZ3={GU=`!$EX=>j_PYNG z`}D=bX+?t|__u;7mLXf~LvMz`ov4J~q+mUZwn7uTAWB$R2?tSHG9h{81kzi(5Bn6b zCIZxoYE8wE?JIqTu{LFn7nog`0Cf_An`=THjA^%z3sLqt4YGlR^rr?f?+| zLcq<1IfDBk+n~&b-8KPY3o=}zGF#GcDhesH1ryB>f|4%^6S6g4 zN&Ze!zJR|GB_$fj2aa-~`;zFIECV-ug0w`wAuD6*-2v($PMqQS=6o7&8kzHnU4?uC zJ zVqr{x&%n_T_=XP?15wZo8_!ZG!+k7OqF@q*;7y`RTYRq4QbyKE{ z$17=thK9vdVf^A<$0jQhvNTmPy$69X4Udq~u$uI?0dNIkg66KPSOh=(1Xl0UXNHI$( zDIgb0mU9~?;l=AvsaiZpqOmf-eL!*JW+sGKFTZEWxnEe4J3rzRLz$fw1APRReGkM@ zV-$^nJ%4+tndQv?6^v^d=h&8-9`U(G35Er2pY0&0{LU>MRDnDN#Z6yG&;#L$9y&LW zSs|peM|KACR+0NBN-8uDrr^FPyHBI4U|PQMEkUOOLZ~WXfJu!jlwE-BQp*DsOPut^ z302LKniPPNMO9G;D@8&8lb4|;jC%orS*YN2sWpIARU?oYqj88tjg5+YAtCs(v#Gu@ zr(2TAxwTJI{jT{@kq28iuxnr!xD|~jnQSVIh5_Vj7YMV=T4Y1^n$ew1^1{MUfTF06twB44>dDYz-@+=Y z_L(11n)+aUxPcR^$$;KbY+CKu#qi)lr=9W2H6aJJDnLTr+9^gYBn5fv1Ra9c1UF!5 zaguV8a6u-==B1@pag?%8xUX!lU5O+$ka{}sRy%Ecof5S=nOA`ZLzBWaJ(_gp6ik>R zJSzMQH72R;0OKJf=v2j&-WBF*ob*_^k2zW6k1w*DI&<%$(&1gVXQ9IeBrlo5l!es1 zK>j;YGL;TTEaXTu!OKWiWzCUxB{DHt$tj~LGMf2|^NkPZxZ#7>O}x>=(O1Aj#En-K zZy{=7+9a$AvWt@it(t$06%h`y%I%jkpNDnm(c)$}7Hw+yIx$QXpi4g-#lV0#>Qj!# zL2gHd&L9%6stDob(*#__ns{No$%--qjAb3At)HMkRj@#mcisqa7US!7pyM0C`3Yr!Go4nk77WN zc93IX4kv$y9Fs~1OBYHudNEqY->n*vqeL!H$pCI^J>r6FUC8`ouv$RI-Lgy`#1*#T zL6k0;o<7tZJMNJ3Ac_UxsZ*5t*@g{N5~(Af(DlAiqU_EmOQknH>;tzSLeQTgA-xm? z!299ry|0kInjApEBO)t3zxE}!?SQ=6e?e2Cc~1nWr~3rnhw@1Yxwh;<1-MFOSTz57 zv~u$w9EZ12S51F38;Q&6Ofj5*j8cJ`ocRHn0?X_Ces{g{RErg(@DOKQ0J1sqJc)ZK z7g(6F^(o!JQhZ1-(H+2cQ-ZSw=1B<_#(ykJwxJ1x&&3<;FE*e8MT9D8G-I6owT!U_ zUc4S;fqhg2&@K=I#ssje$Y=bS>4smnf;(|#E1p_y3mmm37y1G%t8$}wT zu|x{oINM)P9RiK3TN)kd>7KAoxs|S6x*&-ef9HOPqMoIb!wr%iPzO3uW-HfbPZg04 znS4-#Q}h5`J*X>0?&t}XHKON2;=v;oVI<`AO(|}XFQN_v7<*W z%J~`Yx9+EH(jQ*_S8Uk+#DYb)ts3(?g+EJZwE%-{!d59DL-wCtFjykL4G`O9zq?x@ z%06(~rPvx|`~7v>6Avdr-uP=mt9{~4e(9Ji-@5;FC7U)s&uH?%r8i!&{r)>aUQR+l z9_;G<#I(20%qaNnpKWY}>_ua)xdyV8-Zzl!ZA!?ZF%OU?Z~lAxR0w$(LSB2~<%|$) zA467N^z(b+lTH8r@}hSL!S_XM3UhzE(gYbIt2cyPK`6UBC!e)^8CmI?b9D|;_5mk@ zoBK8);hs1K2)-^lu*y|0|7zolW8WkNRjvZC@1bS3?f(T=&AV?o$$s<+a%}(P*awt3 z08++gGws_m#{Vpv3~pNX$`C!8JP720Qk7mlmrT1kL$;`iZrEHTi9cjc_JaRI@kDsJrl;*#mp;gX;tV|R9J zb?TCBkQWd+OqjgbL0!Cr4~-qI-H?I7AhX!l!b59kYISvycB82((U}YwEy)rSWAq_x z=z8@;^7l3PXq+}}$42v);QQtX7j=pIozAM$lYmCF z#xJ=ZoWgz_i_APtWwtrA;Y?6{)$W49x{f6w0QQBD{lL;Tk=~bJLt5Y%Dj_y;XJo4= zkCePPG$~;NK@i*Z{M56^2hd=^kxh&)FyYvP%GT_T#s!SF+^xH00k*_#KPdN6*ZZg# zzpoJMu8p5U&*E4%pbGNalB7tcg-7j01G9esky7gEOy|`4-Y2-tN|&f^XCAjS8a&V& zREyn6F=~+`6ll`NOkd9qGWaw@-N+mW_>O|)IH+c-fq?UHm>QrdCM2Zl=$Z`Dy;1DO zNNs8RGT^Vp57@fozS zx$R?TXyh9KFGwaUCC8Wv5lk>=`eIDu-0df5L}ctfit@f1zZAIOsx#u=pqtsyh7-3L zpQWf@B4=9sOeji!9AG?xAgy38^#zSat)5P^aT9fafomLJ@-hw+N78-yS2}*i!;=@P z(m^nkX6T?&Nz8PVA@Mwf)BkN`Ls?mdeM{mPf+xUT!yIRd!nTcFM;Ni~ zf}nbx8UtlXalMY_#CX$;CCY~xke!T+PG>=oc~A|pa5Hw5nQC#n1+O(UIk_IGQzw{Y z+ihgvgk0DxfO2mYmn{ehH_^sxw%z0y9E}8&64+xdP6)nsjg2Ug?VHdP(xPY$d|hBG z7CYW*+sP)2C6lE=#-~7UuW2UivY>6tB&#zzftwq^_A%GhfP45<%jPJ}45P7iDpvo1 z8;i5Ha!q+qXDvz1^7@c{reXvou=>)DCp)v1sc3h z9)vlA6?aYlW=%A)1_$hf(-fq9G8pj1rLpS-JebJllLNdYOa`Xz-<$(jdEApN-+QWb z*dNd=%Dtmb9*^vKwDC|e;TVBb&3jm~!E7=Nwxiz7SQ$gn-$#gU=gSUr@<|P16QV&% zK(L0mGRGu|X z4Fgz3yIsZ z1PzY*Oh{Lg3JLKF}MJ^gy74Vf<`WAQnG_eh$64faDxNJBe+(6l9d1d z?7a_s6xF#mer9$OHZj6v3Am=Tok_qOw7uPctrRUxLZl0&x<-)HQr!im!QOhMcx_+n z3ny_B(w1VR{HyQ1ACs2&uU7nNEv??x1yjnk2;Nt%ZPkMJUi*rQ(o%1)0`BkoJZENi zHrenW<==tKo_Xe(=RD7I&Ybg{`E$Mp(M}>LK+`TpETpX{lU2fns}4})Wp!YwW8;fh z?+ELCj1+u-WQ+T+KFMbmsNuc|?GZ`h&k@P}#EiH&aan+)K$1e7m zY;2Tl9hbPUgeFmnV=@h{Mp&P6CrKNQlAG^B&9uHiLSdby~gLnKFvIQFDQ zf+R<`;HIX^33ttDlAvp%g<||BN$Moytso&IEe8k92CzE9#5>c-KqFx;mN+VKDPv1A zrDoVdisFhcVTelIFdd%F2w_{Dx|0|*53Go^U zh2p-3PFNz3`4W-5q~q7YpGMqZl(bcel=6}coIxb!^^TE*W0vYkvSjf#6(nJiMCnc+ zg_t9_p{GZZAK!pV>RQ30CuqLoJnkK4;BM+Eg|gc-YaecZ1H^Xfs*gQK#{Xz0R!}bh z*m?!6a=u?2S6ZEYRpr|`53Zetb;~ybWfuUpGq$W4=OOss)-(@AW>;s2zkiE62?PGq&xUzev%7@T%rX6X5*<4HrHE zdBWQ)n>5+|$DXN(7&?%J!!oL>;$@A&67 z-cH;($F2b8?~0o}h+!&n0LLnyC@26Wn>3j}0QX^&D|52*G*O7j&y(>zBY>E^q^~A< z+)Bvv<8Rq<`CC9ApjO4k!vQDkCFP;)e(2-eJJ7`RppeA)wkF*(KEb(77xVWpKx{?I zQs&q#Ma7l1m{aCMv|%p1F^k0(o|=VVrJLor5r9?Ybxgl;pPPLGZal_tTg_Qh24qz#ofuv#lpjcAD}Lf#hh3(F!(ixe&j2jPWHx_=v;Q!?;Us=d4iK$F){(fHlC{X zjJHO%M>HP!f`eAl#zXe3PntXkR^w$F%b0s=aU{AarH#vt@8!LV8xzhYfVIJs^Z3hl zyPKj_<;!I;q70D1Ij$Mr>)j3k)=++ke@P{2f=K4&HuvS~k%J;bzq|A!7cqCz9w(CJ z9j>Jqig5QL12UlL`gUfgw;Zk=VcMuu)|H!q4eR7N+| zb}I#edV^)}+lvwNTv8leodR0ze7k6gr9n9W`$jrYWH~7qpQE|1u(4qK!yuEZMi3b| zGt!-{$;tRKgUM!Dd_A~fj{6@JB#;nr3$hZ+>f3D+hY&k>Lhc|TP|$T>ChvLN>=Qb} zi;#S77Q$p4@=$i0lm`_zk+ZV%xsQNM3Q7P2wYib<-d0fn2DpHN7T#MaKt3i49!ui` zvdv2$=H9{L{!7wUb<@;s`hB#d>}j$$ELh|XDQSzy9SN?o`nf7D&NMnPV&VvH=ShK7 zg@MR_ln=6?r~*kRDJI>&Lr{QFKv)lIqAL7ZePmk1!f`riVIvSI=oY<@fP|L{kg@PM z9ybZoc<3=`60+Bp(S5N_%Ob(}PnCvyYMz3`s|mN&5j8q)wMvT~3kWABwzss7l)|tY zZrxaJm6`_5e~>mE;0_6b$fXn(F^M8gGD7MOHwcZ$lt?y(21p^+Fw9#E%j~lz7O9IA z79*pg!Q#Bc)>2`H5|Sflxry-@lAZm^?i7;W43UD(I0#LQdQ#Z)$$n)^;`4n%d5boF zrBU{S@<~t=@!B`a(IiXb###sb@V7u(i1q1>57N4DpyBY zb%-8(@JAD%IMkC^_{HVP%_JaO<01@jA?c2TN17#c*t9GiKa>==5ysm&c9fns@+F8< zxTF$rAfr8=@2RA@K0SW{S2I-mxLVr zQF0*L%ka;kZ;X634qdL-AlN+bo+bd&3HKR zXcK|&-U|d@ek6crBC8lqcf{-817huh`)BR=ntD=zCmc(`2?cN2u|NUjDh1!hCm6F{ zf9_FmGidNqfbT+!=e8^!7r@Q!-qON)&maX~TlEkCa+flKuM)y~fAhEFr@aax?|_qC zb*|TZ?(=06c_Sm?P8gvyS&Jtq#JvvRSt#zA9%wq&eC5{dsU}Z!v4eUu*HF zW$Uu=tAAQHZhf*A0J&DL4)W6Poy}i2OvVF>RIR%AZ_%W5M90QZwD-&ONT3=;cDudy z50@B`=&y>0n~B*&aqC+>vsj!;NuIxtiPp@Gd?sE!YW10^+BNO-t6ev8x!eAsS@A!a zxbHyR-Amg4tQ7$rcyoKCa>_MijvehtqBxsw0-%cVX~%53Ut<4k>Ux{tbltlmLwi>~ z)a0DAEL!(ZwH@&}^MJ`asTb4ww)W!H#2uff5maDXYig9+ifMf-NOL1*Q_Zc=eYDHi zcahsY51t)Q)vo@0((1+i|B8*~&#B0!xy2s_@^D8O#kr}vHUbqaW+9{?jE92s$1uQN zH3Z3C(^US>aUaDN3oB7Ozhl|8wgi^&n$~4aY`m}FwWMHyB1eJq3lh4=XY0`^J~x|F zfO`NT#~0arDDoAE>mA&2z>RNX1O%Ff!x4Q&4cJs@Y%e}WpVH0453AZy8)$=b*(at`v|4D&%hH9o?EaL zB58=J97SueCC-q3q7`RAQ<6>IBe(v$;F3sTok=4xX(6M8Kp99lAwNMLlG^4U!Jewi zSU>vFCUmjD2HqMLJn5=B-hVlkZw&o9xM#F~_7magFFtq5#tvpVPNELe%6#q8t$m>bo-Tc?&2$W&;s`+aJN&CfZ{gNSoUR%#n8K%Vh0Xa zqOx5Fwk^GWizDNqHy6odM0bJMVN=c=0mI37Cy$$j_&$Mv)k??-K{hY>^GO~%^zWi+6ywx^ z9$pROYQOJJmYScj)NYG`0oGjIcbvnm#a5FZDLA4NjQDOr>zanKM3pMlAnXeaYnEu$ z@Zx}}ZyFs+I2I0=2p?KYMSEkhh+9&PLqbTr-+)8jGYcW`eFu^u5iJ;o=9D`^OcR?# zAeqQZ+Q&^sN{Bc37ax~HPy-L{mJpjvISpFkpwT8wS)@5>G0dq4izDz90-JiWf@RcX zheMF@ABpG|{;33@RA%JB0USKcLpY>s7JhJ+R;oC7Z=g+o7TK3o8Pk_~H1{o`RY4v; zMp1q&DBB8BCF|W^`5?~;mH#jR(2n`4vnPm0EUSQ`P5~N`O6Zaj=QtLgD|8@d;ZI$T z7LG_9bVN}R!g{9D2CYW=J9Oz{jVRuwm-E9>62Obi;z|nRic}Y316{-xag#hq$R-O1 zY`m+ZNkG{`mcXL0U~s%wrC6sFouCVYcTzr(Wp!~*B8KM{8l!%L z(2D1!P=^z9r)jE$L>tKF|9l+sy$>gZFPnHuz~SK6D}XXuOjEvcXon~TQh&OW<5Hf< z?H~P|#UdqdYXoM9oIAkAuyjW%PNku$wF8`Wd>?-NPt-g7_4rouh_pj1)d|Kbo2cml z({0gvT{9eQ27%ae(gwfU8`_oS@-y1nT>!mOdnVB7JX}5P6PdR^vCDv9LfI91PXLzo zzgIrKJM#Fhr{`rZ%Zwegb;6*{0#LmlN&rzdJu$7YZRZdeCvbCpINhh5ufHumsf0XR zlu3tX-f+?D;xmM8+eF~IfUzQO!09rKmC10rlipijz4s!0QP+^fuF!ijL{Pm7H+Rn7 z&#ZkXxfYsao?Qa)DAM19)7^W%kKhaLD>EO~w$+Fm2_ScECNXw*`mDb>Gycm%*##Kx zmAi^Oyx+>qTL1zBRBzpjTG1ZEdK%_zc>GUJW~CUbWCsy^fj5ez{gIz`0zJ@o>?5xs zYyreD@O}a9MLZLqrEMTF4DjP=ePTL5RCS>060l*3;Gv_LH*sr6ArJ2>TASImHgo>D z4~u&pMc!XQTIsvf2a~H%#b$ARi z7o{id-K^ixB`%K*n~n)Vjytmf;C!S>X5-jV_wc3aDY&5v|E$u!4osR6Mru=^8g46*^_bzzL0Hk zk?UWKIS3E}y~B@Zic8Tucv!*|h4iT#1p^!gHSd6an^yY&Mq0)D|2JZp{A$kY+NpS;L@Ptk=wd(9a#ZD)#p?M9B%)kY* zXh*VH)OPg%1(K6i^zIM(N3`6L_`QP=@A|QW{<+Mq&+RI?Agz5SEuIir5Zj{6t}}j~ zyztiasGhF5TeZx}-d%qwdOAcCe{S{=ksTt|7b2jIW4_^4N?9@psIc5IbP=(NeQ6!NH)QzI%AIR1L z$@Z#@PCxnyu*>YKD=y9Kx^&k!CN>K@jZ#3~ZyIu^5WCKt_yl?fbd8F8=n309sL9cf zuQ}Oc2iTcEv!FT#K<=W~x@M-!ufEUk9mFU8GO~>)==qIcEzK5NK5CygEX@s&|tc&(r0Gj!2lPYS*W^%-iiD= zI(mBuN-!y4<7ck@+h5lro~kj=!2K2?a~fbE)rVZ*+S~QVpV;tt+T2Cl4$UkE;68c^ zA5%%6KZ|>ZlNV*zLr)C=wi24^o<)0rc)ByQ^WCdF;L~mI0e|*|f7~+xpsCOAd<;yP zpW>vKfH=Ez&p+pH1;H`&u?tbs32~R%$R_2rBBM&k8bzt%?{Fe#82X3xU z27>QnSEKBO?C&7T?gb$G{zj2mAs!j~nVTLRyl27IKfJnU;YaU&>)~sG4oqdKYS{NY z&OQntwil9+yP@|>3%|GS3CYRxdtD&mA^}2}BX=pW5zlXP|Aj@@h zx8eCS930+pKAotT)U7q;R(_ z$x4Ux#=>z>5Wv62dfO%i*(ZdXOFnUiH?$NZ!({xxu`QNE`=klDHzE@s4sQJTWE^dG zZ^a&yrztXy)B4aQ!288>6N%5`onoBCWEAOhdqNJIToWnlw&I;rpiq?-n@SC$r7Hjf zjFPzKCHW|a4$S1FZzWd))%7t@kbQEbRvv^9W#v7eRK0^FSRX9i0c4>#z;bZy66(=C%JJf4g+L3R==D!MlCsvrbx9rY$wEd;kB?(rZvQr9C&FbJJB#T}sM*l}y-ii`%KnO_*B&n&_q~ULo)+CNtv{8~M z166qIB!m{WID5=CO;jV=4OEI@$k@S@mIADyZ{EyXY=+JWy5ayQ9^h<;M zK7c?iOE=(~gx*mAl+ZhWiy}u%N^Hgj2L<#J#3jkF9_bwgO+wK$xZ`-6Nvx;^)}?MP z#i&{{xe`LGGBJ)Kk8nD!8$U_4APgvnCRXW&3gG6}b|j;EXS*$}Z(V^)PHM9zk1N*K zq|Q!;MeUZ9v`Qw2H)Gh3PLAqLW#~WR#irYWm?s@>1sgaA54ysBJ89?ePk01F#5r)f(9EOYc!3% z*G^V%7@s&w3i_@(A}jj{0jhC7531N<+qr?>fh<&*B zdc9j!lZuvBxZMpfC+3>Vi<(HbTTK^sC#u*QJ=<*4WKDQ3$b3zT=o$>#3JrWz9OgL1 zgfy;ZM3`{&ijHo0DC7V^7H^9t(7&F49z15c8pyf>|DyR+xMR_LaD|$kRd5_8Alc?) zfz9x%VGTOc65Yf^iCP&|1Fm5XJ}u0BO7i9K*gaE)5~lpG2{}L?}YS z>!fapp%OwEctdCxI(W|-3_1de^P!(cYA0%NzAS@KOtOkFIgkQ{nU3d~G-*X8YWU;i zU`hh%-cFdZ*7=yRfGjPhqKIrl-r~_{92?Bi09x&F2i5xnTF&H-JKC_& zN6>hNybb707_nF`gqt8M1n~ZJy zt$P>lSn&5`UpRK_1H1}OMbbJ|^vva`%0N?F-lJI9& zK$BtPr@ubB`$jT{eDX`S@;=6&1^Dic%lqTz61hLnEW-fLAsApEsu$JpDA0kwRZxhk zhMd1|e)rrN(4;RpuP$@khe&?)y0hSu?pF)x?HAd-oAsWWZ9YE_aQAIhhpkDlX};uG8;ysP_h z@`*<_v7`yZyY_z9Cj8myKKG`SEyD0%5o5$ozrP)et`5hS)^%1B&S=wW*s*KQ!h$o6 z;?wa_xQ&e>+eXh>jv{}swDIhCJ-%(tzyEB?O{N)7jjF*Rx9zL7 z0I;nXP72mnHpKyu3xGbi?cUUqEoSYKcK!Fwldr%+^P{FTqaNF+NYlKF%@J7A#BPee zT<5y;gt0L)e2HuXY~A=TVA)zR&Bnql=aGV+uU(qSts7SN)axQvl9GM zgqQk6k*I(=A)$x5$RLC4aV(KQXpZxVkG?Mb7&INJA&)X02hLYe1yeD7F{M_bb%F-TMZ}Sxc+pF;vTp)ArM?F|GO1cH$u4j> zS__m@$2F%Q-(eE{io@w4i47biZkA6CJGR}j828XH>RH6?I22_#nr#<<7wx*e z@kY;8G^ixWgGChpv5iy=C2sT-@p+@zgDZrPx52TvO%QItt3#=72+>^EX@u;vNl5cG zBHo2cdmph&mKFxB#rY%}UN>X8xV zpyF}y26GxT(R91kbwvVWa0PM4>%!q^(vH(l=DY%mA61@+Zqw7F5@DrMTjpigKK} z`rjSg%Bo9Z$SS$`%!Uf%d;)9@ttJoZm)j^;75Rw@(-D$jX`$c(32a);Nb2@E%cGD* zh?%;6G5edXw1&}0yFAh0m_zGkV8NG?qR-YC8gO%=NoT@w5Nsq{ip1GP2Fj@#E|5AC z2}2jc6#PFNm!@PW~4 zxt)}73|F9?3_=it@JMVIo$$a>Z&hJcWG9=0dPb#PJV@xGp#pbfJ^14MhMc6DC&oN- z41@w?)a4097STsf&6$Oes3{S_VBsfR43wzJd&!|++V^G_HHrh50H_)rREaB{sOT@4 zY+$acqOZ?#OoYfUq+D_ zDZ;BeiMx`>v+2nMy}<-bU9;F(ZHUb6Ihs2hAC*=K zCoaSkTm+gbvbaK8IEh39;l;t!qr}Fpf&s=O2avTZ90>e@z_l{Ya6t%1EWH%Jq_t&m zCb!Y>=94uT;tM63uORh3hY5-(nW5iC6D1Cw#pq7IBS%hv!yz_YN0KbsIPiu;nOq{r z7SKD#;7w2ZS(f8CQF0GB+&j#`P3;pF5)_ECxL@rRvBFzEK2OLR_=9fr z_xDNV3_L^tZtlcfk(c8E!uaRjTve&KJ^a~6zSgtU6Y`^+?2T&ib0wPupB9BQQjOV~pQ;35N#4U!K}Hu=PJ6;|7y9`tuVy$O)m=MEar>+<*GK2$3U z%O0S}V?y>&cK`Px?j2~hp;S<|(i)k%)tRu^Cdl2$6yQPW~Q$j$drx3m-cnYB^&8~9?FB5B)b|z*`!mWxOKAB}>o!YG4 zQ|8-kMr}3Zn(nVFFN)VU`U*-Hz`lUfZKsuC>E1)i7V-I`+$Fw(X4HYJ926N|j~Tf& z$!IUdeGQV002<`x6!`>2RtB3-*qPtrN}DkCKxS8A0e;`#DF|Thrhbi0Sy_PGnlpzS zYvAt~v{>a>ty;D&!@FCN(vr#S6LmFUkzNT8(j%{a3I>f=+{Z@2V=tTPGGhLE@+msA z;NZ?W$PiD(iv75gLXrUUBecbu@Q6zhmxsdqq{1Q+F<2TtNe~j>I3UC(7E8nXMf8h5 zB+cO;FMuTmq*Ba7T1(2nY4N_`I8{=fBY*E?5W?R!Fi~l(5(&H<)vep$(D08KJXy$5 za%clBEc}#qNNl|#E#&kxZBR;B6d{K@D9Bq7(`V&%x>W(O(4mFcyd*7TxHK=SR&<(Z zk)b5UPmZ7Q3Wun?bNFz7ibsa8^e)QllD0=Zv6opYtXGOn7G}^?zevl^t8XB;eogpJLu)Ko;wXJ*>Upa+z`h? zyy26{SSKF4Oisn(kSlF0I6r)7x;+wYx&(vP6pYw&fcRQvyOXQD*g=mr0erWEihQ{m zk20KIa8KP5xhOux!s85YAXkybG_T01J~<+az|BR@CV*V%`%1O@gGdM_d79WIz}|6} z_zHqdVODn7Cv)hIzR#_ikDaq}vd4{>#AP9BR1F#rZ3?06GI_u;croN|A=y4Oa{nSB z;P8WBWn~!Q5QGry@_?Ewg};kEE03s1-&Mz<5c8dh)I0pu;x10oR&{^D(ehL!z6C90Wj=(^i7B-V5ZJFEG1S6m(?$NC>Qc!*xy$F>Q zgOx%7&_pOl<|OHD@lHe!twccJgXEjzATNusRhm#}AcVzRN!y@;79B98K*}c*Mbai; zHc=#P2H@5aQsEvgaSU7}9h9^gPzW9L&7r)c%|P6AP`$O{71@Ok?1S7%3mgX!!W;)( zAPi#GU#0UHN@ z%z$4chc-$LB|9pv(HwfLNRd6A39|0+DPiJvPzW__#SIQ5P;_A#M8m5GLf24$1S@_t z|79#@B&zz7L8Dxr5HMS^oT#S33aSzuq99=p|LK6FU4AU0azZpHR!|-M^-WHWtmhZ$ zbLJf7Q}**~;TV^v9vtk4^GFV{2dL}fr=~*FgO%n9wvMEL6Xo2IzvA8jcdq?g)bAIR z>G4|R*K>FCSN8GAeDz2`-OYb-O73yPAM+qs`4;shcsPNV@ZZKa3%SP)z_oqp^6Ybk z9W!w6|8j+d`!Bc!zJeYgTsUh7sv`I(V;zx6$fF?N-L&JIJxfYAAga1ht-%(wEr*F?vRfHXPYM*& znFox7;(=27Cg9yJ*YEY8VSK|J(jKT zXU}$*E~}h+4&myJ>R^A{_HvdDEr86r37;{<=Uct4cqOqt(&^q788_`Ok&C`iM|13y z3n9J5q)8>vY6-J%G<`kcw!dmU>*DRl#X}RRdf;67_XbGsQl$y3oR(BRaq%SLHdOKb zlg3Y*9XY$%pTEzqHrgY23em_QcdwF9>g+YkQ?}7AE?a?O$#`JMt&U!kGTM_}UBwXq z662=GO?XV=bTN7$8DBgmTMf9)v3g3%`T>Mct0GrrJxC-{aCkYB=i-o$A&0LgR2!_! z$ERZ-yWp2crlCqTtm2B1|D8wg2?je&KfDX*HLkNPBAqS`{pf}W5midM@W49AOs37 z73K&I#~&m<9Ki?NKhWaSHESfKw&-1W(t%`QvSCohlTC{>3DGMYOI%v#gk)Jv7d67N z98h%>D=uJUG$hlz!Jr;_VBZ-;WG}%%mI%q*o|j9*=wciiDCsOa z@2KPN^*@9o(2QnIm_T9cCFMYW@5p{(2+}V6(Rb{W(x`s+C-3pb;VtQhDIMprk$#50 zjz3w_b61|4vBQUH431wH7_?r-lY+>H__4eiDt?DoA>8(tF(7*6>+skg!>8le%Q3zi zi)r}uM54n>ru_ngC7qqa>tBX)+@GGK#Z#_++0z zNH{xU*R;r}A0d^qIb;fPS7u{}-@#QlRFM?|pWKw^Z}v&ngXAg*pg)E$E;#HjVaJ;B zPNy3>a$)xPphpJON2 zZpZvnxp(MWm)xHF5)1E3x(4aGp$IXP zK8DM~5qB+m78BwbnZ%%dQ)YsD?}fj35$JetZr!c{8|gl$=$6pFTf|5IycSQ8zt9N~ zC=FOt#65>Kf$Xj4rN{0~GkM0?&EmZq%6=nDGHJ2sw?NA!^54XuyQ&G(71?}Wz2^to?96M~~+ z*?aF7@BB>o+#qiqC&%uQRODubi7S-xi+WL{_C;|^hPn4TL*8EdZbB@2HfxUW6Z&10 zp7>z^+X2lhn{M0XWtG4CA<-&-Gn8KToNQ*$+a;NO;-i0Eo9R_WW{(`b%vvZ&K6!p$ zrZJSc`fukGIAAUTb4<%@mZ6&lZGJCD^_!2Pm}oz52M?a8`LVB`qOk+@4tvEXauB*y zgWQTy|0bXx>3W~Io9i_r&Oq<57gsuAKTh0!WnGu(X-O7KJ_>HE1B+4l?8ketSmcb1 zIb?5Y=3eKbfBQeb_`TSD&h^wggfsV`)Ee5@T}F zSRpptFzj=(1fevbTLH@r?mq>&6&bxl=dRG02gNxz44ax36Jf;$<_5?fxF?ZpbOXCY zW@F`|^hGeZMC?wBEfyE~XC)+kzbN@xPk{ zc(0h)tISb8QQJ)VGcU2;KG77n!Liu{yh-wF-^8-}lX1=cHI=!@nRwX{bd3NhoS5!= z<`M5J1q74CR5c|=-_jD>b1jHb(g zGy(Z0$j)*zdHv8QMaixZI)!vBv@2bL%%b%kH|ZVZj-l0W7T^E3qN`(KYXfPrJEmkm z@uc{4EXZBY$eZ#o_#RW`xLsTe+yjzk?0-%eA`}( z`6T-}^bRz6QrwtXOuSxPu+X6*cX=}lW&Fh6$02)9{h~cY&g{i(>%J@57T+9ln4cy* z{dnf-0cIA&L;gOmK<@y5f381Sh(T|k;ms`8ko)le=%=;21pDNh^OA1~PATP`T`?8e_uf7|ycPnnz zWZh1f<7pD`32RcIRb_Hk2D#rVOb%-DY(B(r0onTwEF|k#<*EWgRFRB498@r<$pM8t zen{K{x$1ou^3L7dJ21Sf6#x*$4eJ&weiD+q4`5{x&{3U%jjsv##Fd5y?wqTX?1n5^ z20f_AjXCa?F5lmPa}AJLK{pNAiaZiUHlj8(VDhq%0CxkCfmVwmM=DL4n+cTdc94Ok zN}4eDdeUUfNaEh4$W6f_lfEWad?;xWQ&!?Npt#}=T1i3zWO1bJq&cWbzU*V$27x5T z;L^T1KuGRW4VCP{e&hrCq>tV}OwMa3eLUEQJKKDY)Uijbw`@FL^$wB|dKdtQLBQ~^ z8$dn4W+LF8)8s?+NvXMHEPJrm_aNcuc|w4kryLt01S%pEcoh7da3xT7>zC=`C%~T# z>x7?_s|JABdP3T}9l-4=AsbLTD@Fl84@Clex28VvT@+bEU|nuC7g_dC(gH9Q0Q9=U zpNs1Haw`hiK%64kcU6{L2;MNK;Gwi{-`{jK z1nM%+C%GzCKtJBmCu|8ZM z%r5(ci)LSVN|*yFW60bQSYgQES-C?eOiuo&_;l=$H)w?jHnC5?&7FULvKkPx+(4A2 zp~410ZmHM#$Cj{cB3FCRv5-BR2CY%y4V}7JGK$ugWo~bDIwKdWbuMAqQ6Asxfo;2{ znl;&|#$b@=@xZc1`%W@k+}S%vSm)L!XbeUO!I~s#&`Oax6&nRmcQ+6~uDu#XdR9{G zFggr4UE#LC98d2J6lsheF~{_T5YVj5^NEUi%-(L0p+3pZ>FtkvM!sWxGPp6yD%oVb zk@euffohC3A#V2|xO1|PjJrbXQ^f--*tf_SS=rIoC+w<&7jh`U4gAlJ?OWs#k$a|| zV!eY3fCsL1Tp$SCeP7S+TkEO{2$mMz07dBi+TR=k$b}HPCd+|@Yli{Vn?E-zr+O5G zPVL$?N#+Lf^(3!)V<687OG3h}f{@9vr9yU#FQdpF`yRSL+SLVup66c1&0S+tr?H$g zDOHU@d>LVfTTTeRX73M46P6wIibOY1zU1%NN`P(KVgnUPy;{&5%yFpG$g@&)cF^1F z9o%GAHi}?wQqWDY?4#dW^AyBYru60_l~0bS$Vk7s%$qB4U3xy@BDI|H8v@4n)udLn z6U_VkRuyzrU+%mjkI0<|Wkc-x{7F5;$tKU>k}U zU>E1n12v1_bj3(~k%?sR-&sPmSja(Q0HInjC9JFfcU8r|E7yK!b3nUJ(X)y-} z9gwrp`+3mMN`nLMa^uIOTy2cDLa$%Wzt~8jah4BLPR))?tHq($nvv9-;P~ae7TTI! zv@OeivDoPeA*v`_!avyfG9}GXD8T?uY44YQoIpO8&5F*E4kf3G+s_#_Vw}_Wu&*8H zGJDLE6uyBeX>1+hLuZCuxOtBky(!odg=kK{QCQ(N#y}G z=?_cm!@DvPE@Rssu8^5NRPTx{tNLm3hXBOz5f%An7Js%N%I*=##=|$*Z2D64M1=%B485I3`Z8C`8E+m~NPv64uA0WO8Iw2Y zSXCsG+kTbr?@bEFHAtSCC#2TBOBJceN)rgdx5upatUPX2mm6Urk>{u)i}H&^t^39k znjL>STtEnYt$dK=qv*l?7O9LsHZ8WF>_DXj>vF#eW*w_8sm6o$;8CfDqa(!Z9zngs zUyrAF=7iBjO$82H-2vjv{^ZyJi=Y5jeFZ9nbwKXyv;v$tZmIK$B+5_H&z!QqfqBH? z_@IAX9*&d`>>Ryj2FDJLz@XBGNi#-}qk+$Pf(kKNZ>K+~>H&R%8v^+rY=V$*%I-^6 zvQtEEV}B3lBB$kwRAf~RBqW}y^@RXjhq)D*i|o(cugE?StR0>ZMP^M@%`3>;e#WaJ z`v}QzC#*@|=2O7<{R%md-uXoyA$LD3k9D)hQbUESI6&^}K}2?b%^y|c54uS6g8$&& z;Us_r)earO*I-apv#;@b0eDv$MD|Ax_Z0$ex|^uTm(?N53hD}fHo)CNdYh1KVIAp& z1IEkhBH+H*pqCB^Wf$ZI>EXCCw_qV*bGqV2ECAWo{uSKr%NCv{R3r+{kxf=m$yRzh zbCuW*b%2=??I)a zb;OzuEWnqIE=!qn6f14uQjf^P^H2#~kCi($A zIHy37cNEm*3R;~#%Y8{u_T@~bh3pF!iB;=~8*AtHt>P5{1;6ge_P7tCLBPU+iX1?b z6OF7Kd$L=ZYgPHpr^`|+)?;=HY%so|?P0OuHgzfwuoY7uA203X;SSNN?|sZ!?V-Yf ztjRo|RGnSgkNco}V$lNxQ~JQZ5Q4Q$v;L;%3iZ4gEfwjLW&7p*WZy-2zr&;7sL$4p zbRl~(kx2-H!S*qSg<$!3kZYF{H#G6;l2&e|nf2Fh+dt+e0Q-}zSNDcGM|DDLF`g?zvbxnMO+tshgMvE>{80qdP@ResHX z_kq(hi}xd@%SGH{RB^gIV6h)l9O}k57E$s}IG;aWu(GGe2pU%i+^e9ZxK)0@ZEg}F ziN{xt*3rEOe_i;q@OQ*1EhNeC<qwDUC+&fSw4O~$gSO&xu zrO|0JcP}RoH&$r(;bAcP9oYD9_}mBKDvkzxS1n;=7ZDA^gTQe0$ynmO+%1m6vBRUA zK>l5-7S)hanqZ*y{4G)#gHzo(1$lJxh2T{MuC!)wH$VujL7%P5F%Uu{b~pX`LOM2L zAfG%Ypo!+oo|dg*fbWu(P;jHF`8>V-b^^TLpgBG{znv5pCLh?}XqM1BV2-CrvLIP; z+d1PE_whhBqfMxWgK*Ws`sDqv(ww9)xGnB$ByEE?Vk{yA`+s$R=AYZpC1Xc46 zxRD?P$1RRfBoVMjvN;;ChyYEHSO}g8(2j*aCR;w42^Mc9Z9Q%#SiF_A?L$U6`DC5V z=|{$y7XJEjgJ2Q0j}Q*c^ail152|{2uEI@z0&Hc-Tlo98S${9h$8il-!zEng zqfG^B=chuJeY)YJ)qgSmocI`&$n zNiiO03~W3OWi<)YO%c*P=c-M)<^zPkgYh6N?JLAL-jj`IB7h0xn=VJ*G;tH4zmS0J zBuw%vEkMq<(yPk@=&dTPF~BvQZU<%sDc$`OE)xO3y3~Ew)oL#{tf< z2B7DY)4VJ5iL_VbO(wHP=(dIB{bh-MM$L$v{9TLZFHAF}I;3LbetPFVeRdRI->vis zN?I9H)v%?r*8~YB)=e_FnRmL4oM#0+Y1as0R?HW{YEf$;0GT^XCNQY zN_a144k@^w0Oa6=6dFDQRvx|()P5n^0dg=x3Xl)2NUDZ_PYzJzp~*gm+-7p+>DVFI z@fNsEnLkDz=(br<*n#tO53Wy$4S0Uu0TMPqW##c5{!TwdkE;HMuh$22=BK+(@!sKsar@~~ zPkZ15@Icdp+&fsTs-&&XSrr9YoR_pcP4-`BIqj3v4dT?e8pI<%Jsmsn<$ii|+5@LO z(8mK_?9hjLdiS&kPJ7_A2Tu16r`y1351jTuVGo?{9SZy9H1)IxPJ7^V?{K;eoc6$J z4;1!**E@u|cJ5jD(!y=)cJBG7J@oTD_P4FO8v7>^`O=?~Rqt)RVCSAidoF^NnK#~> zuX~Mtl-uc3fd(MG^&%6OW|NGKqJD)lK z_rLtk!*A@q=7k9_UGQHo{J(e3dTG^=|J=Uk`po#>T<~KEx&EU+-TBNvJbcTx$3Oqj zS3k6H+eKS{y7S!|#-F+E0wsIhOY7S9?0fO1+dlOQxX&R4_x}8ro$LPb!B^muUw&uV zPLL;T{qL=R+xdrEuFh;*w`2Fhm&R{f_Y^mu3wCaM^__p%+H>i;kG;2W-@=#JCwZ;v zber^xJC_~KQ!uG{(84d)KNbKTBgy?WtCs&nD*nee-e?K<73jDUSYNXS&ZWrMuM>n*pa^Va&(yU20amQWAzTLLPrigJ*L(94Gh;4?yg(A3iSnrO8 zLDrNA)@z`WLB6$WsF)HlIlFDK*#`OK}A;06D82ZRkBg#Ub82ELqTmj6=~dVIVf^)3pXFn96<`lW&K0= z@wrh_n90ca=DVH`AFb+soTcdr~!2U@@ z7OqC-2!pH?urg#3ZfjZ4O2>4oVf>_+E8G-tv6W9Y)th3hX2*+7R|2%UUXL_MTZlNh zN{p<*55eg^0dD*#4+8oUWON+idb1J70qmIt>Isu~6hq#wuu3-xu^L5MHI3$UM)1YUeVx^1$*}H-TE_~{H9LeX z5_*#^WQwqaBkjqe>hRogZiP(A5V#FnCc{&QwbcqC>d*ddtDF~~WER)0BsksDWj!`2 zT<#jfuWe3>PidVg4RDadmIx?V=M)LqB}nh~?r?Kh#}!pHs$*(po3Y%65A=xJ9ZtsU zhq?B}KnE7Zz!dGMH^%@LxTasLih7L=&ImTPPQMM7yWL(Jlw4_G3p-_c493U88%)=A zQ4NRPVyTL$b~@-Mp|wLcRSO(TQ0Qp(Wzs5SLV%WCwlH&&oC^2wA?X4#0g7MfR&5&&pdLQN072%BhnK zHdVbe+d_FgXS=0ddeYNURQ)hZc91($2)e*IY2GirnG87=4hf@7JeVV6WJa^eehgWG zBSyG^j#FWdSsHfAbw`L&DX@|f1}9K^9nz_YAzEqQvCNV9p+e&NR%(8RaCzpws2*ks7qK3{z?$EIhMJ6qgH}Te4gmjT1W!oMY8TTNA}+ z*Xy?@I&q2#J1B*w6^W58M%XkvM^<1ZDZMoTiKKZ2x(Pibq!E`BXqfQz! z4YoXx`&J68Os8SLmdU*sD&ke}fihm`WAnL-G*KUO$w%n7!}xKgP%mF(-!t1>B9?1J z7HuSi;t~UFku~sOX?;u$EJDP11ep}WP%Ojs2?PnFFgg`S*Kq6*1GOeRIRe|6xWF zE!7zLLeZX&lO|@H5R;lNABVVvjCWv8s9M%X-HKu|{_;q#-9gQ#4VqwInyzvzTm^C` zxvGq~;gh*h_6f)sp#zOAL5(fWLOe1?Y{=p^=!8Q!%Cg;xcEnSPOx$@w_DfzLbo8geH!S{>1UMPL#%rW6m35(&f;F2)aBlhSr98f-LqW_WIs?noh$VO_(I z2xBtCwS!K>P2HasrRDA2)QHVq!7;b4JyKocfYXJL8U(;XT2Uve>!>>soqD$0tyiP< z8scBIIJhw8*K7}$Iz>qoAIT!#n)~QCs$3L&M`Ms09oL|Mn6@u z0mGoMqjiYEC3-c?G`w~~K7x>`=oG|A3Z|hjgfx~jnR%;1BD@5>b@V4h5CC2}waK7Ivir>2gIB zR<%t<3>}F(1zZjUQ!gPpEC|7umX011TZiJ*kVp$LNSpz3nML_Bc^>jlgV#dQ4!Q(n z5p`fo5=>Dg(&&ZI0f3Y?OksrdI`COE3xN`dU#Q4(UIy0@MtzOMX($vaMhqM9XNAJX z8IVoWDqZL;LNvgbG{gu?;64Y6(~(DFttsQs273;<&rgn@fs|m11$Q{_C?~XPg zL>07>$uZ9-cl|KylbdtgU-Mj5*|z0Y2*Hw#Kk{S~_Z{Rxk9)_x)0AV^!m&kLR*hjH zuiZNun%uRfXF=DNN0*VmztTK&{Pfp$pc=mUKs$u|@&5#?;pgw|sD0or8?qm}W7xLm zN$*Fm`dasQ$($d6`^jJ4vEq`IQk3jz5es=Svyj96&LwcT>08-Gsic5 zrTgCL5VGcx1wB(CWXr0`*RGqv4dUt@U%#&%uJRhhea$m3ob~!WKZ?Ke#Ru*hh4m`g zO40;NWctnLa^iOLn$Mg9tqPjVzwd5x)&3jXtAVBoO*X&VdFPf@TbK*FcjtU+=H@<|qaKSnz5XMpb+tT9wcJNJ z(`I9#oJa*PHq*$oAJ@~as0;MY5M2>}^_K2~fJ#u9{6dN&Z z{pfQY&30QxYn|}-iuT4k;#ZhW;gtR47r_0idEM^DNW{GYnk>NI9NS6P*vs2W!fSpV ziG8O2msG57o@|E8QnsqJ(Ej*N&mnz4AQx)g%4WRiV2E!Ket-8NzOa*@j*gjVJpmDlWVjYOxC z?9tWnxINnKskY&714>enRK;=BzT|6XSp^Oi(8L7dNHl&$@wmDa91FdBP;9=HUXh~< zlLz2F)@1Y7yHBB+g@t(6i){^#DJ@YdwhK{GFjTcVlft5B4M_Fshqe~}B(KzDsCZfh z)!T{A$rly~ICTmxHJmE7gop~KSTxiKQH&{p5Q7D1B4#>eU~uU9fD%Ir0*VRJ61`f@ zpmcRPo-mkNm04VpK_z3L+LQC8UcQ%d{X|bkqshZJCh@%Tv@83Cc0EBn|L~ zi3~CaPPmzRpyX&ykj0Mi!2Rp~CU3#E_rBD+@_`x~QcQ zgvn;aH~w}QkJy38L)ju$6YVm z77ved?KGE_#qHX}?Vq-d@1{v_Q|K7=pdgmmc8bVK;Q+a^BFyGM?|Gx@gx&2fPc^g` ziwb*o zG}&)Mjx)D}2h9pon9+trn5<;Iy#^uR!6pbH#|m3(Ycr<9-)`xG~g`^0VgkcnBic^ zB`fuym1j0&jbC;d>YaUJkWVmloKHUKY{H46&c^d=<*Jbt0fa0tHPqB z$e3*(SPa8(Ky76^^Z@ySQpVsYII4WXG-wPOSuL{PRvMPk#}O7!@w zpzlGdOEW0s%yyh;P!)xVteqfNnz-@&Q)p(Je)&UgHz#I`@;4^mC#Z z*Q}oqDl|!KhxUocyJ#Lv!N`Kd%))F%`@;i3#NDMyB8w87V05}9y*1(J zBCg&9vaoOPMPQl|`IdT=p=&Kd4_8%C88x8S$arasg;$km7E#(EEffhhO-vCEXx2#d zBJ}bS?Rgql5JEe}m|0jj>LB0c(3#2}$VUIAgB~VRs3b}}9jU62yo0x^=vUJ~rc_V; z8J?|B5f)lM(U9nFy~=yH?-e+iwdW$$rG+n(+4B+&j#`o!VKi(~ai^KgM6r7TmoC>A91T z{FOW_@c>}}D=VMd^0@NJJo3rqzE9p}pPU6(&1Y9_gsa+DDj`|fS@0<3!RN`b^lYJL z>mw=L6(955mOCMv$vtH|zB!5^vVi;a$5jBmVg(u6YcfM*RbKYys_`RsESh-Lyl+0h zwXw0eKs($SRBxU8yZ1Z_C*nC(>fmT%s zQwor?Li)GC9?y6v2M_kw1f)YGvpLU0llkoL&BMHAzp@fn11YGABoD42*&yYt-0}#p z%}{9@vzmC^eXkuRqn(V(D)|4i_dW1cR9F6Y=1syR2ADh^_&{1`5@Lh4ZUDPdWXXLY z(h0H{gMU(r@*ao{YAuvj_f!4BO_)5RO)(<>wC$R-#D7(BYn3k6@{q`;E(N!1#kN{t zyLLrIv1nV%pZEKoduLwWO9kmILjb}X2qYbl2}+E zcSs@0v1(-onrxjrT3HF%$syK?72#T!E4?vCZ+N2}1M3x-aj$h{k|9i`XdaEq^CMD| zz)I-e>N&Qd^d1g#2*V3XD!qX;PI`kIRwgLP6|IzHxR~&;GI@#BlWY%8k(!XDM<-ii zRVLGjyVaW~Wy>UwE@bObWXnYra@86xGp#I36RT@gc$TmCNE8ZkEHX{YO44+9lisx4 zp!}WR+Z+pbL~EuDvAX9v!{5js-yU2Qhp!4<_P4#6{WBwYWTy z2UBlT>G~veXgg&RLKim88bnk#UoKIAM+%UY3MjZEFBmvZ=i=Q=$N9z2NYm}9}0uW z2l1=MNRVU*9Q+{@#Sk!d6w06Ez#|4KCqV!Yar59j=Qoja_BU{{_5oQtWYw0(Mb(8$ z`j~nH?@n*od>T2jK`I?jHF2vX4^eHxuxNcE5k=o2Xv>mGD{7)T2lisr1gEzf3J#M^ zMLo#>;&*NgBKs{!PUT-}B*SQ3!_l8jVHKGEmPw|ZL^6NKkgjD|QGcR@Ofef0387k5 zDLY<8?+s`Z&E1ahc6Z#G)F+dI=CsSk1G&V86VVRtKqxKI$&_OlPJ;v)Gqb-2(_}@eB>l0yPakMWvTekEdzI~ujfj`+{urUod z0IUAE7r{nDMM6}P`0*yok;|qh;7V+g5MA+qaZKJlL5lY+8y9gfxNFfem zaf2q&7`;s}G9cS=x+qsCIk+Bl6(gtbffQBilRe=1O6~q zE6H-+5o8XU&hW(?YaupRNnR4fN_X@K5r_+pgCygX6jH#(xr_wdE-MGYCMpwg9CC$7 zG98W|GW*oSC(y`(eBTo&tErS|va}gJ7v3=@ZvdB+5OB*(<-u9juMI}E7D20%evr3v zI-wZW3MlH&fm7LH@-fVi@rgHG$NNz9V`B9YhYESdL7;_(Oi35KXcwi1hdSjtu^dfwSeQsZ#Av=7&J% z__n04Si3JtQRsqD(cZ`29_)SnI6VJKg{&Pg3mnX$%gNhd4*lay#)R|ZU+rABdcxPY ztv=mfK*$(>n5Ms1W%=YfaA(a$V@7|^qJVqt&8x@LWO>n^9W)mmkmZDSj|cCbwP$AM zbP{soq!+i7?9~%y?^*PNS#N`+Cd(-s>g6iLqIEa;OGmG*{>CHQmVRT_%R7F0;hwpl zqIvXfw=OE%zIsCXwUrYtePzc6ET}*>$lqPmIeqnn7hhXF;j&j~R(!6n$(+vL21^+< z<34xYwE6hR1l+K2p0D7gO79o99m1y!J0`sKlq?Y6fLygqqE;bD!D)MTi~#qUl;jpN z2h;Z3Zi6`_8^+%YQb3kM6qyvvA|KUN{dh1SKd|j&B)M`!?@W`L^q-_$nK?r4JPX|u z>+1Jh*SVb(B-v8P1~O-{ulIDa^1I;NF=5v0xk6rBJ>mKOWP>~K;CB~wP9Y)hUO_1& zFuqr*`Y_4ue2%Og*3kGSNq^yw5}^4bNpeegO&|Q@AyGMsyTTgbIu4B2pou>eTpo2# zlY_f8HNAXt4QAaF+8Q^OJLbD$?8s>er==U~3gp4N$b*n3&AI3*=Qz!@URf zih?;qCOoy!eh$eR|3UJ@R@YK|-S9tFv|+B+qOxH$z9+EOVyues`x?kLYvz}&_2%18 zZm~WG*=yX(!{cktbz0pw?E6b+3=4&^+!J>rJ$ z${c&mv^dsqGMZR@t@OFaTJFMZw$b;`x*kHjgoXu8Y#@yhQZ(8}Qlh87j@xZH zzhBFiZlYVUUlisN@H!#|UB8L3msp<0255XBUioEtgXkM$ts>%RwjoX$z}OiK(-IWg zX)F-pG}u5y1v%sL40C7*5sHGySc2Ug1HYE?-=PxnX2?O9s0JMc z_#nJkD=fJ^ybQz&HVU_qGc;R`Nx&?Sf**Jg>HDix%P82F;i#1Aqh5U%7nRHGeB5HQ z1-)(*nR8vbjB+eDC{s-bHyi-!wZS-#P^o z{X`ybI!CCtOEAd~@&adTrcFTmtAqqCADZS*$QESIh-e(#etU!VSG5UO%C{qa?8Xz# zzK~{bNWJ^}{3u$G=cetln{SMhCZ~E24vD(gNCkh4)=%VP{y!Opf*@Tx42uWa9yI$a zZnPZ{K1DXRe2V@P2)U5(S+ z`X{>bu>^A_J~V*_l6#B>0mHjIym@ijSTvs<;4TAqhgcOJN$VlqS4aidn2sH3N-(1- zJe>L^^(sl}lLv$5vsWjRYbo@G_mngNYK8M2gAeVVo)#HJ$;9fNNx>Fs=Lnw1>cfaM+Os`sxq zS$OPLzDI6r0Xu>E4z^!0tL6BhmUe?k=9g1bB7F68C{sbCV z3e-py71dHpU19r|Jka!zjb>Z5p#m4Ac$`vyBM75dONz5LR*ThwFq@{8Fw4|zQ4LKx zA_81d9jU0hab`m*ezJpR)0u(9nNG_jOtfI`AM>#my?z06Z^AY(LQwyJ%U+8{T0vQQ zRh%Mz*FXx#xyJIjN&{w6$WD+;3LS(xWZX%o?=J}1D+^|E-=8eH+mYtE71CHGE~S2Q zk3nuqXArXrAZv`F0)?A$OI5&;`IU|W+uu^y_3aa=b~r-DWS44nSp-~`Hp-MIf=WOT z>^>Q+o{wxY3AOzjxc{rF3VLNINRl&7akC9yDd_aX(t;)e$3qqO*;F|cxJaX%Hl04W zz%4`R$l9?MXR<1u>B%ciY?3Ot4GzgotOEMjs0K}zZ8+HpxP98a~wo5!VYAG}g$qz`dMdAn{Oa!TKr z3SWHX)HEx&3S<=wR{2b2O2w}_bf}dMl^$fFgBlzB*1CNR+>DJ#GyNiV z)!}>3ILJVzJBS=j6F0|)^m=hCx6;>{Y3Bas(Au1X)e>Yz3_z3-OvA2+7AY)p+vr)Q==725U_wqxty*{QsW{Eg{nH*QW<9%P^0 z&hD&WTeWp*Xp&!<-cm_I>H{ISq~E$_U;f4vR3DOlZS!?(aQd+U`zXnEe_U0We&(Oj z&ry=|Daph0Amr4y+pgKa>xPu}*!IRp*!k(l20qU!55Ib93P~#2P;m0EH5fl|UFy?M z85jPjr?=_OGfRa+S)49CGgy9)eGN;*!Jdm!!0d?rWS) zNhY-OiB{@kf4 zl?V!iwx12&UTILy|9MCSyXH@E;_elUO3DVt=GUfsT{*5#^|`Z8q`t%Ew4d{XWjU@) zRa|r>@_kdL^r5s?rYez-6gD4bnqNB<1~hOl^=pTHx1frt?83_dX=dKXHXphW&pRW@ zBm`|GN`fp5p@X5D*MTr7bWT$-t4MD|?U34j5M)#XgJtbt3al)H8w&d5^ufyX6Ht)I zpJIaqd!~rhqIM{%Os6V;$t#N3XG82?@6j%lLiS0r;_eZ0%RUo|RHi;R?zP*mWB>90o?PR58XCt ># z*#}a5D}j>+Ec7C!P#B_l9?O_NlF*+cy8~R8}~8Bh?OHJ2R(tsOVceoLu$Nsi{mF1^e0m z?)$^%K{!h702B4fl*LwAe^a#sORkZ%L#ES|vHD{f3H7cW*tnT6G_*M=eK@I1O$=<; z9%4ax&y--n9ymn2Ioz{K2eOwoA-rMY({bF=6kS~KtlFO zvf}oIfP6m4Dg4*QZEd`c8QV7uP)WWWulzNixFAJI&ZHy{*AL#d;MBLTKL4clOW7Da zAZeDjb3@+q?BvBSoth(iGU|nqWaQ)uHYuYZSqF`j?R0{wwbMYC>T-%^lj%GN0X^f} z;6kt8p4y>k$)5OpJ_fZz;MiWQ@8Q(g$A)a~K6RflG`;H@X}n(;P<{RR)XL{?*lsVO zUL#wwpN9?)NQH812W=uV_WfZ1wF`ef<)NZ$h`UcPBTSREgT1}awd0eZ2B~9yaw7E| zkkJ*dl(#l(S&QYWWO z*#<+ws}Ab_fI-2*zsQkAA&o3%^YlLL{Ox%Y_6@GpX=Kq=yMH^I@j`58O1n^(BMV)Q zEFc6U3n?TdM;6cA%wCKF>OC|6N7WJpJh^o%Tumb~145iiEb!gs9VS4kf6-ghPr-WtNl0=0uk@48eP zpHn-$c>|3sEOwEq9gKro*2n_VL;KnJ+bahg49VKT@W&yUWL2|^jbC7;g^|Tpb>(lQ zHO?O`Yljm9W%uadr2m;gPffqNVe!sK06hEW#is%{clUv74~*Zr{*ZX-bAU-zkhhb* z32@!7AGmfW09%2K`@*BM0kV4SuO{tWzX{|?zgqw0jjtZS+4l9n|MQaXRNnIay$7y4 zaOP#}U;WLlfBz}4Rv!gG?$0Nl2h`nb4-EP_&hGj46~1f&-+dJzwx8bl=%N39^#`x* zTz~!#ANcd)7bgDaBfz$O`%FOf3PRacnjD(+vxk2U?lZqaz`l=N2JVM{28AYVU;ne; zTz=rposWJQP`zK-`N#7K!S{u8NC?1Sf&VL^de?ttTWM+t=^;?^hpQ z{M-MNR(=-Uc;+pDGydB3g8@LS@Mm9t_{#@oW&z|v_5pDBW3dVkd3l?ay!%~MA@Go`0VxUWC^E> zB+hFG_peS{N?5`hrp;Kt_ZNF+p1E`5d5>Ow{o<|HeCy$@2flXB^d0N>Y<}tO#V4nG zz<2+$@Ee0*rE=`-A3@W#<*vGbWJ8D~>r?CUfNhH;fwu>gU5|&`wXqh1@hul> zRBuF(kg-?XdiqdS za0e938+EmUj-AHq!_^QXx(R&O80A_>@>YAAG^eCkqa+Qj+&v{49<{mMUF*)MzG<{( z!+6gqu-#LNp#pZH16!jF1H#4=WJg%Hv_^YcOGBNZ!Ld+S7$WWtF~QdEnmxF=GSehE z3x9S+-X?r3Y=9 z^X*tAm6-;;h1(QqR0X2Nfa~h)<%Q5&xMQa^mc$sW6qGHNZADTHD;#9J6q3n#=mkv; zL7KvY`DTS1wrj0Tz~m*c<2jm+0?`sF$5rx4mhm4}`R?VDcS=&3bIO0I+CdPC4nEce zo{tN}9!H~d&yc`9A5(H|FDsc57!UAW7$1??cXePhU7*={cp6YWR>LTm^rw338 zfve2qg`N|G!oJ>Fg{?!8dkWiqoY+QdlG`UkAr#HHESfFQTNtAoMWedsD`y(KeIVS()U8;6~ z!5{lz9L++sE68)nVJkjPFC-P?DU~bvcsPS#%ScL{wxb=V7!NjS$FD{NlrXG;a-Act zDajg$Suf2LYrGs6RS>k!E(7)?g#bg-0FG-Jc;&+5*pU)4Qb@?i(+&ScDc9%bpi+{y z1x+~cv+y!N2pvbQJ5hl7SjG@D_tZJNQd; zNOrqX#;WiF7-VO^&SWu-!&g>2CQ>+ubMPJ&V>)A_a1R+sf%``ou1w5hh0vY?R61hd zN2KNw1)YX8E`KS0tbsF|JeUbkR|U=*DPkF(PZ)+@)Slp$--8YLX8XgO4MbU~57(ccaWR89;S`e!BeQet>krg*wRfkRj&a2v}G4RP~N2&5(#qkra zMPcJ^p=CIl1olOH1G28)PpuQcAm$3U2W(wu$nK6Pi z@mTw3&;g-ZJ_;fDVK#eWIJy)JS8-h%$xYKT62e9UNqV0|eTUY(D1meSNSWgq$wpKg zj5U%bCDxM;YX{2iTD12qQz}q`$#|fFY8p^>$9~I@DN8KjT`m+(Xk{(Bl?=*Bl2CRh z!v}^#@WsY6jyWf)*TEI&PeDPrYVvRZPnKdw+@lpX1*Z#cSfOMq$XkW7%al*(>d}M* zxf7jiwHi%;Gq!Zo)0pN$Xbys}YA0Pi(h4i1b1bi@6+U1RiIjK$&qcrz4z&Q^*H~5x za<~Fn3|FB~qd^7c_4FO!Dp(yjR%WqOkQWug`;I30cKIw3>Y6mh6I#-c}GH0lJfnxbFAvYh*9JGG0nvC!2@J5jjSQ}-&&Oz49 z6cA=*?SO}`16QkJ$o#lDHR6>@S25h}BG>W20FS0hOKRL<$R}$By0omSRyblep5SGP zs!_y*!V;Z=B-hfAf~{Z)VKZRaf^1%a=bbqQp7+}Yk^~tovK^fQa(e;=iwz|>U37Sx znfMH!vq0B8=N@ohkW8Q?Gh7@`+gfKmh1G9(EK@X@ILNMT1LFUZB ze%P&}G2+2s=5sU-As^VLQqXF4O*!5mVdKM(ff*pGlrN$@LfJaI-A^n~;q(9P@j z8oG}Q@QC(Y55Ar3=~vwQiR54LKB;paMr|-B<@BqL)v(Ql`=5~w5EUTW*CMT9YH$itDMF%g5FcW#}qd4;VdP&$(od=b7*1|f^2&r zFdD4jVKLC~>ly|KoM;m4qQ?(J5^NX!AZYSv$OxBlb$~;%PbE>POLWA941|23A)|?s zs!qu&)ZGZwo^#3(3^M3|fft-3&*>Dl+Au0EWy$~vJ9FOUV=?w?rrQLZy?a%mwK|{{=2ZL z`mzn*UJ3GjS7Jk$mz|qQ_U~cNmYYezJ5BIGvh&^11o^srKc+)F#g_L50aJV-Mi<8Jzsh-*)cgXuD(i~DyLG?a$ z$IU~x-FP~o1Lyer=t52h_xzjSsvHGC#s^AY{RVN#y)fN7q{#pH-?pQttL;(`4=7(uc3j4t=wljd1#LBom0oeR(Y5G~YP76x>z>-%fMvxl+H|uHo}+OvWcbmPw}62K@CL8_tN)_`X?fi;FE} z>XDp_ZD76T(Q`@2x02xzgb`b?uoM8fiM3BQ*x1N^sF#m#$*b_KdSXnCyRfXQ^~)MQ zNVjO4muYqM1-CY{J_fxDSXWZd_mYtj=F`KXHS+`9+}<9%lJBNcWgAI&(8Gt_KFTgW zWPXRj)|!vxWRkw@b_aW|MDXEwl-CWzhA`Spe0u*5LSShi#MF?amq-Yp9%hmK@|{Q} z!^4`BK<}+v9>u;qE{m0~ZA8Cm*+Y~Kma8Y3yW5U6XpYxNAC6l?o12;lH`g-fN>t;n zW~T#kNj4m-TjX!bV)aN36<&y8M8>%G8P|kAni;l{0k9Gjo7h`yqM4mKtcTWST99P}A9<0)M9yQQ+%h~-Zr zP&ZN-bYx+(NOfD8z!}G1JN{&spVR17V@7)%1Y4)O1{riwLzh&prr@ZN6iEfoP_x$F z#cEmM2&NUKtRjY$j1*0cuM)qj@K1rnfOx6{#L<2B|XBF~6I9?A+Sj*}K4Y)cg7 zlLu80L8RZ`UUFnlpXIY6nY>aW1s>_)euebCp!JcP&0}dz@|4@mD%Wf*;#d(5zlu3_ zZ4$V`a046^VaBeMQ1|JKtB5=zZugwRXF;$AY6eD2)tH*TiUG%rHV0y?3dqP#@@1#*J&@>zRFpPRF z(;aQcA_dqhhO%MEP|TS}`Pa@h(U5bNfb43Jtsz!;7g$=t-^eTh3E;h5VWYK(D7R};!6q21}%7O6%V(`hZY_in*Ny;5r?5#|(*PQ%| zK=zQuR+ypIz1jU-X^d%(hX=juMk}I2L4ILa<2*3l=t_pq@}C{@$;G~ckd0N+_=MO3 zrn%ff&fCv?2DR!^i@429l5p(t_5fP{yhYXy*^r=ls3AZ8D7)wOv65x48*x$gq})>vL-8xor@?VZZj`}Sv?6ftLZTgs%CgN_TUr~S}h2%ya+Wv zV8*l_WHWv8T;k5d%>=<}ne4F{+^~m{5CZ_YQ+y$ux!}{kL_)N0MVKftB2n9JyrY&^ zX#vY{#g8CT-nBNyP9BYk5UDj*4L02Ghbi`;ewsENo9b2}!mw!x#^vK-Qfp z3ETn%U%Jsgi;Qo3dI3_ekx6RV=HQy(WPA)lm^K|g@y*GBjwK-+7R4Elac+t{x+U-l zG{OB+w)A(8t9-JVXZLWEt5__7gN8>FLaM2^wuZK%II0kpmx1hRa9?z!Bnk2s%Eq7x zrg~LgR&ma(b7R%m)F0$xW}wRe*No>la;^!#oFLPPtP~7nBa0*So*VhwR}ikoE5*G z?S&pPn2LdiPBh+A@&j@A7dT|#AA;dgVZdS*6&8>|A%Y1xnqD5}SPZ~(q_v3>x>nF8 zaI{Knq+74vAR;Mve*yR5rCihnu|@+=C?0>RSWr;Sd?i-n7?|kv@S1dB;c^hLz(#Q! zLU2brZox2^lLGU!HFy&W^n6+?z=KCYBT*V=80egmlvLZK)Iv6$2!R7HDaHB)o%RZx z&TEL9*|=Aj-*M%LX-YZNdihRt%07@#5Ed1T5+ zAVdM=lI$Dg=I4Z)i(Tc3tadrS@Rc3kpiS~G zn~!*%jstKeCk-^=|Ro|F(;F8`}_Gdh{)hAfm`uV;l$|wDB9|QTqd*PEu0AUQh zr;~!ms)>@lgRJajj#SXkw7Y?uTlU)O)88WF_gr#1vftwgzhTPFF&n55^7ZVlEfGUg$cZDRY{6@isfK_nS>2G%Sbvla-xSp@jwft+{ju6zK^F3l*Y%)zCg_ykFA z0(TkkXX{w&U3D|vd}WR-K5@y7QF*Qhr4R`dnB&-aG8+U5phcB9*RxoKVBzPTg~et; z%rV+&gI?s$qohd~Iiu0O|H3PkxOxyoKwj1d@~&5%~Z@dq##pOb!;9hK|2$*pWOMZZP9k0SfXX zGz=3;Ohnj#oO?1SGU9s!g0lRAE4Fm5^6)4*)(|wj%W-+Gq~qf3V7aUvvMRdly7fdN z@9t^Krptzf8UW9eWFLGN(MpS zhgGD2VqGO8Wk zv}IK#=&0&5ReRe+P<8#so}406=>s}hwWIQ{AOcGX9H?#f$!NN`VfXliqrs;}(~&HK zjz@4+ye}D~6uivAEg;(_!A=-2E~CN1xvtX-#YIxK4T937NXe!bCt6vYxoFk8SlITH zqy-AJQ|uwZSQ7*rm;psFqXJ)F*gCDuiI#jU;p?VmgfwHMbw4IINnwFIl$ADQU@c>! zeXC&^f&}N7BU?qmILKJ)6EL2FEsuhZIoy(*;`phO(IavnlMq4oOWC&nI*An6Lp~Nw z0&WhU$lGms6m%?+(lP65lR53k;-_JvK$08^3a*)kZQ~kjMu2Z|gb=&Oc(6(Zm24eX z5Ink$7bg%cL|z+)jcH~0JI*m%Ea!9kXp$v_#3Kkg{58nmH2yxaAm8T%%6X>ckZ^+R z5$IcD8sESg0dn2Kli8OobDMlJGiN=VBsg(tC5QUFL5W91;7UPLB$spu)T%HP$)_494zz$}w(lE{0;S6SxdD5zJFxC`*^8Qq}j4;eeo50A;(LC(_3 znd`pu+$SFSy9+zFV5MNo>Jd4U&X}U!`mlsa9jScs`tvkjtX4Q)lvC6+EAGS6Dso@P z*7@rS!GtzVBR@a@x!dl9>>DSHJRpTA+*~=Ky+KVn6Pzy1b7SiJabW(^QpTwe5|C+y z142N4jb_EAkh^e4=eFA=84AK2Nv1jUQQN+yxM^KM3Za~z(fQQvUm_vrZd<*6v;?91ja?A-SJqP%T8CcQOiBj9v@2_T<>@MSo`oiJ0qon8X+6gG$X2UWfd^q}u1}8D_+wNs8v|K6 zw*9GdW$mzrhCNC8d#{*g5ODkpG5fbtO@Aw>vpM1Vnw&A;aA4<}z_yLDd3Kwd$m>cb z%GjWAftM_a@;UUK1wd>edyDd*Na8g|kny@8$QY!9+(cvSwy67iHj9TeT!OE$uCQe+ zlKkNv4X2GA>BdUCYhpxpXu1G132WRbb;P;G#MHWxpjmNJa8390<glQ*U?5?4V!^NlAV zF*Ri%vjMv}th#NYTN184?@D`3&0K8-ijTB%6-w18U(*`==$xK6y;haj=}M7{$-sB-m5T{M4>b zaw4B3zc@Y%lM{4CA%#DT94KJY0bv&%LMb|)#D)pZqSm?Gz$I-IGg3Aa=#F}Wdw&1@mZ51bWxh#_v)Dhxn6VGtqYZ_XjaNQ-|XGZ7>bR`rZbIQrV2|A_4qj%`p+j)-=V)2q^Ds)>j%hNi{_zQXf7I+KS(3J ze7sKZB}_bPjZ~d?H95FMO$DaE(Ny5`Mg zNg_$@yEj6jy7Pp+)kKn8q-=?@+bxAi*&a}Ksck0(%_(85oRr?Zm(Yygsva(+^`fHFZ0CMSbR`{eXKWs8+lPg5ZCUg3dqht=xNs_o> zjx~~hl^pAI!&Rb>zm=jAA|0ERI~izXnU`K2dUi;5E`;!M@B0a@D;fTR z7-UUkry?C|u zfHqaIvCL=(iyyf975-`V54AM73Ad|vjtA;NyC)lB$i#jsZc%dyc5&ekv6#9SNuu?Z z^H&0tZdxE9H7qubv4Mtb@4^R`G%rY3@qs3OqL@uXupvm3WO)O=4J9fswhV!^uni>3 zuPrdz8T)k9wo)J&{t7;c$HsQ@EeV%ZG-9eA6eSdqUP1WhMlU+`6p)-esza#4&N|DD z2L8^a=A4B|D@h>*nVLiFovOTk)WZ?&;Eu?;2}=`2SiDG~L&))_ya8v|%8b`Qg(qwd zXE|7GpuAyGj#JH+O5rMFL*!8%?6~@cdl@())M;>8v3!NPjc$Pq6?hOi4u9KfPo&!6 zm~%p8vWjl$DFD?tlZ#%O!P*h0F$I;BAaEI>V%4`WQb8e6oT>^LxcXrtkkKwv!YPWK zl&VBq90Y;CKov>Q+9i!kx;!XuirVr#=b%V}Xj(PtaWzE|P!ZCS0#|jHB+*C*Y4ylc zROqc-VaSwmN+Xj@zyp_sl}he)`>3b67yCIAN2Vh+nK%Ar z`7MdgnZerN1^q5vvsotiIp^P@emJh$_(QebZxFY`1zfKUZd86#4(j)&zxsufPCJom zhoiY0P-lRpRUF}qfI#I#-U4cBbQ^$XpINeX6HuZa>m0ECS|fcB}tZzB{CsU0c963 zm2(Lq%ZJk?EUXt&l~1wRpU^JpLD?m;kFq=W@WC^{y$^_A&>KRczL0~ykV8+OeN}4k zA-?}0o6X!wD+@1&>^Hy-@LkD0GreD1vX3bk;C+Avg%Bn|L4m6Wz4H#7wGQOLTq;=C zsl1kb1YjhLzl!u``FFxqt2U;e2tcnUA0*`AqXockJ(F{ta)-?Mt)-H@&zGIUbd(bb z)vG+1!x4$(w{igQy+RB&Po=VpqPRIV?;DGH1ft+

Ftsuv57QUNiC+71!(%D z3#i@|hDu=d8^^CIH>w}j8 zfxX}XJR40_F#`< zxk%6-GSNRs@qknhi|IrrIQn&A@7Tq!^3wi~L(>#VM}{N;1|_=$$yRhB9eDsD9}>D~ z(lc*2A#ADU^nC&$rc|IArnZC(XRmoS&_|R#1c%JhLJ-qM9N1%;+?t(AkmN#0gxAYc+!ryAr!s3}mU1a6h7}?(Gi2x|tn& zZ`;pm=YRVF2C=J4W@U7f?C3eEsS-ws%*Du}nJPNJgwJTg&}2!zi?5(b6OAaVfqmOEwTkd( zaSCLaa3SP!t7*B}NZ(}Fklqmn_SLd;s!yWtt0tR6SyskA4<)hM5K9Ess(g`WHk_gw zEUkCc)yTv1BkjwU&#Yg94Iks+ju_I$eUmqj{O#+#hW)*u)LMCFX>vtq)>3RZ|2H+E zk){l;~E*U$lDak&;COmNLyi!pQ=O#n5ASjH-)6fFXG4T2NFGkajwx?is z86YNW5BAUP>bw)s>kdZ@Dh3EAxCaao?m8gXtLFiuI!c<;_|?ke0dOS^QoYe5<2%uL zO<@VHw`z`01iJ>);Pa*;GS=_tkr7G&d(y++wg)*vx*Qsv7jjj8E$u- z2RU(^f$F%T!Hxqb#55dPZ4U{XCJCv>C5CAA=YE47q>-DU$QNcMA_Pg(3klf>%D@>L z9<#U8VgH4!#8+|e^r%54uL#@r6j6ebzlyjcy5y}OjZ5-PF{IEb zoDOuCD6+(JQ!ONfjqGKNxPva?&wfbt0ji5vtK3LwvQet`Waty_9aPzD5@Liu-a6=< z8jf9nYX7;&3gNo#i!IA)4LRS3PXJoi43u5=$x7Gvpv~q)$W=D6}yshNLKK3SH!a9KC>iVkCqcX;uZ{$Ym#gcXiUm_ zXENYjEqmN=VxTY?d#fQ#W^Qzi*aI$+E1QILtyK`7!HA715@L(|JYgwO2-)ENokZ-( z{ut_DkOo>7UPMwYanfWshFdXXh;UhNmym}+cA|+?A%Pu}IV(u-5Oa6Y8`NKbTeUjp zMO!Ky?W&CNqGZK+Q5PeEyd=g75Q`C%6R(Cl&Ls~*Hi}4SpZpdC=h4aFLlGmH719r` z5;uN*U}k{=_$v$sbXGm;Es2WLsAL>MCRJiD&}BM|b@8hto+;v&(%CEc05XCRPPrsa zeU*iJr~Dt6ZYiyY(duXDpTuuY)^3(8DQP*TvXZXpla-&qRiXz`+0%WKvh@Bp*vk>a zeA_L<6Cm1GQv1#Y)eH5)RB@3E35mOvX-J4NPU%SLpULoAgeG?iEr?NX){1;gybOu6 zhFBw|NG4t41REM6PIAn)^V17Di=gLOO7TH|M(5{o>o5zesRJmxK3eTj;9XBN^4q%5 zXy^AX*tXlLEO%mS`UT#W`n*-T-fSML?moBP<($^h_2%ab?#G_%K1Qr2Gq;9!U3uNQ z=K-h78>?r9JPw~MyJe!M31kz{Y)?XR$uCltz;T`V#Mz(C;r4~#!ACB4jwXFc_FM(s zX;=A`RkN?e9`t(_rf1H-X5F+$66;=CrBRLdTs(gEtF)0lIQ@O%e>h_H-ixx1g-_t7 zS&wgmkeyX8WQu`g-}d&CoABspXVvWYGD7bDC8O*@2*}tZ|LcS&;LC=q&SY|58h?ar z4`nz1a&8@H-p7bsM@7v#3YgXaxeF35yx3(2ig4Mndlssn6&|3vTg6wTRHx>dQWa%=HnR}l71T0+P zSxIDB6P`LBSzbm$z}*FCma^@kR*j6+mE#+ca;(20!; zTn$Z3AmPG;(J0~OVh>y_=mI8Cu<@{x%o*dh;|WA6=@5Le15SaRVH<#bM;>IPyeXk^ z;5<2+aNCoHTfFMG@%9Eh40HE-q!qy)xB%zNx~jL0Kk_b)kU7q4O#?HF{v50IQC=9CBGipVWT+uVC5_Bm zabT$e#3D#h&q4J}W-12LJj%rf1_&;e@s)8Er!$eOXkg3%+{YSB45jJKB3E{~LQBfZ z@g^;P23>gj=79Avgb~H0f^x{s+Dl^(`+6avemzY*s>_6(BF-*B(NPLl+t?D)m5#uA zkf^uHgqvp@PPGs&j!k@fCB`9v^9XgCO39;y6v^z@uqDoDnQFkU?H6m*kILPJ(GEhop0fO0_D-3`aKK1g*F2Sr zArX#66J$KzU;upai14(>U10jOLUuweO_Y{R!4Qs$0h&m!7*IMI!58B|uPpn=C(cC? zY8~Ekxk*wK>!w?GMlKO_D>^`?r6UBFV?}X4`-Jl3EM-;`ygQvCYXxnWJ2^#)dI|0L5OXDiXeQ*Mfm1Kh8BWTJ`(MJgmpQU=x`^M!?XqH-2yeFe#> zu0`DUl%~jleBl@|NS8@hwTgNtMdz?$YYEo|-VlCpY)w{($x2+BaV#i48Hrp?GUSZj z;LYLw=;S{Q>>S4~{cDW4;&xG-D zD%Vtb48n7zAPp&2i+&I4!xigxZ66+zJ1rqO2i&&`35h?@x&}LdnHJtYh!Xj{uIK0} zhSVej4=3o2CgI?>MA8!xI)e3tuZ2+U8!y=@M8+f`<;g-Kg}lymZfH(0h?K zhh23o6~m=_F5EafcESDIzeJCY3f_KgHIrwqU+Bxe`>+Pzy&hQ-RIkqRGT{AIz5N)T zob25>V)lFp$$d=HFFt9#t&v-YH8hbq9x$!GLxuZGVZHuOX@d9j0nt|RL50?~wrNBy zq2b2n@G6Ba;s{Nqb6rJ(8t#IiW!_4{x3b)p$GxOxWbAZgIR?mW^CN3lQMR6t$(VXa zA|$&p2y(ECY@KBe_gt3UGA|lwT9&}eTaHKu0qP4f;nP9L$5{sn!FR6tygkNU6T?>% z$wVHM)2sQc_h=}HM>}<;cxEv?Ijm+v>`x7~Q|Ffh?6|ajStRR|xuM~BjB#5&zM5#7 zT%HUz3}354BKD_H+>S3tiJVoFa@ zw=*t88kk=@;zs7CIkrE{W`bS;;bi|sC72VyOFXwX#jL@CJaL7ezvP6cWuww$`f2rF zn8Lh3Q!_EluqL}gy2*wDB{0py&fPRADRg-$rvQ&2T%Mj;E*wjj;VTZd9s6X_p$;kh zoGGxqr*^zY?T??S(+0*4{nQfY_Sk)prKlxH`)I;w##(oKkoz)?R+}>#Xxg3a;&@2W z*33P28=md=(CveJ%24P%Vr0ST!I2_rudx@1R6~MS4iW3~ee9|uvh;>R7(39bAp!D9d$6?Bx?}9PW>3NVI`r6e7zvz5uZ9e_ zm|W8Qs)w=*$EqNK9pjVJx$sLg0#_NyrUeme)z~j4mj(q~wRCEt0zN5kT7m}@tDNDm z6oqE4$;gHmhq3WQ=J8Y=xSJBj3Q>~}?(VMg^zJLN6MlSP?9d;r@JG!<^&o(j(Q<-B zS`ijYb`1;bVfdg(FMsE7>Lm4Ada<0w8MqdIJp_yTb!-nS>kXGSqI9!7mdd7)!jbGk zILd)ZIFyhoT^^8VE`OYY(aTXT>uYj$3_v<4evm^?YjiN!HaNq?iyunjd4NS627lOU zO18-7m2f(oMjk0?2sq93$O4dz!B`53f5YF$5FYxQf!5(;aQjEi(j@^LQKD;bt7$(}rHO9WbKNfD8`1PwWOr6y zhNh!_r;u{(E_ZLC#O1cDS&lL0HGAAXEiKR#fo=pzFI#=Qf%C^6+SFoJ(4B2TALZ+m zNeH`z-VVd8$dq-G9BNqkEoDAm=GQ2J5c>W?sTMKWP)NrlS^#W@K}|s`Vo_|kFkT8( zA`EU7DN7wPDU**~Ds8Dx^5=Hst& zYv(E;cZorO?eepn^h|~o_eS85%pCSd!kR!}b)!0NKD-O&Y&i%xU;tWq3XbX=|Huns zQpf8%c3*!`-2PtRox_DY&OY^p?PpKeo?ckF{Xk`|W3dr6;4l}Z*8cfIV@I_(d&XNv zKzwP^ub(OEs7qfHzvJ+QhjvU$KQZHf_mjU*`pIZ`@Jn&v5rX@svj6;!Ljdh zmb;AYTd&!XBA+lOSbum!=W*th1Fb{vi zVJ-8--p41RCGY}nrwNnZECMX<7PJoSCImCEoqwKwGa6=zx^*}--%ekG_KXz7Ib;bT zIU&I096}!_3xR>%iMz4rT_w_~i3hE~Av^tsryv~r$bX3gr+;h5uIbyv&~3)0hyM;} zx#{+tP!Z)Q`P?l&NCcSxc`aPXxVA8EWo6v#``r9Wz|5t7u z^zfyR{XZbSmpw2kmAUr2d<-fORes<~OLy7j@)a+L6uMzk^XH z@gG9cDN!Ukh)moFAnU-bL($>9hD$_c?XcV56?>nU@ITx1IlSMqrL+9z)}qc1v1J=I z1CZd$_O2IVyvii~1R>-OYuGSfTrwZ^J)3357-sD6eiE6^Tq;iP5Vt=_KDpjD9=Fny z(K_6c?o@E>Bfk@8yWdLh!ZU^8YedoE)#<}((!op92RqYy7NuV$ozpJGH0zKpV&AVH z4IC^;-&dw?J!`wwkWT%4Vs+7sr}q6OKd3WD(wcs~V9co3ewom+=~%5xx_UiCoE%p&kG z%`85-k6VX0W)>n{v$aE-m($p_C_A&D{Qa2)x@$}!AOzeU)kQ=mZgBWB3r35L4>}yY zVXhd3nFVGoPi;v*MM5Z`b-3g_41hm}fdgh1B-rmhHG}N2b(jzG=;*9f1?yH}{y;Me zaFZt4nFR>_J+lZz?U~;bC(mq3H`2_abEbHFTKdJfp85>lM>C5>9j~W%|8pl=hrG8A zU}o_No>_EaX0dfw*O|p4dR1(OLb87gK}M+&aLqoyc+#T89_69_Uo?iTPEDxc@@kdE7cM z%B}~kSv45AqN!KM16Oo_{Bio^#csv01bDOmxcTcZZ~Er)UvufS5&rC1t2f=hcjm!q zY39D><)3B>?UjR9p)6PL`GB&#^los!cf-F9UJTj9-7QNdj~{&Kr*GW$>caoMebbBw zzxV%cnEip=M-h@Gr!xv3JvoI5ugxm`Q!W-LNftlav9Iwn^(9iefdT)ORMR-Xb<_<&g5C%_@(7p!9ghO=V_ypLi5g{tFrGsNz=2xGSY{TQm zEE!-%KwARHRe5*v(afpqX63n+x)DaessN>&!*?v*wn{0TRo9a|0`n7|sNEPZqQo5Wa3h9^ zAyEvLLB@ytEE%t=TcJTVkyAaBa~#`v48>4)vK~A1-&|%Or{nfOnD(2e^&->&Ow5G{ zQ>@=MaF3MAJE41I@3kJi*py*44B8xq9`GY5iZVZxOi{$&8B&7wev$OdorKUNITMat z)6Sl>G?$xUHZ*sRCX&zP2=TI1nQQu82vovCLO?ic1zsjARCGka8 z9R>yoe+2_43-2rvV5=}(^grl9T)e|*T1jz|+~zu{I^BBJW^_a7oroOP0J-S-s4vTq zhj_TTME1BLlx6#)M)YL?79ysMNLs2AvbqS#ug(?XjUBQ=rs%#btBJ>*BP79k=V(IR zr|xV$P5MO$05db1ET(SX2JCNLtRzR1vjIrV#```2o9=^Q4vjPl$yN1k1p^Yd+2`VR zC-U?H?35=_T4+M*$*~~}Km--T_R+$<2Uc1tFIHV_3Lb!z0Cm%-)Of#b48HqJq(a65 z8g&zcnPceoGeu1G#4pR7l0PQ$fBnxu>u~(u>y;wtQAmEu#`l4IV`s{-IGK;`V`|Ht0< z07g+=d*7LzERz_?WC^&Ww9Mp>2DLVTucgtFyCL90ZCxYQ)S_mwQqZR#{(0)FJh=&z zHTF@6lz;ZM&!EIVZ57|MmKL97!PLj|QLxq)U#kV%+6N*Ui+)-N?Dso&W;UCU4GEHv z`0a(v-Z^vT+Ckzz8b8ieI#$d0U>-(pm#u?D zX7zAI2OZ6`f5@!=pK-?=K;o*0Ff?J>X%Ny85<)?t9T~+bI{3|NP?QhvTXFtGT z*WJ?k{6N9d{`rE|?d7Xi?f8xZzI#I_%C3X@diKYy`doX(1>^QlccpJ}7b%o?UOvuAGJht!E{Wrrp5VGlCS7BF~H?O~@;x+e4`-yzg zUi!YoK*qK=`|o{h&Vk#X_-yOJ);~yFe)G+nAY}hz`>^xNu}O9}gJYeO_!PH%>HD&E z2-A1Gjq(#*4=EI%vRWDP5YmYfT_0OfVB7e}__x?!Bs!rsyv4E~x1tOncY;3eJpl;T zK7iPcSvmuPePm2>|HP7u>vilE%lP`n(ddv{h!A*6^KzmCG8WVo`8B z4NA9%1ZE)e1iLZ14j*;HI#AW`Xfqotyp3D-s&#eR!-20rUBOv303^OHi`Q;Z?E0v+ zG8PzP`zHlo7!xROiWbW!?HB8;mY9J}XOLt7f}&Q4P<9(lGVvHY_9+Mn1n)fFb2$MY zcApe87}x%aT8H-r(_P7=bz&M&tQIUF_%)%@zA5B$?!h*Q=6woalg#7${5>bidnR|G znpsR`0)Mumu>#)3*OrWq5YgqP;e3Lxsu`p0VafORic2GcUV>u5CPiZknBWeV31C68 zl4hzHK4>5sigFs(ADS4HDG6HXw0cIH*3;E05Sh}#IHr|2lr2XoDx0m-miVTiU1oA+ zULb9df_nc4(s$qtenTVXxiTobXtReD#4x%F4y;wXQSkZ)1Lx=a15M$}1>kfo?pMl| zHP*4V^?}bCY9Q!>@Ahm{Pu#?fv@eNPFb>mZqa$_b4nrZGr6%df=AjUBoGvHRhrWr? zUrU@~ynzmjv0`BAP+xlO3?YHSSC0g@?EvKRI%XQz zO}`h{0AEZ`J>FLVoNfdw7LjQ>5PYls;K(azX4t%*WU$b56Y@@{dFJW@oHJu#5suY9 z&P4un8__|1NZ8K1Wa~gV$%LV;f%@sFL@SIE$|WikzGM;tM@7?c9>igw!fJTPc;|{! zatpcHnT$!(>|Fz%u;CxFpWm5ct%BAph0cXW z>q+#ybK_Eu&|^Yc!yj2s0SvYVXmb5?hE8(A(NBY0v4u6! zj*W7mktYTDwZ;0Zs8X+4Sz0V091~NLiXMI!Ik8~k0^}qRj7Y_TOi2>CGbi1Q=4J3B zk#99JBa1DPS zf+>GZ`T~Inq7G z@;csd;+Q&MI+ze)<=>)B?VUHO!lXHtO=QQG6k*C}@CzCB$Vd$TA;EOVEAG`n`3bx# zTLE3iK+Qv9jvJH8HMm26ac<2;e z2ZHmDr@==xdUiB$x;nXD$+tzF<&UZPF(JW@(d7eWw+=2v)Lc7*<=Y!zu;&9tb+jxf zR8p+MVgaQ|^Fgs{a2q9AZrSVSTCn^?y8-V}$PD(!mR%}DKOb)64X1TL!-OE{;Pe61 zEy(r?M?ujH*`0zjNgJm~Cnl}G<9*w0vconht_gvoYn>pg>)B|yZi20k`R>jQsFu{i zJG~nvDKuTdHD<}{6!UUI(f%YkG`Qt=k(!flr<6rfW%|YSeac`Bl^P$?RueZ~Lh)nH z5z#7z=sLaX4rhcOEx|c+W+1VIO6MjH_$dKLfQbTTg|!ls-ea+Fqo8Z_7QIw6JPDHs z178Q(%s^&jAyqvL4&Ue>&?&$r$TWB|iw@VYJ__J<38^{ZK9){#G@PaeE>AiY;$0II5t=aQ%Gk z6tK2Q+Q+xIICA_6#!UP;L2?Q2tTziKc}{X9uvoSZ@6+PMv3RH%^3c%99~_k3e+0ZM zU|3uK@a(NLq5b}j2P)>gv#a8_hd_$H%WvOz?Q*$-*C)j;+IMinoOf=0C#jQ-g&m1q731y#`SH72-+uPiN0uil-`}y3 zPnti|xe zj>!pzQ-o4BP-tHtqvDwBmr7{4C-pM}!K&+&`@@R45|Fn-1U@f6dYDI}=937)`g{~q z>xBBcq5>GPg)$1X*e+F>Med zNId8oAa@tL`hGZSu5VaDz<(@!W`G{2wQORk)-ZR1Enu|g)nL^;^+gzLtsXVChw-F6 z3`jhifPDkOpi;c{;<|P9FPqeCDx|~ijar(gk)f@0TRMyqaP2qR1cm3(g-SrxsVJ8BlEVh*CY$PxDt{?9% zk8fE15}Kchmz404!({mTKDJ$cI`5XnK_l#1Fz|Dal8g|+lw&SL)Jy4OjZfME+6~e}fca_ho#Cq3k%xB>`k` z9XvZTRucF}ds)CBNP8Atw{})m*~(b74E@bmn$Yg8BPWd5KDvj%h)p^mQ9Cwfti8lg zkfajEOgkMz@YpB>M{Nu#A?@T2M5cr)WW3{pFM zV#%oTHmAE#Oni;WSYxdwSV+SKlPN{;3$QzxDcNYB$uxo3`xv;#M}3(WWV{Jyf+z;{ zeaX-d*~xo`UIdy&Avv@ukU2stCavR(Dp0xc zlkzP}hm9g8M{`9?C|(NOk7RYxX~>8Xr$rQ)nb92xLS{@x2m0`fM>8Xff!6WKlW##3 zY7~!?NRujxTVv#@Q`Zpns-2ADK-IjrkaA-hHj`>Eo)RxPohdju{(Wi04S6!!LE5Lc zh-3MfNto?qmZ{*5F1jn0#v&=f6p$dfrT%pqry!V2bk)Zpwi z3r(A@u+)nK9lz`^L%4MiJ(qq6lTDf9V={OQnM=MDvhx5-vXX{eB5PWlApq6@$1v3; z#gt@$bGH?sIRd7b3_%k(qz1*5XF?u34~j`~P2i9k6jPpwZmocmq;@QsxHW-8YEVph zCgd^YV9K~ip~$Eyk3t?(4yKHY6pD@=$Ac4aznboPumIzH>5tJq7A z5mMe=h!iCgcXJZzo|EKxFA=4uYuz9J8=ZG0C8pkM!1FtB_#Y0_&n`eT?%sF}&hO5U z2TdnmY`O9GH#z$L`k}jlz4#qzhD$aPn&RCFAT|EO?nOH{9(Zo=;ir~Z6+ai=Xmc;~nK z4o%&?@ygbHF!4?Q^2mWh3pbqy%m4boGaz?bK5=Y?IQoZ!2M*tUxN!GV!07xuWINU8 zy!?Ols?YBE$?Eec%c2QvoGBJ z$QN7oeQ@{pdoIpZ=PloO;NahpMvpk9{9?<2f4TnE^Xk{UbNIHxk^i*uf4A=anWw5( z&s*{ctaI=@*z%>l6R+O=)Xe`laPa4^0mB%oIy4gGT?a0>JMn>Q2FTuj+u_?E_}qc# z$?_@IIq|CbRHuoL6(Zqd4=udmG8$QQI9P!7!0*!jGJ#T9kzYiczwz1=x$Krl_H6y-)*=rU)A*pwvtzjdrF& zNR3UzZI}fGTL7;auJU9%#bE)zVuqW)6s)cu*ee0dNGcw@CKr4KOL-b*r9LE>6oLi? z^dFw2Q{llqNrPgOUtzf$Y)p#%7WkHrDD5e5>5r4UYutr4Dzks%M3PEyT~O_(Q` zi6C4OU4a>DLa;`78(@{JEK{Eg@={z4ewG1}k#E~7xr3cXb8ukh5uS?aQ^DN~q@3my zTly@0ZnK?hn~*)pU=X4!xCs%+?zDVPI9&CjZCO^1lr6c-kz^>`U39-RS{EaFc4rrjs~NlsvtIike2VXPBJG*E5&Gf+|6J&vX^g=8+#Sv02<9Xhgyfu zp_)4d!cH_OCwgP%K34;(AtPCMq0U`}5bhQEqczZvi7_kVqfAp}Av)3M6-IP?h&n-$ zQH=>ro^!@Hdd1G`jH~(THeBPhd4Xb_=WIIw7H@`>VqJsqPK|M#(x)IuFoMECFdZ75 z%WbnMGQ2`Qt3!sRs(?P@=+2a8Xd$wiszES%!BkI&Dg<+YheT2>;Ai7t9hNtaCXNlf zfQh4t1FWl^&qg4EH!6aVO{`f;SYdk#h)Lj&sg6GBC`^sv{~(9|b9;*KfToT51Zozu zr0)RiFtjom@?hx%mB7;WL422=7Zm;?+yVYLLyt z)Nw1)DeEjHi!6YrXDP+xly#DmIiY-?M6&c9ru~E(2jE#i5y&%@kW)J3U*dn{ww>NDK+9uExtMH0_Qxrc%OhZmyVW7kz?u}~1X;q9 zK+ZPRZJ85mCPo(QCrMQiTZ)h&U#rTzQidttEjkLP7Wh$|Y)6B#IB15`JPor72tX61 zlsd5-ZWEjIs>;J4!>HN>VV03>F(JIwP?Z*|DFi=)G)VeZrR*w>+9Kt{M#KGn&ad zQa0GqllmEDST!pAlq>-?)^GKyn=!15WLIu8>d53h({oz7Y0!XM@}@Ue^c{{ zBUR*NkaA)=rg0Q^Y;h>ld>U@1ptx_c(2s^Y5zG)B8P=wiDkqjadTKJ0l$40FkWOIM z6xsksEB;Nk4g`ca`y?XpodVFmk6DsGc!EF{r+i}7vIi<&sKd_K3m$!Z&c2!_J_}sO zFS{U%^ReSGj278<>!S~6f%^v@+#_}ncsWXv}oyBB*6JpM3c@aNy9-Lc=ip0)?s_rp0`P6gcK$+SG_ z#3}rnE==XqceK7xxOUZUM^#sK(V(YqQtYGH@8XFV=|t+g;?4P2T#(dv)~$_y`0007 z?pU?y&2N&vfB4Kea6i#_>pE~ZQ<4r~CQN)qn&LeC6Ih;Pf7t<6P0CJ5Qrhz5!D~J^ z=DXB7w1?>fzDA3_?m8(5d>J7p0&0mRiB2=nH-q7?;FJCZ6KaDXFEtfgQyc9De^9^D zA2gzDei#OW(ZD&nk+^Ge@G0R$X-nHz3|o%F2ZD^(tSAp3Fj;s@NTiy$Y6X3GSW8LT zW^ueBpxM~iS`ReWMvg^loN}1esu}{!1lFZh%R$Kd!>TfSYl7UHud~)g7`3sAsE&b|j zDa66il(Ms6=2&xqqi@3KG<#R<$-~hsTPs@!@=zR4X@Gr|jQHL)faz<;`^OwXl5-1o z7-Nc1SgyuOlxmm5`>qc@Y`LzHCu_P_=tfP@*rdSEFmGVO!G(Egd&ra!l#}OiNfE4t z1RTE#n8dE8Fk;CAH1frD-ok+9LJaNzL`H|z!3@fA8s1>E)DUuB9>KyzlxV6d_MnjI z11_qt4^-gsAVPWx!YY0YD`XH*9q5X}{LoaBY2nT*hwho-3eQE^WP6kI4jXxHd(4(FLoopd9)H8-o%OGUTaJ;%nk46_7AZLjt zX`SLgA&+omFe?P|dKeAdm$4#rDqK*ff_y9XJp#5=gA5xBpg3i~(u*^tM-yw1eGggQ z0KgmeyXFi+lr)78>EO17LOC&3NZBfqtfPFd(}LLS$N_MiO+rqE2GiubujGZCqwrDF zD%KjYp)PiMLbEU~{9;x(9J1JKl(O4H0z3;TJ4PCu)CIkgFEm?MF)kVkXS2YVLV%w( zvFOCA0urL}w%C~28kso4!c7aZcs|H0H5Q8*v$NDd#Y|ZTvpVy=qM(YnYXvM{+DUfx zJuo#k|E3ADbpRG1nxbBT5VNQa8&nuS<^Q`R^~geL2BuMF_jL+mmcLP-&wj$!4GR;I3 z)9(yUtD&f6I+iPw7i9$RVFN?oV@PNyc;r8EDK^44NU=sh=#kc45v8= zIG~{757z)V3ODPDVo?jA7ckZUMRB_f+ULEL3$3}z1Q<+*Ne|Z{qM4#d$d;3uP8m3k z!sNna_aXsP@Mb+9FT=LtezZeE%4i0U7c@b!DvfN37MBi#6qN6MR{9Q?W8!(ve$1v~ zE*gKWJD$ehnu_0K$fryvS8uti_4%hSgBfyuu8< zFFRW&rx*?7Yg?ZO_96tp#FM(1IAx<{Y-~+dCtSzv&q@@?6d|b<;uqa3L?^D}qW`|4 zVi7i39@f>zDLfQACG8IFX(|LX_?Y+XkkPQq^hUX3IP{UIeH|N#ld2wYplPw+H8!69 z45{jcXYbl5xz|YUMV${|3&@TczCzYX*^~P0G}bwJWCs(q<@f(ATL%ngFrLI;1`7*= zyBYX99`w^~_Vpyltv;pAQ77LV@@tUz;3dt3YmG}YzQwHER zf_l}cAZFZwwxqbWG@5A+olS~_eP;Ho>&m;BxJs5%zZ4Vkwo+E`<3MEPkAVW|kVyd^ zw}=%6%Sb16TO80U3-b;+P6nq1faW=w0U%Pdm11qZk%ZqmH5yFKH!5>KZ8=L8-qgd_ zWoaudOCy-d)#_R-!PI8o41L!nb>hjM@6{7BLl>wi{j1R6%8Axefmci8fn2;O6%2(+ zbHmrQHrNwO+XNXc5GmrLB4G>Dl3T3x!YW0Q`Su!_?>R{g)+x3>$B0pJs#Jp4{Ai`@ zOZ}F`G3NWIb@=Nbxhk1tj-vs!%=I0~TPI%p!c{dX>IM;z7nsJ0AiYg2PV^G++OBTa zDa64&zd40nC2^R6Ga@oIMWkHwqQrs$jeDwcipVp#+}w3`%^Z9raGtzGmxp2S%11*b zL%@?47@9$8@=tX%jd+(}cAiNM%Tui5Akd3r8p~mRd_YkpDbiZGte!>{uxtxAp*w*~ zP(1^QInY^>L}y(Cay8iDuD_GM!@xI2Xh^%Zt(hcy^3`7N?46e72W!8K?jov8Vbp2u zQS2FkQNnKksj)DqMy)C6Tw+p)?1qA2$?bwHLQo9cShwKlZ`dAkDWU*y?9mKjiz0lE zY^+ueVevuihmYyB3P$7t(hGj?&fSE`x zNS2{Le;^;PSV%Hfs~nxc`mkkRl7s+Hb{{f$JZ@v;ZrM7RvQ-jvbebrq9W{yIG-VmG z+jkDEPSA_w3R1d?9-sPjikpn%7{?E${0*lkZFBFKoCL^!_X2?SCp57BIzDY@grx}L~yDCs>B(wu+-=(j}r zN%e{-H;5wW(0eIi3TRLsg@tGpW^M1gPlqF-D<;k%1ly>9jO8aI3@6aS=Mw^I7b-Vl zc`}5~r{iEE25w52+!&b?98HS$B`1>4lt)3w-t#T_OnDUYxMhuO9b`OnIMo{9$qcxT zEN09Rn(5%av2QZQ$(L8;yG%@@OR{NjBF>rVo?u}C6-vV$b&}%T<6cbt0iO|ZX4PGZ zDOVkevxB>!v}Ns7h(l*wC>(jCbqu;PoCESNi%QL=CUB5Jnm`DtN?B&_!ts73v!lC! z2^CF~W+EyVP#2z5M`4^}K}u6O<5&@uLccDL5qYEoo@wr#bPn)5$^ibBo-SJl%+g}b z0`sn2=0+vDUxqyRI=RYA@_t%6I{R|Gh=1q)U7N@{SMS4&__KGlzTi!0?<$|kB4CR_G25&y;uYt9CMqigxe>$iWOlI)x1?`pjo3mrIRHUHi_Q#}2-+^`0H94PsZragZaVG=j4OyxX3~o+3EB>(=$U2smch?n?$P+O-)hEpJNW)9~j%> z$2j#&jQC5Pxq*hLwNeD`$7_9SInB6Vs{pAHcC^Tr2ELro!(R{l^UMX-z2WHeE+(Gq zm|6Js4&nV=_p(8y`kL9C?M}NspQ{7lRmA z88(zGGcwsLwpNO@f4=(zo zScbkG9r?`Yx>u+pk3|)GOdyEvIT*g3x_$K6)VEVNgl{zcAbkU0X}w-g@Yzzf*OA}k zaz`iFe!3&@N&HDnXemU>CUUCLWRmw-L`ITW519ww!D4IC&Dl%njxOB8uU6eX2CoxD zQOYQE4d1~C+V=oM^GvvL(c-klA?-<>e1h~sCccx*z_aXFodw^49FW@ZyfMKrY}phl z&V8^B)(MhgCz2lsj}fvJ8yvjKKOjWP~7>EBMTNF?quM} zm`mmipMA?)l2;J%ynmrOLO{amhWr#fmCcKrUWBoFH;Q^HpPL}^iKHTwsbfM4(|nYkb_lIq_SMTi&m*y!SV>OY&d% zGSA2g0==Z$s^e>FWwhA zxU>G?0{=U=Ye!l^p3v;viU$C)hhGTfLEi_bC5}|Rg;Hu8+SSd8cxB>ui3HnB`kv-m z=a`ZyP~V1jv;peoxO4G~yY9^%-*I+geNNtc8yCmFzbl?d)K5-~NF3D?9iQ2-J}>d! z_Ji$jCtiC0P}MbwFYemsgNZxVlaQ&+_d&=Xxg!Xz17oJ6@3>D|-n}r;KTXx0zQaJL zm63m34eY5byVk9LBP;jKsEkiZB!UU{r$ooK9lqs>cb6xQmM5OS>No#5`R)5Uhwudfd-#PZmqXw0La}q- z@-08Kjx=~Ue(uq|XdPTLq$!iSyq)1cT8GNG!?Nd$rs(*Dh^!mA^N_Yk%|GMCMB={5 z2`xTp#B+&8wk|JmbaCF9S5y|AwpGT@Pb5l`&tzVEUOSt*IsW-KT2?P$tA9{GpFv1! z0BeHz$}jXCtEs$(vGenw!31C2v82Oy$$M3ov}afDWx4OX2gsMjr0=A4x@TLxq@CeE z##&zM@Z!C^xiV2@KA3m(m%b5O)SvHpGg061)_=B78j*Nv+6FamS^TQgS{6+`zx<~q zpIH3j%jHXQmAu0Zd1xIb-Rr~fvD{2agiC#299N{}Q!WflRL(xBXRpur4g;g#eo8eZ ze|XoHb2^-+THV|c*c?AQk*H~9KTPbqp+ntpX!axTEL@U!IgvP+pw{7>#F0xne3gk0 z$CCrxb!4H+k%hV@@!OyMF0x>CWWnX?N{lR0y8*cV_^sIgutEN1TBm;IH*S&P??IHWCa<7*Nx#uHm6 zw^Z*uI^yV(;vco?-(qinu<(*U1}cAA^@+v$u6NJLRW}^AX=E{}d3l^Mcxg_cWzo_I zO#E^pK1y0%($nO`z3F89?D~~#9Wp4po%pk-9AfyqUv0ec@Xa4i0Xprjy}!SG_fK|C z0@&`WcmFfcY8O`Ig8b_P4%X^h5(D{jgtEJ6*T%Pg`(Jl0-o0^F>0b`t@x7bwnf&_U z+ctgbfsH`Iz2NTMYtotcA;|vT$R&3`_SBIHB>DDXfWdZyVEtSd*yZzf10(kKgLjWu zbKpN8JqXm-e|l&iV1VCV@-zYaUbD;7;MX46dvN%GG+zzHw(UI!D7#Ws5@;5Rm1a2b zwsc)F!Sma^otSkA7A0POiyCLN1>ki-c?;|h&$-d|J-i0JiTX*BZqpzJ; z4^-pZHeLPu$p_-|KLK)f3(TUJT|m3nud#3d5h#w;-cns} zVtF8-2~B~K%s>IiO`MQft!x;r$!?V75|Bk$trh@To5eJM@3w?fk#LVSF%r@0G4F*R zM|OIE-20NS%PruxZM{UtwuXMo4094DS~yU!wm>-_Mr$sCHAGbrj3#9_Nn0XG zh!pXfYLJyVu%#rM08$19awwQvKgojSS)Z#9q4ExCpB?Nt>HZgq$wrL>i=-76}%940#xi*uCNDRPKybf2V zU)!XPwMW~^+A8BK3W4w9du)kURb{O2(Zm5;la^Q2_1N4bM}1i)iJQ7wKGL;9)Ig{n zs^viN%`$^?3+&TH7IHEPK(pBDaxShq)u%w10OK1@)hEk=nsRg^^^JY^Y|B?1MyWJW zx^@;7Cz|FV3C<5!HQQD_Jv%X}!Jx|8Uaf+9CgaRf&sJ=&F5=_1@1%xC`?l+RpfSdau_~bq>C1?^<4z4aizCMAM z96(f6j&CnZl5+(Rf>f~&CEZ{;WD|*W7lNBiz05=%LI$w4vMv~em`s=@oo_=xwwfY@ zbr*t!Ae%Wk!4E=bPUsAwdrsKE3E{{>J*AnN6DS11$l@#;yJV#5gmuYDQiIOjbQ9iG zD8a!rn8vaZ;n*BlD2zdOgg_>sI|M-o3W8lMe@#uwiUAfFSt7ZgH06&xWX0)c4EddAt)w`^5KeKtql@4;sHvk9 zqMld~J>8}(B&vh@iUAFBLI*iCaUvg|BfcpSR2s*@PggF{`(=E4NdrMA&|Cy#<4hbl z{$w!S-_##hq;p9c^LUA*-rcu2Dp8v7%4*p<^aiS$7FQI=tiv5tH5Ddx=oDvNc;Bs4 zC5Y{&O{;dSuGkCo)Qk5Mw(Vb^IJ5O2uvQD7#*QIxzIg^V5_#;0SCbh&eQV3M3UGHq zu-5Lofu`PZ2?0k*CWj9wjU0J@rA$BrQ!c&jgeTOsa(tcJk2 zSue)$jj%+0)v&&ZP3$${$95()t3fUe&&OBRT}-@!z9>e%6}z!`ofaEY5TlRAU%HZZ zK|OA9i~_r%#t4rg*(?meI2+4%jed#uTd3Ep2nK=RyAAu6bV(AQCcCh-Lz8@^Q5asZ zjtbv~47LGf7s?Gvh~epM7W87*_v;~96}95a0FW#21@}u(?gFzm9I{&KA1byRjDoD? zHRU`o$pEHa?R;*o|F-FCi#2QZnwlwkO`uQ@mCg!>O546|D$K_85?M!r9UtF1C+8_P zvh2*rB8^*h%E*G8O;aWxk$z_tX9~w+LWD*V6zT=b;S^DfJ)qq$x$EddNd=>S1mQ5^ zRR=nY7;(&$bBd-5iXhq5kYJ``>7@H)6kD0NGxdrHgQ9Yogz4s;QZ|RtW|PiH40=+K zacB^K&5TMURfw`Ubk_p7+J_)-@nhkIjH2n)3JI@MNn%~xFtsW}39+1fCd#3Ei+I>b ztRo375O?x`3?UZ+3muX)xQ7eY$<~20G>MIjQ8$GB0tow4M+2H6p9-B|Ei<;lkFAM= zGXbZ|>l8zg*5S5a9j!!ag0l*kLcRmD&LX3UCBgGyG`$ww;fci|*mC_UW@5Mc2nm@V z(Sb%|g#wCYuS;WMP19g`lLd@f*t_UBmbV%F64aAGpfFU6&8(uMSZAq!m!VRH*-&c<}o>n&A^X|{b^SH+4IW0(=hrI*#D zzD>*i4J!ioY}m3;qU`P~s0(g4lo zhw#KJ)1fM0tun#%Add^2MB|Jrjs{m!PZEHTt+_M7&Ff1wmSx(KOtMXbWb>I>49g$d zr@*b|ztU5ZhLe+NZ$O^2bxE~^j!n%VU5H%oDPgw1>9JwFd}hKvck+{r#l(+ zgs3g)N|~ncr=Dy&)`131#wJp6G=jXc$qVTs3NGLe4G;ZzSwn>54^NYcA13g`VC8=J z1Y{gueM)|1*^(m*r&KAI`w&%3VuDbe)KL>;Wu#u+ly66wc%jT_HU%^A_8Zf)IVm69 z@`!`d5XPutJ!>|hOqmx%1~+WP_o{f4`f^O0c7b4h8k4Nvp6Hm5?!^AgkOy2GBze`q#ucUB4Pt`|~^JE4cAx=()Q}uB+o0m_+fs}kF_n`03 zL$Vz@k!ZCCH_SOeM#JBC%HJdMfh)*5(w1)@A#%lgj?qZ5-j4NIJJyuHw`_Ic#Zs}8 z2Nk~9LD_xqtTNd;&`{I1@s~lf!=%d$IkisH>w~QmAgJ251vB8yBY#dX?3bXbxnByE zYIc@o|8@l-vtBuCTELijbFq%;Z{pUlHN9p>a>H9!W^X?Xw#10YwHGG73h(9s|%wzTc|4@sF@eV|<1W>%XSC~w5Rz~L%P(<~?@ zArG@j%JwmV@-_kd<7qV)&b$HN)zrbnN~u^46FWwu=?FbM0pmrOxFJCH9K5ROm^lC6 zGb4+^_15~3nshF68I}gPyZfW53A#_^pkPp;;-A^X!X+lT!zJ+L1ry|yX0wG!&lFd5 zO#_*N^h}xNoE&@1hYzb`O~lu5?6pox7xxx-AYGG=iokb`Zg3Lv&W7DDX^*sIIyon2 z-*NbzbJ8-@+_%t7Y^s2SYQ{^L!ZE18aBL-0HGE5_2w|Kl1inx~0@9A8dmh7?!YPe1 z+>ER!+%)|7GNVg2Nb(?r|8(|->Zz+p(}QHl)Fz5=+WXXw!80bA4M+qC^-^4t2=J4xSR zMiI8vSAzUz?O&Re3L(QjESo~$Em-v8&OY4RoiB$EPS&$ zE*#yzN<@p}%L4hDP<4s23)!=rBwwal^$?r{e_#qW$q(vv3M!k~HUs2-S?6SMkBa<( ze24c;*$@djIxVB@8Qc~$Lmp(E@DwwcDhp1*Ib%Ywd}r27zG{_l zQA3dV)=ZUS%WlCCRH?NSD~^f#1%m+9&W7r8;&f`VmAYB?q!6ko!u4Eh79}c7;*@`w zwp0=Ik~H!4w}5jdiJfF{INZcLP-M1!QD$Tz^*b~U^c6H7vCbghf)TXVNMsL^yks&A1 zQ{74DLD9*S+RmBlR(xlHHH*U9|NgCKoyT|l$F;2hgH5$g?E)u*FA$vWYFa>$;Jb1G z;n`as-??TgxTn0@LvbMJs{J2S-0gzF($xBwyUKQj^jslsmKpSB+#jPE_sdd%X1%2h zZz8^DA;^0_k{lrJ1t?1D;>0db-yq*|LK)md>p0htEf*hzkjbUnzXLOjDxCAfT@|zU zUbbP*>vuf8;|nOxYLLIP>8kzG!~ov~bn&y-$|QG!B*~LM)2kTZ}_r?cr#t9lJWaEoS5ZM(wNNn;%*` zGdkJ!gFJ(cUB7x1(A8E%Fk%L-o@qZ1TQ(4ET@8V*jlsK$Z))xSi zH})w9Ku_Z84aVbYd?S<@Sqz$^*4Km-Hdb`z0hdz?xY67Ln z;&2MC>+W+Fi9APM7SJgMrysZM$7FLNNv7AO;T)GH4S&BL}a`;{s=foJxXa zXeA2cu{iP59}`fg3?{C~kTci=j4S^nTZfF3FqFEuVi9B1JJp5>3HRo8YgwHl{B>3= zW{kD%8WH}B+Juf}?JSGB<}!SKF;)~|S$Sr%8om-K{^Bn#tt4N|fFDGzR-d$L(r;i7tyfTy6b zmS!!4B=E0|bH;Irio%c98O2jvIvW?F~AS9{tNxl@_CEIcUK zK~{c$jb$-bj`?n?JYa;UiaNwGVBq=q?1~72(-H0}{UE5$k9Wj;xfkvHmE4ZU+{4vQ zKEzycqCrwU;aomUveAG5M-rL^#7*Zhlib7QAebNxy1{7*sid7n^RD&jSJP?rhC>(O zDuz}-!SXN6nZ?Z64fukhhGRJ@LaP-au2zez5(9hFGeeX>ja5iMrSE27PBY@@)iZ3L z(*DRy%G82P>+q4ac#;Ju#YbNL+(MQ({N!R(jP_ZH`w3t|kj?iqGT;+fNv;JXKn#c}1}YxM`&h@H1< zU6Jx+zBywfI<}9$@Wb(+ZHDX{IcnhXV5~N@<5mqBe9b|9P27-}cut2h;Q>Ho`2pGV zWL%17H*~O^uRGCUaID0fi#i!dxD24dBet{gUwCDF9IXPYBm}DG?nvY%o*6+U?_&U{ zps#~K1rzT*_1ES#?J9ePw=|vhQ$=mCa+p>+#V-G(Au6}-1V(4#w8|~MM8~K9@Mj?9 zJ$olmb_35Gs=6rg#dkVROB~5PNXUePAAa@MOzSZCTJJqY-x9rk&BBovA6`$bLtZd3 zk5G1x{%~pK-$w0hzXaHe>2s!G+=ZGti1M-%AG*josypu zyeI+7Pdl7ARjtE6&ylSI`A(TIL^R;z3H3YW>Q!hR;*3o;603bj)~i?6=2m`q#zh@? zf*0@p#WRW41Un;f`|7vY*Pi{|*EYX<(+3M~O8nxP*IExTZRe(}SJ|kkd&dKr^||=s z_{7_&b!cn<>xdqF2NZh5(XUbK@QWV-^_7w&@ZDa6(~(_#xaF$2at9DSe?U;Z@Dr|a z!FSK(&h4N9y>oa3v<}-C1IljwGwk_yruyIVji0o4e4H|0DY0$uNI99b-R=Cv({ z7#!f!U-eZUMeC5WxdS7M{AXxnana7HY3lZfBahI?qGI+eRkwpL_i)Yvjr*)u_1!Yh$AB`+t z!;o!67o6hWbC1?RU6Pa6J|gkw9e<$IN{8KNwZm&Kam z;mUn#QL%a0)`hk_VJwda&iYZ+Cl!5a=(LWlX5jqNg}o;>AXi^`Pqq%}AXowVIecJJ z33YTAGJFh1?2sm3M;UhWef7V;1AU+O-vF__ZIl1^8-MxI-gAzQ-*{TXjX>E2VCx%) zZaaK^V&nE*2LQpE$ZL2F*tU1Sa?dXl3lE+5-ya-^?^XI;_^-9DKYVw`d9m?G^6<|e z@N&{uWY*swxZtMUA2{4Mz4H_S&EACK{C3}6Q+IFt#Jc6fvih9SvQO$8wSQs7NEBz+ zfeY^5z4;md5-<8CSt|u)cc%wP{A-XG9X{_rKlheX2Y3Ga-lI3YHq{3C=0g|mUIXi# zgL}W&^6A5iUOjioW(c|d%aGN!_X4PD*5)?|NO>7FIPshQ-5cMM(8wEi{`(tu-M#zV z|9U1h$w8>!5@q+$!q#&W2<;h^U900SF~0Na+h14>i%X+;+kMl3RbbNtks7xxKkRm?Z4>s5u#gls=h zU;{ecofHCDsTuTSgFB`PoMC5)dQ*4Elws{#$SJ`9kHDGWs?13mAw!nzq3mvhPBbc2 znbb*d4@nVpMqtMbh1VrFFpzDf$YPSLm{^OD))9P?U0mynm9H4*cCLu(+z6CE39`-##5!)C4O}7so~T&;!Ew#901xGwp@tJ!mrOFtYMN_^mB`?*w2ml7zE#z> zpcB>8;8G3N;nUpQ?oj}OJJ}jYA~3BOZeuRmowd0my7po=#=AMx**bB zP^g$C$u$XBsUk6d~~ddl>1LXtH-pPBxWd*x&c z=^~GJCT}LAuv0Ra1)VCuyA9Z*2fOO1L6aVDvL=0q&FQ7K0+p4LeNA~QiQtSi;78yO z!AVvSxg6Vb;zf8#9`wT>zn$VcV7szTG*2{LCmGE%BOCh7l0FZx8KK`}^o{SdL55Q~ zQIE?`i<#$A*SvHx$SzL%DBKHgm&kS*$N^)$6^@6u0yrLf2%tAgBfDpEEH{^s8Y{}< zu+GKPddeU{$M%qKbf4&76oT%Qn7mcSEmVE@Oyc(WgbL?oX*p!eQl=3S>XhvYIYuWb z`5r7Gp;1JK2U~X36nZ(^1Tq~ zsg^#i9s2&f9!b@=Q!QI?HO%~AVrCiKOhe$gaB;Gm7_3u7Zl|p;r9nZ}`5Ix{`le{a z4Km3_jq1^s!5+vYOEr)(hcq-Xba$^pz)hnTeQN~xvt|xNx=H3EWjs!l?+I&32>j%9 zIZ2bjp+SZ+=$Uki14X)nf*uvCxrLY9*%%Ib&rY+h`wP^d2A#~TA+j_Y6PjLykwumX zRpm(8Xf2?@&r^~MyC>OP2eOZIE1M&dl-*-aJTrjpqdRQfHMk;R}pY^e#t>+B5W z5+ETS;T|d1aXt<5JY`VmoqR8-0mRG*ohn%-{iF-ry#V*NdywAbyKyVWy3Wg-4<8C+8g@F&c68Q(&I`jopH4T7hhJ5mXPk$g`Y1ci9 zjXs|E3&H6g`felkMLBeRO~qID|LD<0kKOgePg>^^VC(2FuR8n9>k0LBf`gm;2=@Qj z^sf)>STnVsww#Zh*)L3BFq>bMnKqz`j(< z!H16RTat&M6HCGup9M2)A~S4yv#e89Y4Yu$8cPknef_SfbM`;}IskGjA6{R)?)eHL zPjRjDc<#PCyqv7uaZifTJaPlLQ^1Zd^SHZ`2ULSCZ#fv;Vfxm#(V~x2Gbp=*3uW3x z-`mIxbuH2iHntt9shNT;M{0Fzg54O6YB6A~`tdz>O<>$o4y@HWYiWHH*tRRi;45xG zuuj4*=f!=ts3CT^}A~qWwB&@kBD0d}788eiPSGJB zx+qUkTDoVLGbXyzx}FC_6$6(u9>67qwO9sWUvaLPeh^H|A*lH5o#&J>0nRuyBvqtK z(HNpgGk{ZuERKZ^gRSN6`QZNSdQpxnI74gJ$QX560Qtz0$`Jvs>)L)C{z0M)wy2#_dFKVfNyMjbR2JPmQ|q*uU-l21dtw}&L+8ZE#i zKrR`LS+PdwL~Yha`rz3aEL?jt7Mm1n{bc2Q>H^@{boO%spg*h@Y$#mUzIJ%q*rgEY(#nM#cTtTlG;mt_T304dR49uoSm6;|T zPdMn*YWFXwEMMNB;7ET6&Tf-3>Sa=%41ej5gR;mj-VjEc1z|1uvv(hR=u7r1;VA*GYOn7Nk_=_sp*dR3~CR$5lImY@}U=GNb z=k5_8H$7RWbp={(@Z)X27&cy=L9+WKWEr?+PF!vXA^#0 zw|zy}R;h?V-voKvSDhI48gToi2KBH4#ZD+)8e0)5xTogInLJRTgi6^jrGrsDxfN;%NA0D&eI?jYqFUOnbx9|+Tv88?B>6p8CeXNU-nT1lRqmRRn8Q8 zrwA^p2@=Sn%dEDhfviYU6MjWO90S!83)r#}A>O~6O=9mgNh-j~?LquTP@gf0!7>JqnnJ^+WdR>`&FF8E{k!%$y8SWe zZ`c&-;`F|C`w-IS4$;7lBuq>Ta8iQki5#_E}Tv(_%dWSC846@3Xu z>TXVCpB*QQH4v5M$crXLJs}kBJ>OctxQW@5O?P&e9j3P?#4+UIR;x6@grM>f0?o0d zOkW&02dRbEL_N-{gd%-}0^nSgVG^04t><{7g>gTlk6CetiDOc+6m=D=)DSrfvy!La zxnwVmlC1;fClgM&2Bh~$o~dwa2YvXfC_>`vB6lkds!I+6R%%`1REIfTI^jUo+I4aJ zECY`ZJwaW9=yEpfPNW5}%3xB#9K5Q&m4Q2K0r&UVn-rg-Ug~GM!b%L1K86X^X##{d z7CGi7v0Pq#ioYZe|7?(=JM=Is9s)-1riZ?dD454wQwdfdGltq^nQX9L=` z|46ux@A!TfeG@wH)%yso*1?Efwx*)C2Vmds%OpdrvVD`DhO74P`sSSdcO-T4cCXbQ z+}^YE$ac3l|GB23!&!yc@an$19^d`!t?MD=$PRFV+gWImw*2w-o@MWRpyC7MB)3zx zW8$8|1GRh}-csB`NO}FUrD-?RDK-YtG^YWGHVk&7 zeX9^_0smHxs)L+ZEB#n&i3RSH3m+szDPCJPOzX+41(v8Aa>!Agu&D$oFHZ&|OZSx1dimx();j@f#HUUO^ z-9h0~0fq=ONFj>VtS>0l8Wt$)SFiZ0Bundn`}jE_As><3c>fETk;TA!X#GneUhcU0 z`jraT1y&*$-jq||Ugn<$XO{+`=K0L92 zuHWC@sfZAKgUB=76@|cek!R!^uR+WMbS%hv6z>dBHc8u#MU zk=$e{)90JaK&7+;jJP>`2foGBVs&+G%L8M7(V&hCf5ShPyJHmyW zij*IyK!WaWNvNPJ6ZnVD4ILYLBc_=+^fPGGNs({T-=I;KCmkJ=J{`s0$+Ek1#3&~H zLh>*ShGJ5#ae!7hMJe8opaT0;hBLG4VH;H|F|pTFfm#L_O&wF7CaVv?j3yih73&cx zYQl^0GH`h;r_?pGpoNS)_~FCPXIh8B*Lv?Mij>O+J@a@OhB09>O$1Px@Pdq{;+tSo zvI&kKv?XxybBG}D=Ts*Ml+yCHiZkMY%YlXU+&PJ({h8 z0*Ea9XM`ywVQFOsK^T6)cT@w)F7Uz1*`kAc7NY=!9mp92x>vf8_deQyGu~{T8h1FR zP5!oT|B=(%-zzJSr0fYVDNpvTonmSZSW3&9ka@# zZxQ@f<(`Y<3INPH645J;_>MlC*Af5BsnDa=lxZE(nfnybxvvBNmIJrBJ-0HB$}?qh zdoXd~pAtu>|GNB)s=c3xjI2yNe=~@Sc<-LoyrYrx#z1HEmr{41IPbd}Z8q0G(caWoXxCV{PThpE_i+kfXC0hr8pLQg+eB&)2Fu0GzSxfrd4%n6O|COx+ ze43K!h9`L)zRywXfMG>HMix4|5dEq8T%yKp z9n=ZU$&m$sWYKJVi8440dmgk7T8AP>7MeG*h`1w*G{sRFjV##s_g<`zJElBs>&<%h zu44AyXRi72<_{tlPBz3rHYYLd=cG4H z`tNt&4G`O(A31!}Yv*mPd;{RSxnF$hjhD7r$nI(XkG<~!jH0^pe=|FY6O3fCM2(cT zvp_tkJ&n>nrOAs_U=&IdRHw>;u6!AYDz)S>(yYve{H4Lo^prh zu_#rv6!^7P+p0zD+2S8G3e-OY_V@X|ncZx%o6RPf-JQ*TA7pmsJ8$0mzR&l~o9}yX z=6$;V?)oLq&-(Vp=ih7j!|}1r;BoyF#Fygg(NiP;ClWc-hshy_I(Cq>cmI4Z@%>8v z*-a%Yi{A+A0ONJVjomrdv1LD-Fx5v>cM~6{otWr?D@!& z-KPe+U;osS=Pn_Z-5U;m`OJ+l+L4zyMvLt}@t0jLo$i}`i8#RSqb!HZfjlsgBzEA; z^+dAl9;~0ZM79o@2t>^`(*xR0PNZEU4D=yMY$rREx0*5;bh@V~Yfar=Fi~rptdJQx zF_#GvTZ4>ZgOasva)kg>YiEScI?SvTt!=bM>kg}0n;?a=;cd-B=Y?yF zuT}|Z9b1R`lTBOVT?PzHqoz$*wzUH2XTqdY3*Z|KT_%FdX1AG=trpf1VazK{Z8I@{ zL1(3STLkpP6OxQ}iZXeRkqzER8;NAnB-_e1SRzuv_W%2((>h4@pP*#X2{##$DT-u7 z(j@0jpE$(gNFFdD9wKl`ftgKwUa0jEu~Kp~nc8&XPpa*hF1l+k1Amevu~iks-V#<9 ztPBa0_>#O+Q1n@TdS=UaD#+Q5jdf#PO+fJ0Cx&K2B;tq4Hf0+0tXUrF1j8Ruc{PSF z6Ok!pAtL@ex2#O((|cgrk_b#xyz8_MV%VYo zylmRb?X=QlAt#cIhO?i*L~Eg!aSRKcd#pc;*JSY8ttR7i34v}f&5q;0sx{M>o6Z?| z8k@ohnL}Acg)(CZ8OzZwWgt(d--JyG8hmw?Z!2jQY+zOkQ?a9S zgl&n%R4N6L`iDvKPy%Mk-CAtpLlhAU=7Ly*>mg5K6N$rX_q~V)GvYlr1d}#Sh^G-h z&g<`L3?Ic}Jx(h>pL~aGX*+9&jt#a&YKi4DA$@F4q#xcIJ#1@(&6@Nb=uuGz9?gih z>SO?^img(N=Z$TmwZLO&3Ozxjr;%W0?Q-xQEwECPR2tw+wsc}!+nUJdkzULQYSl=x z%(lj6m39|utX58VPY}`!lVJv7c0w%LsXnKv$kU~G9jp^3-=Qq2+B-%IPqtyp`e_#! zwrez1+R{n80%<$Ig3fepzX~xl-kug7<%nxSwd#zWF58X6D~h6xo}ZHwA&}!-t3VVt zb_Pt+uE9?l2uqNWr19w34$NQo(%1HkOm#lR-HQyjz3~ z1Z~UEM2Dg`QL{YoCZX0r1r<73$G{i^T}^n0=b=fb*qxUxuoW?FQj@V#Vp5~3#8X@$ zh5Cc^2(b=MKT5&EO!*FHQ!J zLfjSzZgCjrr(50@ytl&RqDTAKlXnU~l@#wcXoie(0w=R!@BO)eC1HY^6$R8~2L5O{GD8AGF zgB*7V<0I0@vJicRS`)bo-+ns0qW#45jWCN{QdJi!?=V?G-!_LAzzk*6r;r&s@Fldq z%lIUCRxi>UFWe?ERs)IW>Lz^-PrSwStZ$lFTzUubz!R!;xuWu`d3V!Q-^D(Pmh#MBUa;@wmXC4 zzJ36Dc+#?N~o2`6l^CMZ0;$1#J;^+&|0@>fw{?VB#t3Rw4F-E6$4u z^;;m{ofKYN=iF)2&6W22e9-Bx$z=J2b&S^QM>=PbBWI?xleKJ=--fSgfiVrcpU18V z=hDQnFuP4`$w9U7&lHMsgfLY>WO}AmCa+P2I9bLS?FM-cBXpTbkvp)jgz#Ci)F_SL zoD|o0yCKpDpQ6{(IFAg9=%U|p3mZeTWbXhygR~)yJW!(wn?A-QM!{wc(;&8mYcUZ* zlNOOi`s|(d5pXsBTb4YK8)#9`5V}jY4wgU*zYOy%Xf46b$Sk{PTMLJY6}bs!2nK7L zjCy*$TMpN%V;wsa5qgx@xGEX+Qekb!y;uOBm3}^u0*;%lTg9+8DRzPJ%#s_O= zn?~g}q^&26X4$r?`Q`?!Ui=B!I%Gp2N)00uf!iK5tbK(?kr*=m9s z!IE1s6*o1BGX~wczAugz(oA7>wFM`tmSyUi7KVuplOou*))f?ryhK{mh1{l-z6i>@ zZ%NNbJyknZJ%{EEc}LC<(w8LKd|$eS+w{$ zV!pZX-fPy4h-Eh^?S7i{WjQ>tT;hGF!x4KvmSSJ{X7Sak1Lpu#hY1(O*|#2R&>ul~ z4;zz9UVG=1uV58_%qp$F<>N5ijWc^?BInKm#lVK=o`A@KN}9ppwjCbcxryzv?7pVS z)`2=o%#;_WI-4U$^Qp(5Z%iyV%krSHt^=#pH=)zp>QJyQ57l8d$ynE3-Av-SjrBqg znVJo8$9$oc8w>EhBy=8xbS;(SF13^$9mfoVKu<1Rq3i$c2oV%`xP zrO^NY@YX}nJ4B1k8(2rSc5YL!es1FwJ=_?Itb~OIt0xl^BzLf*Q+-Yr+Uj6)sw}EB-#LBJI{jqBx{1wVHVf(!VXvW9U?f)StICbq1)>iVknig4)%ALLzlyAN;01cb zP6f6AV&m~wW$R$dHp!-~(~LRIph*H@(}uymd{l_7PO)ug^inY)$d!Tv(zb`dg!~6QG!cru`k2B}O)5x@P1`Js zql#`75KXoTh$F|D*pdM)GMZtlA^<@J2zU*}#2$>MVS}{sEvI_}l7M1oou!wt#U0GD zOVIl**wV;hfxd;|+FKEBO+n=n7N$07OF@e9YxV7tcys}|4i)10_K!#Rfed{L#wEr1 zJJnTNuMn!`?hpBs+{ZAiX4Sc}4bvW?NgN#^lh#O!VNn=OBg}04QLyFKC!6zsEPV%O zI93jSCwN5@qqHo}7baho1KQ6_#4H5ZYUShvSdBOR!WH9{{S6CVJGlPlhp*l6#%fTo z-hrX@)eRIIe?-ChlO3me&b1m(J8ORBrKHJ^cWnIBfgLw}s<&VqvBTC|BDg_T`^__- zg5J-)mH@U}idWNAMx0JJJ>~7W1H`iyzW5txa&_H~Pa}O8?Xgue7kufNnPkgL_F!7- zkQ5()J)N|#Mr?^-)_6<3a}m4yVW)LiLw!{rlb3-qtb`P#7yK*Mbp&UI=cq>b>M7J~ zZojLw?iV))|6yGO(->ExAKV!FF8;K~TI(V=2ao&Dj-YP+8U59hy@5)0)JIYwsxh&m zhd&QZ6ca{6-<=HCNB@SR_}Z2jV1V9@rf7%WHuSy~(->C<7n0s{L7tbfI0kt25`v-3ObffF zbC}<4egEvJGo(49daM7bVmS&C(4riF)I*8~l4S~sZdD?ZbFtD$Us=GGAl}ut^-vRT?&q;>{_)0wH z;tF1dD}s|E<)SwHg~`xl%9`-i_<*$NdjB5TEy-G2lC<%5&5ouH85#yZR=N`P~a9iefBgzE+18km*6HLJ12h zL+9cP&{@-L4T|>_vCJG#)P`dF} z1onIq_BV3t;1g3Mso~a2GzZvI;BF?)nPy_w-BGAkp>pYX=LW&1O#wrns?7z|V$@li zi4=ks?aGZZ)in%BvJ1Gtq<@p+Q<7*4%tl;m+ei~Fg~UsKac8_RlS$T4qqGC_JJF> zi6gtu`I~MjEuu~3dt&GD=TuD%oPOKsKd`F~e}3tomd3vM-Pkw9;p0DhcxuLyXktpN z*XoNB_?cq79;=G&2|Uyb%T~PCb?N4<4Y4ifp>^mvYKKr`uQ%*WZXNUs4x@qcOXz%3 z_AFGt=u`4~NS0eAcKSEJ{C4?EhrwEV%Rje>PedDDwFBFy_ViS}`N*wH|9nU6zUJ8N zvBUSC@#qWUFdCETE&Gq?{hBys&j)Vts&!DLCfVB~9zF0>V8h|MpUc)^r+H4p3)v^( zkC=gNqRIrft~kBz^q76rpH?pYlil#GzmI)O9G?E!XZK`OQWFzmy>?#|C8n60Ppx{Z zH*nnz4ZG00=!wO){Y}hzScuJUR-SQBAZE>Icwzh#UGft3zURe<>5Zwv2aJtC+kfOW zsS9t4Bn_x%f#Ivz&W_cy%66l5IB@TK2gE0y-u!Bp=xOSSo%4?DS?vCCL+ty9m;5yL z=nKly`4zDXZhh;V*e3D8-yOTuqt-zT+jnq#7V5)a+HiQ{LfNyp_Jtc_FC>+&D0VA* z#SH3MDCk+l?6Q~8vpD+Fc+cY5%*D~f3H^E&fkVQIl~)B09eLe1PS}M}2J`Sz^eij| zt;3Zf@Lxy%+m?piFVMs(g+TA~YW1(2eP3*^xn24W1Nl(*j+md*r5in%M@rDKmgVtJ zp1Swoc@G_b>5RR<-t*AcpP6xH_sc(8_}e8a2GdmrEP{w$Y0_1_8qjHId#M{Jgyyr=dN?f43D(!$KmQeo zeP`{4-itSl2kq=H_RNF=*zthxw`daiM-={aV6t!To3k$6xbtV9xqeCPpMLSs-q;QQ zHvZX-dmq{JDIj(!(HHNY^_#n1K6u0RN4yz_if+KesK`L@>P@p=n~~QWFqXpL>#*!D zKIbIaIusutYJ$pCYcq36H(G$SCChH7)+RvjS~C?22y2G6Y;vPTtgmI?tPf)mvl@tP ztGT9aay3z|c9wN^f|IuvV1#o|!74HN7UkuV1`Emhx>jnUDfPtnTb@5nM$0HGi<6QF z0Y3=E@B}R-wo?afO~FMfrZLTMr{q9Z#5PrQLOd_n0;*n9?+%}$wVe_Y+k%=M5daR8 z7|01XV5H5YtF_KbBKFmZM>k)#Of8ON*|mEQJFSCcEy7wCpyCOGnkS@4J|7Lo4#_8r z^M{G#%>}KJjcIWcG-{asGIYzB?@(lQEC7Gf)M<|xkxH=a&Noz(j5d89n6m<=ewoX% z+dQN#6O^}Whc0~K6yc!oScUlL*!7m6nBgC3w*J!RvHvJxj8Y2WAH$v1I)NvKf&Jp&& z%{onvBMBQvc?=;ZFN;(Y?&2{OEmBx7x3=6C*M?xXM=?&1<{ZHi)wUWk=p%eA6f8wp zw8xY?tyqWJfVk3$DrMLx&gn3IY%4A-;IFde^d#S5J{l)~kbIW3CaSTO=-VH~GgwT) z>yh+eLH)u4WO&{jbp|~_h_*7KNxDaeWp{3i4)Mq7AvIiP)>eAN4d3$4<1?92_8LmW zeX5${pdd8q98uaiqK+L#Dbwqnpwk^pK{5--PnY8dbh=2y;nBo9PZ3iHBFxlmvYiTR zIjozmEy*tgRJE|Y72bwKl7StD-jvAjZJAme$9Gs(!9t3)lxb(sGn367Uuwy zsz)TSRZ&X&lSz07vA7sj9dVu=GO^CI5wY#H=vhn_8j0b!3l&bPu#d9W;tAD6jfm)k zTY(xCM(jSL)mliqqg7VCBry^}v_2EpUYUi7(H*1QeasmkQ=Nz zWYa-Byg)mObW&**qBNn3WG1?~gNGhE6>u}?7tMB$oU~7ipHt#HNx${9ABZMN2JSkE zoIX&Te4N)rWM;{^C+{z&vr05sG+(s}2qD&m=AFrd3!m1lFlv_}Y&^?|SY1KZN*? zKfC>VJDwr&%!N}QX1gO?Z@%n2YNKhk7LSiYtzYgoU zBw{Ck%^{ucAjH1i-#J&d4q^IWcUcG@kY-1!%CU0^l>##y9cd4a18=U;yePCF9Gap< zE-%AZztzh+u(a$2$28BL4iejzlVLP`*d6?sD91t~7tqJR#8H=bTW)I&Enl`)Vq%Nn zL}Fvs8_P(OWu;ZCZlb~;V}uul=7sB~X{$mlTKo5!m)#t!4qp(|E3Q`N0jkJW1z)xY z&%@W@&5eKxFwL_XVYF7WtE1`YHY}3w3qEV(^=G(e(a)JG{DBG|RH&6&wPj$(5;lB) z8>5mIj^f^_DxyOFlQCO}aW+j>DEJCk56cMY9D8{pha21MOC0K4b$%v*}*kCnT+eGorCL%UO05YcB5nG%e*wtNI#*vdHDLB!c{eo`)aEWXkED=J} zg^?|0*+skETtK#3tcfnjcc@VUScI$@@5{Ux3X^qiis)DnW|EkU1>xsgXDzs*+EPPG zWEpr|%Oy4P#P9<08|w0A%U~Z=w01sG$HKX3LhDvw1PfV_v5J4Q4oaI4%+O{lmN>c{ z3qj2>U^H2)8i{4MObY|5h$i3%x|JOU}7WPZ5R@F#Mbjh2miO)2O<84C&ROX361O6YZ z(<#(5TL6qwri#i0f_M;AHa22``v4lZe!vzCLD6v(_bkX5J_@P=WvDs{?5NXKR2$SP z%~{}3Ytw`cAGHOq=jvCH)+YWr0X{9YC3y?fIygb4AH~E5no~Q4V2LvNDYan9!kbiV z*-IZDA=k&cLv+lm!4S>>^kK7R8J;IvR$ED9)vHqOjqda@jhZkCAa^ z+4UX8@b(Afa^}D7vyQtA`@Xw7R-e8b;^$twfL2gH>8yLxaq{Mdf^{%z{dq()um|D?&Lwfu z4d^6NSOguG-8;7}kgbD`j?$>v)oARM6B&$xHG4i(^gug;hElGJ>BN-R64K{l#d;fq z3=nVa7`HHd1~IdmB78+%BoeB_GU>*;V==?gG>&2y#tf~{MCuJCYr|S_!Joyvb!NU+ig5h;&rfhR>D{7HJ*(MS5s`Pd=LQ zyb-NLf-@}=p;gXtVkD#K0yf5P`noM8F|}4HwWyH=wxpGVWPO!}w>@ zcS{@bN`qP?RLgXG7B*EN)GC{%HXQO@tZI*cGC-P_Ksu!fju=~|CC%9)5NY1%D3ihT zvyJ2=CYF3B%EF+JSpj??Z68Xvh5y<3wA^zva# zr)PU5y2zwSQkE+xfCgB-&5BdU%5FXA-w@M@ohJqJoaJaF6kd@6%7G9^8<3MHIAu$avy`ooWKoseR zfoU&>T(f{w|KRo#`wR1b^ht|-hr%|{DUZ0DXO)W;o<)ayIMtD;kJmRu7HDnx|2wQH!@M~i!P}{b30aq&?ESvUB zX~yu#@Q)|u(XVp$Q`|augr(=nv}5ISahqkE@X)d96Dkarhay=b$VNfdFiXmu%1Iyl z6e7r6F?&M4VXEf{Hf>5PkWozhDOd|wb}p>%Iyj(pS5yZUH0P=Xd)g4_nw5abv~0Jj zw;WrFLI&m8Ft-kcG(ft%6|k;W^?s}&_VnhcQ6FoL3G3UvfnKHVQc&*hC-IhDo8K4W zx-+YKPw17g-@gDfyw67iu{XAWz%^eXPOK}aIXa9Y=DVj%c|&oQBZ2O%@9ZI{Mr#c zcmRkG*9#DFT?}#I!@|AXIuzat{WS>Of~vD``wVdFM(ah)*eRlc-ZM@Qd}{+JcMpSa zw_(c*vG?`aN4!+kJEb>3ZOMWA8)A=L9R1{sH@=&<)q_;f^s2W|>o6V)*4=80 zMWxs}jFYW{`B@=0#2$_H24XRgBv?d2&m`8dd_)o(W$X$ojp~=wB2G?pts8sRaJQ2 zyw?zmR+cp%?$r*(0wYEBoufoM;ls^_SN*tTP%AB)AzH>q{7g;MqYomGdP zI`Pct3ATaOVV`(>`=%o#d=sJq6u(QOg~iP2*WC9A`woS*L%-Z@I)Ybv;FiC3ZHzsA zyM>;`{M{n@?Jbt2nlbb&{VY&JI zSN^sKy)E<-C-(I$)D5pr-Eg4!{zs!Xx~;=!sb@inG}LS8Sroc;aLo4X9kO*`mR(;^ z^?t7V<%j<1`6a7={_^`@-E_&$jjufY%JtyQ{lMBUU%%w}6TW@-%Ll)*Y5eY+VsH1( zI&n96T>t#gtmjWu>OiNv=@LnK`>E37w$02XoL9Emeyqkv-Fd}9(CI$;y+a4Tad64A z8xK9Y=f7c{oe#cA*6He!4rN?P9&AHG+Rt;yIXGx2B}pK>&N4HW14vW zL~NUw?u~kK67+G#%8*ho9wC~_Hi%ULvRz9LcHuE3*()=#?1CB|X=hXr4>e@Ti6pbt zW`)VR{=j1GjWAg!3hPwRW8aq6sk}KLjpo?0xgVXbC)P>UyKG2X4i;Pb4%XdQB()AI zRUL~eM@YzYiE7j3{$z0;FtL_h(WzFeh8EIihnr!B>W~F%h>o=|LzAEmhpsAMc^2X_ zh}Tek!$S2Chg(*X?}}saTMTM6u)ea1fsvQ>JAwDQ{`Zd3b#*7KV;w_ci+FRV)&hO8 zlBjyYI0tbBQ6u~GPLs8$;@`Mukp~wo%Pd^i5b%#Fqs$?r>*`z@&71*Cs-|H)5Hg`g36PBz+xP)-E;3H zAZ+cv=i2o$&1(yRd4>8SqC9(Ek*HfKINL> zu8y_Wu75*XXX2i#jwS2-WZ`==-ni>!e;cj)YF)MtU|0iDR|ub*GRtlO8BVcOtGRBK z8H%*8I8U?(E5o}~J*=1(i0C3~0}8BjH2!KEFPc9ytki|KU44R96AG>C_?RXj_60L* z4JpW)v?}w$%CxO3>p~IRI8P-^nrEIiu4VlfYjLNQ1wvXo=Ak=`g=e}fyZOBV>D&6D z@A=^6w^8e`-`5UK=_sdKwTel_or*tGbkSC|XjhoW3JR4P))5hksfg+Dbj>pCkjIPB zhcXMlZZP9J{98B#KsjK-RI|&Ku%m?5SV@c4TcR>xDu(PWwlz8(4Mg-~c<=$~JLHX- zRi#F>pd_}-Fcbt2N%&EdsIAm#M?=AOsh}>QQp`pXnij#FC6;+PMuFb*46tWTF)eYj zsYOIH*ls{>frUgESS+9gH_I<37TVpKwzVVpK?6q9n}un$lm$&vP}4N{fmaDICi~cE z#`LAKb;uJlt5T}Gxs7--WL0f|yEB$PNg0lY*7B&$|Ud zz@%I)uy3yvVs@uZN0^ev>(dtY>_{87X#L|XyVR=tFx>lN**csr*A~C9K;)#QLBX0$ zDIZ%sbKy&IGwcHe>$P{oGQZt%$E*Yg@@ts0c=%je{Ct1q>WL7~!kmRn`^myGvkpeS z8!ryV=SxY!_!{)Ej=Sdn$q($fBTh3g6Ep+s7ruA7Pcd;=cDwgFtpf(ji=A4|6K=2q zCt}R~QYd1#hR`Xkj?m)gkEw=*RnEhWQzBZ3W|Slm-Q1=}h1Gb4u49$bm7y2bwFd>o zw&vi9Lh;iP{TnG*U<3&Oe`q46wbp47CA8iSVq^%Gv4RVpIHRt@Y@Hs7)Q7f$e7CZF z#ZN&>P&lIv4wbBI)jO~xMJ(3iL$9V3Rl0|G(=?&la%p;OrwJOunL;M*`zQY`F=StrJ;uoDqGsd~Yb81DnB~+`QtVEXMS=kX( zq^AMjVRoT>hd#aOISEY)=Ee9%lj$amHsz^~;JMOwm}8taPJ~Xos}Ekmo+XC_nLJ(d`w8({m69LI;^3gUJ!MmgXC*EvN5ip zWM;k9HN&k$iKi~GT0z*_Xhv>rT^s3`Pzwtgpi#RB9Ik6B8o}ebxGvHmjc?ZxE6g!x+oKh>xRk?sL@}i&IEe422RPYhghQQieJgUg-1Y9J2CEHmf0<~V;|~d zXyT_ynwe9<3FPN+&oS&f_~>D)UyYCVS{3QS-6_3+P9kibXNt(380RiGJ`e%^u0!mfsIYtGALDb7SzhWgTS8iM2{gYiHa`SH*E1BtG81 zMl-g2oku18)OuUO9x%cvhxJW7c5FeRTxhz5UkqspVF^n&H4%TunnWCYgMdYrgmnbo zcBfz|fv{p?Cx|a^@gt%^`7ti-`ZF}|;nu-VmLX$S;x}RE!Oy@EuhmCRqvj< zxbpdfKYczr;kq{-y)IT2dniy3X4V5$J(V|oEx0-M>&@HM-7#x|2t0b|NbqR(Xn3V9 z76m0^>44?)lEx}7tPi|-=p+zk_JC#g>CLg|rT4);Uy2>Ve~|B19sJL#ecEOi&9`C3 z3G6%g$2hSUzZkvzIkXP%tzJC-;)8dAH}|?XZo4k_e>*qLCT7;2TYAPH@wM`XSkIeJ z)b5PE5Qtv>PVC!~$Mu{U$Db7iC1c|0^?2_i4tf42?`__4iIP$e;7FS(-aKpvXPha=O!`IE& zzjM>$Ah8AcZqHFQdny{9+4b7?slOU$9DUyRVzJp(zXp%%S^K8n0LL|EmJC=vFX(#F z+bRA<91+_EMX7aoCwhK2T899rxk0`g3y87>$1k-eMSWQg>dy)>ua zY8_rbb+Ojhvk>RR9y%gQJ&O%J+RE2{z4@6x#q_3~E$QI_IDeSWjD5K#sP7uH~~G2-g5KzTKCaim9bslj}}K^`&p~*J_I`5FFw0*@2_8fXvyxI&iVNU z6lc~SZrpqDD-VHQckh-xM=agF@tjkhxPIyLvwnFK#P63M`|~UaTmPL+{MCbm!852J zyEo>ko-BU z^Q{;{FSG2LgV2rURVF;kiI0K! z3=^D0t7L*yZMov|xFU8ls5h4>Lu=BU8@)X#{1{GTQn@sm4lb;kWs6`-hloy9O;4*& zdIUSti~Cr1Thmlzhh_KGqo{T05q-h#+a1B4JGDE4EBO*2pnk+693-8t92mlw390;ol=yM@ST z$~sCZWAMZ}8FR>d@>#iZob(-<24mbDnwfRvY@VEUGUct^cCuvI-AaDR3*?tf5%3*q zA_|^2!HWd>4%_f3P|Tws)@&kd4GMB8wxO|Kn_`C6FrtN0#7S9pWTMk`DnwR^5IJNf zTV|CAIbmZWk#S8%GO*yF1nxL`K6_`sAr)}uGK>NXJi*bGf~kZPzee(35db6Yk_6g z)SHd(>$cL_WK0&_Qk+ijmb?{*S_hT%EHHuvFj|@{$!Pt=9nv~BiERm0BW^hBIFZh1 z{y>n_w=RrQ>+o)pgJC7@4}UicUugJ=mdq7+n8btArKl{UWAJJt*ce<8qPmgxomX-t z69U$hq#&cny`&ACSq?rxK%tHVdKDJwq6!;Z$6hA(d>nfISGEp&zKC_jnVI$4f|J3X zieYYRIWA(&)9P6ybqA>SwB=X&vw?A+;(dn*+(f(`gb66{e>2&=| zF?`Macdv)hHvM4L_SG}5`r@%L+E4CXK-9=}B=#>g@|_>rO|3&ucpE-7T^6FnRhTBV zfE=+`wVIJtp^$wu%y6@@Abf#w8ou}qM`4|aQWohjG#ihauyIV6esy3$xY6uBx>eC4 zsv=jN4a$#!hh|UB&ctiELU?sIXyw7mxfdB92!{e+tbH-m-ll}sMU3;l)3IXWTpzRS z8h>?oG=1#dl$L6>iu63OpwMMh@Tv7C2FQPE)4oO>3j>`GTCManEL2}lnE8X z;M6Cv@aI{8C(OYU1XR(cI9)-kHM@BtkNbi%<5CUfBr$)IzT@TK0tsv4@b*hLb+y>K8A@uNzxj?9?pQH8`Bxok1!NW zSD1zpvgmy%4n9V}QiY;4Ria&=X3e#rsA6={3`Wpuhs?J@*-%cIU%jH9u{(!rk{8Kq^%2o^vq0}vykFaBC++q(fVo?taDBG_EqOj#ftSk z5KqF2^$TCwx8W=IUVFDcsgYfl-AzZ!)`3}et~V>gFV<~EuL7$}){A3B3XHB+1?_B^0q`;U~yd&d+wd7#*TW$id{6V68>Y&BsM; z7@|n4sS(TW=$)I1)gB}gd#Erff58+B%JyGtOm_K)(3NV)-p3&@c&-Tw$V9>Nfs>w~4K!wuxt{NHOU_^eLAq z)5uxSZR~69*o;;|i!JXC@0t=#o3`FyB9j^yru0l~$i%iKCd<@Gn&Vm}bFgVUyyA$Z zPs!FnUeB9?BQOsd$jM1}OOstfSX6tIM!7F)EE|0a6MurvOcff8-sQY)0|^HoB7k?+ zEK*C?+tHM3rX_0e`0a`lUHDLAN2TdoWb1H%23Qk>t?^NDX4xe^R7?lBG^xNP_sqi! zUVG*v@ho>Hvl^cdO^C4db9evo)BBda0Zrx{gQ<*XW}fGjf_V3zsd{r{;K;qLQ8!?K|s;rZPE)#0c z`m-E&fORmi(1LLX71mLk!&BAfxviqB;%f7;2V2eVa)XREiS*W66=_SNXk*4?pa)D) zw+oDkw)&J>7)@8K(7%UU6uYC*T(?}Uh_o9E%;Wu_AnHmQsEl^Uckr!mQ(}s#CiNF6 zI0HYY%YB-_P-&8aLZxkEtLx3QRltFzcTW-@#lbZEaquYuw%g@bPlJM%Yu>Yj;tVYL zRB83VLD{n?aNC-`=_*Ap=EacVJoanxL1wLWnS8Kxl4o~QYY6Y=A7@9@YU_BTw&_J> zjV17AM5`IG=l?e&=nE%d5Hqao2wKFli|MH4WJ@v{d3N^vG*4{*RQu|m{7JSBK5e{8 zPA&Kc7|y0*tFr8l2Z3FjXptk2a270K+1-;(oHMl$UfwrlR1Y>zo*pE`N%Tj+!h02nL@8OY-3v^&#s2sdy1e6JXgTCGoih{rEGeugN#5_xn@3($nh9he4wT z(kt-hj^7-6sv$Zt7P|<{ti42`L@c|p{WDJ8)evji7Zb7AneW@8QaSRzm0;N=F1Bdt z0ag?xu8cPHf+26$^D(u0@0Vh|u;ocV?}05hzhfP3`M3z{YS?%1k$GO)+W zt5W&;vG0OP6Rm@&-tMsMR_#OU(0kH5*0EM{OrPyPEoU@)xX0-ayYvXrQ>j$-?AsYd zb$S#^$<`r2twViPtm~YfcWWD-m@(t2A5rTtVVl`iBhLB2HJ|Azn`KvU>);O~rf5<1 zQ+1C}v=?^Agg7E_SiqcBZ#4%FSRalGpZX4Rz)M~91@;|MtXVk0nLB#*N@YXO{teM` z^emP~KO%b;Cs5Dglnt>}8{Y2`lXg9{@$C&u#o^6I{xPIKr=E1=SIU~T&3lg794(yU zoO5~voX4)#zQ+h`kH(fZ&8RxzaOi~I@)Hi6SNB!1`7J}U(qHHc=~cJM)v)jy&xGJdGnlg>VHBvkuu@xmO#b&s^#VE%`BLFsJ(?x!Ts_3gGj0Sv7 zLkpQe$aen7zez!$32k~+2xAL2;b!Vn)UYQzcut$0!UpEv2(l#w*oeCJpGR@K0X{gLbdEV@Iz4E1> zB>4`R7*11(I61cGn1Hq>?mJleWU(w{nl^ZE>~^?t!Bg}o`3{ruh%?PjJn0;try@8sCW`q{={tyFTW9FBM`N^gvica2 zDM;ip@<^%rI2GcZ@}$-Q%2WNLIwB}af2L3t=L!=dXqIVP5v8`NRy8B7`fQ!N{p!#H z7-jY{LvN~<2Ai!15Zee*Gl1A6Mt2rQvy6x-WY3}>y=#A?%^8#lSCXo-<#Sr?r&7-X zZ%5M2SC~5uE7$d}5TprOhcseYA-WH7&!V3vBKTaeWFlQf_$b~^u&%`CU2iA;dmF4ffm9lks zm05Q0mE5+zV5@xvtH0cJr(|Zm{Q;~-Le{xNav-03f5)5pe-|7@p*5}W3-;j{1tjwG3V*6-RqXYLbs|%Z@KXlp*OeddMIRt zLuRC{f<6W|w$kz)O)9N);@EOJt^Sf7oh~fDNTJy4C=rMCl`DjocZ1y?tOT%n_$>io zEp65CtP>iRExn6vRIcHkMV4+>KBCz)8-Wc4U3NHZ(Smhf>^AcrF&l&3Hcqrr2VtCL zVmlRo@wp0&gkc&7IO13>#HnfF)COCyO1dtYjmgeH?e>5 z7FVpK*5O^MRU8VBfJG|E?t-S_?_hu|%aZ@-T_^-J4z}DG z(MB=N5naa@WrqOl=9r11TaF#GyRxvcj_IVh!f3e5e2lllvU}%!a^<*pb(*vA z2hnUvX|nPz@TJ{_KK7g-E&N*>Zj@%g^0G#xT#5yl)oO(jYKtgXVs@qBva1>4);dg3 ztc}<$A+0=E-9gh0bMQxr4BwU^8Wm@fyHOwr%m*NNRi7EUu!3;-v+C*L=*hKnuP%l= zO;!kF{fXSOD6IF^KX;S*2yQ?hVw$)J2i?MiXKD&_d_7*Nft!lO3DL#q7PUX6H*8Eq z>AT9kn12e5fSIB|kWBTf6tRB=hgZqgVI zG5IkB-vQo0S-q zcowWyh?#>A7u_#J5gdc<{mm`R)#vne{Q6Xkw$~$~xZiEAM>xt@ZPA zPtxpVg}AdPEL(>))K_I@R*;v`Ai$M!>_6w2Jk~lr@))wbD=EuGp{+M3^(U_kjAiywb>w0Av=fE9n2=S58{0KGt@e~oAc)+`z0xlp=BMdt;_Iq!k_D| zC~N3_2M4MT63Z@}1S8yd!kSJ?iHyhOM8x=HBqGI~82(<<6j~d(vvu0CTY{gIKF<;l zeFt_XJ$=4Uge{F)y>S|ucx#c|4b`_j&%Q(98fn{kjKoJ1s9c@YZ+Ud`rY0JL)fw$6|WyTSfq_!~52!e}6~pJ@L+!7sviD5CfeVRkuK|Wr$m1 zZ;hllfmkiK4kH0B>uY4|Q2xEeXdN2#OQTV3YmnKU^AnU%a#E;@F-*Y<(=&jcWq5=FmB@ zx80h1xL4;rzU?2*Gb^biXYtj&|(SI7AZdBX9Hp<5PZL1A|#HD9M~Sp^36or{S{>K$bofinHvOiLjWzS z=KG6lu9K~U$RW$FleRz;>}$FOulK(72)9Q^-~ql=?XBj z-Wd)m4pgAPb)bqs%m{Mz>AQDwM2#%(OYz!YoYui6E+#BF0$!Xj(dBr^vMXfTlF11i zvBb0GP&TpH#RN-`#xdyxyOqOIMo?)a22GmiBI~3>u!6)ZiOeVtY;$C!cpoVfsblMm z7{Menz-W1@-(KIY8rpz(W&38tX&nTI{3GCw1&GNWW z%M8V;_el7Dv*6@)9M$%Rsdeae@8H~Ue{$VU*C{|V0H+}Yr=eW2*9vpm9HWEG&>~d) zge^S)mR-#x`dlM{VCUeK7_l>T3S`<~@O9{P3 zGpKdo73=fu<_+vD*p334?(X#ILp=`AA=LLtQud-G8KPrAZ(Z~9lM)2pWQl-s+r_eV zV3ys2P?@g9y5(=ACHB2W^5#Cd>GoAvm*>@a7h;(gX2Ny2gk4OS7dqz^qI;(ECN-0) zdP%A(Kc^mwt#*23S?f0|vQx;eJXJj|G6O}i|i3SRaN@*R4~)fmDx&C(m;Xv0DPV+>Aw>S|!= z7v~_pHK<#mU`zr(c3kL8Zp4pr_N(PAWlp$Q5cr29sCDRJmfbv43@d1ymwi6EEHYnH z=BU--W|IhqQq7?2dp;Agsws9pm|5pD%WhgTi1gMO<6`4M=WQrv+3m+|n-AhsONh%` z@em<`TpirDwea4I`H&`QV#Mimn}o0$8{OC#Tuf5%@6(G1iJe(oh8*H)H}}&dA+}fy z1RPf5I814kM6r1m6CDY#q+e zA)~BJ+oA1rIAT|U#dr10QWs;j`U__fqcQ#MkeJNs!{l6uiIo+95~`1!2L#XS7rW#U z#ESBm%PDb8azoYY0NZ_>Sy-LLk&p>I;+UKUI^6^& z<*EUu-CCWY3MDYNbY`kYb|i~a>y+LCb*G{EGXao57|0UYaGdu@4#sf3M}~!0~`NkgT}`D z4#y0P%|=T_kTLNJlOt`}I+$qH+^Kix0p(1plh~bUXR-SgrB|5P31A6p9aJ_#m1&ze z{X{U|Hnjk@&Qe_h}u>C5VxaNn6o&{A94h2JiUWbYYY7?CHIWFPf zVn@I#!U8P&m24dj$Tpq8N_tu$g1||)@wlH-N^JXr0b6-=?y*Dabhcz_r zP$c|az9%OgTEXdS&H}?O@YaaObzPh(FXWg3A62;Yu2)?tx$kb1*69Ht)_~a(5yrbH#N--5(L{J4f>xNr!zWc#jeA8i56pWw(bPLwS7+ zwXRvv+L~zPHGJKW@CN$C9Rpkn^6s2R#ezg^i2B5RiLf{h6=TxsdZZ($C&um-eZGU& zEf1$RBm!2y7&ea5I&daMOP*4oV-Ac!juDu!w6Ap-#LdmI1|^-mmA3SDS}6+|fjlE{ z)UT;^7!mhko;Bs{7y(8gzX+6nuFrSKZ_Z;mx7mUQ4^u+2xXnzQcEs-Sw1imhC}Qqo3=U6ayakWHO0pm zOgYrhW<$MH1yjYti!BSEnn>Zr$~LKknMo6yPNrhQ;$%z+BkzN(Wn%KgvFkfH7#bVCxu;!&-HW>5Td&t1lsmV zy%S#O5@DfMj6=8NC>dP~HBGnGsC(%v?r)84S)zZ3W5_J!rMBs&AjD5aU{`ll?}V4S z5+dGV1O^~5L#{g8GeF9RBSfH_uEQE|!wA>KKK!n3MF+n^St-Wv63X_$Vh3|Myz56de<-!^C^;;Pm^rjqZF5zxwyEDKt2)h`gV2hd53THHp!#O;|}?> zC+Eco6bAweewySv%qQQ$bIR}H0D`q-1d0&>Mfwh9($%k#uD&OCvEw^@Z6&o1`-vL9 z$spc#-NKHyl;6b&I_t~`6bAyH->$NWk*!11zbVoa z3d1=u0;Pt)_`B9)(YH;vufl?FUf;#t*EdMtAxvwN<%re2)a=FOWd!nvfQEH$YQyv8 z3WHwX#YT68dlvaKCFjHl6cYk&&mxr?-aGQ~VuFKpWdw=~0Zpjz8=AelU6YyuW6pf( zI~dItK*>zsPD>Vygp<6u&}MBJfnq{HDI4j1IrKd*&c1_t7R6Km65ii0BcF$3M(Hi~O0Ab7BOF2?4if;bE(j;(!zr9IPuN zP+SP)$aj!;u`zC=^c_qIiA~$&6)jB?2%9z|7t_@o7y(8g7YK~}zBHveHf;wFfZ&7} z0Y+c|0u^%3A~&?l#_dmX&td@He8>ne0ulkYXTiP$*9S(R7!hFKp%|^kIx_-AkAUkt z6n#0k0E_@5;57nH>#*PJWH~)XfDtHm1k@?V@Tg?58O?*LPr+-8|vV*)Rg0BET%Wp2EqAF#=-<0cP19L&oHy zFaq8pAer(!B*kWyU2pBfsWAd$6M^{KP{gCzj5blBhLnyr%6OOK@>n7y*AFz%0A|vIlF!2>1s9 zX4&j+pY8W!FhyV3ytDFc)jd2=qsQeTV*d@DU@x2;>C;*LTQEUYrRdzz7r*0^B+jleJh^Mj)RE zaO;pyv^g(EpqLPFTL}BK z1T4F+KePAXlHD6G-H4y(v9tH!SLt|flK8ptH$uGl<6m{Yv#^3%o7rZDgIYUw!a<#m z!*o1qvEaZ6FakM3fLV5P1S}`Z2oyg816g+KgGMBzTLZ;LlDHAHm`22*(@hd5Q}wEX z61nnb{zkL-YY3Ns5y%As@fl6x(KJ#Ba%<3lj9Q!vwK+{jfDs@Ba>}y%wUv(VAX^7! z+2tC?2oxCt)JX^l*;k<6K$GFkT})}6q5g(@7DZMaSY1XSKL{wldqB1h%(9ywyg3&} zz*_{EW!GCgIW

?rMLz^K87UivaOX-a zl|gFAkpwd*Kr+|_m6egKC2}bYE9!7nL+-tRdO^yOu$i2i1u+@f1l*?zC@*W#1PoIL zF6AL7K;e1V@x1I(*Av*K=zT$wY^fqGhdoj`xkpjwm}Jt@6n8EwsvUF`CFBg!LHCV* zhy{v|fm~P2-G^eC0|}yQm<8!0Y}I4L)gm&=cGTL|OG!>7a^1WzC0Qm)VJ%e4xQh0| zb{ALyt3S>#+CpQ^v~^1>q+!@)rK}XCH_Yl5gNQ;s53XXR9p2O&4YnsFgNIpUL0{cv zzXbW8rEZm4?J#*bP?1WoL>*FMy%-cQG@^0H9mB{0WyTj-!`#}i-mFh_zsjHvWBpoh zU0~9B2MOJ&ZdzqSRhxRLLx1}T^F8b z={>0%Ajx8#YMzpLk^7;1vw%(7<7h9UUCJhNHSD&SHLP7H(213CLkl+rH$!=IQ$%Ne zyCaUe#rT}ybS~~%{P9a{tba6arB0Den3oNC!w$vnfK4W$l7PM8RTs4bWm?L> zkFLSZGIjDm)=jn!589`^zSc#h+V-FE0D+5_YI32Y{t%iP=*Q>osVn9}X zLJ{Fa_JTn_kUAfHz*A!9DQSvjrGe<^fL2K&dBK7{gskBy(D5tqr;Gt2hoT-j)1F07Qq z4FNg&$wCr{3gcr;+cc0pVJ;2D1Y3?j#UYq^D$1#(Aqzm@BubeUtt(D*y==kCJ=N3f z6r~76lEM-&1JWZrvQ@;gz{Xf39k_wfB(567z&VlB7{`m`j_F(kvdDbgvypdNoF{pP z!IAXf(-F?{AT-K=xR?k=piG455L1%oqy>l=(v28Qj5)|A6l4VsTo}-=NNjaU9U2ur z23a|hVMs(nd4L=}l8cNs=GeruEx4zIGb+x*(7@_B}v*+duNX_1J5D z=3T2-<*>oMXF9pOeZL^!EeiXAn~t8j{x8;VgELrcVxKqFhc}&jfB*S8r>eib=H{6# zd-}*9>f@>P|M#tJN5A#*wzZX;kmRCw{}|=7a<}Z8LfDV|hTOY;^xA4{!0$DU(~C&`WLS3Ujh)!2Xjw`X0h$k>M_aDOeZ zb53mMD)MB2-2Xnj1LVlyezEU?GG$nBlTY4n!Xfd69`=XD{*^0#dy9vSeR)>puvgua zx1a)ni{VvIe+#*D%Wtpwx7p46V8PutJ=Cw%QO1$!3+ zZ2ip4@RA357ycB*=PmN|{OuoaUK`1mDzbM$`F*VfviIRP9w73~{oCr1msAE{sc$*- zz+MyxB}tKhBPBVCB*!K9-uoq0J2>>&X1k5AMT5hge4Y&Q^CN(Kp^)Tnf;rc*LnN6@ zPE{cs`Q+_yxBEI%(&%x2IxTkVWn(!TN?KE9UI2ZQgRjV@A?m z26YzU!`gc15yIME&7RXHCXWz9sb#`DdEAS0}ExuUp4!LIrKj&N?oU|~ZJop;P#1y#( z_9pC$yOC*{BbM3su7(8(+YOisds(e;;?;7s-8Yb$2z92BBw=TnCc?Y9(avpmo;5M$ zp4RMCPOLB+s-5O#wU8w7i&f-&cber~V$lcsL(0i0#pn3sT6d(PImA?68Eh4lJ5#>k zrhdkZ0J3+U&YWf3Y))v>U!wK5wJmac^t&1^v&{Jo90h{+C#Mv0#{*g5JX?#RxrE*S zIAw9!{HEYc^Mc-I0@uwY-3)OZ35L+8n{uG5W+V8PvI@Akjy*xQ-rY1-hW7 zMkQW) ze&Q}qGCBFwFuu>57 zqSzB98!pf#^sHlb$-2@iZoz|FN0YG{YcSZuP++J3>|bmNh&}&?w3|O#bQe5I5vPZjjRocoDZC0Fk&4Ip|Iyo2^Mr#LgN|_h-gJY zqfw@#HL{3gOklHnSR}~|118|ILu~U{MbRB6F{*#h+Z#$SR9jGvs;-mjwiCN!C~Q1X z9}BzQ!QvO1ig?IAn*@BmGj^J=y2IuoBJ&2kA~ev>jCQn63|>f`SXl>4*jJU=cFi}M z88uoOW`Z$=+|j%nlJxOFyKB0x((-f84raDOK;5pcX?G3u1VT~|hT(iyLy{!7Y&Tp^ zHfaO)azT}|;5PaWhG7snsE3zXY~hx8wa~dH+c|d!f=1M8mL?abb3^NnNib}3%*yMz zZ0KG@*)Tt*lowFRy+eVVrgA639A|lAP^JZglSv&jVM{)>+FjLXVds}K5(#K54`OuC@zg-4NQphwCPzbDmLhd|vRvloU7#U4| zu4v|Ma!e3-zJvt@bK6)riN1q#G3#(NJEnPtH6$d#TCBq^Fr6v9fobRs49j`gq&7Vc zkFeVn>Z;b8MTj$zu&<~B2pxF6C zL9T29kcI|yFdD|u#9hq{Bm-=rbE}~tSVABihY+40fG}S(xSN zOE@|i)@X#eXGA<~OwK0eGLsxBBZV3R*bqLj~i6qlei2jFfn zOrg+GKp921&Y-0X9We^HP{IrixnoEc5V-7N6F9P#4hS9S4^D}BaS3wTi-OE1VM`9h zpfPe1ja|inS;xY8}KHBl6N{Lt2bR zg08@ik`gxfUk@EfnrTK2q*jJCaHdazQ9u`D{8)?yW2F@wYzTth+Zz@PvlleomQ0fR zbx=f)8cc(B7FC@?0vX0YeqzMMLIOi+%hKykD*-qMXBYa*bOXgdiSW|5Rqb#oCPIt7 zr*1m|SEl5d6;rY~U#pm8JsG|~F^8V@n_IxXb`W;IN|H94zkDWk^nSQy->vH}T7Bbx zZ+m7ArochIw6f(xB7cBw(g|eR%iF#SGTiAB_lF=oiS5#Ja$l;*x9vNq)WPD!%LMWu zl3aH45!|tU+j#`yk)OjnI)OY(AUBbl)R(tTfQ!`2XM^0=vR5Tpi=FT<$7KBEcL&Lx z2Z7uQgs`&~#J2h^-gf52oBJzCvdY6Q;GUibQREwxsWubHnweK_UweM@k1jv!wmsAR zlS#|=o7%TM7XipwUKZat%&;#iNgmCr<6)mphK;m5aFZYFMBm%?Y$$n)j7?v!EKY&cbnuV97vS6iBC#(EqQfD>RExvjF%WbL3 z+Z6dAc7DI4e_M}ocyQ@Et7k6!DV7Dm*|PWG`t48N^k^;{vLIfP0LtdhSjlrwp;`R?=C$Xy{PgBZ zN{;^CIqjw=x7{=Q(yeP}w+tyK5botv_bsr+%s2KP{PQwOvgg)^k3KW&(rw){Cm(tR zhV=xzC|i6+mb=*Alw_{39fLdTW%tkeRqa4Swi9+4MiZimumxKpqPeYe%Ws+&2Rx+@?BbDpg>dT>XPsg$$0 zC3fcsKFu%!RoIZkrJdg~&K}7o7X~Le7ZjTc+%(!(+@+>gZOAFL{i}jje_MB%qjy{L z6PhwrK1pDE+@=|~T{6|?U<4B{c`|9)Y11yh@SA&yg_oTsK}#sFb-I{{2e!K^0&c4X zoUHW=P~KmQU3eob6|PPTV%(w6+NyWgJJ(JJh+miIRU7UE;wGES!i>`>8`tliS33}DvfPQm znJBSr{#=xkDYfpfa$;lI%kFWjxq%Yfp6Y&Weza{?Lqn|={#~sr&*tu$!UG7yjw1KR zA)`P*%TN9A|F@CF7`j5I${dr5=;*vLZ-k(6bwyIAstQpbbwz2D`bNC-h;xwJtbTL> zsng3E#JxC5$u!0=WCzXMRmJWiE(|AJc9zDfG}cR3@G7-OMpq6!$BCFsC$c7hDS)8J zNQr{CR8d8!M8=OW@LFfY3duIzV0Kka-Nkxi_7=J!7i2DSykBuVhU|5vWwh5jqomOx z$J`0WV7>!Z!!N8-CrD5z?6GlD0CJ;m3KoPeOb!w+M?6c`5usBKL~vJ0oadDX9Tz%q zl-wY)01HfIMOa*6cYs?{=-I_3PPgL^M|?uTrH)Ry&{&c(OOqPMvJ)h?8o?y!e_JVT zH(5LHs>3%nf)g6!bj^>*9}{@?=DHIHDS?-(~D^jfr$**qC$6!QVd9iF_@Lk)W{)ZFhlf;@B2#VZlqzBVt&Koc{3pPiG<9} zs&+Wx>Sb!7r-5b&RjxU->YAX)e^iauL2X&CI$vV9Q!_LVwIz|VWOKLe8mOQHREa{8 zzp1Jh&+=KV%WHc2QKhFQcw~5H#nQRbQlhPd|5>*Uj^yX*9VFlu0OVU$g&l0ot3ypi zg=$qdqoa^W07P>;6X;S@DVMhka{>Zn0ILO$1Sg#=(2dqH^Z>4 zyR@lhT;+WG-Bty%nLuEsKeq1xY|;|NXM}8^OL@6dDoM{&id;={yKS&{7No$fxl)lS zAHz<`NPUNJd>J!jTr)4!wf2?d(wVVV8#eLV136O_CCM((Qt&)%oMPdfo{8|Xi&`E9 zxw)Zt00nsqoE@W3($MhJ{W=JL!_ni_ThA!B$37E|?We{&y>-2JV z@>DQLX4f!SiV4_QJ;LHH%N=zXJSu-nRX$aVbixPhYZ18X*c;qM)$bHJnSuY?YF0nQ`WaZ{@ zYBbX63Mk4_yVX!XDVMPph5!w1UlfTd~bCIRbH1ZM)>G)2Tn#JiX^q*{s~CJJVW zF1+&Nozs!4sFw(RsK9h#3D9))i@ZZ>9Oq*SBs&F91jtRIk1Z59Eoq{nL-_+5Mi-2o zLQ5Q6!ANz)!ApV8xK}2<3xa;Sym;=Y36xKoVP{5GK9v@B&H>2_h`=tWI5{miVvq*? zbB263P8VKZ;h1z9X}M_M&T;@e+OiMa(DI$N zGe5w09N@-s!_C0P5)8-yfaBBi$zf}=^59!6;M2u^T;KdLCY`~(edWwI_I!XT>Vx;) zrYv}nmNMRdaVMs~)r9s58FtB|SSeV4(RY!oH~kIAzBK)f$#RmLu+PtBnzG6Mn`#T0 zc1z2 z*Ur4{KgnbA!AEI|+{Q(=W;37Lv)@@4!1gjTDN#g_o&=7%p*raHgn#gVYF+~s*=hNh%EfgIVo z_S}&qfvFZMSG`m;!TFbD@2B72Hu0L4eLLaO`QQnas+{sF$-GVSSv-{7``&j|?XZqU zEGhc?<2{K@aqk}!$iiHb6OQNY3!I*S7?B5pC9Z2#dY;7ix`jT?op=MSD@@wF9q-pI z#T@;zssHN4@xj9lw!FWK{Ek&d|4wJEoUg09p}JpB)Vga-O44drs<$}t_1_`o*TlI@ z>7O6K_}y8mW6i=#GLV?wpCadF-4?Lv3j`&}8j{_b)W5Qcl8gahoii0A%{JWN8R@$L za$#^LJI8mI!?foELkz3j?Tut_8r`aFfJa#f7&eyzC}Gp&2$8WQpvdq)OP?A*63tE7 zxYR`C`uQGr>3ocAd$ijAo(WTpRoxLW5Ue+!tyOXl%i<|SHb6Fjo%O3{VkUnbH0@sl zQ-R#0Z1O|+DFz7Eh*rhze)98nH)hW}@^GW)KQ2LC`+LoKE`K8JFc!ya93 zuT*(*kDc4PqwG(7WI?@GUetG@X?4|ur=A^+_1J%L8}CM8T9=hdr_#g*0cTy@u!F83 zQ14DKbo{jW6z3S}(p)b>uFs}Uh(k>R;|wuOXr$@*7#+|{W*980pk8qCsTB+OL&YtE z$QXT7z*2z-AeHlYK%R*WRPYX*(PXiJg*WNP7G#zUGtyqb=L(p%prB*4uY$(L<0TrM zUh1MC)sYU=*@aSg$BXV3=#A<=u6KNcl}QZL1??LpBuP0WNW9;J;FIchP8=LZC<0bN zpFc1W!I%lxLFOiV9{qcIA(AWgLCyx%?T_F@l+nvmxQ~L%uw;Z^yl@5qlSIm@f`K;2 zNrcU1jjSHx_4?E-KW@}zFsMdFfibC=VAI0KZ!nddVC(30f&kj!0BT|gxZq43bLdlZRjzXHnhJgjwfv;GYFMydf!T^w z@bm7fXpky@e5?VlufWCX$#!6mx8PLO3Y&|ybqnFDH=s>#f3)dG?keA8XHnB+=XdvQ zb^PeqWF^Vlq%W7`Xv(kAp-!+FN!E+q_P?ObQ6P{T>P+^UA+*>;-fgE+SzoJ*NlUxc zSz^}sYp1O1kDce<8=Nd&2~zJU*8v&QmaSW``#!SC08Ev-gN6ToY2{>Rw+3u2l#1JF z*h50@4tNI!2qn3tpiW2Y(g~BD#0=QG#s#-hr(X}wKT8icXS-QhZWvc(8ubGO^?A_K z2uzqXkP6f{FP#{!yg~a8dK)41G|(8LX~t)`7ee+#&8q^qid^J>wn0fU+^}OO>tU>g zC?8I!+)5bSfvaoZZ=MAPYinsd6XVv%{(ksWS*K)Up8~!nWXptWvbz zQa97=*UbD?L|i^Ego%S; z&_yJW50a+aj5N+A(>amBrrKF1SE{Z|Ul7<EZB76xdIgR|G`S|B#& zkhcwW8uGzQT_tUJbSsd%NOuU5TPxLCC9CUbv|nJlFgk?p;6j&=7$qGz9dbd?=@vM_rJ>71%O}PT3CnbeIuGQ798JmM&wOWl4O7YSJc} z0zE`xAH z6X`hjP#J_C>PQETdhQ5utO$w{OBYPX7r&N)+7kt^f`eDXWzQmrc=zWJBq`zryv5J6 zQT%{ECytgSdoYGBvZ)i2K{mj;05+15xEK7@HYCK1}YpfOn*BX*V z4wjQhg&^waMxaP)YXp$cPQk*t-LnduDn`sO4a%utTC>17L#u)7ZWJ*G#!xwv&(R^b z{_&?zKkz3!?_jVht26B>x#TdOHjTFo*p`vJrYkAJnIA;U+5F3&ExG(ib-l~yyw~|_ zOa3%{#;feJ8})bN?D`{*euR~BBe~d$Jd}QdvCCP><%jh-M|gzs<^%FQQJoG}^hSLd zXQEBmhYf!9dn*qj$zXX$)W=HjSTT)XVekWndlTHd{;WJLGHgCQ_Zo+9raAA)Jy!De zO}-A1$vAVKHD~?3{xzxn`;OTeF=s{k&=I!tJU#QC?>$e2u^fGeQ3&Q7efuw#v&^B# zZfE**a;PO3gCAGi|L6gOoz=B6lSceq{2^q?ZmZ>r$_&%}M|?N`t8eFR1cVznQfb=ALbt!<(c<74_hlUuVuC_fK9d~fz7bL zEHVxIAu4Vkb57T?_HOyoYLJ(tzjr|VTZ=Piz)W7ruRZ`*aB~h?a}Msvq{Xkd&z*C4 z?w5W6N3+@v!*fJ;^}V84kB=4CH_~mw_fqNGW4`~|!_0SBd-S7$M;{A30|C>)=^3~~ zg!A2Med+49fdhjqi|FQA|!|yqH6yKwN z+Od-eHYqFUq+fqIp-*=3b0H%gA99Af99|+lPUj5 zBhRdh1Jj=nFERF8OnV*n{o7HA8Mdn{*?VG^sITY^`!c&G@;I=|Gj?YM zO+oNsnn|+p29lin2bKOuLEvUcabEA$y;*fz^u!~^xMSmu4LOX z`j39$8z7)D1r#*FeBYeCckS}=3lH_*&g!>js9|EPS{0qUQ9D4uIcZR!Q7)7p7;m%& z48b$Z^uOer^{>94*O%=%Q2v<%XZ>e-*6r!c%j`k+T!zgZRQ|w?unTf4!^%k6oC5)t zu4lbrzap0@<*9bq%rbwd$b>71JU5e(S09kE@vM%r!IuuR%r2eBPtQnvl$=o(oO2+< z$R^mymuiP3W!hp0NZdZQ>vWYQ?0wa2WSVhX>@8;fXUi@;9=;zCHj+#mbJD0C!drhe z_vXX#3zcEvuAH3MMy{^%Y(nCH+)$UT9kwnWd+o52Y6sulA3b~bW8>!>hJf|u8S4Y8 z9p)a^jFP8bN z_$OcwWmfIN+;{%9{MV=*%+u1SAa?BhGP@uHFT47EN6S#yKGE9Yhc~F&;gjo}3|DyU z6nwH!q6VP2<(r3@)#wvtx}cZZ;cIn9rlSU9yGGWfT`ua|8bV)VdrH_(4`kk9nKL%M z`z7DeAC(^hC6(d*_>Ys%%Y!mM7v#t*o=za8ut4g6L}Z&0YsGjm>ocp zGgwEBk9CC@YKMkO0%7SA_S}1Zh9|0vdVxN{bP+iMZnot;Uk5)B+fj}it}j2ZtNgTC za}K8GY(4Pkc(!ffb4Le8%5@-*6j0D4V-sfYIej_1@=)*XX@@IC)mWqWp%+y8pjt8&(NBAI_@PgB*fa&Y-m&}2avHU@Q! zIZ!7(!^dj;Y)1%+D&=D>(=tCb7QN@ski93$m3wB3@&j;rOG&0@uyhTJ?Z8MYR4(wf zK>A7p_73gHz*JLr=(3Ax44D>D76f-MVUGvdCuZq_9bt7P%oo8v`K4d#@=eyY?ZObE z^r6{R=JZpRzXB-q2>p4zhGOxIcv;7$OF_4 zf0NNK=o&X?k2xnjqr3wJ0-3h*uIF%~>yTcnJ#K zhHk$6)s8b_?6W_JJ`-oxedE!O`oW#N$?D8lZ!oqUO1IaWKs4jsvY$5tgGI$4^s{P z=z%hfERyr_G_suqRFGF+)3sWSEH1rz?w7XuKKSXlBRk5!{NC(0(tr78*Jls1XV}@9 zskxDbm-pF`1uWR;QzMJU!rl{;EV7+vFtRAa z5CY?n>FMaDSI@uf0rT{iYOgSSnHCJfI)Cv3jVz`*vy&x@P&*hr;#n{_U5#4CC&}Kk z4F1tWt6Qzr*LMA2tN18mZvVQYHPl|UiyvKh%CD{Ir^J2^?m1%mVQ1W5Blk{OZl1P$ z#{#AxLz9Xk*YX^^fOf;D9?882ks@j zkG%Qh{@31q`j(eBfc!dK-oCiuiw|CQ=-k&geDT}wJag5~AA)@7nwNjF59F^LI`@hv z3H$c<3FLKvytv`5v%&q^`|rN~_QrE}K6UNm`!`bJ@W^gCwtQ-FQ{zgX^C@ zvhU4bKDBSn{zG5c2<#(=-rfiwyw~==wDY=O{^W%XKYsAd*Ux=r?Xp9E_VkLKPm+L3 zkNo7t$6wla*@Ne1pw7{aZ@&4xcOPH2@s>+}@Zys%?SmxWc{20*+dsMGUzIk0iXP7-k6S0Lb*;T9DFZg}{LJ=1S_Wy8CVzkBV9mmgVq&;HEiPhYhe z0`9#6E><&7z@6*MMZQ)uZ*N@j=9BL}{-;n!nS6unfiyyrG#8-ZFE*!SL z{`VWdcIeh?AAc!x^iz+#xMA<>OZT0-^M8(<@%Fc`JNm)(zlSejX!*omNb-Z%HynNa zx{WJdf9m?*KfLkil|OkgMfN@$7W~_{H{SBf9oId+=g?Pv^~j5BcRzR8p)+^hLF!C< z<)4t`p%vf#$&26n@b#mI&b|AC{jY5~fA-D~-hF)k!P%d>f8~bHe|Qw!AH4J0+mEc+ z`Si8j@9v!a-A7*h-tL26*?87}!-5Yzcy#A8*FL`Y&}?{=-LOAH-k8awEV5z4)$nyY zf`i=K?}Pu@QT*nQARJI`X?c=7Y_=Kn1DB!rq4Q)h*_udX(G2oiTHNV2Tt9JR zbA=>tbp*(^mN0{7_V|-E@Pr#QO87rCI>A$tyKUxt+Hf0_{0~6|(h717xZ}>J{l+{3 z0r`PmfHWDzy+BvoPOu_q+{wQxT6MyXFPjYVomI}KV?lQ3t_7mmXFRZ5i+?qEifbgR zn)U9WF=>}0;O;egS4R^;TvI_oraI>WC z1DTSP4nvX}hk)|}$-W9+(%tB~tg1QK6vX#04z{NDe**%V!GP-~nG+;QKz5ivIYr~) zO1N0^*FhbttdBd)fjT^C=M4*+Bzv6>Cm2ZfYAVSKaBd;VBxht;sa5b+NaC0GI_%;~ zNMefSFvzf=<#%0Q6$|1Wt0c#k&rOE3A;|*6ZBO>LLT)qJtBdBCk+l6>bozUYl?)3h zrG{q1m(p4Om39e-w_0Q3$|MY18R$db8j>;1#}}+K+(pUwmNpWQ!EZ~%#fHkv=~~nS z$>wt_0MIRs&NRI9Rlyeq@91HY&kufsOyzHM!UicX7+Daj4NzwSHysRCl8`%Y-Ql`- zN@p5jgX~zR$OC ztdjIRa^Y=(o+(7$;j$ufiB8xxoMfFH(;1pK&qNs>CU%L6g+VYTPoN{5_~ z1fH|t`Y5Xo1k@F`CwCRjg|n0#CE4?_zlWhrRb)Y|M*-Wj3AT6lYy$2EDixRkK(^$>fi(>u3 zRJ22#4v<+ts{;s<0QRY{x9o*!D2u~!lZB1xa;FT?%?jB0)qRGBzrcQvefH4u(1UL<&wD`ufUHf7{+U;3B4eZ>mql8 z-y!2DQ)m)YLswIcVGVJ?2}HXSAnJt#kg|a@f%`=@!%Hzp1mCWzV9}c+D9ubqONEFC zfRB)}fs&+`8Vov@0T$s%L>G(;x(R^;l|qNb3VOL4aiK7(h=9=bWBP>{1;gkCl=FE9@jdJ>!VQnXZ-{pXFn7h+%hHEM1_%aHS~gc=!r5#5^7OAR3)m9OXpf zRU4+cR<9%i9mO~wT~)WK$R~4pU2X`X0}#a8jUeyE5{sVuxS7w^Mz%cqxsBDa;xDsz%ap!(-Fp;7cE)s ztFGI$0}YF2&W!|P>p?DaBAvA;tiISbNaBDy=1zryH%UoV?sJhJGM7UgYg*Yj2^7gK3H)eMp}ZH50BQQCz9Z<`CyXvsb(6=b~-a7!8uyVeIS-LlSVEsC!L{wAD#AK^suksoI3d0LWXOv-;2WO)qQu zN06tQo2(#wTbgNyg?hNgOP{kkVXCruJA(N9pQW9-R)4jI{D?sLWCyin@|<;PM}*xa zNsUD-RvE5u+~Rs{50@}^Eku$D?K~E(?=(8?vfunmaN2;jF5WPYtpbR7rnT9CWb>e< zF})-0To_K-ntyUM+-~$JNAj_O1hd3*mK)b#}CR$YcOql_H+1|w-|`JRiRL7Z-(7h`Ni zV;e!=VM5HJ;$DwsWISeV(bnteoYHAhCNDv&o}i+!?pu2TkkQ@>Xnx=qZ*CRtIX9j zzEG8ul>iB{t@g1TSLc!(8T;5;dVPn7{+eos_p)Z9+Cd;)Jod`g)a8Qp3S_+seLnA% z!f+LraWug=N4rbafcK_hRh7%?;vl;mdo0Wg!>uYto~%mMmZsjE($RwG6=*f=b$IzS zOQzkwHp#uO4eFo+yl(xvrQvzH z8xJQ)vIMZ_S%xM1!U1s0goEwZz9AEBi_J}wTodZVAW478I*dcs1rh*(|5J3XS#(_$ zcl~vubOADi!A}OrZXa1Nm^17Y^tn;C;lIH|lWCzeY7jwcP#*P?hN2_TP)H7IV*e^q zAPV~;NgWoHlh(oB|CrL+IGom|Ox%XXgr%^u*wKbYMz1Or8f?VSK4XnAI$86d13>0{>$ zm$ISMic)d8xKy|NQ(-Dp8t`&#E(k|aT17qq!&G?Qt60kZK1QJ7c3WSp zqj0e-u)$(`8rZn;DE|2G_3;Gj)KSn8 z(8GiS(Xujd;{n$Mk^Ke>7(_OE0gMM+6O1#4w}f@{1gIwuGDHHIZGs_%ffJAzK+vZU zx`aCg+z3Jl2ZLP#*Rc^zaGws+xU1>j8VK0LyW(90(ha#y=0lR{=0+B?SmZIkAV8=S z&{+szP{%M5MAIY=OzDxP7+#ZNY^q8gxD>#yg2?`=AJhb7w`>4A$%24~(11o>;P`@% zsp^yM1|=p8Qr@UgHW?2O*^px^ijfI{i)5bW=Nv6UE zI|i8>+!2sTY>@HQ3xRMJN|TnzOS-_cwE8I=!~ql~)WXDFP9PQaUO5qjt?Z3s2*aXA zh+yUnNtebLAXF~o?9Fq8p}%q{Vbt@iAS%<OVx@q{CmY10-tI4>IQblb+>Wg(Mj)>qMD~2G!h7mq za3HA)Y?m@xl4lk?oc_Al z3n`qzZhLy2r_Slv$e!fRdz2llCCKoeN{&zGtr;#!kxj0B;QK9mZ++z6LXyMeF5-sV zSMI&x(IOYD1@22mQs>IOOW;eG{J47Akau}ITzQoZ!^tX1kL-bXnFeyp-WyetFOZjA za*zwdKIqwmEVxbhs!+F8H7?sn|_PI6CQMIeEvE5}s6N5CeKv5|}17n7DVUmIL}27$m; zZzW3XgM^&|cN~$|tQfKZo8*jH`LPR_H*D`3Z^wEEpKiMCHhpdi$EWy`^CY_M zY#jsk<`I)LNRpWPuC!P{B8wscpSNx7nHo~uMdWs^pw4B%`d1U0z^CADyOw~4A-4_R zdMj>CVf&Tx@Z*Z);CvS{H!HbuN8TpbOEzH_OQN`olT;wEpdJ>N1S_$NiURSlJ;Tl| z!Y)dZ9L{pmF*M1Eq)ua# zwT>jgr^9Ym?i9!iJyVghV_2|Y6POCS*(xn-bLwE%*~@5qStUukUu}CIa(_dT3{4Vp zPY;_}@_fQpAR*F{viJo8*^Mn=L3SrBa#Q$@{E~^-vv;aW65RN_+%xP**h7-!HumPZ zwEYg}+T39GC#T>W`|chnUzw9cX^DOLPXODK8`~U@tYu!3Xe)LgYOlt$ zmNjEd>Syq>3wON@@brxD)Rpp-mmW6^+gkT5H&(~dXSG@wCTG}X!BTcXE%NegmW1+p zcb+?I@0FIy3^U9ZB$5o6hoTJ{5iIJi3BFP+2R|@Q>h%jOyJs>doHJZ?NSg5S0EHYfx0<1-z`>7~;?sFBs?* zA3M|?`!d6TRI)mP!4k~iTpZy}J_5}nN&H9_==IJFzzNksrz6f_nymaD!$~?0GA_nP z1_!Xjv=ft3C85_4Wu#zY)YZ$G6<%n%yII*+8LPZY`XUfiFw8>;!T3nGoh;h-Vybo! z40T^S#<-|08Fm|YFjhpZGmI=oCdU}HV?xV;SPH}(1Y!)v#>mpPJ2BvN;jnd3k_nQ; zByI^wuu}p_(vTOhVxq?_l!IK5+p}_$3sy=JZR8-i1D-mq341;q&9=8ohQ?4iY#pSf zF_Q8*Y^F~mo74@mNqDf_JZnKKRH38!BuRGRg`1pBvW8tQ@`hE)2ZMu@AHqGzf|{~m zl~N~+z4L{xxQod-Y)@`X@{X35o`5-ZJV_LHb4*tt9N2!A&$MPGNkXafyi}$ldn+x` zDPsvJZ-9GZ7KAb_p|U|?SB@-+72<(UhlQtQRGCU+*e52$6}Dx@6LysKL6XKEw-c^< zy=)-t_)xCQZNQD1zp*Gu zL|KEtK}lj1xIy-~M-q@+urk(M;8s3jnMY>EX?bd#xpxg0usumc z!BmE{VvWp8l4%;Mb;6G0YtI;>!{zSElg$Fia0?DjQlQz<{D5QdPl;f~=$JJXMT-RF z4f8cv@B-K*XoR}JJUDl-R9nQ&E>_B4P@D~dF(^+Usz4OH18brv8x$GXU;~K8iJL1) za4aM_#8kjmHgS|XX0aqi*yJ5J3lg8(zzo7hFU44tCl5DlA<3-VgRr3uyVzKb`y2BG zS$ZH9r(x$oR+A@>kZHx(WY}VR=OqDsP?E~9%7Pv_v?JytYz|4r!7V09f~{bRv2)xI z(~6~$&ZP}fYB2CTU7HbT@^eWJpt+?H$gzsgu_YNPNhDT8W^+D!f+GvcnNfonaz!y` zyPcFXLj##O7ep=s^A@7{SkYyojWEIM4&~(@fzZ^1HAkIGfvclXM;y3HFMpaN5B^mm zX`b?mbtH8Pw6REh$l+%V5J1$*gPeB+C{eTkctd{T(~hZ3>FcC z1PYQX}P*r0{r-*zRZNomu!>QuF4EwDw8AQe%&4awB7CFeh1R$3@ ztadpc>TdL)2eJW^!*`!W>MYuKHMplgypZ<)e)1BM$y z%6k@k2hMk~`Tomqu2y-uXz#6A3*M;6kY;d_UN(5jE0AW|Z#`$hHN<^Z4m;=A7x)Cy z3=3l2V*NW=o2cFJFFC7a87U9kC#zMG&@`7M{2+tdOA_Qo*}OFO-t<;J$pSZ$1;~x- zSFI*3Zvn`Pf+Wg<&lh2P+=_f`zqw&7ldNw!a?|?lt8aQgwRYB}+h0DbWk1wecGEd9 zE|T;>M!`NARIB#4KW38eM`4q_mzR=;EqiZ&60QIqhOOUkqoVmr zKIc!m+*vQX=a#D4fks=di$8J#MpLHq@RzXZZxA`E$R4*%+{Nx)hm)Ja&KI)o-;T?@ zFl5hvRAkFC42l3=6!JF*~H55c^@DU^UyFrkyp9ju^$tZb5#8@rt6 z?F|8QWMnVM#7%SPZgmBuuzFI+$GOuci3Xb?KjBMQbH{fp?9wO}5tiVCjxX4TJ6-Dx z1-r1byvm(c%{I4?@`JHGdjngMyZTeXG6%WS&|sG#8;mTsk!q^P>z`pq1DQPd#yN5) zUo^LjP&D%;w#bPi)X9@`Nm@Pk1}6zL*G`<5EZ|y?RFZhuo=t`o`(ls;WrN~w&6E4o zLlP(m2rWkeDbs}C=;XCVr)Bl8fwO}^uzqxIQ()z!r^X*@>t;GfM^g`$35}Z#aogze z*FJQ|Sdtt?l4FvUO|1FB6B=1SKmu;-1Ofxv{pdkJ*_lEV3Owj4g8H9JZ!EmN;(da1eC#KJ;u0TA|{8B zVGr=C{cVa+=Qe?;1oy5 zt)zHoV?BBTD((QYN#tyxNUw@>bsXcNz$}N}v2`e+=$s=SHoF2^oL+{~@f~Z?#i3Eb zVfvWa1%vZIFTNn8BCi=N;FA{D5dTEOByUgZW7Z>u+Hz1$JZ7?)fGblpMfu7fZ3606 zmLm`L6zXwnSlZ4v71_Eqm2HFDY1MmP0L`);hO5!RP4hH3Ot-@w@TZdHrv29ARLf7b zvAOzZRV@!mYEnTxrw-amkP~QZvq{38sRGHnU`5j(ZiS;+N;0gt&n~D#uM^mGM-JO9 zCvGH}m`ayqai`4lo15=D*ce-gd`nt&2^X9 zslWs{$gKbf^}hPD0^+q3k_5Prn}Hj5KtRpi43Gf&Qy_cTS-BNCYq2V%4oLz*lO?LdnERJ9Q7r>O z9|@R~WEAX^LAK0)WRs68xAk*V^&PmXf+QWi8uf%*G~CJQcBh?))& zI3^|slZmRZJ+f+omGZy>H-Z!zB!N<#-ZDo}2PL-v6JEfE6Hq1?pFskXOauZF{zJb4 zH;IP;G6GjeNk@EEjH5}GVI1jrlCe49hdk$5U=CF!RCSF zuoZ!;qokw9P1uA>LDFFqGCG?GW91$NWGrNSq1;7%BuqvMQoe%>J%WISgfJYhpSd$T5OfV zB;17f{wbz30{Yr#QUhvRmFE{N*m9NBqN4CxE4CJ+ef^Lsilt~V!Tf(`X7}E`$-NaIPv} zQPqY{sb8zwYaX3F(pJk>O+wq3xIiFl>O)rpb>Lm0e$qtIg;UPurmQPWs=V4zb0q_k zxS%wiQgF0bVQ~zb{3lV6_G2|uNiy)MB(Rk#r#7j)J(4uQqdlA}@v)+7z$Go}vOITu zq7DXo<4`v=7)ka=h(#;g+m_;W#;P^>bv32Gn49Q8g&~BI15Zi%Qj;#>_%nL6uJl5V z>de<#RP1n2O~rWEq9k4)U2f^qTN$>Qe7wjc zZY4WyrBRU=C{2cH1wA3>{p^4uuk!T1z%B9udN`qr1fFnQlR}T%^I$J?cKh_kml-NPgU@|8L55FW{yvoSiqx*^(;Of73!|3qz2dDoufvp|;mOatWe1Nk(&*`lTg86zc2bVanQ*(G3_%_@1i@8z(8CFW z+=FBB2Pi*94$=n|cPR1#kg55g3S3Qyyn(Jr)v63aV{YFNTsL!mt*(wbF-_=NX?uHdSv5GLa&H0Va^d?z0ND9O<)Ddyhs92|%Kk&6&Vt;q(_)8Eb2TnmO zZk9rR!Vi#J(o?q2H$6|KI+jE8>HE+$`- z7q!nha!E;(g~#pf)pz^)T!I~3D$UYdeg)k#-j2Hi6w-tqG^vEOQLq=7dO%W^nee3) zl8)V2I;Qd|Ha&Imv(WNYl*XzyASi3KL05If+ZO%gfbL61z921jaaTlmByC#>`tuQmCUD@1eKTJLE6 zST_J6a_hPU2(#R3P)|5uWwlpnUOWYzfyoO_H;REK6O<5}e3GO|p8AN?CDTWw@oLD7 zHy<>L=#!+)FbEk2RO6mP{Ej6^`((%ZxT^!vdIpf@U?XY=NVt)8;i;|Q#v=g*>{}4N z+{c}8m8#1my_1XdT$NPC>tJa@6Y_~GTWE4^aAf}d_@+f^lBU_WuCrgohnSHO6H1R8#itOC_R{vv%zIx@MGXACe3jTnofxDD;R0EZj3acZC(tz&9hb4-EnM$`ZO~XK)m4lu8gJ4hJFm+?9|P zCuVS#VdpqdLplbQ!PP9DS&0i&PB5o*1?L=|s?(>Wsu4R+&Q#F|gv1qelqw~0NIyr?0Zy0# z8$3+~@OQeT{lL}fB*Ez}&~)95co4cH(S`aKDcj^7<6^e~ zd6fR`J@+4(I}5Ke3CoINKupi3g}%b@GCc)g$Ra^=@;Lhe)>FgU`_lw{EV6(#6%lVa zZH`-d`xDeV{Jr1uo$ejmCbC&OC+6%hCQjKgvDDgepmaxU*u;L4XAOwE582(nju*M} za9opFK20>a?C&Jx4aL2c^w#9F9!|*a?x#6aHStp<9GOca&)zm@JY`qo~30gSNZ(y)_gB=e>Evn z)u6dAp0%^gZB@F`-d9%Y+QDm_(%9uSQ-u!}I1JzRN}y#o_oBLl!m}LyxS1({j zOGZr#%akKBB^$-6Mxn(EY_5$J=FHeEIRk(KOq)4J>w;)V<^OiR_O%C(PXu z`0kXazFRtU)`62}#m<`eL6f@=#f#k8ol+A`E_T44>Cfp)Q7#cW7G8xfm^%JNAGa) z3oRGNjLY7uxr}8j|7*rlHoW_u;rr1$JaK9au$ad;y&pTNE3oB#LSz2h^;v(DWidiO z{dBrQV>=%U95|d?2JGg)2QFvU)>j@_&W!GDOE^2}eFh<}Ptsj~Z1m0ZRPSK+TT1ti znu*NXIli!IR2mj@Gd*{KFCCmcyfWvapSN5j$6s;@dWT;uyeea9V0iaEV=7S( zkDns7=$;o2;&FGNXn)|Md$aFi>>ocq^MqJd%waFG^uaqCN#F^#X@Bm4Z{)}rFt|G> zvdi8#-;^xlThzrIr`|!2&vy5FW2TA^~ZHXdFQfl(t zzY=*$11b27;@(OMJ_p*4iDlu0-VgOt?`^&!`!K$Yt zEKcn{{KXvVlllJatu(Uerw7OXg!JC&)8zSt@m)T09|}$^?X%Jf6OQ!>`I}Pk)8wjW zokWpZ?$%?kxZ>ptQAd+l?V^Y{1X zzLMgiK_fL^q+*BuqU`Pl{HR9rT+$b==oSwT)#L6zX6f=4f=PYz&b#)#bmiugIv(Bk z^4^=5ytLt)>)+~Ja_IiGXYT8~ar31ek6t5RI~$rn??cO6NKisgz3-*HU;W&j-B%YM z?p*%cH`Z+GI&{&ar@VCcpMJM@@p&DaZvN8)H($Ev&E`$N=ech$ zgLsj^$^G3^-+(4Jum9G~(0lDW5HflC&Q1HCc#Vv|_~D*_t$VjD>sWc+*f!!`x%C?0 z;)3m(gk#|M%_+Sl>gmg{zJx}puoFJ8M6np}G`tbF$6r#79Vj6dLN0=+vP z*>~wvH!tg0yL|n=>u&nZU)BOqcFB7eL6evFUcGeprf-tod;Ylg-Mt5vbY|~-=PxfW zylHvInK!ThHu-z)Lx;D#`{1SBF#gq>mjl&!J<8hiXBgjEodin@AVsLl7@yoT>bS^venJ;a6w)2gjehyYW_{YwxI)LE2Z}YOwYxj(Y zzi(O&?B)m8|LDq&pFf2nNyy#%0M&cW%a8nJ94{xZYcEbiu>_iXu<%SPP$QJ`HF8Yu_gT7yZSD z=iLlVcJKuEK=tn1O1FLUMcqjI`-`&c!z|@BgvVKH(GKJ~7s^zVf8ddEHi7-wOyrrt z20{v*336sli6>;N2kcuROv~Wqj#!O(U1NUu4#%;|d02?x{O{oP@+y!mqd1JOSgd>J z&R~SeTVk-Mf)+4KAu?EC84@p#m{v_FgMAN3xa@@4;ZaWMh%BHqi6&MP!8ZaUfInNq z!gl`o0uZ-?RWt*>3Anjdot4!xYgoRMF^-2T0l_LExWaF|>#9n+nnS_qHDiqu6ah`O2eDJGiqLUp)XJ_# zktn-p0h=*~=Vcn9Fe+^tX@Y84Zv~*BQ}wBB{2SqE-<`Vx+}kf% z6OEQf!^7Y~1IAZO%xN75II^JC1`LYrSgow6RXH}lCX~gpR~O}5BTKfAa4M~qYWUmy zOaMY=+(1?W-WBH5@TXB^l!}}coMu^Bd^@m&t(vh_47CNa5uT7kKG{BuxZ5CPShOZG zBa{`)iAE)?0vt0?b;Dt^=$7#z9$sTbqcx>42N1qIJWb3ldOQ*WbZ>^VGWdcKK`JtA z!@QbBAr4eyiQ}qK26@oes)p3kv5O1cXG8uD*Z>2ZfgS11zlm05)r9g4?CMF#r)-j4 zMQk;kgeKZ|Qjy0%W`asK*c>f;K{`C^l3)&sWKh8v%k0rXtHHA2696QEEa#e;HDj@4 z$8k_l8?RcGe0`O(644^>&86D^jPPcrkGA_bAE%VjjAREXwVq{(cCUAedj zn%otlB8S(9!c-(Q5rDCRf<_I^6|MPp?#HON6TjHgYWCy5T%IwgEfF2+RoR zfeA9$;@m{WjAnbtfR#;A7H!4Z2__JG0b&ct+YGEY>)=CCQV1wf5%?tA$%iKQWH+_t zM_cR)uJmTC!ifG8%wYvlX05Nnv%?W}0I{>P&9;Sg5pZYbM62yDunCT{a9#C_BKYK@ z019#Ho2}4-nNI2OXe%&zv-99sUh;(rZ79+f%v4BDvDqV{^8$u_Ib?%8zW|!FHozyr zXawJj&UEUBN4X7ui)aYoyP2j8;j7qcN4l82q8_Wpz#J9|kn8H19M5L2GlewlYTL}G zDz-0o+7uvh{%m29@r*#miU1xL^Bmj5gQSUW$N)Rm{>HKESQGwN?L^fM$eJ5^gWQX| zf6czsS83V(5hC-TkV%MN$=tl!pdmQDrzTY0jvtcC-iH8Pom_1G))8WW?D!& z9s4xtA=~pw|H;}X|5&bj2mFQxFY&%aNt~pYL3*Y*2~TtJhAF;fV-kP#!l_`)8d5=I zEI9%TDc36rP-L81t&nLgJW*z>yrKmsZ1xoraY+s1mKku6L(%xd+>pf#7Ne)(QFok6deS+t3T9aWL3stOD<)Nu5XSL4>X{r< zFlOSbT%6#X;+zHGrrOoD_Z3pp$_GDA5s8|L4hWE=0FT{U7jWD@rSXAxXDp79GcU1IR$L zWB@`WWX70%N)vVdB^78oa-m&OsqbaDk=KR{b`LeQ+DIk3TstRZh^z{u){tD9XEn3h zjdO;SnwC|ZEpp6eX)wU+R{j_*!+rt_wYLM;(dKk_;u0{lta&_PFWQY9MUG|BLdHre zN`K5u9)@fqIv(Uqr?Jpeuo`5RMfU_1Qdrc>*I7Wqttf?+3L_TA8XO8|TFn7gv2g;y z>6T-KwB~Wzc;%BygcRe9YJl~EySShN^JOxULq)3ljp;y>V%%78vnAMH6Cnoaogq0Z zl@&o|$se(523IX&Vu7V@-RRWQC_{vGqpfzqGE?oLnRp>LA$+t zVQmfok6J z*F0pmd)x-GG7XbUV z8Ub*nAzNjrftk{X@`6f)(;%~g%cW$vH(ivEX4zs_RAFQhl9dR6qvNfR5F;Tw0`Of2 z0a<|}czPKO;oS4BZm}Zt*{^z-Y@HS#LyJ#Z?8Fci@LjWQ6es z24SS>_e_Lnb=4)+_DrJ*_oRZ^h!mnN1=0Z^M(7?!A#JPVB{NYw&}6E_uqskgU3C>y zFaW+iyb+pEKV?P>QJ1Jv^qBVaJPc+cU)VMYZz~ttkrM#yJ3d;(t}4iIoY1;VRv|E^ zA&B8dhB*|dz?wF~`xW?wqKdN%5Rwu8EOTUW!xK&gqoF1suNgHT6al^AF=Tu#R*+8= zna;*=9$gBKOa0u{7=gmgBwO`r^i#D+2+1Pu)UpSSED6P2{rD94?znVCjs;s0LI>;u z>*_o!O^wMLi-0`ViFxF7LXO@X@}T(coIx5{Sg783k}4OAAM1>FEO7{oSftT9qN043 zArM0kF_1L@4Z#q;a|)t3Lnn{6L(NA;_(Ea{ZzAi2n3PWPYPw=rEC%lB1Pg1TRpN68 z+?SZ)0N+)x(2JR-Xu+k|x5Yk=>a=>W^ zxl~-hOf!Z74vGuWd)N-Lf(=nJM_X!nCQn(CfEeDyd2myi3_=t?<(Y&MTxGC!V?03t zp_wd6L<=KxGDW@UO#CFkW`g9Q8$-m-*?xu}O@IFYY9Y0R9r2v_um`=6}4asA7i@2Gov>)Ei9xW{3M4W-F5BzrfwFFu=u z5Sd_UsmPrxfXO=yi)Lu@$$X;Lq?x!kFMa*K)*NuRt-s)IrQnKE!sPuuxSt2MEhz|c z^trX8{(9Ay<3f_aV4pvI&fXuXB9q7$C%9KGUVmQPc<6m!D^`7fV8eZj>Ym$N`EAZu#u*@6{e8<9FUR`IPzKeoZ;{ z2lewHWXm1R&#j$EMM4wp@4F8u53Zsjr=9{$vby&E_lB`rLDc0bf4yq=grfRrA0sDG@#EByN)nb*E zy);QJH~AaBZ5^6s|nt$>#v-G8KN~@p^T4!JbXQt1|eYIs#m$FS{Q1pYYoNL1cz^^UtJJ>VMM_{ zM?!>F+a4{9pvcOW%n_wCX5(|}{dZMi{rtANNN8jIYA9$;F8Nh3I~+3nj@9NslSOz` z#dup|3Hkd%mrSdu__D}rZiSQ-2z z;pT3C8$MZBjAxgTwl%>of4g?|?`BkdZd7?Sz+kIU^YA$;t<4(c2vrR{99|T%YC?up zzdG}gdMxZunk)*~HtJEru}=o`Q4Iy*zYQY<-*EZD;x_0Ff1lB21}DwD1ncW2y9!?P zux+bEIk$chBFCNqb3Agwv5wOMviKD~sa}YO!uTLPgNLGPYTd?$E7HnN2+=>1naYDc zu;YIHcCc(u^YE;YwJLZ9>5aNfT_k;^UlX9gJFCMsVcO?G9sb`#1nJQ$7ga}2;?zrA#Nfw){xLtQV{P;obp*E!WxdK zo9U>LNQp$+a3kml!?pGmh$uwac?3yEak9;_*e+3FjTLN#Aq>0Lv9b(~%Ytk@w#B5U zWo4)s-V`h&2qJk?@!t|S`6 zkVy}^*fdTQ0slIt#K>Hbu`mSM&>lK41M{x&^-jRpJ6TeCL@F~yvXnA(V!IdEtHzKi zwe=}38BCHS=kRkO5`&}Uq`jF$dou*HIgy0OWHOsRl7}B8E|##bahGnVN!JYG!3n3T z-a#;Qw{;9FdLLKV&h(I=2oNfVMKOx^B z5ZhO*teK1MiDraCE#JoT`S#W4I@@L!giiwZyb#QZMC)Wjbd@=p1+e}+WZP)bu(H06 ziRg2|C>x7DyVA}HpBoZ3^~8ACw<~dh`MaVe?u^ zNb{--%Xi!w962mNk%nW>426V=TM(LYbQQ}t*lNh;FegIXDIAL*Z%cCxlj;49Uu-a| z3X3|!CyYmG^1p4cx?5)#U;^9qNzJ*87shU7;U}Y2q_-0WATjPtm}5hc5_LJj^EZHG z;FDs+YAo9=lFg`OIpP0SWQ%H^j5o2uzN!XTIX)!GRhgsmGlKAUQ3GIU3meLLHG~L@ zWK$$i=`>VFLKo(RjQX02X(T(3$kk`TcwP?UEu{(Sk_AUmk@mu3@=4om==O>UKFbP4TQ%%5)*}ywh0zd>7-<|!6Dt$G09)vk?Rm!>?IJv$C(>1D9DNXv6U28;ZnB87$q6;H#(HQ9U`Z4Fl2wtCxKaH1S(YWCU<(3^ zGlD1|2&Z5yFXz}~Sg~7-X%K>E1-}w<0?1}&czhj23sE4mV)7hQse+f6S6HkD9xPP= zxzn1UH_FicsI7k`t{ka-4j`Jph9xa}2I)-h?I&7EMDnFz!$- z$nuPQR4b7k6X5E|8)WD(j=59lG-3B$>!kQ1{M^| zw20hf00wxh6FtMR1e@ga=Emhmqe*g+$wJuI!ymPgg}Z<_hbzei6j7RZyvNYCRg!2_ z$jl8|*voKnmU&`Pw1PKTY$VeQcpJD<;1pbH3QNY&tkmjL2AOE zf!_xHQ?eBSV*ynh>c(700|Pn|rhy_=I@v781qCH13n(_0V~cwpn}%}&r8CJ%TqSZU zad)qGfL&HY4PnS6dg6dYP_iHdZeUVek1fY|1QCTKT}I)UnKhMJO()-ihJ&63dJFI% zN;hnYx1i`e2v|1+8FewstH3exI>D`3^zZ|}OA<{|k>F^L2guMkk->&UP0?iX$~?hq zTEhlBXmB;$$ehUpeyzAb7)4n!quOdZ`TTr4C~mK)=G9>oj7QWc(=a89k;;}VWjZd= z$;y|=*eD012_hMp>KHu+<88`#ku{C>9P}t281sY$5~QA~L{^%jCoz6(pa5z%lx$U9 z9LnPWzqk)7kpn7QSPL1Alv!Iqcz~VsiL{fE)eIk%|P+?CTSgG@_hI34ugKp+h>OYlC(P#mMq$KWe3O#h%lJ}|zdffW z*(Z;GY4V(X06smmc>N9+CUqw?dHrH=pMN4@xz^u?nQ^U2GCD8>fvmW9lin^8t|t3^ z0{IF(b?d)58-|t^vT=xuN+uo+hFn-G6#rytw?-hRn67GG^-C62dc}ms2i4?g!UJcu>_U+<5QG_w05tGZm=@{Rjc>_9`GRJdbDB!34(w!r`x z!vw>02%@#zX@PPK@alu50Ld+wQK85-9yx$q{4kMMtu6?gtDPA@W}UH+TXI|o1F&6I z{b~k`*ii(&OG27CgqW4l%>2oCu{lpHEXMGgTS|5|Ys13oGb;$;w9uXrF=`9==v$jhoLta4+vHWfjN7N+oR)QlDE>jUvPNVbB{j zLsJsUc;42Gr&UxtiW^r@JAs?DtNb3!f=N+%1$od<*4)Z?CmKa@)T-iE8Yr$eH{>4= zvi)|bHi~GaK6pOlyLfWJ%kxjJ4o}Mam+mYC#B$%WTK7 zvtvf!I~1geR$N)5V@HI}mEf$*ZDO;*j%y@s5l0JLWg48u7#X-oRzi%a1kw>jvDj!K zYKj=2G!TJ9KFB8awy9z84rMgqoMaTjJLY!N#wSO7k|7W+<04C9laz{ZBr(=u zmXeorP9K;8C6EG8ic$s(Gv|kta~cGuVN8BKF=S!nq#idIfc%4MX3H>!ag+p~RT~Ot z;iP2Tf+DT*agd|964x@ej$VG^oDA}OV&I|%{J|2nl@#ck#D@#9rlCmzd3%XvAj}U5 zi~UgIkpvQ_4Ww=~oeTr-hJkAo-u$v;ZBWw1jMYMYlAUj|oN867B5TuNB@(BNCCR(4 z#qhYQc}~USWqOctQq{cXW@(a4Lnkt|Dha=lX`Bc+LW1lT+8=8&L|O@!?+l7V@gv!Z zrEwyU=Xz$05{xdn!wT^#TOO_yXaZ>97O@m_{s~P+ z;mh$#!<*@cu zK^cCMxEB`KOG42$;vO-+0YJ0gm_F7klF)(C!)v`g*#;F!H^gKzIHM612L&bMk~!5O z2cb}VV|l2t*5xL0dC8RUqEELs9w>~~&K@5H$tJS0(xAI)TYd05kWW@3=HYaTifpgP zrwomXJV|lmZ4_5QT7!PpbP{3|(2d-}74^H)z+jF=A@g+7dt6>vgz}?dA{)n1Z|5^7QSb0~uKFKITh+JGtqX~(t)y*gGI};j z{p3y~qz9SqxR|V^BI)iER(A{zqTxt{4^$*>)OPF?vjOvjc1Fl)Foj`F2ggj@O2>j# z-L`SD1h*`~Ep{fe6d62BUN7j5hPw#3Npp@rkg4xC)wEm#LspaXC2}Z|lmg-_1agDU zMbU|~Uox5o%_eRu1S-A>psgt_Y#ZoaXK>^on>L=S$R19FWHJ#rZ7`EBNx@8H!*t9_ z5U?<-1+&+(0yhmIPr!6LGfGK-A*Bf5QG*Gk@w5fgwVc8hTv1_J6}T8r3DixOLkzPd zC|VOo%jT>Jwn=7iYXZLd!P^HU#O7oalL2ggz;k2)UfC^W8v`6~jz4~4izV&)3Kh24 z#3#n`hM6tg4&W2EAa`U1`z@Qm78tx{ED0gkk5!%~@LjS}VoQIpl9Hkl7m}oni9cSe z$l%U4T2x&svdaxJB}p6N_K}U$WUxr$({-6cSyrmHl7x|^J|W0UBJ_|w7~~cRSxrS9 zS+*$Vl`PQElA)Z6_Z>pYCnl#Q{FQ?8$U^#X)sf8k+olhHWI?|?Un-(JR|NP%cvYtT zqU#JNrQpoTvd!xefg*wq3g(=E)@GnW(XlWHu_-*|92vZ!W79|gC+Yh<{9q7~8J;K% z8|Vk35@f2q1gEAXZJWI2g3}_zc+8S$@Ekk>QYBt9LKf#xke6uilQtm|?_a9Sa3n|J z7-2AB(KY5Y*fZA>MH}&$0V5iavX!;S2()zsq#&R_fG(s?NcA>S^?q;<2T47hQQn{f zN=mZMCbqlp(VKX+thi$&=|F4@A^}c)fz?A}Q6Sf(e~b zN9i_J7~QYUjeHF3@O2n25hi>Lg)Q~p5j@?cgF#>ZXVp6#RFmbqY3ABRPj%-;*V5eR zF_BN{Df>wvg<`I_H+hktywuZ#W@+!7d~oMVrAgJ^8@A4Q{KoY=3H5c;P47Op<|Yj{ zdSC^n!0&z;vSErV`)ru=Bbs>j;B@h%VCn0-&%tAitxNGpU?_2Kntbq0%!+sJ2KV)T z)5Ifnsc3k6TPbnk&5&p8xX|J)}P`R~u&dG?$iZ7YR25B$r$ zApK0E?5=tQli7aA+Y8pi@MM24f(Mn5WHJd^w0_4)r65mmYyQWu(glNszo|i-guffR z0ZU8d`&O-;oB=C;|Jq5X%z5pGSH8A)@!jMTJg@=8Ff`dcdFft)FTOxyAQQQU9Gl&x z9E;}_Kf_a{wUfWDWdBArwk~>LqZRxoMczHRYVQFh#C;^tTW`-Ce3;OeWA!6}gz*;w ztu}w}6?el*ugLVWN$+F&HF(u90grdwR^{oPPWJC#@0c*>wTTamj!h&5i{X!h^yp}i zH0i^fmQUQIdWUrwghlJ=uMe~@40-0$uqO?yS1K}nJa8o08-gQg!0VU2Dl)E(G@)tx zlY^787D5OHV5DFzU{dh_Va7BJrpviAV_~7SX3^A`aI!E7;DkSob#xX2v-H)P=qk() zJP9GI%+m0cX2F7mg}@!Fdtz0juq|_SL3=$OOw6Z;6Odhpu zOn}VRNB*gK<*>7G#f!@LSFZr~serAU2se#vzjcBPZwpRYFust5W1nryT~ufdU7Z!( zU>2M^pB~s4->Z6i;xE=Ln%LfmceGcnt_1g-8Rda>UtGZIPL6~!U=BP;3Kq;H$1cL0 z13ajvQC2Ix!+U|NcLnA%syziKspkrj$Q^j1WUYx5x33J2tgFY1qSvZN8BkC+2(mKK ze7+Yp@YMuannd<-7ewnXNK7!yE0oG7tNlWJ+$qUVD%tCltEfSw)Fd6*o`<$As-w+l z$O*mIEl42*&HkUK7F)H8il5&E?pw{u8CM3gW-LPcz9!OMUrzHSU-1hWm?lT$cFM0l z-Xn|tggyw95=q5O{#Xp*rV@e;$P`!!#F~{gLfWMWlC2@_h)U%UCuEH`T`?2_BUzFS z$>#L(2`?2AVJyf+0gj+oo#$$_$r0@_otmBOget-%3T6scD;Sd6g1PCf+P2+AZ%?@8&n{0{>u9dd7$ay|sVg!nj z%k^|o6Xr_tz;JG{tSm>dNQy3$0%P$VgcLR8Gwsa0JPa?O4vnyBzJ!_61kRA`9Jth} zkjPmy8UYi5g;7bPnH=hH!3;~(gsm{`;LIDP1Sp;O{MQ|HX|(apPfiCY>5KGJ5jV z0>V4T-D6!CGB{1y6b`n>XmVFi~&LRAfsyPAIh?jUZ6D`yu}o$Ly!);E^S;3uQ? z95-^1tCc3r_3B9h-9AU!ZjsrqhHk?h1e4|JRxuOguh1>7I-fLoQr(6|;Z}j?{a(-87$rOC?K zBjb+MvfJx}x8qbE$fNNr1YuSyxQ#jrDV_|Do(3x!;B>{?N)rMN#`BOIF?&S8_E#ej zdXzE!+`{IllT}xbSPHJn0g!z7iiou;5@~qS`ASsI9$j?_6f9T%j+;|IxeERs{T1aC zyaEw1a@GV#BY09ic{&nmfES}H@g+<>J(hw8uXV_HYCfp6kj%tlIe6SK^JFrBQ+Pq;-+vSB3^S!_)AR_6JB7)dKn`8Bo?Ncy~-UA>zR^joD9a3~|=m)7U^pfu6vF0(l zQbktt)6?kY!EVXNTTF~giF=$Q%W;!4Sac)9OVnnTHD!f!?%0vGXbo*#5fkzUu^hw| zGjX@!5Q!Nr%fO8WDaU<~4|x#E@k&4bapdVHB2<}X5XTjawSc1M6zLo#uC@aAR)HL} z!c?=J&14Hc1!EftyA5YBQ%Gz||8f*gNs^I*aw!s2p#miST=w2f2AxIu#0Yj_a1<_u zz~>-_lUaeqpI%{E(mKVt+%_>?h^H2eo^H_2A}s~OKSWuk1ic!E>@LaS$Dz=eS)-wy z#U+E5mM}Ojo5)HHhCFWYW)#x6DCcbIHZG&F)3LJU|6`Bw(f|uy*e-H8YoR%RgTZo^ zpJ#`2*#26BJAubfU@ed(0;ZgWXBCJvta31tPQ*=F$fq)C>rU88$}3frLr5`8*n*?t zBrY6l*sLWV&f-c8G53*dR;|v$(B4V9By|$Tk~TF&6Yh!r?UV`qV@=5=C6QBV*pojS zK{0V}Lz^uhCj_!ZB{3r#kB}tI1p9tRI5p_$%wHYf-r?93#{4)^6B>`o(8lw}h4=wD ztje4lwoE~j_Ty01Xjry-3Ro+^NN*X7%~ZF@F4n0lqyIA%gL?;3tSY+UuWW} zEqw}a&JBFSc%{%s z;9bdN+XRbVkEPxOYY;;WJNI!mx;=#T7Z*5yt~GX4Oxz(dL-rRQFZ<&D6T3GaK09{i zTd}YGguVNI?ytH6FE1_YILNV-+2v03atNu&YdH7a$Bd4yf_t&k_y-3|gHLP_nKgFqcs9o3>!R$crZSLQDzf&AA&=W9mt*Bge#ip3 zcJ8p>zr{K`au+`qcx!3di&4$p_~#1_w^ZcDzVuE_pqrrG=sy71Vo3%%EVrwjEsuS^ zt>*!c zSm>_H0$IXJ$lgI#o-+@|hsF?j1^nGW=G@Q~c&F?1ox8Hmial_q$as(a>x=BVcNlS( zvr%pE$-Aec8n*p?E9=;rJ8?>2`R~vC(#G7E_QSC&{-}!VmP5LSF8>P~({)z&_t^6N ze|i6UfI;4QC^qEq)!khXLRGx{g{|Gs8$b%{zU093fD&Y54nEL*aL+fI&Rn|70K8x~ z8`32hky-A+UM|yw?yW$Ri)jz`W>L-_a`=m7hsBsP9(ezcZ1JyDk+XO16ba0--q#H# zMX*nIZ|hR#96>Bv&w+2joZW}FvOUYcv}n=^ow2fxDDd&#Umj!2xBVuMDN~Oi<`_=@ z;@yv0?0_fb*IQ=HVGT|$7DU8ajz&#E4SOw+~AbJFQ=4s@0XKm*dH>j%dJ4HAg^J#^;kgl4iM5E%S0CpA$e>}H>(B^;}k>M zH4NmjR-mB(MGlp^NVyJVtLzU}prs%S%TBWDlZBX6BeM)}n{$WkI-UJvOs;q=@XFG% zf7B>$$R5(&Qov$k-jh?inMmI|92k!_UN&u7pu1pUH*U&3lA(Hs+}8q+6$$Dc-o|h8 zlYbaOpuKOh$*;0p14ZU`8Qq^0pZMtttw~o&waJ(NqoxM2MfVft^+UQF3IedQiwD^9 z_YCsMer0?M$WCq-FZ&u9kC7V4uu?e|R^l!Se?th!@Hc!C8&feQ@VOnQuB=3}-12!* zrrP$6Zz6W8HU+rhlfM^IHMHN#e*aMJ?8gF=cTD_cY0gWf(PC?W#z7=S~MpY4*T7O(lOYXrJzmb#<{j zl``q&q2|#${HHahd)vWow(G{+uP+U3d8Onv?3i2~CM9Frbp@_v!Z)8nh=wg#< z#iUvWa*W83or@PMITg9Cmfd5Q{lN~zz|C`5)5$_kHpLVrd#-)st!(rdw&O8l^wKGs z`!y1>n~FUBGi=2wF30U+EQXMEQmltNa$l$hNcXNX4hPa#@xL><6RMm z*{8B1w%=TG56f*Sd#yJ2kooC7;xnJD*>)@Y=UW3qWz!c}Gt9vP)5{+>FF)bdSW#ZX zDZ68iDSmXl(i;lyC!fHaAzgU8oWUG$zouLTpD?mg8DH+0@QFEB`J^fI@)UN%j?rgU z0ttHJyW+I&$2+>&tT!24h1yXb{NyvSq94b0-pUS~&E9{^e0;}=XP4$q+(?f7qbl-{ z4E&XPhg{V=OrhR^p?A1b2GBd?;Hd^+ZxCb!(Aw2qwR;EqL>GP@Fn-!MB>Mw~Jgj>S zdIuR$T?2kNsnd?`?fAXx{E->oxf{>BIac&m?C?+6 zqi+p)vdegRY3ZE@3#YWx$by7a1RB0{QCG=ZU0;~9tMIJmUoTc83k)G*`27i2*nXx8}>ON3YSsjg5Xxf+%W{#?#N=4#iv*#d#;0E@An<%UmgpTEuAvD zU2!||&y~NSB4?d^Z=g2Buwq?q44#h?3#y5 z5nMm8l;s@AJ8OT%?R(6{rGcF-CssutIAqw z`J`#;J6(Yry2gyzl{Ka5vTq6F5jO5cwj3i1!yY=1Mq87jWjDvBJn(q=R`%V_AvaDj zUwY&7W3JCC+enW6qk}gna}UJ=uhYmPSB)&nXk@`KvOw>Ek;RGd_qf|FR`x>8*5?_G zEH3!mJ?2t$j0a)-jg#cWH)HJfijFlRfY{+M4J*=)EGDV|1a7;%r`PFNIXiIpi#Hw? zpLqCz&WBl`+EwhJz)9oKu|V^Tc_6kvMA>BWi$hmz+V}6k zLj~|@5AHwtkXbLgTOr|o58QuxkI+-sEClzGjz>X$X+8;=_f_Dy0(FL#`R92$sy4icHqaLEb1iChFoykLGS z30ZBmD07J10wJ@jZ;4x}p<{1JvXZzLBs9sWxd#v8v`?yLGm?E3j;DPmE(F{pTM5ai zn@i?c%1Q+^n9HYl06nnFrjwHoKaf#YD|Rms-3YjA)DP&O57vr?lH`8 zFb74BodI&rcX*W&;^`eg7030qTB#aVM@c~vl3erk(#k5iWCC-FZsFS#LKJs+gvUK$ z1L_h*TCw!aXT}YRTbZ+>C0@n4v6WEmC@byYv?d5qIK|pZ)lQCY)6|abW&8(8{s>x` z_p0t4B%$V*i~w*nC=kbS)Q2qe;gXw`CU~lsPC=llxq6pWR6_4odn%b@01*jjOCd() zv?(E_t`Log1Vk!;#Sw-akern9m5mb>cYECUi2aIt|}mM zvq73vHjj^!(>k`&6QZ!0`u2Br#l|G=l8VwDo`P+<(tw2p1gd~8{wStib zK|kQ1Ay7LCXDi|Fw2mb!(>CN-qJ1|)HjuJlUq<3ls(R}(;5XPfHaGw3){{S~;=-z=1W^xk340>dXC$t<}he>RO ziH$$`xQUZqB;fJ$GM;mVltKtGsRY>~e&7)kdjq`jl;(R#k4$Q+Bm}*Ogs;bOl&>@? zCAyH%TdUfuM85@il1<#qUo=seHY%v|J-6B?Jw4Nt3sb5*nWj|X+Oh5#ybtDBlK3h$ zg-gA6wZE8{8l8WNx1~K@N+A&a*u9#cvnle+N6tFlD;zOoZq+J ziFoVt2z~Ac#@(l=zE9q}@&k+=vUInIF1G?dDC%1)so%~fa+|uBxgB(ZOm`4)(@iT& zb-7r~2}rG2HH*k-;t{)oLC82AJAe#G`)1tBaw?ikBdSA+HWDHnklpT_$O`KcLQ1KZ z&#tChoW9?*h49w_=q~Hlc=}p|M(QnQ! zb$6;(_XMSPJHC)eaR5C5kM(imGFss=TjbZeL|s-^F%3%jD%xUWFde+ zE0po0FNcC_B1%X-thA?(_W~!jMx*R*h(JM&o11gxBnbKX9Vjwy4HY?k5-C_fu|u1R z9r89@A-H|{S!DcZ6+1)<1d6ODA=3e8ib}h@0M$@PafwrZDn$dsi5no6gO{W^<>5Ssb(bUlD?z)P&qYI^1 zGwk9ja9@53kuxJi4pKv#fo{NzX8bG2`St4s2_bS=P(vH1+Fx`L$f8+Ab@SJOJWu_w z*N2EZPomSPx{5SWQFOj?>U4^WL!(F&O-67{LUcbhl{C@6Nu`MxPTVsuq7JDL{S?&< zaRX5n)td<+3J(=zfA7#lvXv&O>rq9~DVn7_BJ#DU5}}G@@QEstMnsVPZy*C~TcWip zy~kalA#WAA72Fp&F(`ALWdf zOdmzYt0v#3x|qEGpdzO>5xL4#W3x{Yh;6-=t+=Nv1+!uxe_xTQ(`YS#g04?=^O;V1 zx7x2LGGM>Su}Z;&@qo)tMefV7y@ebf?t-cCV4qd2aLJRZcw(RC;GPrb*2Ag~!}z}+ zztvw18Kby`LPE|coRavXB=DD6N;#EP8N-y==j5m$u7L9ToTSSwytIR_^h!yH9YNUP z4jG1U5FGv0-zy*o0#UH(Cy2Y~Q#~XOaz+f9$=T}NT*Mm?NZeBcL^eldV9Qlp9pgl( zYo&oj&PwF>;}4%B?61Vm2nm$_1W{O45iZ&B1cV@p#|FUyB|WO3oXF?2bCd8gjD=K| z;}WnZ$h~u5!H1p_TNV8~7!Y`g3(5ho2MOQ>JFTD@VK{cg>zo+Jb<8eNX^tlE47-OFTca8-rd;&7t(Sl9Dj0xt~VbtYD zaGN0sa;X5>vxd`BRE8u1BW^?0hQ&(po(ZEWk(smsn@Z3dF9%an=8Z4FPBN}2JvYkI zp2Q;@Lw+LZG6fNInfjEg3LWSol=W`y2v8+)>l)T(;&uQ^8&_n!;|vE;K5Y_UfLX~& zl_lN6sYtwMm*CJkB#u9v+=Wl8*ue*_c|2h|k4^T!{76IICa_jL=-4>I=?4IldPG+( z@>x0Rh};RZTCD2PFK&e;d|Q9Hv9<`1aL?j6q=0h zrHQBQ<%TD7z5Sn5tDJ$?n|-Rnr_F9zk}RY6v=)H&O5K_YWs< z=~b%eMe!5-;h>LBBLgq_qQo@Zn$wFDz3Yx@uf9J#sw2AVWUt?4e|>UPKW=B|f2iJJu<<#K4C?NID_V(poJ#8J%FD5dlaW6ndFX(+Nj9dm ztl<ZNz> zoa?p|)LAc}*$jfE^$V%-T2(d-|=t;2Xit3LHUddDUTI4-%5 ztYWUUzG3Qdv4229`>f&tNg4q2OpS&0Wy}J**q`gw(%ry_1?j^e6Y%L?Ov&!Of&g-X zCQE;$$thKe47gFOEq-1?!3%w4#qHPog8rLBSgS5Kp}wXyo-ldiMPh0C+b&VualJ{% z6-q&nJ`4}OsTACtFehbQz6lRvC44COUcwyL-@WxFZt}@_+IYe!?$J(kH6;A~w1xrp zS6ZTWZt6#o9`|``Q8Uyaydo8Fz=z>jI3QUQ?GwTiNK!DRzddu(2mv{@t9r=30M&5Q z2V{K9S^uhH2O9&tXcY4U1n)|dw}e6(0le!6L$0SqMpcm!8w-s>6GLl)B}sjnw0mT& zpr}qLm`Qp&Kz%i7rBbao$MUs3%`tjfN!+&I%H$$b`lMV78P?lXC~{yP?4@AJYH$^l z;Fk4Rpx5Vyw##i}&E6;!Jh`V&bdicXAtYY&J*=z_)yIqM-A>#hdnq`< zY4WwI?b_ds^{F*UL*6hT?leLMjl6-3pFj^jsIfKn;gcRkq8fU(L03qhWK=_@Q$TfT z&r3A>9DQq)xaS+jXg=t1|)5QKY9Ci8ISofy!wi_&B%zN45g{~>16E10B|)JI;;)7~YKUp%sA8tiTPvnqC= zKq2a4t*Y2zx{4i+Gr2WYkyV~1qk6)mDzw_^DZxpx7<>|ULnJR6y*xzZ2D)kWFekMT z7(PI5T0XwYm0du3S5wRbO|Ysw$S@_f2U|f-X?%aHK>@xLgs&J+9>hHuMNUAGxb?@2 z9G@WTx>P;^0+8Y+pN#c!Q_Pd(L06MTK;A-7FAt{X22OELAsS*hxh@yzDh9?;PwrF= zqL)vwR(#ybbb2FdJSy4RRlSN#Lq;`2lkHxMJ;owap)ptMR#ji0nFo{HYA}E)5R%9L z=jzNaQoRFLy^f@A*l-AJ zFo$?RhW7TSShY_RkcmMRNuR6&IVN?HeUKsC^@$)+oYA|tk_6*FPTEZ38&D29$T3YG zs*CKc3C9J9Ilvt2qev2hKfLvi4RO;!EoCX=lTG0ZV}i&=f=mv=e{ic8+dU!RJCgAQ z5CSh!ky;3(!uU@mWYbAWn;x2IGEYrT!#!X!w1FlTZ8?Gfvky%MESrQ}&t^(RgNo#^t7X3!-DPJ#mVfiX^g5`UIx~Rap$HMuJ-l z&_szHl2BW?+~6LsOHtgyJ&?3)yZe2Hcm4?bcww4P>!I_0y;Dh1O-rUaks8?mN=m^Rd_wgO2Wckt_``Pg6IV1@lN)K4 zmK413BdVa9qE7EY1vHBp_oFgCt?Z#aadW|$fR0V}U|Jz?YI?m>Hi+{+sCELtV3_mf zfE9#E$IbZvYqDGJ|9`4?SVtqRdiv`Re%Fz>pFBn(5}M#K#z;v6ULejvxkQo4m}Wp` zjn-Eyy*0NQA-cGFK64-hNO?AfYc4k|O_ohUydqs8X?#LO;#6-d8B zq>&I4`;W4K0xpyk&#;{xwWQvg1s~y@`5_hs}bsaV_FEg-xYGDJDaa_4gd0fT&h4=J@ z=XpQR;N-`?avWlZ{`F`J+eak%ktX5^+x7?@UB5d~D%O*&rtK4PiAO#{ z=a1QNzkeLcw0Ly?twgW~*|uBcbnS2T{Hb3>_9+`$9D|Su$*!wEdX(J(HspHM*pfr$ zqiI#XX5Y_haMsNj{Meyq-Y=>mP*>0- z-q9tg^){HN2lwP?6TEN*v+hTi`nZJ;K%h*`n})2IJNXC;1 z{Xfz*@41$)tENp->mb5WC2>?&eaIJ4V2G8`l@?rW)rLIMyTTIZ2P5}(*5LOJ$E=tB zc>pq3N$L?cCm#yMB56z9f-?T(abu6BEQrhBq`lL)gve=%^b2(JT1d*@u}@}-3y+?Z zP*5boe9uL_H0eV@?JBK^)H}^R5Z!uN>1jZF)oKSWb0Fn^gm}eZ=+dVUbu#YYo{sfS z42BxXGKT+WKYIsDCST|teN67{mj3$0;B>7evGFH^(~%{y@h5}RktMP5Cxg?GC9&}* zw{wtw8uIDLocJt>jXxQjjx33dKN*~kEQyUjrIW3AEa_*B=Z}#*up*C&JFcpmF?dgk zI{xU8Q>u5A#9K86H&>TS+ET>g#VlOqC2jx5krVGuL3OJ2L|AFU|kv&!4&bnk`E@f84ne+bl= zdp92b`7;}?>0HwJ!|!kU6NFqlru(`(_H`}+_ow&WyyW#Cc5mAE?{EBc*%%VCW!d+C z{&X3*e|=iVrfYup#zT+ZxoP2p*SxfR&ySxuxbLMUop*Pfi6ZZPr{m1)9=ztEoA&(t z=`H)-JE!yHuWs7+yL--FHs-Fk9(?P-vhUx&V(YiRacw7r?D*Y+Q{UgS>8%NG99XvR z{uOKg`Q~#v$96;ZYiED%<%iySaNmJ*_T2x>re_y_?%z-E*fi^RZ~XhEFKoK{!9RU< z@^63s)VptVE;)4i?ydVeNx?_1ZrOWabobAndFa`HTt9gzJQ#cJ>}AV0bw9MP^QPbU z9s6eIvX>w6Id;jG)4u=cUKl^WgF+qDVC|LDe-9=dMxeVsSm_84mC^(BW++X?b> zTQ2R`boJ^_eRbKM4bN=aK|;QN=9@3A+S1jr^|}f7buQiW*xpTldVN{vDer%MU+0d^ z%l>84)pFlA;qPZQ-M9F2o%eSS+xya+Th8fTiE4On>9dbM^X{zo{y%eX10P3m-w)5O zR>w*RvN{WM7A~393z1FIo@_`Qhrrxfan`QObI1l1Vw^i0h-?yy<0m1(`8Xqabrz}O z2*q!qG?5Ysa8e*mAhf0l2Pm#Wjd2MC8o=0Xp%`OB9>SA?0sX(fnY}mZWEpIy|FN|< z`<>tZ-ez`oX7=TG-I2fe4S0hZRs7Re-XaC>J@ooVpZW9e6Z|u3C$oNm=JWGM?mKkw zD@SII-uLV?yZ)BopB{L9-E;SYnl$-ABRX|2=XI9Q({mKfdOfY}14a)MEGETijyF>Z_>*7r?3-UU*Bl z@8@3`Qw;>)d+7O>=%8=>5T!>w8&$R{p;{(;X9z4Y{T?~tscpF2-wPpN}P z=UsRLp7p~+Yu7!$?D3C*_Z`b1;*W;sHvzrvN`{nCd z8(=&>reM!%)bkurv${$!@jF=C+1g44Z#Ou2`6&0!c3SbX zKnTNH@49TkM=f7kcZ_^6T#F!;?ShyXE_{MV0Sx-VKKI}S{2-HcETjEG$NH8bNpHYy z0%SKg;^;mSX$7#S%t;wMQ+o>F0nZ6XEtkW1&N>>6q*jo5@QGz4pGNJ(JD^}J?EA9f z4ltS8aC6d&d#3+G*Koy_Q~V_zPJ690)vDgtKHqC?>2MX3;U22Aa5yxO{A#QTt{JGV z8-ej>++=w*&SlnjlRVbeS!K8e|7LB2-yrK=`e4{O=hS^q$2`+AQci!>Ee(FlP5;vd za~fc!uzSlpnvMR`z@(vLrZT4taQk9-ka1BGOvBYqBV2_HYJ>Jmv{-|cgbS#3?Ij`QwZl{f9ArZ7KAc2Y(&0h z7KJip&P4H#Kf>yWH|rj#K!|Hs1t*mhmbMO&uQ#!76~|S<5x_WWH8prUnmY1LC9(-o zotYf!j^nEgipe)G0d)hLr{d2d%5#XN2cStvc3my%?8^|HQw}tNn)?(gy3!e9tggxI z^%-+-jYmx0+fLD`tGm|7I{O+1YL|r~2-Uq^GP@GNc<~981O@ixDuT3?Y(o6Z>dmg? z6#Nx><5^3DxHb@)yE5=e!nb;1PIR0H;n+$IS7mhQSTY_=Qe-91`UJ-7Xy8{9T!#?1 z!tNyAtTyiSux`VP*DxL;_?y+kq#(NtVQKsAYhXOAOfvH`=@ir_1^WlYXAxx=dqTy< zP9|s0KSRR!Z2}K{rxARYRU-H<%Hwb>s$scuEFE2g$C>M}?=#y4t;sDGNk%#i-<3)G znrdlua|+EZx}aHwdB(<+T+Tw%(tz#OT9bFxFHPE=)f;i79Wu5sz^lS0AEaQ%ozoDc zxsD-xu_2)_6h!>rB$^MZh2m9CB~9=N6yZ}{##I1v^2zw72}kE(74p`@{?QxqcqXcS zTd30FW>1y4OHor@HdhEQgi10Lbxqps*3?xKo;wJBoYSOnJa5vwBwU3m2k!_z0~1^L zI7F7Y9$ATxi^e0fYEa5N#9MY_g~mhHBxmvD)GBB&Tle3(cVNeu=mB`&(NSghA+Me} z(ytrf0$DrlDTkz?K=jp^BM;lv2qoc&eC#lSZ)x z?YM*vBuIs&^%fl_gYW%-z>Z;Kai4LC3^^c@{ zG7U%e3&7>FrA`r0rJ-xAW{z+>fmry|QmBGOaOPXWt-ijW!zc0eIDZB8V?U~+WH%DQ3(Mzq0Dhe484>1nq&Fks~*v}EEX z(L%7$oI<=HFQ2R_7(295uM?xr$t_pi3xe3A>nebadhGjEJp@Oi)JJ79j&GC^%rrb} zmIZj^A!o3@tiCGFKy9Ej46oQil!3S5s?LA7<5cQ$iCTEzh2e_n++z)cI_fyq44W?! z?4CxPk7dz&EZ?YzJAgsGNH|Z-FzQ)c{@m&@D#2vd-_JmAIX^KBCRNn8N?|9X5PAM| zG4im}A&eQP&c9hglWr1;o;NeHlYPrIjOne;rmCJ8DOhdsh#1KbwQJ9xW|7{Xj$jav zOPKcv8!m(KANmaL^VHh{yf>X65nfqzGa`RS;t8!_Vpf#8bBrAZ>v83aM}6|3U|A2s zO66FVb?hKYJzOW%g(WI2A0CUE*k{6{>rDqa`W}m}APlaWajNhHW3vs;;?Yf(AFXQl>Jq~lK&Yhk??F`Q@E1NMd z3M-rKhoiHat)$G1j4<>3^-$rTOlx>v<+;k@}S?0(O2Bs zxdxidvwLt=jl4K#N}^{?cP2KEZ6tGABe)0A}&(v^9)zNo0z{ zR&Rqjh+W@dfN9#Y41_qJ9kt*SR0FP)Wj30N?YOKiY zxkGh{nxE%vtnp`5#(T330z)CyAjq7`ctXL2Ost-I@Sep6sh!LtpP*ZXt2(I~n2qx= zrbK><_5+`cW0Kc9ZzY(Nh65&Q>@aWZjnq5*LC-A6Vl{k26)17!dkkp{VE6;Q6`cai zls>wDoYAN*8UqrH4fxUv{$`{rCAe*dy9lm44;K{oj!ZYsgnqNmPDaY@?imJPo_4qG z>F$Iiv#x?UU4X^Hn!5h!JkMz0ZRbxdUs+m~COr!@|SY-Y!TO8?j zCn9ysX|hFkC0xuJSUlm|G$Trw0_~4{LXDUzY`h1@IyQ#siDVXh;s~eP?OtAECNa1+ zBAu-K9KfbCXiFOX$N3<)FR?olmK*0yM(-O=H%6m#>Rf+D6FV*K+?w+1B5LY$mHm)& zjycp^k?3YMgC9qwBqHSwAMobY2y-xn`4OwWwUO~@tu)#MegrvsY>TbO_^Jdv0rf`|lb#$Ch*X_)Y2Geopy>Rl zig!Yxv_Z`tDojLOtl$h~&QYnu${d{LPM6zFwc|J2qUZ4>iJT6rAQDW%HEK?I>{cG5 zg49BB7K6I`1Mh8SksXiFfQI8QNLiS6xh^T=o#-hjdYvBqB$$Xr4Pju2Yz9Nc{{R=x zL<%H^naKb?HA)Pk0lQc2M0$t`o&e-XlBEwjvg^$iVP1EVK^U2q+A>LpR zqAkZE3AQiFptnmRX^0Dvn4%{b6M)O;ll407Q9or&9nVP&C{zL$okTW+U+PvtU<*T` z7$gdqV_8ZlGr^mR0VCBg79}f{Ncu!RU`VK8XDBMu?2R*{tW%V8hh!NBNLtwv2`2Nx zCJ;>bN$)#hj+*F6V3f>innXo2$XXnmQ;eIi1qb+u2D6+A5yif;(qPrVqYdl}1bu>aI*tM>tCe97FmmYOr#cab64AND@`T zgi84_y9ZLq!3{K%B@3#KPfF$Sa4Ce30jXa?a`4BYb+6h}?#W7Ost_71-tHr9KcF0H z(2NjCsx57JE>|*x&&}$DUkSSz&L{%3NR`#)CuJ+n`K{_5US4%MKF7CxJ3fDS*KI@i z4&jblHsdRLCjzcL5lo=TWjO_JAG%>^r&937mltOBUJbohWZ_3votdM~nX?&8E*%d~ zWaY$qE8cfZ;HqT@*4#OG`-<{iJNBM=@kG3#$>lqi6#08w&fnKkHIO;kYS5ZoyZxr6 z2fn1>y+Q3PC*$t`^$mBWhY%sEbfsmfQN>s0L@quC>hTTY#uHSR*-F!m?UB6R#Xgz1 z4X!5-PFR;OPuh@m^EoP$oU5|cFqXQ1_m1D8(mwIhOf(2pX(#yHIlOi5BQr0b+qQlC zomU=MbFOL-KO&#p_|mGU@RijcpN!MB(8?dAA5gu6M<1K%a_RFiHTa8ot?KhJCl3Bq zp7$cfJ5`$ZI9OA=0X2P>r>2WyHv|eIWQ1Stru^FohRz8U(!m=^8U7Id3d~uU1e2uO znX__AL=Y2#Q~xlL@k;Mmll#N~@8*EX8NMIB!u!jqwVuK4v5vj0mAYz*yOr8!4-IaB7cxlEyl z0FK!uTb1Y>PAq_7!x8X{W|AHEgGhM9!Sr zoH@9T2@@BroRvxB8w8T+e{>NsgqZh#uROI7h~1&=6AbTvQ|1Sc(^&eo;! zNx%dmgLc9Ro|@&o2rySQ&&f(ti8PftfT1AZsReMBI>%dU67a?<8P$9^Fz3MlwsO>k z9#pOx<8P%&p89$RngmQrH+$vSiJ64MJ?+X>`T@*Iyhg5#eTf3>yP0N4OT%P~UVy;2Q8Y zSWGD1xY9YLc;lj93eIUlOrYQbi(4J#Sh@psHMg9aM9em1ECywbPcnbrN zc?rQ4rzriD?H)u$~DrIGKEIe6*z|mx7z$B!YkU20a>sW(>Ned`Y z4Hy|~>Pun@MpY6u9xcr?A(43aVVowX4uLw-unC6*BB>h2DQL+3rQpJ9n7}8b35Erv ziF?#aGYq)e0JRiWvEJI8oPtNI`JAgj9k^<&-ce}MNt&2!MtO)C+)z)skjRIu1!JjC zh$e+hetE&4aAtu9bK;Vt#@zzvZZQ0$cE(IPj{xADq#DkpLeX5KmKu|1hsz>In$H-> z(jVF{{v^&~`V|tJJT7RDPH;_$yamAqv<;3zE2q6&iqp_Mr(_OBrceye7Zk#T+Drz8oI0m`(p6C;&dlmnObPGh57W7v zlEvao##Oo0RBA`pm}3f!O|&g(<5=S4SRl8b^KK3qpTX@l7)W=nEbES&#CU=eg-G8( z?^j1HZ`w9gV4W!>c&o?-4sPj)-V-IM1Ro>$lcHfJ?t#h9o z2d@~~y`=hT~C?}X3fD7OAjvAA{7&uGgiU1Lyz41wV`(bZzSr= z=Pud4Hs_N^w$3D-VjqR!R)4MXrAth^EM4lI@j#%ouh2tJuf)VMpNc&{q-Al3ZZq3b5L z5*9vrvG$-A`6b2VF`A5|4BJ})>SkA#g`G?q6KPOGq>WjkKTLY#*}#o`wl^=F z@QgC@%h4Ts3?8fklR=+TBqwJu9iEG~vLe4${$|5I*3f&D2j_V4&WPu_Rqd(9C$f6) zq}rK9T2y*h^FhEpp|JCGib)PWQK7keI>C4T z6eg}tRVd@3`}+(jUaVjtlNGKJ`*QhkhP#8CFnZXMFgz0rU1a=&%2n2hG6%XBhgnDM zpf1l^{XS+El13pIJ0j^}7*}~o+c7ZK6H37#lr+lCQDZ{D)egZX<)r4#Ir7*`U}It# zAuG~lY!OZDL29azEgn?jYB(>H$Z`uXk}VmYx#M7KC6Lu3ahrqIg6SQK4C4}aA}rJ3 zD9f26@(MyVz+eUfXdxNsAQi7IfH$zL-gwFju!Ulf;33H-OtH&Bi@l?zoJbH!{26gY zT?`vaZ)|NS40XdykPIY74Ne@G1sjh_GRbdk-R@Lvv#Esh7G920FB@4DfkUY%Z_o-EOC7xsUKpRT2=NJPwcA}y(A@U9+z`6L+qU#Tv)It>{2$E&;3)j^MD zC~9lD>(8H3-5m-?7R_f7P!TNs>3>_ZaH2FeAO8WZDGpTJUz1m3FF{tlR)t!uXLEM2U()!4-z@uRzGr+G;C3 z)$!(wh_~8}w55~>F+iwJhqMRD9F|nokcQsrowLDvRs{DIpf`A@+@ASl4z9oRaZdzQ zj8T$LnkXyD__Gx?7{Pc{u{ORP#@jjLQ&~9cDir1X9k}YNpdKN|X06m7#2ux`75m3g z7khAudT3%kPMV{&!GV0GjjNqP1&evZc%s(Em(V*$O?ro`rA5?rbJ|lb{f)IUM~$Mu zRg=^_ju_8mAnK)l*d=52)<)B*N!L2<7te}hl<_H|w$ioDT5o8RtA^tenQO@4>m2M+ zy@T$7B=th7+t%H5abHh`$8dS+ki|>{X9ge{z@dN%U`oZ8u4J|^l#C?{HKB_?5?-39#+xt>i_sxYH=!6MSm=3K6FOeWqyr59t0g`sLC0{# zK?)65Ne6I0!MGF?6B;Ja6%xeQ;{b5ome>F$-r%fZxMcKWUNDi0{<<*_%oD(!ICOq(18z8GfI+v;BSs6gDFezR(gPugGhm~ z4XBa0+Dh7*24@Dhl_7icXU`%L&yI|cmYeMa) z$JiyO_ zMvNr-(38NOs=_U_7u@MYqDqwpsMV^oD&#nfI*eY;rBJv!q~r!vQk_hyltglsQyYmB zn4JoCi9B|EIc*;C5K8a@=4$EKRVFH<4ZL~XKtMs#tw$XcFcHNT@&e-bm(7Zx?xQe? z!X15X1c*AKsJM`H!n`s7Lga)LlNKDup`~~SA1x?Vbo`h=hiu?Y6|14b3Db@#(5Dt9 zNv-zerK(S=-a)Usszp#*8yyPPMvn)^{fb|#8QPd#(*^I9YfA90Ee+F>X^t8T<)q1# zYL#|H0KZO4>!lQYaqHZB)iUyLikNKNx+71$`0h4Xx$zfR(br7c^a^lJB*BNbW*3G7 z7>ngZy=4r%X6W#ixo>RL3NAXZ`0lFA?0dBbZ_JC#o1>VF<*in(HT7?yH(80B6YwC! z{Q*ZTIr!TfuRM^t`|G*dnfXV++9zv%j3b72|MTmlAW<)aIj~Uat*nHCfU(ZKgB-i; zKr48Ie<>>qG(n{mR0A|AZO*Y+N&e2Zs*RM@U1(0;c&+!b@vi;y?lWtbT>F%we)iDf!QJ^58{D%fYO(Ky zch-YP(WL*;-KuxkM$?|Onk1Eo2~883l<%7WvbD?g;hbpwgqUh3$aQTN;Mv(E+=&Cd#DEIws%kF%mSmp z(n%D;xsfSA&T>V?Fv_YJZXdAo@E0UKRHnNRG}y%dY{KG(v}i{Lh$-keJ-Vf%@Un1F zlAn`sb%cTo^v=;`>GQt@Q~(7P5Vc)}9|I5jGoz_IO6Ww1%sHkslAY29)XFuY@KC&- z4GoGlD%dTe#c{H-@L;WX9x0jyWm@icZ^6~G-jK3?3jZ5+$<<4?QId1YP}p5 zswt?eaV!C3N`a*?ERl?XwXVlv70pkvK4tu*iWa3#PKq0463hb_hozU^1?kpH*;)17A(8 zd*aA=YTU*E6FW7qtsRs~!EkNYhT5vO--WxqVv&l;btOa=b>~uDgH2ozX>bFdB;9Sw zC%80+Li#%SdG%Y?} z-UZa;!PGX-O?9b(lXEsq^1M~rvEGUfDA+Wal@swkMpspTdE?0&JJ36nl#<0Os|wSX zTAigw5{@D991XXmUQ{Fm7Zj^|8mBCSK@DWjJ-DM|blTomwJMNj}-U5!Dh zkKp(XnCSw@koiL;mC;{F;3r6%h`%X7Z_(##uryvt3(Z9$1Jd{WLwYeL)K+gK&+arR ztp~B4sxD#D;IzD5JRS&i2OmktQE~RV%_&RwEp+19%rX83^qOP-n7p&{m=VyD^9*U^ z3kq}ER6f_{7-I5EN@yU>#<+=N#C$D$N$|&(G3$enQ=J|q2VDghRIJT^ua+FaCGYyRFnYkW& zQbRefQl?V5Sa(S_6BrzFz@VhRLZR7XOZ{qbcu++#!+`~d(x}~Kx8MdU^(e>6kysp4 z;) z;Sa|w!eP4}p3N3LHoIzFKV=Mv=Fc^n#_aBO6_lL z<-d$I=aWy6@dZ|nubl!W$6;m*xj$Oi$F z?l+=$kN*D;jQ;k4Ozr6C6{Ad)y?ffT#>dvZ^0DjR1Go1~+IHQ08#|zP`0i}>UyseY zYFF8JF8K9#qNgu<{hURakI(*7!=rDK$WhYdu~E|GqIdI}jDueuOMRSrlgKxVL>BmD z?oZBrAalX0%#TOWbdbu%HXXaDcR2l`$vmjc(f-y}zN`FQVC4nM__x27^U3(yDPVG( z#*gP+VEl3MzHq`;MSlFiYgHGGX7FIz=-c*e0%-R1f#2)gxNXU9qnN6w8gfPU{gkac zdhcMd3o{pHbnoz=qi?SmTg5qU$Cr8a;rrh<*8Rr^-e4bi_rsqYMTZm}9d%UiaP_lg zTh|@fdQy9bd-b=W-oqeiSI2B-JvPhQ#im>^G9}6uz0Mb9jM;x`_|X`NtR_t!T|}BR zJ9$k;34ZKtg3nJV_(7uHt>E)cfZn_BAto3^l`#H;#s%kYW}loMz59&`dIt^X+Ra5J zm=rm7ao*n-DUpvUbN*eKGfbLX@EU3Iw(`jz^3;X3b7^V#|AxApwE3KZ^H2>FRPVq> zxiw3B552?xr*q@B@4I)f?j~O0qxTLNJIFIL4ttk9&wexd_IFNF@4(6qX3T#!%09Q} zv^|N7u0!wO%pT?F9n7~jKU;SBx|ctf7-#qi0o07oT>PAWT%Qkr~0DfmAGzy2^$KSc2ET_-^A-TlO5w&I-` zWBf&@z5N%@$xrU>y`N?lNZFZ1)`Pj3h3=CpAE24V7$&o$1&&>uckJ8|GG~{f{##|v z1$pD&RaOq>sSBBumxjmJW&NMLE{|$FDfod5`SI@8Dj(1@i)c9L9nfW9?2vOTQBfkt zPB^p3Q5R3}G)yy#y9;L)Icmi?;7u#K7wy5Rihe$rS-gkqYnIb7{4V*+BhB;PY5ieh zPye2{JQp*I$`7cSg?Z$(XUj0N_~1$E9kgz1s#NcAtWkDzkwT9*nx_hrilC|p8G5IR z6#B@2xaOBUQ8`_FE zt~boR_<7@%)5eczj4_!_pWVx?wMszzPR?o%7q5HnuD|*Hp(8i!pjg7cQvq`S`X9b>>lc#0U%)}@_7d+M z%W$sT0EM5w@3Ux{S3I?DcUELUEa79~J*g&vPyXzxQKZ)!6eSL1pRwz{ee?oD-^ zDz|Q>5Rt)-#tOD5Y?L#j36iCCQ`4%1K*U~pETzxdWR;&cC+x7AiUu!nl~Xg|;=V;E zU^m)^Z|_0GNJ51AJ6tUL_L*G`b|dRUY^@G%Bt%73#{gsTW=ql=65+OR4I-Q-GU3J! zH^x-RTgKa`;<^HL1s-d|isKH>BZf0E z>=9W2OACQ!!)o6{(BLfGWnBUf)l|2Dy7g0Lr~~E@@2YDNmKI-w>sszHf%vm7qSS<; zx4{t|I8pT=DOhH9;AyNcOtpN5SFyrQbpy;1AR{J-W$S~Qg&q6Dh>$Get=7#j=V=o# zyULC@j(;-(hKfFf>NVH`GZcbKZ9&jr?jgMEfMK?@lE|n%HDUVy#_=6JsDMQP1l!$U zbiyfDv1#W53HEoz<`jj%`C-TE8A_6QZ->Cbz=y!sXB;+8%ZscOXy@nk*y_ zRw5>Ejbjb)g>Y<3M=HkJW`%sqOnTv3a-H@d6#O+&n{X9;0vKQNfR%{S(U)(7fKiT8 z1I{Rdp4faB z)fvXW^mqI$%&8RC7S)+MF#N@nl znoLZseKPk7-8)DMfP+tWAOMa>!F3GU_bu#C4yPxloZ%4Kd%WHRq;JjBUZ{z z;#SZmgjH&a-+XUP*HGls=Y5p<+)jCd5%2DhWZ?Z7(`2>7h+YZfS!&2VbvZm2^6Ynt=(i$?7Pe0!3KMwLowtK zm}}457eh2VNv?t>Fb5(X23I5z;TNI&8k$<2 zF5OO|hIA{*gPYuXUKx+1Exc2Y=vJcqhjvULjFnr)5OmCElH1Fj%b0IO*a%bAfPIN- z=oa|Eh?jX(2t3^(g~TbtEUTYGz;rmBw?N&_m;uzV$_$tf zy&*ve`hngkV7O_>)gH+s46-9CXXAZWdeWl~L-a4Lh;Qr;(8NJS)4whwwofwHPo;A%oBHK=XjMyMSbKzdK@=mP>= z{}hxK;iNv2-s%#mf5va2ta28<#}d5u3z`t^6(@mRQa|@o$X(+{-PRzk8{AXQ$-NB{ z%rZhiw)qJ1EtbXcQa5KOHyMd96^tFwn<7{tb#&;X&}S8f?K}#+QBzM4oK(T{_vCo@ z5cRw$@iqkFif(GIAl}30<9Y;`pd)D3Ni|wk~&eo0;aSc~KJ=sj7W;rLiSt@W`j<^sp^Igb33P zrO^4;o%ckF@$Ps8BBlN5IS9;M&ocHoi5`LP6|^7}uQ|YW{$pjuw;FHV$7Zr&DR_4a z8mzq9&RAx}X^mA*RfG+%kMV70;$GG{10Si1*UEY(7R;;ZITyV=#tzi$$A6MDo~}1A zo?sSd3Vx6-dCG&)9%~Z?2?pF)CFrE$xR#lC5=J&xJ`^?0DsE@&GF+%xevzms?)21ma3_ut zl8X8YS2lXA0wX;*zT3pup>rUTIWL^R@Fa<@L(XRX-DM6$)_eORCQG|B1cGxuh)V1J z7pId%qUPy>n6Sf>nJ0+4 zov6F&1wJ8&;Jfh8swF0dq1y?zQo?N#wO}$}f3wD{@#}HLSbj+c4Y8Y@u@OzF?bL`< zvk6_WZ7xAuGfut62{FG>?qO4>`YSL~SQStA8!5!m4WV_?lp-Qmvn0m0zj7_!WIC47 zJFrynFgxdmRSCwXZkjE5Wcim4v#wMV2Gg}&E<{#dl9?ghcIHR}rSm0=q*D+n5;%+8 zw)iwOoyK-tXR0M81$KNBU=HKK(0Pe!KZWxM#-euUEOXLsm6&Fp;kz=`ZL+g*mVE{vTZ(tNk$uekOghzp zfS%}frE%r_>zN6Kl9(>0QS*rIHO%A;mSkiHDve=ofN%d}*1~QBkz)$zok0eHeH-W} za4eUQya0}bIRxA3R5!)@O`~s7)PZAFHJ}{RD1r?ILlX|0)tNA+cK{JF)zkrRhy-tw z_143&m7A#9f0HVw3$j$rSCN9)Q<@y5=1cQNg%^nwn9agjq)D6QdZPP3dSPV)0uuZ7 zMhex-5GOjPU=!f?A+n^EB$9ZE$im~FSzs8B6dO0Vk_4-#JqNX=B=A4y=Jf7{Cy~oCX8DnTx9}8i)zcScYVd zh{ic9V?uILiRdr~0%wjaSOy7%FomWp0XOI>NUTei>13!My;rTFso)B@8iOu#l$`=axV{vK)G2j#nlCTZrImfijyiN)i-@F=fQ<0##zc z+<-&`zv>6W6IQNrLfKbXrt(Tr%1Qi1F{h%GWuIF(J5k9h z6Zj3!lWL)ZRm#np1HSd-h1ZUmtVeoZq@p1^i&m5cGK?lQRsc6 z3I==d^>-=w?(SdS(uHr^XU+Ngfi*w6Z3aFh62x)+!s1UX{qgo7K&}o;dvTt6>5MfS z(~XNMRPXok9gQp#d|xCN^7gT(=Ds`^3Le~k$CU>bzkA!@Z1CQ;IZus9xQhA(i0lgB z$wSBfS60DQigyq__4SNakiF7-*W6pS;zK#gob}51J8wq>Uj*O1cQ?#Iz*d-ZVCkEAD@pH}fhNSe5#KJ! zN5UoJ3w@%k{DfknMB=+Vpng?H!oBj~KW+ajf?p_Na^=CBe!TQeiX}XosBhl=zz2)` zedii@@NePR8(&_v7z)0$ed&XXbJehWYweHPNx^^Gj*kcZ{cT;E_eSV#YrV6^UtFN~ z%QtO*HtKFp#-n)D2+&h}ioV#?DuK5>-R@}b3FM?K8dt}waF#g~%Fezx7 z@145;D-WpN!K1fOyIgvKv_w$VV)*K!7_m5FObAv`w@%39wmda`pgbqCm6%L}7SN== zXS3^m%b&Hx3iVYkXhpQzRK52!s84fKgX!>+a(~uzFVQ;PbKMw@c%o~7%XHt8uAPs! zdB1he(oCBDa<{pEBZYVEn^rMzZmrimyUkmP&~jiD3fEZazDSH>^2RXFPPxPPy2eko z;GSw4pVw#&*DhS)eujLqIhFD^;HDX1uhltg!RltwmUPoyF24F1KF{CKI>*y7V%yqM z^@CmYDZf*Bunk|VriHB|Q77>_J zj*kncoijF>cy(QbAkt_PZq)BeCY8Sf1rx{4TNyuI+&DEsqc}BaE30G}*_llOUzROPFo{7t?s7nzINl_7wN^NWu`{5cURD>J z_DM&&f1y*E$mUA@ntI?lu1HTavak*5FprXjKVNGvBmoWT#!eaYfKs8}KyjJPF@s5l zLAQf#E)~i(;Q&E%sVEg2Nt8J@89+|UC8={p4!DFfjvb;Y!~G$WN1nv`CM43~NQNRo zM$jI1k($)_AJZF2#fGOiP(d!i6yj^_!_Ou7llV(eWlKTx5@t&>52llej?1>8TuHD| zPT=XXpE8^_L4s;Bo6r9$$PfaE6{lH}OTwl~=&kgkAC)kfLG6^mN-LVhPRj2uZ&AI2VCc5G(CX0t;nAU@sIMmq#+7L@ z-ne?is-ejM0*Llez*ZAMY!PU7wTsgl7Z%pwpAw#GLP5J#0wdD z8o1(J)(W3^p7*JgXShw!Byd%&j5IS2J`*C8Wx(+gE}&+JAuDrC90tvR}ckb z{ewc@;u6=Ez$YudjIL_H-9(!Fz^RF_zKG{ATnw9I4rzkrZiKuwO?)RNL2z}<1^lT% z?>fg35jd7B-d)7RLL}U>a4nvL(jFux%xbtx`(z=`1G<(mj54}dg4&4%54K;=h+69{ zVSFpzGuFo2s~b=|sZHfdETb&e!;SOU}fkcj@X6%U#XDwlHY>N_Uc*@@sQcu8SG8ju@a4bX3 z%W^n2?Nl4BrsI9R3&tDsS##3zEjbwz<*J^dG zd;uIQld(%#G#x9qs^;;QnpvD;mbPFuGKNkbyhBpTb5Qx$mpOYN3?ictd75Pj;?0s^ z($1=_OJaD$4oq;}C=XM2?a+NwN5t4{rMG~BQMXJwI8VT2K@1C`<>eTx5EBd)64rkL zHUx=O9$Yf92SF`ZNE=^a&?teJkluosfVJ@qcO`48v8o7a43y^WmrNR;Zh^1LYp_r# zojn-_pT_#xH~DD|%ya~N;w*96+Rd0VFBUVHaYjfnajNm4P2wdy01>-H8b-Yed7IT+ zlrt+^4Gj779J-UZCs{6(CQ_T@L%{@T!eM1lX}EMNy)DH1HT&5-1sfJ_lv*{@VGda- zz}uiIMlS(sgN=YX0skuMXcs(JZJif&Y(%TY#TdNdh*&^#!yDpfFJExMa?c*pkZtSSb)N5fqqnv*tQ6VxgBQ!EwD02 zO(M}Wu^*!oQzUXcOw`cEVTSAlZ;PEDGbBPi zPY)T~BI7ZP!r7p#v^q5PINm1$W)C*~-!`+5vO+n4Nt^(bgHIa*h?%{nTI$DslFAH0 zMd%0&YVdXypi zkO?EyEs+XlbAhwL=DmuCV8%Alh-Y9K!)ax35QDTESw}QhV8|^2z$v1)Yyt7c9uiFg zaDhY)Pmn>LM2>3@fx1PF)CI89D+I?6h?UE0AyOkzS6=R&N{;XmW_C zHT((kppI6nBPJJ7<5meg7)05<9h4L|H*e1BqDm{Ua;K`|TD(k0)H~i@3EscPm-Yc4 z-ughc(ug-{@(5tm%v0dM^gl0$$Q!9HLH(sh^2x@mf`O|P?`$MorJ$z95xD@l$cXR$*fL1Ri+z{Ys~2!<5JfcrOBzk<~83 z={Cx=?f5;jArb-OQm);0H8fEo_xS0@5hJ#>c4UPL9ouEO&G*P~!s=;tyq%czre@dz zdiw@e+*>d4u|D54&dMUkV)`BU+bQXjS-t)i+)z=*H>%p%#N6R6VQ14uEZILCuZ_iA zwxDImw~PU6%k=1Qy02}4Fz0wP8Y>s>Z?t4HAKcRC3~s| zBE>cD=6dKH4IDe&BP(GzJP1ftgVscUo!w71W>ok$C^#QCC(uq7fVZYbHNf~dd~!8{ zu8~g^6XvH|snQT756^*q*&UW{6F%-w=0HKzXG&Yyb9FuLC~2Pvz%HT#r}!|U8eQl;FfNv?i{fWO0;8 z%<+=~dkAIBhnj-4&Lx{fPoA`u#dJ_ePfj=+z@Qpd zl7W@1TG)HktU{Q0EfFelW|n(~fhUi*#VwB$mwxGC!U|2GCOL{m@CK$}X zJV9?+3?mxId!R<&IvMr+G5y~P>i<4O{Wk6vAe=5;nrAB}!+|C}xJ;-^vk?*hS?%|v z+GPlVeRmR!_%+Mj$i<-aQ!CNk#{3uYIKfW!p>Hf|4@mT_d%!!L#%eyUe0X|+K7CJ- zS%(4p>9Zs4^PNA#!Z5x=ZvdW>PJa||b)h+^Wd5VQ&z)WsWf_T4(gwI{ zLv6%{$YDe^?p|&nRPPLR<@5U@RdAI6lS(|N0V}178Z#^6g`hiKgX#JnICi6s$=gC! zl1L1-h#CVB?QhaMM=jH(V13!&sfQGb8uhsoSH7Lqk+%8Z564#FVz?*ic7V6+tc7Et z4P7U}dpM~E3D6xP>*lmkkmfI`Q6uzjrfL8T>VH7(KtWtS(+)*7E~VfYxGQUAA+-y0 zlDM}8-nheI&v$H*td9upN*Va1keZB7riM}T!;j@=G(O7SM@37AX{#~rfJ zM(ua_`wf_)tYjBc8+7Nui@tPS8B!5|j=}i%rOA|srcm$j2i+e@>SA5Z=BXI;X4bVX(ap#;aTN3{O zcS2bul5>V@m($UKi&NaB#XZ}`_`~81h$Z0$76%00$T7ib^^lYyn37_`xXMe~LLfwx zgvV2BE1?N4;Sh-fou6VvAUn&tF+_nQYMAAc4z!kt*CnMFgWffq3&8{UhY})9tGgNs z)(L3h=M>Da?lx#rYr%Np!TMpD)*BBW0L5++u*4rR!B*)_njlF~C@`^fH6>3&E^TI>fL~C+)b(N<##^@n{z;5rA=gKQnMw#w35+ z)=b7?fRQ9^OxoleE0CA?8v_I2rQrf1yGO&urISQQ)#wnSXOB&W#Zcc0os0*5pY7hi}LhG$Hp(@_}eMSBDk=I{cdhqqp-S42CjDF&c z-4D$DdafD@sHuv7Tl-fpt$9@|xOV7@t+kgkAl;Ks_hDn5$wdETWwIL1nbqDya!2>0YIPe)0n$olMRf< z{4JQ^?dl<$*UAvpZKBm^ z5eq*x!|zPZ*mN5d+z@^myyuW6bW31MJA$e@)B4xrlW$kGR>G8R@zsrHdbRtbH2chO zs4wAN1?tshw=PIFZrYd}z@wiZhb9PENamDp^Mq@KQdoCzDtzCmh4Fa$k#AdocTYm| z>W9-c@QJF1Hmo^pqvZ%y^L+#tR0FvRMU|u=!OB(89aYQ+gS8l&;N$>Yg=KZ3RyE%i zP=D8p)msm(ga`ZJs!n233&+AQjYK^d0`*-1Z`37R<@?vV{^@|XQiEun$&tIpH&l=urnNV+v^uS!#GEi|FzrO~3+wnDOc1Cq`EoXPZox z9;t6!}vrs=V%?Eb&%AIm^FjwaFXEts`JN_5fJIjX$v$NrX~B-l+bWrOr}GTwwC3Q z_Ei1To@fAkZkOLTO>V6HM_fUo)a5P&lbxxQ?)+O3!Pm5(h9>rE7b3auZ<)4XBi@aJ zNc7uTR}H7xGz78@Tx9^BF$@K9X@({&;$3&t?i_EF=m@@3BM_VQ_tVe@3gQpu__&T; zqt^bnd0z%3++lxNniYAYugOr!ySleJ3$< zMcgXG1+*GO!&TxT+-|R9zs}&BDWIiRk;+6v zyqPdLR?1apUhhkFxpMjq16K|t)y0DrkH#aLfmSj}_^~BirVXJ<9Xc3s(A1tK@KeDh zW0LgX__P|BS1`PffIS)tafqbndU5L=gCvZc8W;sDw)nBcgd zfV?2sXlI7xW{WDbu)3*}NYY{YV0EC{)1~=+1vb$ZBNS$E?Ffb9njid9sl zIN2(hURFXcGIVbsa0oqLBN;x(gkM!D5R{Mo3_8R^tvJ2~z^`{+PORh<$uukuf1md>%@_7yt!L z*3l&K3Nnf%i?=EhL-cN%)9(U9Kb(+shmqXIiHhh7lLQSCQ7CyqS%v=P!EVuy)O)>} z9T*3xVJz?L%K@BT7?4CoeL51?e*)%6WV6XZO$yM!T@o1AK0{6?G3Hl)q!{2Bx`6E1 zepD+WQu4s~WJxd=786=&Ml-k*pDe|a=NTIcp-rHclsP|Z;ympM${D}Vk@8LuyA#32 zJKeQg4195{K5BKW>fJ2Dm-ff2*@DZqS1d93TUKMj>bTwkT;3foXZEL!ve9=MufWZJ ztLJsc|Hk9xyS!26U1WgT{h-B1pZMheVeV}Jq$tk3@#>zQv3DJzcUW-TBVP4>m_?%* z7CZ^zq;}ZgfW(X|!fFW4fFFw{Mq{E07_3=)*VQAq;_?xbi@RpgXhd@+h6FA;;OddM zDrYoaGzw~RFNhfOqHhub=l^@EyXRv+1pN5l6>LxS(@#HNRb5?G-Ce(f*7V1o9sRO? zQEt}!L*lwad|E$oztJVLk-$J43nPry%mHom6bIzhH)Lg24|EDfD$Otn0a@<1R%9a; z17yj3%{=Q6Z*h3;?HdnTG7Cf`y#lf)n$H~KNmiG1Ay`p|O3{{zqXY3iyk=;6)>{z` zl`e45CmX9T@5;dWEWDgG&o)PYf?s6t3;!smSvNk$p8p#Q&Yv}ZTG_k-exYIJ-p*Vv zGB-RM=!pk&XTNtZ892@07rpH)vNAVp&)o;R6XP;wrDj+4)m=1sHOPY8f%DHyG)Et@ z#~U1hy`BPlekXB*+@z^cu@$eumq-xrWx6f^pU${-A5}?5SnNJQxQ<)E4bS0!=7#6M z9q5do4>I1t&_?I>J-E-v+yEq}NCjl)&fan8*xVt6@6-EOrq!CBjRfx_FXtajRHA8i zM(<-X*FQb??C75Oq+H@GGO+HVcTfz|cOl*^_s>v`2%d6KyEXUD=zRvjcL7WVSWE>> z1@h>3lEHnhu|->rWdX)nL_i@tf6PHv)zg9%`&+dXx=}sBOiwP9nHQ2JeEtq9+vo#7 z8=dQ!{#U@(;UlG%T<(N6*c1DA2=UHq7R|Ap0piYBnjBsE&nz^3;I&DedU`SW0yI-? z9Xa{UKsj0m{8y={bs%>%F@+m;}Jj?BDA_L{u0mpRHO4{Ua6np@eOp$-BauTK)Ant+mV$lY^bL zLAG7zT`VAyx?sm?qD)id3by?$*0yPLW0fwF$FbMS*x%m9+cP<~onG5l+~GM!)|~!) za#9cb>$SM|AhNgk;!z!W4nC9k= zWA)@^1taHYVjwd~Ow+}Dyw+N#U9>Ou7RycF9(dcTJWI5+=?8zs0-AQ^*TK!+`0ZP= zD{w1u2fjph55!Fbh)aP^MZ;1h%%~^xyvO&D*Dq7RP?= z^V^})L@@-Fmw|&_XdTM&NaHw`+cqhnxP#lnuw;}Ny-&o#$Fw5e>;PJ2N`Zs=7jpaN zWXq0!Oglb{VmGK4vkzC!=y&&hF6J^7Z%4<5whrwgFgEw2vHQYk9p=f27rvXh@chSb zc;lGoicc)$4;>tR=RR@F_1fZXXNbwUTx~A*+uXq$N8m1;cl0^cIs|g6GfAxjL+hXk zrpP*cL`#?37ocMJ7?L}>lFt9OzC&WBjs83<#}FcnZYLhqsUZY9*EF)Yu#d>sQ@qmj5k(2`dAhq=b!x7#Pman>Ce|p zGiqXr+wJKxcQ7+rkuz7Y6M=GbrBQHRgH9%CFy zt%DvsU3J9|*%s#9!fcv#5*69VBMTffrx6R7&^kPtRasQ{LuVg!v*8Zm|nIaf- zKqHGn>tNivFAO^+>>ilyk1XIk$HP-KvKXC(5TTnakVWA6(7$U{tfh*DF|xQ^R@@iN+?Sgv z#|_sy2r8f4r>9M38{a6j-a|EZ0QOgyOO(P2(Ba0trU2-`_ z76)~VEPnVgwGM8`_U=-x!w9&dz%&J}XaPWU?@MoPUjF-^fAyigFJ1Za15a-J%J(ka zeA+7ypStc8fzy5uK-RZ5FMS@|hn9W$_mAw^_!T0*^za4< zxpK$GuWs7=5`a&C1GZ}q-1iiI-u&hAmw$fcro9K2zWm6OfT6wizymKny!U%=ZeIG# z&%e6qDOmEzlWX66>Dt#H1fnUpuYc<2UwP=w1J}R&izn9-_elVQeevKAWxN}-KDsdX?^iywcNq!Uxc7={51h8+;Q?6kPp>@umH+#dm#%&J z0ph;&rPJ>G>)sdd-~6=~;LbsCE7`F7m4^pj-1XTrUwL>hR9^cspkp^2m^<=H1B909lE2#fhXc!Zy!YFO_U8WI zfs=P2kZ=9++83en5L7<8XXB}xVBkf6?S1L3pDur;{mO^_eBfF{aP@{|mmWCn&Y%AY zF*)gbcYpPvKfQE0-1*bqJ@Yny>Id)aeeuoBUwrwlD;oChS$b&G8*BHz3n5QW`pOrt zgn|F`=ZEe&uyDsCPyWBXFI~F-w2L0y@X}k40^9b_5A6lY?xrX2*|6u@1NT#b5Bzxf z^ZBy;DN1_(r(f7eg?8qSjaNQ&XwQ|;Kl0@Mz00os`)Mehmk$0Ls>c-%J@q{*v@686v4d*79OaE^Dn)*2|~X5 z(0?3Q{;&W3DGJd+z~NPp;_M1(e-C0s|Rvx(i++l-&cUY=l#cc;AAu{RTqm z2ezcfqLz8_{sPXfcN_YhiLe zR_#4-^}C+}u{!0zjJ|TO!KJ&>l_AUe7F93;OC~}8%xxl_3ob00P#t!u5koJv$E1E|N z^|3!I2r2ZN0_dKZKWY3oSghH|0P8cN07RP-k)}+YmP)KS#&HOlH95|>nYT72^m!Q@ zn{*3tAZK3f_FxozF-ELDk5Y5vnL^1AZtPeWOw%kJ7XY&t-6KCw|&d1 zjz)~WV0-=S2%bb%^tC2L^I5&GR#dl4J;rH*kSgFX${rXv&d8+kKs54w$GDMyGm>0o zY`J(23=G})rwV=!>~^%fTO*M!BO?+`ggW}FMi8vd%nWZm5kg>oLUaXtU$xnS2;8}u zC+1nYkx3;It%{ovP9X#aMy%RQPm4&531w^>K&VY^h*4q;3FR)lt4*?xX@m0#UNQ&IB(pWYKC4#o zoClb^8r-Y0$_>~B*#;Gw83}>AHZiUu623Pzt0`fDY~N*8)j9F@pClr;>Fj1zA>jP+ zN_G<}#1E1v;+}7b#7XTp*V)XB)WU9=h^(^6%Y6~NmRXO$Mw;4f0QrE7&P*aQOGS`jAC}Nh8%4ALVv9ZOM~qvDe4?qiYl+(> zOM`^CWMYFQw78gqxh@ogW%R;%n2_12gech_w-ob?_UeF^jTq~i%8W!LWqk@vQnX1= zb}_e-?W+7BWInD5wMqhZx%1>S$?7yRFpyaxsLUjqQ4effOCW1FTI9|LS6M8Cf?>!D zJRD6)_&xZ}763R2pz7Ef?K=kcOUSI6$gGpdco_iP9>5qQvJw(fWXx4LHsdZ?>m+Uk ztK^b#wxPHqI$@dtSqKnKlJKgO5CLvZKuLOK2PYv6WG%{ouI5aPrkE}a$gUTxLzA^( z5e>zA(w#!Xdk!E^0GV~xu_V)ZNBZ8BDG3frH=C0yS(hy?w{+2-mdwPruZ(eX5zwu= z?C2t>bkdzEK;EvmIX7nlcSYhTy43B;60IFCL710X9l*j`cWIPynO@h*$}{atWXcR- z2CkC>pNddl8Nkb;PE7_e+U`cMD?xuOjM{+h(SakV#1UZs^Y+M71%aK1|;6k z+<3F~^v+V@nRq4=$nArG0S%>US z5p4tZ7*sB*?8A!+II43es4OvT;;wL{Tg1ErDx=E4TDBMU4UdtWF5{U{`gUW zwL+1*AtXB!vY1U+V?N3*$xh(xk!q}4k;#O7MJCzB^&5VWfkZ}yfGoIImgg?W9t#*? za-I`+Il+dx-0DYNJK4M?k)hX4vC6|=X+b-&2wtuvmCXvkY}>OQ3K}r`sItKlrtF3# zM<@FTxK&KpZMV982X-_G1&-?gmn|j9n82Q34wi8TEx`?Ds^tv10VX7%FyrYkSNU6* zZnF@L2rYM5m@+uinADjqLI!dmn_x}#NUMTLDe0*|h`2e2_?>vqNMr3rn_#%UH4O^N zxv~ZCMl(Y;N<8*Y8w{`U;!O!n0}&sA>q4^eVN5GZjWbd!Ik`ZI-YJ3uyb@n$bD4x+ zHXgX+OJNc2A$DB;peqO5RV^D$}6snM`?!KjFQ@v4{xjl{mQjeu=-| zLI0S6n2elUD~CTmQz9T5*{-~m$XIQ}>N-!keA4r|p&6qne!^uODuLb`XKXdo(K+}45aHx`=Y!@zc`R)-nX)-tR#hM&Y%i(L_@!P!oD zg&j@-`_rk@#ICJ?&kfYn3l{JCHyY@MSawpm*w)XS znm|o-YlRaAlCsGKwhedVMR!*~Kc zL>rg-S)XA>ciP10A|_c?>htl6$3-T9o5M;&)>OT2M&yc#U2NOdO07oIj*U-*KX?%U z$k)#4Vb)r19cOF2JQ=$mF$p6kx}&j1;N}_%H`haEjYX2uW&pYL$X$*BsQ9f`1aDX% zfhQyU0i&UsP-wfQiwYo4t^>%CZ@mQq! ze$C7#w&J2dg&1QE7)~SGYU$;2QkgKTEBkF&_N*IN#5;*L4t+JqMpp?pTe_or6&Zsg zVDy3)CL_uew`$j+1-nKf2n~?F?y>dgzEX)e)$}O|2}Xci^Gc385%@=)Gn$TF8#$>Qgul zS)83)3GO;P;@8>OAFkVJmJ1^-aasi2>9W_NdRx0zzY|NMT#I3Yo~-I9!)c46Gnw(p zb!il@k#6Gk&EIObI&pbLr*MI74}Mdx}PYh3r=7VG`)e@EkbJNm_)<&DlK+sx=p zt-V5Oqi;6kRXBHI+Tjd9(x&W*&Wv*AXzN%Rs!mEj`5y>h+Db>?ux9d$QG+C2 zpw#q~j*e4BXBwfZX4G*X8bN_THWtV$D56x+B$3-R2k$KW^>w)HkLE8gSuN{vx*8XO?DxLA8oIwwJhnd)pq z|GsTe*`hAS*4fm@NOjaPE!vg@xf-C<2FNXOsO(1l>uh5y7&{3AkPwR42t{ED$S1UE zD{O~HL*MPNdLnY<%y?ktlq5RFK@q8p(^yyL6~U%BDcPrxkKn)=v2v(q$Z_-h0l&gqi?1|hQ(J9*r;KDLVPIq8S=YdLvad`H*--6Z zcjt{=N9Luk(&Gl~@~~cdWc4%MJi3tu^>px2BMQr8Ny_30ENZ5k@=K1OFmXg}!AEzO zdgeV1(_L=0hdCe=2Wta!qechZe!ez^T8cJmd>E>$9*fQ4=)M^saE)ytD*E$5{JBdv zs*e{_7AzSf(E&3;WS}+0Q3l394WRs(36&VH;R{KKqYGu;HIQvf)5_lj;=1%9K?zKfnk5CpxlJUTV(|I2*p2o@ebvo1&P8$ z@fP$uD1ifqgO%9Gj2b}2o3b2%mmZ_=esMbd9x2iF;HNI7RZ#p8hoYoI6{o;6Bf-MR z;Tmy`W75J+nF!v#n^%O7cB6IuTD1-(2&aHx^-)MKpO57vhC+faEhWEI1ZAqYmjMqI z>vR;zUsB|6DVS83yGp6N!UYh$yHusiU5fgex8yn{gm7H3mIeuNxe2g!7`sOx7t02Y zYr&n9JRvJd_VFdo7pVkPuZLNBUa^mP2;QOGxsL+-#*cq|80U-Xpy&L31(mMd6xdx?t$Y9_|C{?ZAyg;t8dUPWkfq~L zA%L>G+pU8{e&+V6ZxJqZN$Gs3c>6`{386Z8N`}1rc&!exbZNfu60`56JZ0F*MN5D;RqjS;| z3zm#2vSdOcft5ENFS5j!O_pfNZUdjP`YQ2ZtrN7%TzB+!iRK2_tz9q+}>>`!Qol;a|T8Y;M_MMo(in-uUW6ji}P#pn!Y9*=6+g+;CCsPEAEJ@76 z8H6ZXARBjsL`b-4jbhT?jzb-I<_ZIaib)#Zj28-Rh)OLV6D7M$$qp(Z!@2Vu6&fvo za$b@wQFU;AJp$|1lLAh$(>{SLDG{=b>>f&1?hv_aGQP>K63;e3b|7J<0n0d)Tcmm4 z>L1yXHOw`}J$s>uG<*hlXmFmd-(n9@sbqg(vWQST>cu4!R<^S=9Jkur;C!<_UJ%4^ znj7owbpHdZ=EC-{f<{J6KAcLwB2%c8-I3Khsdae!gY-x+t&Y>)r&}WmQVmJnAg`ZS zN>;rmpQQR(-cF(W<#qa8dJ!3YP$bnCRL9gIMN_-j=pOr)UHkRM@ z$%B5C!mR7g`xM-uvyN=ncSh#f1?7Blo;m`p_&DW#4?VinEY0B9iMeGOlL9-sfzmn3 zgc-3x$&G}11jNg|V?&sTZs9X^%&zcx%DgUynIZ$dd=`yKXOPSfy^EnP#$+051xyL5 z`4A9zxuC$r1XWc$&But1>F#PoA6nxu0{-P@)px-B1{w?oOp;-7Mf2oDF$C-Tb7NI4 zjO4eaZ6zC}h*dX=FiW-J$eqR9mg1fRA!rf{+(fP>*=Xg{LMOZHNj5NfannjF0~<}O zjM2^{mK*^$77=lUq?DK3wYwV2jVmFVA_onZ>ylg3c9Lm!A7-3ER-nP2fu72|B`wNstw45T;&P|s%0M&ET}*zQ z;_a3ty!0d3UR1jfuAs7XRu98{WS7(k#UMlic4uLChttoT zw#X+KoF}`@##+sKm8-xWqt9glmke$dli}?CfR`#;gZpgF@TL~nnOP<^{ z3>ny;rQ9yct_3nzLb6OWHb(H`4GEbtn49oW@m2)LnEV=S2?@b{6=aEdnMP>WJ_l|q zNE10`Ws>erF4;8@jXCDGfU@gzyW|+iDS*M2aO(w2^psGtiCZ_6kb)&5Lu6%%Aqfe$ zROKM&U3c6F%7PFA_JvWd%4l5ZoP92@a>RI(>|!CA_S(DrN3yEM{#5sdNKN+$kV>Lw%ui*x(2j$Aq~tId_orRK%VT zS2p(zY+@na__?i26@!LZLAqZnFZD*8Vkk>YpW7FL*Vqd2F35%@MG^E>TJi!kZcVJe z5}s%l=22xyt#V_c?&FP(oHH%`sZJwo0EgUgP-$^WA+YaTmY(xRz}*!?G`h+}mXVjb z3<}9KZcMW@)QD$=)(ithIcAH=OJ~?Z`>>Yim(5mv2PinA#EZDdN|a3zWl&ZW_K6FR zBosjw$hx3B>X)A&GoOYdgEoX*nEvNDS5wCYG9*aShU2dZWUir75kcAP8eSv~2hfQt zPK~rvY?6CWQMk$*N{SLfiz9j54l;uF@`;YoOJ0R!5C@T6JCKq1J8UYkkXjfddMaeV zcAC%6 zuTz+%n9+A3SdR$g^S@~k@p(Y2CBW9J0h5Y9tS#O_Lgry*?8O_fR{Z*v9`@&dlO^+( z5?U?RE(cjHA5X}vP`L?_!ihcWo6} zqR30WsNh{;1!2S%-1(Yo;F>DPUbEB=L?p2Dhqa_7zppTl$#0-jw_tvk-bDY7Ep~5lefsr^AVHtJa-Ut7fyFh zkr0x-Qbo`Wtg1dB9r6PUGMtC(g}Z@0>qQWPhKct(!;{G!aF?SFekZ9a8^!x%BF`J^!2+3M!YpPXvqXhLE8ZqQvf!RpqM?L4sbO z<@z6naqo;GyI+_(emB<6he`?+$eufgm3??_7iG8SnA=tBKx4`y0n>_OjFWaT%^VD7 zFqp=l2L?L~xw(j&klQS*T3_H^Otbk|@3mBjnZ^R3!^rlyi&V~0mP~L6YjrINX`Yst z0r`!Z>y9g=?}A?sIGauK6m*MDa|)#G?|Zgn>yi@Pq`s#8VlXGjW_r zw$rxCTG7z}yBnel2!EEy#2vA)C_Ev`;#muEYk9k$sEp2<2}{zn47*JLdU=&D*_^Ya zfF)cU6Ovs6?gmdKge->LSRfy-6guN{i-jT!$iNwCJB%gXdnibPBROF>mEISfy8eaA`#QU4z zb~r4-n@7q(YaO0$z#aQ8FR()``8O;tYjvn%h^&K$v;eSY32+SIi6vxnY zX9!u94&DyJ0{k)AhWV*@Wc-|TkhtYgA>mn#!RKV%DF)C1DmxrFv#fDL8Z=kL8XRE21)h7h+7P? zgfosWIt`J*gjP@9K*#|%lBAzJ85*z;krGrQ>;90)BMX;|?fW`UY$eI%c1eu{9K3!1KB+Sb8B0FVok7LBHRGe8;dn2FCKX|?c~vFNrGUh7R&01Ot3ed`r;YYr>) zRFD_jE1YyMp6de%m!M+<(Wb?AbWUm_xHXsi1PI~L|AG+QwE-QQc0vS@i&f>JQUD`% z&f*G(xFJM_a8GCoMOzztt(J7kCj;UY+*r&W+|hJe3F)v@owYL^#EfC@Z*w-hk^4$cRVu7Q}M~nCDiq5f7yjuYZ#zQ+~X5?fFMGLWu`UhRv#Zr_&AUW4giH#kIPAOjK*l|6Tb6#OH@7i zu$C;s%2$|o;Hs^VwDns=%5&O`*r?O7_v5xOdy;Y|;|wkZZv1UJ!<)EM`yp2nlxZKQDx`!`SVS=||GWQ%PChoglK!sw-#Wxdmg?w;7%rC(F2i zkZOiC>P!b86gk{rQhg^htZb4rjLIV<+psanq(JvoR~3q8p!0S!K_!i@%==e14u;{~ zISiTgK6F$g3#I4d-Edv_3f!prd+Jw(sDuK)QC$#5Bn@SzGDRB-9?kHwE{<1_f{nLgiFJy19>Z z+qXuw4r)4fBwSHU$$C?!uawNBy5xH?;hZN|(M+n(T_CFo)&f~Qg&+`HV6ATQ$t#tR zr7rn*5aMIRs>cmP2EwT#&%Ku>Z(TBGYKN-aMD8ry^ShIM*>AZ9{uN|W`K3X!UGgB6 zBxEFa77p_AtvrtF)Hz|*SVtqR4mHv`TIekr)?ccIJwt`4A#8z+HEue_ z0QD8zi(P;*SLJsx0v%Zh4qZ~r4ZJjrQ=u`s@oX+%yK zAlaAcG(&O6wqd-4CBu=^z28Mlx|M-|Xe%<%^klm#Pe@$aKx6lH9=ZSS3gT`-Oz49O zIN$4Z*m(opPyrqIYP(P335sWTNd(Cy*PU(3OZyH8ayqV3WW%#WES~Uv%sV(CIutpf zzk`Zl6^)wjNWk$9JQFFAt$`cyaJdJ^+au!)2x&m+XoW)a1B*i*DjWqCvT1Ih#O?yQ z)wO%rz@jWovck25RGL7XBiVU!10ski6j#W1J-pw(j#UKww~olb0_?;Ym_zC<^|H90 zxN{nwCB$8%vc&E*H^?0VJ6;rt;#-`knXZ#VxxbGGLX~aln!A4%(XtGdd@?e%aR~#Y zb4|w+1$Rnit5A`bM@e3UVo;VSyZbsKWnR3)<^*63qB7i-s_E-S>8xoxTG>Yu6SCVn zbjwFHvT!>))UV-%&P`Fbwm>|RoZpQahL^s6_LKB8M9O+Q3H+j`r-@=q1Rd1eDPPLI ze;?iC#y|A7z4e zl;d3Gu-~X zMHF@HBj4Qi%@5mmC}|e8LId5P?(f@hPl!^xx`-Mh?;f1LRYlMlOs_>V)3zcj z0MSCf1mqR!rll(|SjC;9Tb!p-;m=05T?!=9;@gm`(ol~ZG~5$_S&CWlP%$`ZFN8oP z{ptA|Vk?9s!0FvV+;$tFsws7ggMm=%-##&q4sP7F2{#wB*2>G)d;<;6S8Z@d{hO^w zr`L%~m~+=i_nTfnRIPMZO;xM|G_7yRHau?Fb{W1A!Q6i*2~czBUSRS1MzQk^CGo}~ zUr0w$p|uj3Zu`|9H$_mzq*FQXhU!Q$;9YLNH_%FQT`v(_ualr;yDAAc7f%WDq4F%D zV$h?Vm%>xTdrtb_oF_<7iAERMzaV#RvK5(v>))D{J4&UG_e(M9G~FWh z1M72>5Vuw-uw(N|iZ_b*RaGGdbF-`KIyzE|Gq*-QksaMJC2<*+?AeWaV%PYcvSLe= zY-NcTSQx2l6;vJp8BfDt2_6VJp=tmgM_?fJr*zBw2KU_2`lYIDF?BT@h3q4=#M<_? zqv<=mU(-Lh;i9z*-Md)aJ%sNp7?z~>h^ewaE6~q0G*{0dFOgq5BZYF5P}r-$bz0<;1Cj~ z2$6_eW$+78?#bgRD?rH~qWqwwxx5fG=)j;|QQ+X7YF92^rd6kcp^)a#^8zG#Y$BCO z2$G74fn6k_w#6Iejw`B&>|2*7g;@fkBun87i#+jtGQvIP2FuMC2MZLkUXU-+Ed<4+ zaW@{mehzAk-Y#INEHT)$$){l~DOQ;0J6t9piNlQIFrSrF+oZj%+zE;TvuzUpl%#>7qbqiY?k+`wa#*qmo3 zg$J}r(*`ERjDh{Jf$W$`M@ioDQ3&xYdFBvVGW~6`B==j-k_OK}k9;1HcO1RluIypF z95e0Uh|aUsehGTT=E9`vdBiKmW^6u`zz}k@!iYUw1aoA!Yss{)lb8RYygXDO7dv0X zU2L}^f4D*`D*0_AI{yJH#AB0S;PjDe6)?Pb(g0}ijevuqup-0&y?TG3+3C-D1prn* zM^{;KN#OcA<bA+nf%=$IScJ~p@SPGIO| zKWgo+yc<7#bgjdb+`xAyu|Gc3aPdIk_G!PqJvM62{*&fpKQrlnko-)JESdfuS(5wv zAWK~G{%;Za>7%#Xl|8JNe;?6#dK}x%N=?ACpEb{Y% zY8(E%>*lD|A>XeWo~wwO8^}yz#xo7Z02?!H+n5-ev!Bn&YLos4$xr`+ESa{GEcwsI z!Ior+{JJ84^62e;3SZhBrI-JRuOEga7bZ2Er|Sm?EY!cz`^uAS`r#rNAiJObqq3xj z+<9ROdHEEPpIJfV=@yaSQeK{?yo?RDTM7B!Q)ojiaqS+qRvnWiyk8GUIg8u1n{da* zb@PRhS_f8i)eO41m$nX{fARl*A!$tC^Xq>GZti9@KDSRgIOgm5vhp)czrF5fw_mjR zm>Yikg{xx~5P`e)ju>#V&*=P^QFh^F5cPywhsnzx(e^Qb?`q$e%Z|$i9?h~{MSl9W ze%N1kWOe$eBkeo5{N-w75vGv^QzHvgjVuDHKmR{Oe!7b+nO0Ah{O628mQ4B^k@q}C zx&jV8SMiz+V zDF*}Sa|6NGkE;Lc-|PmBEPV3dk;SPmzWwRss7Lo~|CP|s-%KNm+@Z6*)}ctYarSk8 zDZ63w=-k_%X0<#}bgS5W@hGkN-J9RqtDP=f=IS?7nX4 zp?g4n3FNhF-`sQE)N`JAXzwdamMwi|BkX=@IYEMcW&d>#&3pNby)W**WBCh@E?W;2 z(l5QW`kt2$T(|C=XEr{SC2-PvTrVG4yK%|>Gd9iJvGJ)BcVDsm095{Q|MHjbU%U3u zvg>YH`1_xK=ZRg*KDFZv;y&oYjb8hUYj4{4omX}*8~@wWm#yEs?3@c8SOZMn%kG2S zduQ%kw)};SOY8o0VCnDw8(^?6rQbahUMk>I<^0mei-1paZ*B&T>lfhOl`eeo*Ee75 zbNhjXkUeuZEd-)0h3e&>x7HyTzh86vNxSd6>f}*7HvW0*uFcn8boa5ne|qVLm!Dqs z31Gx-nz>``tFKJ>7BrLH3(4+7@13!^>Y0tZU)jBU`HtJKSpQ`}$NqWk?R)oM_wK?S zKizdAP>r9NboohpKfC*yvUKB&#?lZ`p>#20^-M{qZ2iE@l zoENV9#k?KYJ%yN@djQVwh3vQ1?0@Pnmm($`H{9{J&s+}fy1mOT{QdoFsdP47^z=VH z_2TYjC%&KzRE74`va6=ToxLcXr8^!D^bjWRTWf#1cXN8vIFMiYHG$ZwLUW4&+%GF` zSn}1o{($PT>9N=b4^j5On+KM@xbX`=1?2GWJ-eq-VBg#iVBatN^w1ZteECe^LT{dX z;I!ZQu(SZ*1;p@sg!g;hfis`kIPd~u0&eUt|HJZI@l%Z8`-PqX7l0xHDc4=n%gl{;?Qy9busx$&ua ze}>l&L+JhspI`VaWPSUYe zHiI9d4f}}*GA`M0$w4A7QNXA51T-7U@GZo>MsWi?;x^uz6nbrDXCrF`h^ldHDlrb^ z4F8r8&BkqY_;TpP&}t(Xn+-DiJ#P_W-ppPbUof8->@u{Izzsb4bb^Uhp-hj)=8S1_ zjC1!T##q*)@dIL8SAvYsGV;cQYgJH!ol9OIb!L{*nnc^m&`Qbd|E zP`NDDvNfwr5; z?jUb%ref%sEyNwc^%vW$EHc+=3dG=5G~8aBh@+%$t0xnTRYs=1wE>y+ycL!hfa+z5 zs;0h>s86g)TKD(zS?7K((s#4X5EJeg+Qlu1paJmRqzHhUVuE;|09jTS$Yfw}3k;kc zsqHZ|kWo6*MfFB1X~VziGZa-~(N$YWf0B8DvDPGt3_jLoY}zYc0Du!eFTr#$B#z)j-lXkipjvj8jd_1w^1Sli-_c9bHJ)Oz+{1} zhDrb-iG+wcT(f_q18&bq;b?OdM;pbWO2-ar-%Tc0R<@_iMbQQTjhLxz7vk|=K&y{4 zhspKfIFPg2wM6Q_;<}S&HfyZ7I~=-X9l*;fgK3$beR*Y2>*3|1qJzm^;GaQ>Ej5v< zH0(ONT%Xuc+0hH`WTjJKro|#=^tN-B8V7Eh{RXnTH8WKnx7vGxHfxSJW2II+FXV~@e?d@ShGvk%b(KU8`VxG@GrCZkB@GNvqOs725!} z*BeaZQ7#NT6`*zm-bDyhC}MnZOEHmO!A~sOJ`p7k6?QQFBhQ_9jL?`nfo-c~PasG# zhIs3GBkGA;V;KSq;N;?p%)qU27wH-1yDC#AOz;9rCZHIUB?%a$WjJuRP&#=sOTaRp zTbfFUQDiq{FkkiiT8b6-BP+j;&iUGnBX`dS+*0b zC^2?DzUQ`DnZQ5DusVSU#TYjLJVzQ#U|xz0>$FT_SCU5ct`o@VV8_fjB~F?mP{d-< zAjq-_-{!G4MGixRp!W;#S_~NQ$+)1m3>;*U+~F!$d3UR_-bNO`kDyel1LO!k6K5R1 z2Kz5^LWyR&#Hufb?BsZL$Y^D-O4s-{cqK@X#@ldxF?v#BkEZy*aCalYx)bIb-LOX& z5P}Ef60rhvo5m?1*cHm!c$ZqKkVHnwdX8QE2#F0e;V1ZAW}uzn0N}#AyxeK+?O=^O zYm{LrlpgD1!|D3e8ck|7z8CSY1cN@JNUa;hXrh04>umkegWaf8$DRZz*{ z9Fm|7eSL~Vr+G=IU+L}mIib!_#9H-TnLr6*jeuae58g!!*gUtv@ zPof^`SPYwN)Qp{2zDHrBfj~4Q*K%K~OQQmklywc8?NdvC$t{Coh2(B=8$^CiunpG{ z2edIWwq!ZVPe)d7qGKv)jw{{Y_kX#c8S!_pnZq#3EqA%CgKBbdKO($7YAu7Rp+l>L zrt9d*1UFjIN5H3Xs~bYfw*vmzVd}2x)M&hOhIK#FZI)&6XuoQSQ57^mU|L}`{u)|& zv;B0$yxLlED(mS?T<|p0d3aHjl{+zOyLl4&%#3%6Btnxek4tLkSPGZ$$}|=Mjmvhu z4MLK7dG-|Cola`#=Zue!SF|(PYtEb!F|)W0)mP7LuajKPxL_sIe`uZ+)6JDUx&7qo zipMp{%V$`R!x9#s3!u+a6Uk%axUmTSBc1i2alj2wFE@ZFTaHy&P@Lg7S|0r;Cg#=6 zV61!#BABg*^E1eK+$XmeMNor*=y&_^o@f&HN{YAc1D^u#*9M^7R(Pe=pdZr5wsP|| ztE#iVhKX{0E@3a0!+Bk1%o$KQ@Y4kFXUBEaVD+S}u@$iU=1ZyXK!FWt0G{NXpLclTKLFl8ic6uY(XxzrvWr_Dh-tNvFHP_=j?KZz60Fh2o>3F0#=!B+~`s?14k3_rdxB`1Tv<+M)e(V z7ln{G?!mGKoi2;5nBdh|I<3M$)kW#3c&A~vs>m~}2Q2EVL8Y9CHCy$VQHOY=N2Iuu zs_&3Se+%)Zz5@v{d&m-W0VCZ&S(OnxwD@WMB;KdtIzjvL0!NvC-M+UpjWZ;_emTR%Fv9Cm7D|(1@&r zFmw&Uy)KD;iQ9;&pDv;D1Qb}L{RGJ%&9HHwjDMyB9!D?xg&ytTfYVJyoqA?>hA!;T zq_*U-7?74Y`o-+@cCE3;Y}O&9*St{Gs7_ff&^?y5Y#s`%y*DwVTdy><(~?ohjiLU{ z@1oYBs%QS3DRWe)J<(G~{wX~yOq#8A0MXGGJ z=F~t4#vQDqryk8WT^Y>8aKz5ot#D40wd3RHbDYFMrULULc&fRz5LjFZG_o)(SrKmY z$emOz6*mQzi79%tn@5GYsKp3ikPrvPic^cGayDBD^Xkj3jAHrdX&lG?Ce}F0AZlPFjGw3S)(oiQfMYWo=YH;Rysbd_@!k zrxd`BQCeh4b7db32E)&)QOvrOIeTmeVq!K&o3y*BNLf@?h?JRHEqkRVnYM-*>6UU- zi0%~Zj$;ikget^QmOPAh%Ibq9xUOW1X=bR40$Z-b?qJP*Xa-0nr=cd*!A0mrccf7B zXGV=WMqyTDR87{c8jT9Y4Lbqs3ri4jyDaP59cQY>3OY?yWQwP1b}6G%OmK%n&(z@{ zLkP(D!E1>5YEMFavM0oiiL0_+pbta+d9)rYxWTb%AuQ2I$Y5?y_6H}UP#mir1~O{P zfl$2&vh*5Bx{j zpBm7N0QQkg3GxD^(_&2^h)L)O8)N8bG!TGn+(Vf$#>wKC-nb1L5kMB7X(pJ#X;nr` zC&Pu=!@G{O{>PCuwmkS%i_q#@yE0> zA{(qyNUU_#uMiFfMBA#l{IQ;!7*H&~F@ zlg#W##KNQ*ECTevx%G`A9hlPhkiw)u_l$cg|z-Y5BGhBEqz^1i%;8D!X}mC#73AWE$wJG4c8 z@)Lqd$-rMR_%4N25*r;rDXJ|5bH;?gj<16%%g2{Qx9U6W8y@(yfI>PFM(iL+(D_)w zVno2!gPxFQ2%Pi<$i87ZabsDK2Og-yQWXkRbtzf0&=Ycxg1r5~owpJMQ|>-J9-h5hsf@#H!hFo1A7w41Dk&U^3=C3pI;Bzzl8II zwc1W9Us<~eD$o4Vu3sw1+mqH`(>E}+2(b0u?+|RzzI)7?-&%L|$9ij+cvjLVo|MUw}e}kC(A%`N~129;q zeBxat`_ELCh~Rh?6D%I&tDHyVT?@WN+>6$qvuT}Y3CI&%1mC)A?s2)#e1l47&yVh< zP(gMLR({0rK!~esRacSW^Fu%U>WNB@n~Ep|U(0*@x%8 z>oea{^9~96+_c@M?_o>8NsGvR^qFk4`at>!xYehufbGOO9X>a{LaprUXkIsqkXc`~ zg}A!LfXco#k;)B9wjw7ISJgP*0f6X(k$LMo+CGDs+VSyM0jhenYv9VrvInGWycdx4KTbUf6xUjtH$*Th`t*N@c z)#-G5w|;(KN7aRMBKRP<(QcWQuItIzcF#=^5{i5uDyPk!fVJGQLQ6&q^sk7_?Yk9U zm~OYmCp6f734sQu@jW)&sT!YnRxR_=0<6{kvH0furiRIIsij3>mKK2q6E{4JW2Msc z#MW7jwv)lC@(@z%JMV{T2^O7+Ozy!FGP9bM>;$cAnwgNs$L{Cff0I!qA{Ex0-K-@c zSYOai-{a`cT^$YPER>G9q~?OgM&8|iNx-lqfrWAq?=)0SzPn@0 zC(OvWPb9QDK=qzNmKZCQfm0H{yJI{`oeHhbs}Ra=AA88R3Y%U1l+GG?tWD| z5o;DAnAlQ7Li)es)~ddn#*9z=4%}(m-1aJWB{xh;csGzru?^qWw_}Kgcr!2FrUzf_oZ3rexgO-%@p9rOyImouK84b05nCoa z_nirs7#*wTIZk})ta;-mwk`>_v^3WAt#->&sq{ldLR{xR5V-{f#$btDUxs?pT+toE z3Ig+}`e-dtd^8@MfX~b=1F|plp^=r{A3|lpc_qXOf5x4aJi_h?_xbV}pYXwcC1c}p zCOThS_34_htq)Rns;83SpxdXyJ`NLu9>!ca^{>#g)O3k%R+d@SeHz=EpyQo%fE$9b z8tUVLF$S{6k+FnfX2e`2oAp4FW0`_xc9806=~_sONXQUwZ&B#2sV3d3(O7RK02%Qh z90`Z;U(;)$2$S60WXIwJ9LRc|J6gxKSDJyiyS^)wk4n~}`2s7CTJ0SpyE zZlUZbxyB2XR~xs_?(S#tgE&DP3+uG?lEAO)ty;?#ZV)A(NKaA1ED5pK*3)2%Bjy-! zN@isR8Tb(=xBiHfph22aMQ-vK)lP{&cMPL)3}Z*K<)crFURAAwU}!Ab8DKxqw(4kd zF``97G=zNB@Z=G=i^wQRB|8M!%>uF~rfj7WZC^8%+KE(%BugY3191)H#~H{CbX7({ zHbuHdaJ#0x-S7;=Ex*N*<~~i2;ja3)#ju>oWY|6B!mW)E0=v_8ZIEdh0Q(wupudxB zO0>cqi^I!ICvFvl$-Ay4iAO^BUT+c*;%fqSJAbj%6peS-9ZKYeh9N2Emw1a*|h$47H|x_I25n4&PlS*C(<=9Mzz}h@35x+?kWRs zEJT}3iE$+dDoBOIQs2E&J;EwXr z#7ZQvn=DBd)f0f!XhK6V5cd<2Zkb zW!FTa+(>pNQjvrkK?Ihu)gAgcOGlx>l0~VqHH@b_FvG3gh$4F>TW}B4j?)d9F~(I2s|@Zm zRtw)9+>VUO2v=SjB6)5j*G#(*DtHOESz{wM%FBFUISUzzT!uDpaJ+S3I-10}L>SN}^lItll%oZrpUOWJ-tt*?mr95EgO= zWoeaz8)u$7V}{8ZA;i#{AVla5a6VhVuaPtMSbGRl!A&PtSSI2VdJt*Sp zV}ELNFAcT^DO6xm^#olV%r;k^pc!E+`P{L>+;WLkojC5eHInh;=U%8K4J!%l3^8G{ zF-WtdweJTsyAHCMp2o)Om?2cicM+MT;f`B6)+iMd#XZP*uviyd#o}gTdb=ST5!6lk zoN-ZZ!jhEEKYEniaTaW=s1&H|vo2%O#9JjumE%xXBo1j@8JMUz2J^f5S|!-9mF&h|8X%}pIBH-)eJl;g7-jUEH-M!q z&Q0rcmzSZu>$+<_gX)#cH{1iCM_L8YHU ztQ$hg==qFvY4l@Imd=HljSR0^a~)%Wa-$3?f27{vs?S&`8WzD|gEP(5634TY*$B8k zLA#CXF|NxcA7y!B2AAY4;hx0K_T>|oXcp%O_G@Duq?|P&{JiyjRmy*hbz|CE_`vwJ*`c?rq zcfszoLS}vI;qxBEU*Qmh_%OhmAbZmv zb}v3okyq2&;-4iiuYc0Bdlzv7v-D06^_9rWHekVdV3q=F^#@bIUG;}0^Us8UKb@2N zKj5C^1I-cy>s+9|E^NK#Pb*Hp<{ij={+2aU0UBJjVE5MsA>mdH3hX_^jTz*_Nw1&uV<-C>O z-ZNvb8-LY!Cf0G2H(X*zZ-A27*J?9(N#Ne(xkF^v%i`7WA*3{dR6>Inga_%Jr`J^ZP`xDo2%vfoyii3T%8HwC5Z0Xe z&P`jVw)}aBN<>h_WRN9CMD{@mHo0~~(C(`rTyQTCjemtlJpOY1s%ol|Kc}Sn40isA zRF*nVrL+5*p6}kPS_c}NIU^#8Val|CX$DRj;2jP3CE&(DiG-}g*l8vPrfED9_=ZoW z@oJtti)1Tq3k#G-XU)(*K@SjSzplv7VI6Jf)=2a>TUTMVPY6lZ7>;K5wXKTvuWYtj zFs7VCvVohs!j55q{A7Q}Tr-iyeRSUs%M%mojBfi9SYoYcgUa}%e@IN6KrpFJw{7=z zM3Q|^VCB{sc=#~^*tUPEd#*cZ4>*ye^B^7|#3NH?PsHa4WT0kuuUTt_)-;qSwl=pU zQtMR&SCHMYb1`RvIrIjyWN4_yw2<@JEf4}hyjW#EUAO@%o(>T+1aME7Q|#$qg~!Ip^hSGtQ^A}4DDyd8>Waf z4}U(*9B0C$?zV`Ax$Y=J^_e;8w3*lf**00y<UK z4l2ud1n$%+=OrFDD0fy7c?uOn8MwRcI&jm3(K?XvJfsE_@pXOOTFv?uk(kk!T##Uq zJ7z7Zfsi^!@*1ZtV)d=W-299&3liGIaAHYK|B51OOLq(53zn` zb3_mER+dl%l_mL#JT7lH=6dqA%5%r9Cvb<>Ge*_C+x2o_un?CF+2z~Pyq(oSWyA{J zWKHeVn_IGJZq9-waxq1)LRm5sXAD>JM@6Q|5m-{L;_cRx5J+*9P~N~gC!|zXnIDPD zVj*=(hYpFOK|EB zlR6t`io8k#WZl%KF&szIG=cdIQ}2limVyFsOH3L|bnf&vtzI`8>h($p;>674c1-?P ztL$MmPy<2mLRmZX!7wCU5=%7|ZcuUSii~krH?)^=&@<2lE@6YyQRi5vV{(*& z8YVpus4*GrskC}Dmds%N>T>3YjK(t32KzXw3Z(Y`s|SwdJ+ zl$m_cM6GU!ABbp9mWT_bx<-^}QFc*isM^A%Rj*Px36o{*n}$fkhrRZi^ad>2ioI6x zqLu|BMT?Nvs`yqB=!bu)B0;1m1or=X&g^bB2nve#Bs(9^JoB9AdCr;hIdgs|2wDc6 zXB;OFk3*7IMDqHvJGGS)3C6cKAXdTIBy!f*8_fRV%le;wy=N01k);C_NXe?=G?ssv zg%RYZ%T|?^1xJ((6eUKN^TYrw#yt+xxkUapPnst7S4-xMH-?} zwZehwm1V1ce6iIfcKr_P#4F9TqW9HUC?DMVF2%OPT2nL&RWqWKC2>S0EB{WK49bfx z@&d;dxp|tb-=iX&*A~snvzr$I#}$GiyZo^qN3`Z$EA4C0OO7%FFXH>^2xxX+1bTOf zanK|StKcWCtd9URIMNW4Si+g8uiy?_$xj_ z?w!=(X4FPseqhn4@mXr?ONxbhI8U=9@4HtR(i{kR7P3cmMa=lmc8A?d&Q7MK>I)-E zHXZ=EVMUB9N+mI-8%UE5A>b3LhFT11ybf{@wFgqCFVV=~zw@EN*93AGAcm8b?OC*T zzK-g%E0dcc8H#a72ZYQ-5>z9Fe0-dWiI4E5!H8xz?KJcA@p*o8U0yIy5UZPA?pPU? z8A7&Vq)!^g1l`a?ku^4&6@JX^>%6Pjr(3A8Bc>Kr$z3x0kU98$R&PQF2JG@KGAD&r zOPu)avhAJ8C?N#?zURb3^aGata71*C1Ga6nkYIp^-4hN2IQT)N{4uUNp(ZC#@K|!P z?NCSNklqDSZw>{)IqFz$>8h_9Wj`SuaFwypnbC9#C_yC=N^u0IL^S2GpzT*8Lqbvr z$<5na3GwksOYZA%;2#Xzi$S zxXD8EndS+M(1qFv3X6FZlVn3M#u9No0Lhpl5k+j%nPDZURU5+1F{G$irsB;ZvoKl8 zMV{F}cQp<`<%&-`IX31{=AN%~s{xf2DHzteNB6A*3;tn5^d^i6scIfWlr%0DG<}HD zBXCYK5m1Z5KvN#ubO16&-;$11I4%gfnB=BIW}37WF3y6I9FpAJG-GI6i7-y7^7pcD z6Q^xm!Z5TS!}wn;pqK*QnaB$GrV=w2v^7JZ*%TmgN|0GhX*87@DAcZjf&~?@g?@f& zJ`qJAZnzH~b`(T2#oz$;#ifZE3UU(!R)(kqxSPSO^Cbj3?QH zIHqR@!YIByv zG8_QL3?6*OKrj60DxOO`f|gzm7Psu5)C&6U(~=4rY^XE2f#yF1ARw!?;;T>`;gd!L zj$9n3)8xDW5t81x&54h;R`PBf_ERInZ4m5Sa}MMgZ8&XOX=4i7Qs8pyY?2QmR_XyA z6QHUaj-^Qz3N$}dO$XRRMVb+*!9ja=do$)a81t*#z)nGF1oEi`ehj;L%y~aUKXVP5 zP|V0eq#UXSIzGm9kWN!@tV1Te~i&w5}iv)zSFrYyG51`&e-TS8mK%4)Yq@Z z-I4-6y$?}h96AZryOoA|w_QPqvXK8Y$p64}Lt4Q-eF|PpgSV^iJ^MZA{gQ)L`ymb9 zE?#rs<)!$#VH@TZh&=h}Ee-oGhmZjn?%n>UZI~wM6G9`vAIc~tc`l7ylO~HnUR?+% z)6rA*%vm^bH^z!z*xxr^402Kk5Ka%g3}jZwp9}6cR~}4zP%7xiPI0HKM6|?x95U%W zRqBnYoup4NdqQMPs;nXfUk3SuAtQU<88QmwHN$8?`@K1j&H(Z-fM8!Fb3S?fkR!X2 zJeZ;Pp}O7oU4To=w;aLD%p;`t8VDH!k~8sK0d5$7 z3;E3w$}EG-noq)ig7_A^%Q#w^6lWQO=9 zq6K$LDr*w?I%tAPgp~2yXc9{%1Ch55f$?jlg2x(9R?0+6%Fb}?NswvY3=>UPlMwu7 zUb_PFmydh;+T|M;kAD5D$v#<4+ILQprNc7n$VBPq;Jo!i68n7e3iO0#$llP2giOE$ zLR1dKKSVvm&ShkL|0rco3EmDkvWWGY zB@wHW$me^9ZTg<~#_{!T58meQYVjAxCmJ_4w|EPyXN-JU4=c+!K9P7MGV`?-8q-y8 z4Bj?q3g6{!#oPQEgkY#+s9iL&u4aaJN^p0@vYOk{#%pZ_{s}X@WAN1khQF6zG%gx-l42feY1MT`e(TkY1P+}CKxg> zhIU@98snj$9}i33RnC5g8#9fxz4v~gf|a!ILp?}bd!S6`Nr6SEQ)g2_?clJ zCBu>kya=B_6JQ{Zu8){9ElKtYhuklTF^m#zyb;`m!5M{P5aqHQe14Hiw3dRpx*7Pi zqq-D6iI9R_ZG*C#HhOQGGGY)usQ4Cs5v{Gdv~#KVx~_#tf~yyK#uGQQY&BB40;%&F z=2`$6d^wEw1WSvxW=uA&J-a>!?DHSzBbCh z7(UMjv!4hQFphv1ZfuNZ;6~=VlBqs6ExRVwh6cyE9Geh+7+g=btPw-Lmzu2zqD_BL zXM-l?G}~;L{7jt40ugXGdrj&?Y);=^2RRu8PYNh=^iFP5m!TsNJ6{Xs2}MHg!{{jpT6&8$ zP*QNGi-Ajm-DF^=aCCvRad}122Fv6i1cf35`b!k&Ig~sQz%cHS3SQ=SoB3SP^7#X% ze0@zA`X>Xp0>*ZvF_J#TiakY<3@Yu-?>&5mTF6oUp-2aJ2UUpR*7=N!Q7jfO z+k|RpBfw@GjiIptPL$v-3v$KI>ad!k1rIoNFe=5cf=Y{oL{OZM$MClvyp3wr_GQ6Y zmK&M~$fh}q;JZ}hDg>(PA+lCGG@3QYSNCouk1pPWCcEd{QnBp=xXt@*pFOYQ(kO!%S6pWO>9B@ah7^M!#Xl6-G zCj8af2=Z&uvoE#(Xi!T7j)ey;OQueeTV{wWlTnf&Bm=%M96!SFc_LOFG>K`EPAE56 z!yUmJR4=@TC`pqDzEpuZ#xi{dd+3gc667y7*f09a*wqETt`&py36~Y*)y=*Y)h82; zk#N((WR3lUWBhG6{&vXEN^?4($)I#H)I$qN4lBqf-ykc4KXCkwWT3PJDaoB|QdE>` zzlvB|oAH3q0=^qZsCs>M8Q!}o&j-1W`xs49LQah==e9mUJ|rw*xJou|>h3C_Ik`83 z)rc+vGGybWpf7j;rIlYo!Myzdnr3yU0JCepmcD+=R;2!j2A53DBsO zDx9)*q?kBX`#@0@3uAj=FjbbvbyPd-N|R$)oeP(VIL#7av`z%oV+>L{!kYdfyQuwI z;>S!P<{LPhiIXtXG(W^Nnt1=384p4bl*3iEhA9}Q7l>CO=45cpPn~^cucjy*2lRs? zGN^LzoS2~Cg9tfA(2iprO$7|PHt1fw8^NLAgY-r+1q~k?(#MQ?Z95}V;8%`!C2-}e zdNhj|7t}gaOvZ%M2%|R!@NrHJBFX~^b3>U5!;oZ1K@GD!lkVq2QG+6nv648951HID zWHX8@C0aKIK(U5aO!4By31}+VLY&XMC~9gZqk~f zSjvjwyH_L&$6Xt6MNbXUIEt`J*k{CXPSYTtvbs#ai-x1c2naF>)GO1#zRU8OiQ!bsT$1#q(Y2X5Cn=%veP+Z zHXUY$^c@0HIpYe9M|3ISCY?xrktAiaZrQ&8JA?{O7ZCx)5rWDPK@@!PhS7f#h4Pnr z9RinN6$~OdCHN61S4!9-9HSqiVMY^Qj^Pk1>Jg=gHVB&B`sMaB8;XHRRip-~>t^a8 zy_bv*cbeAk0BW_tAc#?r<%G;Tf^pIoC#Nqs=45CBmms9b&IO9qRQYL+8bY0*E<1xt zhmTX|U$}=>xjkRVbv%Y1E~z^3;qYLNvax1#^L|5VN6(ZGSf`Jx2D$tpjny0CuOJ7q z<2-Ano~u;t(5zuyY?d(}+>ZrZF_2w}bs!gyvbUZezwF3{x7V^AYjgi)<$SM#4d1uw z6Pryl8gC;Zu7|Gq*UI9f(=VUcGcfVw)-mx*j>eUQ3Lyh3^xTT7w>1;1*8#c}QN{z6 zv1<-0`oQT`TQ&2Mp0mzp!xQsA-pjThR1WqQpFx_K%SdnW?sZ3?AY@zM#v*biT3_F9 zT`|p2dIAr%lA*^Svq{sPjRADG1Hd-RjVFn&BRqMO+$l`mkO&Ppa(m)T_+(YDXHg7G< zTAA~`URJ1?4{3=xhUgh|q$iNw!%-A`a~&J54P4cOui4J;HIypMLGl5;!Q2XPGfx*D zNhCvmz36P3(F|85ym>u5tAT_J)2yKyQm6Ud5ImT-S*E4MhJSp)k&ls>jZg}s->{;Ij!ec5p$=dyV{N&_mE9^!Uk z(MYT@&&Ku=S?CSh$HXh|*wDR@z5P_~Q8SF1rfOgACk^bcS?X;h#Kng9vRixE+?RVi z+x*+lk5@hn6k{DiAlt*{^(q2bw|~uIMVc$_W3k~0Nrvo4dJ?plaWL>T4NXhl4vB%ojqFr%TjL7gakP^|!;k@afl$2FBo2SjPYoO7rar*7Y0 zor)?$Gpw{JtxWjxm@!aZ~v{boFOG!rR_%Sl5JaG|6 zG0=N}CFbPr?^S;J=9tYrp11t)H^@*>CK~jv`lJEoJa-9kcP(U}K9ciPuj_A{t6m9_ z-ii1(;JS0%A6vr(U2?COIT_A?yVi0o+t}OCb(} zBqw=UV&3}8cXTNU{ooIWAMAw!Y>O1 z)`0123;*^nSvok)mXnL+%ju)WO^C+}B_&ik@G&au$!10PiowKk=lER0g|;!{twdI5 z>8^N5Ud$XQKwdmoAN?fhLQvUf-bAE4+{<#05-uR#?Xgg@xF^Y18*)U7x*?0`H)oHq`y(EvF zKZUib{Q0?RwXf%_M7-#K#Gfx<+vl)P=DJ$UC&0a|kyfPT`jA)&Yb}M45|ng}+~tQ5 zn1d);D;eqNoxtKd*S*H3_zTAscA6e)DZMn#iGeHeNZ}&|ZQO z3M9h_E=)E1Xb*b|{SBQx#*hpHdXYNfJZOgAmBQlGa6Kl-L~|vOh~z<)lkt~If0xKa zljL!x82!;T|M3wy7K`Rn2Z6YeI(`+2cGdxYURC^u2iV)y=k?a{XRdlH+f z66>Ffmt@D!uYzMYkLp#CPjZidd)P&%+guexD#{@NZ79uSlPV|1itZt4j}zQjMs z_)mp&>EMuM=>X*Jbm@>{O_vVvwm{?)Zo$ zUaDHUsUPF%IFY!_umz<93IQnS=nb+|uxbN}aCD3Z?nGq0rz66CGGx-LXFC|&{jPk$ z3EF92Cd9eCOP8eswdc_qr7i@=1QD9~Td5~&K1^MR##z+N&qEiY0c2&0RxG)xXAukJ zp~GRZ+;wB#?kaz{>+T=KzxBepeQVhd54$ES`W4fuXVFVd@fCArKctmkjGl#c)?a!& zduR3hApQ;NS=46Bp2Z03+_$@mFQuMEBRU1`fxL$%++CqxQC zS?*!aA9ofvTHl;1dlqvHd(NQOW9UL$`LC7wi0PPKF!%c*gnAZ_QO`nyrNd6_qw}iJ zcCB@#7|{An9j5l`%tXj_Wc=j5o<-xVK^3oreh}v`_9|yRKW4`)Gq-2X#CY@m>gsmh z^3b<$u+B(STt0=m5L54tf9tso>;B4e*J7Af|K<^=XEAk-G5_xd^@wE8Vnj8|)hy75W%S1!ZqCy{+ z>RG_C=vh1#$R+Na8Pn0TD6Z0~eiHxIfAezmEDpL3mZ|$csVwZtN<5iM_2l{oIt-(J-`#ifZ#}K--peYt4!FBa{pf=+54Y{+H%z zeD|(b_AY&T&s#Ijxn}z_dp5o|=l<=h&*<3m)?M5Gx%er7!Hx!QuH=5@o3}52;qYCr ze7NM%J%`f}tl%a@*?WQdiYRBSnIMJSch_6bK$Am1_Nb*0I`)(0;6=< z?#lb$dU`V zgwwtMO+udd6NLQeFSqP?^v<{5`xI!kQ=i@Q*8Ri(bHnO84qx!x*;}94^JZ`P(d}7> zf4BSaC$B&8AB5QZ+^{XH_rRR{rw)PMpWeUyXwxHizBA&``SUW&Ieh=aSNxyV-+J!; z_s<`G@!lOTJvVR1qxU^}$NTsH@`|@szw(hplzrter1cs9^auNYu>bzQtlsmN_bP94 za9rT`?4J+T-eJiZIy#oNbN6ugTa~Qv77?6kGdGFsRCocJS8)PK$(ia}T zd(D)0p5Alz%sKbIgJjr#-~F$Du=JTbAHVzJaoZq!ckLZZR^Q(FisW9r=dF3q-LZA) zp8G#|?us3&?_Iw8x%(GxS%2$44&U|E`Huj_c=P|EC@b$I4?+ca@QSHPpxI~U0cH0t z2W1zyxp4coKKxmSEUk3N5(62o`V%~uB0mR_kd~7Dqvy{5_Ub*ae(<~dw>|ys|Ni@P zw@{SJ5C8bS35dB1{@(FS`q}B9mKj1)vJdx{eFE~2_K$$;dtL?f-VK;fB zLK}PArdq>*p7?NCXbq(b^LTBcxq+LDK6Qr~td?M~1AybIAxcxnqOqFN5e!u?aw`-i zp}x9{f>>IOjFrZhS1aQe)!0Q!>3A;(xqUp5J4zJxrdI>7Z#ytzF@GU}X2&%=Nf@!3 z&=labGQ$lax{T7SD3eyggE?Lz<3MY$8lZx7?07FDM?2(l9C-8LZzlcypcxFpM-8Qz zAn|H3`vG&4SC!lxpsKChB3VuOKQEoK1bQ=*g~pFG8?0=#+1qC4HIEf}TK{7Dt1)o8-Ov@A*nJr1ibzH@DnG9w9$QGt%Q`z1}Z1-ev$21YHI~!Bi zNO?g*cBX`wNK48-JR`~zJUhQ4%@*lW!3^2Q z!B?v^bp-jQtU`(0c9Wl?gn|GZiXck$7txL;3-9H24UQ^70$qbu6s2vkhyb)10lI;E z5~7R;Rp0L;Oj`L_4@#6>&HgQr+q_06garHi&05iSGE@%Sr?6h@B~9>sm951T03)fq z#T>@g<_G4Hy8USs`v1Z+DbcR|9xfYU1xAb0!cf zT&W%oPB56|iV$Q|KDjCs0zR02Z5=+Ew_KQ*d{aAE1>~wtAa{(lh}+W?fvavn zhVWI_=KwYgaJm7%Euw=UgyoljJDYIL8Z=;I*&g90kUL%gvM;L+nlNc4Bh0vDj+N#h zF1Si2n%95_kql&|Lxu{0k^TIgP25DrD%zS%h7fUs+?gcfZc1Vw8Sk4$jxFzt5_elJ zLZnLO6KGN_)3PfaWe3TYLW0Ddp@~C|A4~Q-+<3Dj$=$>Ro`wt|rF}vs0K1v+!HyM@ z!Ts4NPnuii@9Oh+IHdzSsi2$`7npb-qoxhO31%HA@O$tCD@+I+Q^(s-T{uH}C+DqI ze0FGXEVG*IwyPL=@nLct^hu`fyc>etE=Wkco`m3LhA*)>o+Axh2=o>JqNFbxv*}G4 z$VQG*OxIY(njpzPj3&xWv;<_R6WHN%YZ{xQP$Z=wPH0Q-qDaNFG#phEgCKRyBpK&2 zQ0z_+$t$WFXLOGYxX(-;rgt9Q0u5U5G>HxboeBYCST}3L+-8YtV0m2J4}BXjxofw3ze;?*MWqk;3k!A0$&r}W@5M*?Bg_(kt31>kXadR=o%*L9W&J|!E_UJBgDca z1bSnIQ%n#s14}~SeKeFVMVP@5WSDag-S5MXf)FBr9bRovhhq6kQ+~!MyaFV=f5NYo zegp%85f+>Y(uZE6qPP%(v;nn**+_agDj6)i^%S5KY1HT*KW;;edX9V*f+$FJPFqB& zDN>X?Bi)k0B(HpKz-=l;8Ng4FVxJ8qxF7(EKyrCXy}mebLtorU@@K`EpOt$IB`4!t z%g~!MRZCtnWh!U#WgN@HK246jl0G-nM<+T+4~Kz489g|o?NCbvT?PTC`u$u883t*WkBvAAxs6)2!%cz&7qFAvaa*=7`rc*U=+6gA(=zi(k; zx*ibf1At%*Q-ug_R4i?PP4$cmK}ZBhjajYHhyd9iWuhGzoN7*&g&c+DoT{05&WJXf zHI(~M4XH0$!LXjwmam{r2;?LA%TYTqJz5^*m?emk?Algawgy5Hz_D7N(AEqPTjBM4 zSYt3AdptpdrH9nw_@ z$w3tt(nxQ#&6w!Wi|Ptlv^1OJ4@^|534P(<%gPE%0N1e_^}FdA zJF4c5{F&9ak?_%Zy9H7CC#hA!Y_0D30dCu`8kxVfS zGokpBdu%927l1F$o)+57*mAh)X8;aBlS0;I@$5irCo068TE9)r7s9q}EAR=~KsMNvAJL>e1@er~a7*Y| zDq?Mgh0$pcCPQBtPPI*6?I@PtbPpcPoLZqMR^0?8%9@lQLG?CS;Ks^qRyA;S3tL&- ztxs5{4i(fYKql?rmI!;1G8h2J&!UG7PHl~LAi-*Z_p2%TjC|&U5Qerb${c?Vbx-VH z0~kaFENU5|d=QTp9;X5bDfhkX%UZ@(3dX0kxlJMu{TWi-$AQ7!RH28?3m5`E!P1g8 zb6ex7{%#^ysrjN@nAG~D>p*?=v3^F59b2bzI2sB%{zm>X zT1_3W-#mYIteS;dwE;C$u%dkltME;gJEDhyKg&Ac!z{rRyL@OCQv*M<&cSO+ocd4b zZ4oz0%zzQL8Wf#!I>-}@+nDG8Tyr4MWcaGHb8d*C&MgDRwgT4$UJF2zUlRthJsnYI z)j^0gaT@wh&5>WgwZ5hZRAAR4(X2Y48Y5?%hjJ+oYhhV2Mu}#z5LyRr9fdU70G3d6 zz#D5QeWQTt)k@Gvn8t0?etIBJXjfV>;Qboy4Cb)>PGkr+&5vH8I~XurZ6qsO<>;H0 z_yT?nX&D;}O*W$8o}DF{Ev*PoXfv~?qk2HGOexP(IhGWZN!%&@%{ntPvIGsLWFViU zQir;1XeP*I*ls({+3DjS8X9z)*ecWkHPAK~;agz^tPV7{A790=M=Lh*-IG(ypE~mu< z4-x9adV|aVbx|g$Ql#v)PO@t zj)tB`VTw}1Ioi(+PlBSLy8+n~Q5NB?eKe~z4#x9p^6}y^7fK^jrDi&$AS+Y6)MO=j zwAYZC@!f<;r%cb1U zb9Aa&smzpzaw|6)t=ELdnbXb*oJu#Tjf~JVp$8r_kOH7XYdtP-;PMLWxKwW}UY5pt zO*m1$=^~Z<6p`@bS;KV`+OSX;2PsHx{5&GFoe^wY0~)Z^xm*GfHV+g;#D^1T zEI4LCM4ayBM!rHXr^8i(BvasnWL&~T`e4qRQx{c%W#BWNyOGrW14Wq%23eLONFS!I zU>{FiGjYK2Lm**-4pKqkbmV3Y*yfY8bV#@PQxqp2kvi?$n{>!&^O+zOlpR1gE~TK5 zY}lH8*#?T?--!Zz^!(6uCpc%xlhe4{^EX*Kd@vL$_a-q*|B-@8m5`vJ z_a8X-<~y$sPv<-V zL|Gy~h?Oz^`Td&#)%$A)nf14Ge%tccFBUr}q@#KzK<=$afy~;`0x;NjWRzHT1W>)C zNynU$%{jk#ean15G+6_3Ijn5?)p7|2`@%*boKBleQI^%sB;&C(2mo9E6H!jMd$i|o zD_6d~ZuVm^M}oml_#)ubelV%f`{jQ^_U>Q*mXL>6bYS(DMaveGIbmq>&!h*N_EVHi zAiqzbs<*FPId}KJ{RZYdwdL7G;C}3%=aPaCknyX2)kLl$vIHddG)Qw&iS{(f{{D52 z2Q$*Lh0K{edf48TdhhNzkFEF?Ikr)1LQzT&&UxY)_oC z+Xaw4b|k=eC6Mxp(m8v!93qU^!he2$$Gvrqf`3@iK(c=^_-aHs?cnIQAAg_&dP@Z% zq^V^Hglr=O-<5=c+z5!_jgKuGyk*O88gf3>StPLkwyS_JIN-% zR&SwibHw6UwB2qX?$*5K0ayvY7T%`xNc+cI77cyK`}PraiyX zu$%Eo@^!!|w!RhQGp$ZHd?Gce^#!k$ngEQ>%Kw?gw5WFyeWX8evUgbB-++WG%~872 z`D6(#PZPvf#WMTYWDaC|7eVi02q{MDSimW`7($RbQZ@%1fL%)del_2AVF{U9T$+6m z53Z}Q-5teT^d z90KyS7DOkbjF8?<$Ir2C_PG#}%Jw74-;R|eTapbaq#6^>ScFFkp(sZVt*-Si8-J%6 ze6!lJk*oTINGp@BIssWKh&z%BW=3h?dvZJt_`aSrv49Jm)}%l8DMon$O+JTg4B2)n zJ&TM+OTR`|2K{)4)HG(Xc5KuJ9>UfcqAjDAkwWBD;DV0)w9(LHrq3{Nn8U*KHCz!< zZ8U_jcUo9zt(iDMV-zi5YHYdHXVrXDVeWHM7cQh$p@{*{8u?`++GJ3sgl<9$vcq77 z7y<1L?>6&I3+?r=NwSz_46SZ3ISU1et*8|ng%u#zJLMT&1 z+>#A$-pFDGyHGLF?v;k%Vp?C^)kl*T`fs?xO@|o(Y;=j!22EhvD|CoaQv?k0Wq0DL zqb^O>P-GlUQ`HV~#!HO9D#p*@5ye_0yEICd&Uq<)L6PkdaFl8~B6%64kyAO@O(E_# z<({^n!%L}8I+Ywp1tPZNCwqsC{SEDYhOy}^rz(FWP24SW_S_6f!*BM5A z&@aG5h^-P`x6!N6g3L5)aOMQi@vrbMWET3MJhZ5fWx0tmn3gcsT;e{BY0=8`o^A(n& zJc(9}5{%k6X&4L%!Y9GHYO7j>?5M{7_;at{@S>iqc^7R2&J!IFI6o4c$V3=634f}^q>M&P?-P7p<+3CG$g z1%agkY+F=XHL7XF8}L*_y|cqbSTe6pgE_uqGekJVp`gNlNbPU$A`Elc&o*g z3Tq?YT98(ft3v#N;4W8C#d0PMnt&z+s_OD-p22=d9y zuH|td+6(Df^wkl$!g`5Sn8(SnZ%{t@yo`*39r+vChx|?EL>HiVOlJEUOn;>ktxrf{ zbw~hnrxc&gqxFS+B6Ahi=9vT!LWpXWeQuPe_(%;)J%C6CC|GPG%9MggwBsmvnjhD+ z2aQ$VlcfV8oMJ-18XE<98M)%~FiTIBI~->~Aw>*|I}258636wENjo_ffkT`!N&{%M zehi0)xME68Y83Z*1H48}{)OF|V%)-HvcRo*bP|H9sFt^zY%I@Xg1MV8;T{eZ z;^QE1H%C=7z8WQPwHv%l%X*es0+wRDn88^WU{cgTWejRS6?Zz%x|>i@tU2DXqGUumF5=D zp~_8M>#>>1N+#g}$7=*I+Z=lW$Ko2$f#Gi$PqnDOmTJr&gIiaySToj8xj4^cx)&t? zbHHG&D`lH1-=|Cp8wTB99Wj_t&cvE=iiu&fS@ZvM5^G#PkkV4G#!G}M&}tVIUAUp! znDn6OpME9N^i&f25qo}!tH3T*APM9g#x8VHo@YD7tpw~(aeV;l`PJ1;>^8++5@75PlfVIpVMHUNbh1ys9D6NfABRj) zvIbI6bss-}ABW6*D$Fs^+%ZBJ$f5mARddUycGl7t;DRD zn8iS36!2IH?678xsf580sHO)T!{M#GlP=Q@SZlGQsI>%0s!VWA@J6uYAzG6jU_hi} z!yae=H}=TOD7f%xv{{lbmT&{>I_muiH#jV0bXy!#nDpW2Q0t6@mtp)h31e$mzd;ihA!3y~gC2gM|E(wUe&P#BMxQa-2xKdFw$c8(MhZU{P|BaWZI z4<#plS{BdFfoG*mn8GkIffJ1=a21Cm1RZ0qvPMi3X(}ffx)MaPfDATO?vfkccOo(p zppW|5BL$901(7;P?VaprNLzS{2=(xfiJu2l>;qHB~Y zd++2vO_tyA62`focCc;Vm0++{5aIxzF2E(|y>%PRx#3)p_h6P|dDle{G(QD2Tln_w zdymi^w=9<2z;Pu7&%ubh)MWGEIUO%=@LzxR(tGQ6Prj_~_BqSn-aTjamWw`J-jZBI zeC8!10eaf;_NRA0x^-}6$(E&85Jv2<$1!@nlFY$!<5)qRxIs>W*ru#Z8K3Es7Fut8 z31QnpZ;Yewo-MiGb(Udw$h1y8WW$^-i}$x*4RqixaPP*H1ITrc6B%&8OE0^Ug#2l8 z4lez65^!_hajd-d!=>LC29(`N5@i=lutWBTsU%LymU_$8*{>%LuAEGcm72W#bc7Im zk2Oc?jkVlAT)M_toqg=8y4{iGFQ@c=Bxw!_sYH~sm$xh_d>wEAKiWIBaLVpK|8~kt zZ-9I)Ap}f;-g9?PUQ_q!{v#oz&eg#C1!-IJ5Ge$U*vmwd+{t8+uDUhtSS+dE=Rrqr zvJyg$B`5VhmQ36&{kUNc1SFSu-#jFlmQLzydExFyA^Uo)`=6PXCy4T-$*TxVd9$-( z{Q<~7owC!eI*v~=6-=V+YGtx?pw7(k0LD%SS*Im3ZFFXUwMydyfUSFI!gL1@Ra97Q z3%mq0%QmUrn-QJP*Gb4*;?`Dpe+TaW;j@d`E7O_X2_ZIK>wD(a!U@1}#i+QWw*nm3 zL7`58G48-3PjEMITz4sMM5&PwEL|Jq-eJ{|QvDvQ!+s4yvT{OAv?e-n@2g}tMoNDp z0dl>@;1+M)C+oj4COC6C^ft9-)X{CKu{r8}GpH^qtg#e5h+eZ@Zgn*l0G76ObWp|c zdZgGBoLy>nm18|tZ(e8A>v6#O0A6(SlnNx4y|iSR@z;bX^)Tqxjyayf2YU;? z_MU~HA!XSUKwGQ~ZATNGxMT|y&E;v-L@UE54JHkBGw`CP27Zub+q9j9s^jI zD&kHwB}ai9W73Kse#z?%X$BiiFZdgpi7i8e*2IY}&Oj@$9il_J2(flnKr4};?+q4{ zi3w`GTg~&+XBM2Xk$SUWT1Y_;Gj3{SF=*=pBSpZnc$oHvFtHWrOjE2_>K6xQC3-mQn&jvx9OylPx>XwZZL7qpeJ!A|N~nD{{f7>#*}C{AekRg9eS zb{GZ6xVE;Nko7(Ocp7VFw3^wLZjkP-hoVN^;<#xR+&O7Y(Tf-u&FfVpBW(rU&h5=$*kBRWE$hpz1f(C(A z&hMzfClI@Vn;XWP0AULs@;xZ9o_k6`-!8>&r?RzRP+PQiSa7p<)P!n+cU2H&hZCjO zSJ$=3JE20>Ai#*-m9AKvs`(y1JF-zDAx54UH_{ipW|nWFX^jC^%K|%WE{GOXXw(47 z%7SubzTkM&@9U_|sUw;u z=Sg!I>R_1+ML>)-R0=L5lhEky$CNK-0BZ1mMtO? z=v;xOmM=K4@p*owHA}g7D9PpK8TKZ{j-{<32R&%%*oXUcz&uKVOl)EBLNu1*7e8}F2i501LgrcPE<0yz^ zmSoa|qD+&CTbCI^k{3kJCV*UDjUF}{BOCdp9r+7`5n4o-RGUq8FI*qu;JQ#TrCZ;CwwN9*Ea8z(Yn0gkEp%B&t zO6i78hR_=st1%3k8aHat-k7B@8};3=0E09Gr7HIHQ%pevbTn}hWK(b?6cgeZ>Q?5M zV=(%NletY08D66|@!~sFU`93{$yx)qslv^g1+J0t1KG%*>gUb!Oq``5_^P@<9eC#y|bomXTaJ+^1q{LBJfXjBV1xhUqfdd^~p~ z2OMW`rtZ|#{7(3|J*Hq}6hoDm&0r+Z#1EA+ZJ{S9_*@mi#E)bmNFj(8ts&JUfDIVA4ijuA(O9UGQ>>fOADbJGp!UvI7J0F z{rGF|StOMt#W-n5K|UhRUQ1G?A3#!r#Ncov>(hasx*Rw%VXcY3jG%IykP}K+J{q1F zVSY%nt8tS_QJ9W@=u{rjIC(1a5*#6KBIMy}T%#jwfKx#`gmN{gww7q36(7>$HV%>l zsw!}#;Rzs`!;07`3K>+UU{8d;!21rLg=dmMfzpTtv8i-fN0XgcX#&T@ zuY}8{Ub(!d?NzbfUvEPjx{um?hK;>cE*PDMD^( zgF8I!4FMJmR+DRPO*UqUUP}P~l z{XH6VKA2c~KSssZOxgW!NqE;;iJzu`PhTg!*Un9iE~kWS8wK)S%tS2T^4pI(wk$p8 zz?Q{@&d~aP2-)AX<#)F($LALZ_AVWL^Wkk!a?aYiJ&5vWP;klUk1+)P_WRrJo$}tC z3m^!ZeDqsrLVDl4*YU~C?}0OgS-N^n-QLNh$>URIV$LE(#$bHP_>_Vvy;F|84%~94 z;Kv^>?a%!`h<54d>6o;s+fCfJLhnid&0YfX71D!5UI`pm(pyG(*^8tgA+ut}hvqEa z-Y12;IB@9|+uz5eiWGv0Aj$oQzGQ%ql_?ZV>m9D(Bj5K)!$?yo}lVdbVX5=qNhU{ND9&B;+c8rH|r)JJq&!qC73v%O;NS zj^X=wYv4x&r(13>F&X_`A#W_J>$X#4-5fA5dw+#;8*&&jbu`lc*fZ z7P#s{S?H1PQ{D_lTrU<}2?Y%WBP@_T$j4?DFXQA?N}WZ7ObDbr4C+9^3DqmLfgm>; z5~2;z$oeM2r^6J?Mv#{{ee6v$@I5#sgT)|3u^S0)k7Q$-L_+mGK(agEfP!0o4oqI} zP2)ixUtggu!3Q!6yf-3R;(l@>MLE-NH&$D(J>$Jpw6q$)>>Gm&@o;@Tvd>JbYk_fN zr>z#ozgbwwtJyO8SVJYf@rku`Rm!m-5!oyChU}!jQ{*H!28<{fXhz8*?h^Sg`PR+CiVmZdI-Rq39GG3-!gjfuPYl>bVZc^RKgXq(8Oq7(6ZXPu2MGl7q1UF+d-M?Y1 zK0MgCKHKCuBtE+pz>;KuzE0q@OOtWzTnXbylQ9bg z-CP8Iu|I^teOzxaxO0PGc@}2{rrD0JISdVHHa^5Yht=>i#E-pY>hhw+Iz~tQ&<8q^ z196{D>MW28Xvc9R@Jk0_(xL3g$QU$MR+_#jr=8@Pii`f#ttso|jqnGXuW-l+r!8!t zdrTx5W533z0b6g1vUZ4(rAZm=NC-QXQxP~#3AI8*qNP^hPl6W=>|G!1@soZWU82on) zuM)hA+7D>8bw9SW6_E&|I$@)KSl#!$!@|2FkgarOMS!%MH`LBT?QxYa__C$6edmHU5t-N5h@=)M99Vu>nJpoOUHMS$9g|g3r(I9(=;p<$;MwGZTu3%^rJP7XA zaTXd*)g~IMi1zauASA>`9z0nEsc}QDLf*!E8mCwGNorzf{7bbRPNEFzE1b=Co+`&_ zPsN?7VNl1!0de6)1*|Ao#F_3F8fy(vZ6p*lDs(vyudzkA1+{tryp}0&iLnxA z=|!7`#u@Q>R-<=3E7{;@x6K+SIzoPoZz}j6P~d%AUc{6p+}z0YMQZ3G)@2%^T?wfe zSk>zXg|+pHVsZ@mjbj4QI-$=ENrK#^uBK?Pohl~Nq`gg4Xo-Mk6YUHZhf6?%T)eEI za!o~R02i>Pq^T$x=QJy$zKVKxoy`^QLfb(F#w}B{+c2W)Yv!9KZ1RTa4k2U;&>^M_ zblS_qWP~zxm?l7D4JHI+sYQxeBk*yWVdgi2Dx^kKz2Pz%fKW<07ROjShe+9RNL3{p zxm7tgz!qS2?DOQ?eXd)e-d~1YDE)c(J)KX4r8#hkuF@e>PvjP~x|wRq9iDB@=nz5GYA9Ezx4{i6(gw#Rl3wGI z*YlAVa1f)DR^%ShKt~LZDh13Qa^zQdPh)r&2_Ma^U=QNy!plzCJf?CiKgCSQ#1MEo zRZS{Tpb#!0e+eA5>x@H;3|vw1eKd4I40_3UJbSt~g#61*L*47QBW4GZOZZ02uPHM{jSviVele$VOnJlQcq!4DU^FZS)9jw%mV&X_u@{;G$&g5}2 zQh7%DsMTeuE09h>ewdJy-il5i%i#`5KqeG4P7z2t{O^Z# z&KKNYYsA5U4SK#}CN$HpH2|YF?#%_p9Si0&eaI1WpWm$dxVcA?+XIy>xOE-4t>KzE z_2cG5+`fIiy9W&%*HK{W99!)|P&o%7(*I)lEJHmqFR_Zm1S!Y9&=J!C3uYH+acfhr6uImGEcX}@9 zvA^G1wKu+Z2V1*VP0V$DI7UAh(hvJxaaNq@zGyQ)z=Bs;*^}oEJld1{LU-kn_$3>= z6K|@nTz%^YuDL%hy0^3Wf^NVuRq>n@gc~`x4?qkVsqac3*+~JQG~M2-0PSd1PuAMq zwQJ*r3F79!Zz6JNRpMiNu6OOe?nI@^65}VYJ2!D8H*ugeq&5H5xO_D~aFFdyn$sM! z7bZTw;yKYgCk~(NUF%x=v1@HFWasv1Rf*TC;s%?lRl^3{)Ptqsw39$p4_b;&z}sutiJ#@?ry9>mqv)gvjhbl-8f_CCV}HJ$& zZxy7@D#s!)NK~voMs42FC%451B@S(vnz$zM{+V-Amn6tuA|d|3)K8yxy_q7PhCNaz zMAD&2Vr;^4eR3LPemN0F$=Ba@*f~&y^RcL)BK!jtp2gy*XHfOE=QDFiLS+9=R2^l0 zkVmn0yjrsp9ff>XgQ1A2hgj8mjgN9OBas-}%f==WM6T)qpc=?Pv~{zcH7pDvkezFc znG&yjD!z3N+maw5%q4Ol&=D*Lre?^;~jCymDl}W0!Asjk>#Nmg4yUU~TbAZuXT9$baeFN9mNjax9s#4T)aBB`!<=VbxQ$dt6l< zLUbw}dT;Gja}VJmmI{6n2SAZVR*t38p@#-Ri?phz;`^UsuO!X!Jln7^(OY$2qvsM1 zpS<#f`rFskRS9sDYRp&{TRaK>aHy-_;!7Gw!l$gsGzj;vZ0AGJ2&OX{r( zV%1nx7sDvwawOW{a)D*+`uP>Rm(ChE@o?unkYm0BZ0C^YlgU7%v{?!g4amodomXxS z=O$$89Ab&}%DhkT(s$n9#%{bV{K%1Gw*2oZyh9ZsnOEIgnNo5dOCoCfKqB0@hTO9$D4JC*xRg}2+qT0Jg) zlgindXrpPo1fTS~S!+H96Nd9qja>VVR*$CJg)ELM-B%HJwE=|H7qr>ySo}hFySc@) z;XEc@8^S|sb^b*5_BwFq#Y-6L1`@Al1Gua6o*z>uDkt@9{Twj~h z`rf(7%x<>nf;c;C;)Ou@MU*Op{Ls_AGk5Tq$`5$u?LE1F*H|SV6LXEall{8UvvU^m zDjJ}f`7D+D9KWTnXz~7z1pX`XwtRwF)o$bw5`upe8NEvGQJ&j#Gwab^f9lzHl!-&# z`E35tHJ-ISWKNNO?uMTIAF@}jlIAD_cdFMMYKJ1O*Jo`V$+mdbuc}d>cowpAcCyRo z#VL0uP`$Rw=6~*?_OvE4%0C`mk|kV)p}OHcum=AZt_8fT!=rL%Pa;a#1_&8j$>#U6 zL07ytWEQ*maQi$;w37X-+x2{Fjf>}~+<&Hqo<*FJn7O+Fx$An4{GEH2^6!dr*N^gI zCGL6a_?TAL1q}IQ;7i)rcsVbD>4}fX-$O3rQ)amnFS(A2s_iI^5r69@M~UQ?bV-I& zEgjIZOP3D)Q;h_U?KLOIm8mEl_G?w^2cWq`0Yzh+0p|A|_CvPr;5`Fya{9tq+{48^nZ62I!*BkTE z;fM!Z=qnI6^(@9T+tqRL;j8X;zP@T~@jABcb@Qf9YslO&-SNfTn-@oumU=B7G&?nG zaPJjw$1m$OwjW?Su5)@8rFC}cO>-N74EyIr?iioSf&~p|>7sjL86NSTA+~m}y@Fl0 zdF-~=TyHDp=+4rc$3Tx#(G3p3#4RpQ#JscpN8vJqzu{3^J~u zqi}mKYWM@IdU9mX0<6SMJqvZ!QNHTXC!)vO7!wPhz#Gf@kag!Ceelex1D2tqXR&sB z_kj@Gn>1&>r)%NSi3>N_jSIWC-^F&EKX&bA*B>5)>>RGYFz=1E$bSdOoG~nW5<{0I zrO7!m%Bzk(=ANqzp8D(I&?J7mo`o*qY`wV~0@pJ@&Ys(=%ASR1JCzPzcRTegs$M`( zj(Qe;k3EMvkCjNY1HoMM`_`FNT4`Nx!@skJ8L#HW0`6RqLr|F+?{*vo83kY<^Yu3)^2#>ZSNz#+De|dD# zT1bZ`J>w@|&W5F;JV`01k8RHfvUErzh4#UX9zGEy=yr)hde?D5KHqZCJl~Q4qIUuk z^sXJN=RFF5TtYa#^YGn=Zkf9J&Lw*e-{O$(fBlK2Kfm+7(CC2x5RFUB(%Y8<;q;Xc zmp*mJTN${y4wR{b{dw1+TfPe%*WLGBykqtMPu#ZvNKu^mSNHUcz3T|Q!+^s|O!Yo= zLFHz_$BG=(3@e%4Y?5I?SqbV4K5p@K5RBZZhc!#jxO#{y;T11;&gw3r@omg{km!Ib zK}Fzv1TUz-CAkxE6@tbni~Ik5)w2%}6CdcmV0)^+{`#x$`>ML?tA5n)hW73Q6M*9i z@ZFQ{ylBVc0Brr?bvquvvi-&F3ts$$aB~R^_N*Rga-)l$dgB|{Z0mUOaR}Kl?CKMD zkGl5Fj(=`{aQjtnytDkns}Cd|8?oc87fF+z4iEpo0}Hw*9Q#q{f$PpWwx{DQ*?7*4 zJI=bH{ptgN>Rs{J|7}mMIdR7me|&TIHIsLAT+;RjAhW)^VrkcbZGQs(Y|qPGHvo+m zxVhUG?Dzz*zy~fqFkwf>!HeJ7KVio&-+ScT8;E=spn9(#^m<3vI^g|o?-+bHaX;A;w z=;->Sd+c?0eYocrU9ZiDkYE1#hYJpj-VUhV*H1Zr%UM*#*Ae$S2d+DC>x&&Px<0wG zv|9=JW!K9ekgHz2^PRJoLj4sVktSPKY}$U_fdxCx>Uyc`oEu+#?7hc#Z@=-4ckepm z#RJ!^x#*3K_m)3*!-6-S_+c5r(q41(ic{An#tpi-<0^O%HGklVt{X0bPk!9Beg2a( z@9OBfXcuAf_UpX|Roq+i9hVqgYp?k4=d};J)?W9gi?%=Bwf&tCxKGG-AGoP!bMDEm zk1kp}{^E|Vo_E$R*zv?aK6cfC1+RWGX+_t8i3(-+z&oqq-}fH-!@rRSmq4Kdqo3>O z+VReRLdXvu+r8(aHzw==8uPUWMql&<$Ojg@PGHA-^7ymYel+?bfY^fkrVGCN`hwfx zZx;!7I;2taLCFeV`QvM=YI|1feirTIu3von9td%{$yEc9aQm@sQ9Il6LdaFb)(7nC z%07(z+l`NZvhC)J?|$&Wf}snj`JC~YvOlB9uR^AF0&wZgr}ZH1Wr83;Qv?Cpgv?Pv z^Q={npcS!x*+B<$+{P2lKrqDtVq0(g)W(y6fRi;mC{hB)wIU`WKQdBw1W34(rBR?j zZ2cfJ@e>@?SY4pnaln0I+&Xn3xW#gBO2MRh9bW;ESS`!@jDmNC5OCKU8;o0wnAZ%H z-NI{7NHApsoEM88JClI5YK6_!Eri*O`vS@0MhcS4g$3Llp_hWGSZR*bT;z|CD^q_P@H_DSVf zJZ@;^AP>PF$i;?q=qMmz)`1)c*|vGj5D01JSOuG^CB|Yp$eLadsFub;c1@rtz)~Ey z_5+ZGCl3L~F|wP5K z2O$LzVq{P)1bNHu;IW37RZW_J>^2C(pIzN(#w>qI6`6JnonJHrPla168so7*S!!g2 zo50OA2oM)mrYd4_()+R+27ug7kn4uDNN<`q_UmncdkoZ7+-MLdr8px^oE9%n;CZvD zVYzG3Vn|DaCVpu+unN_b;*A1qs5Cr(Mv)Rn0|66ESfLVR8|XlWv*g!#>(zUXl$e8EimW%IzBRU z@DdbVJ7I8JN4$1myz4;-N%2}L>u+Cajl~=aawbW(gk6US8L0OF*+-KMa{`8B5w~}c zgvf)XJM6CuwsQa^sEe{|pe&$$6=hH)u)!RgaqQ!1WGfzR#fv4!O9U>tg2+H}nq>ij z3UE-N+NFdcx7t^f@dHqch2kuelv*sR$z&QxPL>Qh2|T0>guDREiU})|OxXqXK?g;K zYKPSWMy-OG@j%;1p*2n#o(_7Gu`7bZpu);2GYf;AkFrF?ENhW2=f$F72Baq=ZZ^do z4h1g{q=69|n|yk`HE1lLV;L~Zyu6^E6f7Zgh7u~5n1NQqY=FVy^$oHrAjq*by5*dr zalGv3V7Bikh&F?a_l1@5vp~*OfLj;kAOk=YWI}y~YzP5ZCSaI&2Dk12 zB?@t|w9|$*2`EuZ0eaP-3&Zy3u$Y}=XITXUS=x;*ck|AWEMAn<>GjTUTR!SU{FR zlX@svfl6y}Y{5x(aJe13+=^?^JD!UNGp*-pE2Byia6@mHL%3!(nP+9>sz-%t5cJU< zo*vt{rvdeW;JY%;&J>PT0W7THownv0-(okD2N`+@ax8JrBFAbV>%wQ7i30K<{0&W* z)POYzHUgp}ZjJE4s6kLQV85UI-8}ei7|Y&(90P)KsfM}?JJ7TlLUh74E1VUUwiiH) zmNaBJo;LS!LpC{sWaH>~^LEKR_jIf8=y zLUcwAdCx~~@4&u5G8C`%InTi3>3&Z2#)F1wB9nP?A#>;BlDk|wxJ}4zW_be0ZqA(; z$`-*(K+}k*86LdKKx?H+0XDoZA|MzyIPsdpC>+OQb!;MNXn6T%AtVdN4G0&_bUD#3 zGg!(;$^=Vp@d5l8GD`|2;}L0risKPI#!664A(lg1#bK0%v)o%nf&^-uDOv(QNRp1@ zM}S+WoS@CXBxwhtSl%!B3ppBJG`n?T?Xec&C~_R67Lg; zmT(1p6vGKfI!a`?+(-c)8l#+tH!NtS%@VAkEX+ihV_8p~ttMg0Z16%5HYUyiU?!_H zvKlifkHl${PXekUOGO}8C@Sz%g*E_p;B36>fDyoCg=$~Xh)!p?<#5_nNw`0*AUCg^ z3a#M_;)Xs39j13Q4o$dCj)d;~kTgJ)z$qIcNijKi21D?fTaFz2%`q;706)k|*a&%1~uc=}bQEhl$h}x0;^h0v|ki9Rv6J0nUcEAw$nfRO$ z)pdDQXM|1^z0Bw4Ms(nE7m8R37E?6{fA$x_jD2jB=_bZxhVIwaz zhFFC6i|zoU6Zfx2^J(&$0QS|5u@u|{CDgwaff4B~EKlJkTN8B^R$IBo5z{O(mcptQ zmcv~S8o;^~@L(IpvY1bSs`{g>%~(XyK%m~?AXDI!@|sb$$S=t?`j}@?#71Vd zwQA+?;8H{bgk4WVv#1eT21PPN7OW}NJgX`YZ)43M7tuIb{Jb(}b+pADu^Y{>&raK+ z=-G=$IF}U7YS6VbhpX0`8C!ZrtVfu&Tr+2dthm8LHuPScgbIlQ10Do98bZn9t&&i# z)Kl6VJ$t=>F_E!)l?RJ203#Kys!(-VvFIdJu^c-}RFn)wT#}XRv6vLjzC^_isA5zD zWMd4@uv8KehKHqTHo-!jw#LjExT&)KHMJOXHC}2r4 zUIcEi5x4)Duxckt$XJG!z#LbEOAQKYqXL7GrLRys&#VshD1{?yUEp3%{g}D9+ z=Cq#hLnRwm87i<{V6qq_lwGzVqz_MDCUNl%RbgkG;B;FVwLkO@{{??ZTNKF2MH$wH z;m-0|Ln-u%)Pv}dv`{_T2(ugGchJ!W z#)gG5HpYSIP>GQMHDlB)7HgEDC4g*8@?fUbj1*wbL$blmB>G$OcM?MIyvH$ zOmQn1V0Dpz8$CVgJwU-;P5Nb{h<*i+E}0Y(+h=_7nFU=|pOIA5$e0Kth@~!?(Hp;R z7)U#e;eblWQj&C22W>1LPq|Nap%ydpyqpPy7H;6Bj^=C#p#dKU3M0(J!U*#HHidTK z$+{FQXAJf*PcsYq8D<(gp^@eRx6TG*iv^bqW_WUxgMRprcPki4!&5^hb~1Ck&rS(? zj87>QCOy%jQ5YJw@c6Mnl84Q~uE93p{P3Vs=q8kfnlL4q2%#D#Fhs@yq+XjTui>Ad z41Gtb&V;9+Bb*cD6-?q}NX)` zzB-LbCIu8j60~s{DJN+IeyM(_)WcIjfh3Y(O1lzdtEA*aq9k-BQBYperZ0(nPL)b| zk`24_IoiRWhjkK#(*|P`kzCH+ts;D*o7*l{y~E+ywx1h_vlp;+8J5@hOd;FO=|yH$ z;G`cDfGDt5&sPAV@9&!X(5eT<64q*7h~gf)t6efe2fzT-Yx zal5a`@2ev7vLU2xEbmm{q>w$m*ew!d7kqbsg12DFkyV+ISe$&#o&QdH&%&B0d+uv? zW#0hkSZMN-aqo_rKki1i$iG|FzOVJ&wRbMtaMrlDW7Dy?%9HoK`PSH{PHLa`e@gFd z*4v}bBQV%UvDo}WfR62O%_+X(it|YJYRK*dgMIZdR}ot6mL~xNybQp;-&xcBLLOB0 zKCD}Q=bb~reg8GIRQi4QeILdfeddgQ7udG1@7cW@=D^BJo^Xqtcq^H+yA?%V^3oSA=@2 z_(r$L_BVmDi-rB)zH<8Y!24C8!I^ZjZA-o`N1YGj0gb#H(8yV=SmUAtzkSK{;!S`r zevyPcJnw^zYks$E^R|ya9(6pHkhu?#w?BGll|8Th`MW_z?OZ>7+{`NgU%Y$%Xjef6 z8mu(QBM-Or`D7G;eU+<}-fd$6cDynTArD<~JXHf}a_^@b1d&%AN-oZGAJTj-zbP+d z>_fk7g@S8-N3s>z@y{XqxynPhmA}6nKrU95d1}qFca`yLlqS#hH+vM>TMf#}KI4x% zIosZ@dWRT&SDLozE7XCQrC$fxs;LhQM{&KfJ6A0UIJXDJ1M;@`g1NwPy|hxMTa&rP zfui){mqyh6Zrl`eoy{(Y+HEq4H9!JSI2q4~I$*?FBxK|iC*5j0D*~tEljnwpdMw4V zOKYsff-q?t%e*X^<}~4}+a`j)(OT_Zj+c3j8eGh7!=w-S2KKG%O6k(XHbEIAy*5|srJJGOC7DQ3KQ=V&K*;&a3-N(8fbKMBDB$G)G^=Iw5wT;&FnnYT7+@;$ zUr^jMtU7za%;|xGn5WfzZW4E#a_w2B$7NH1iIN0W$f!IfJ<&Svh1$G4y7%EG}eY z5gr3oaYC$q*v!};ZFA%7^3D`5?OYKU<2crgg2})suJnkQ(Au83gs}|-?CWXpZ}%a< zDg`=WCBql22np9n!Lfw*doy(QsAXQ< z#)kD`uo$hvDyYXQ!qj|7K}8;>R@;d}_e01*XH{?Wu|)&c97v6vi2PRnw2A@+On` z9)T6@nMhnA)-BPDhiDj?|GYJk^&=`L> z#)cYry90Ub;m^=Cbp%iYv89-XDBstya8@we!L;dArVDZvgz|#f z%*L5zUWxU121eK%R3wyHA;BA%BI1mW!(D^l~u4-PKH-AG=~5_D2>rWCjmxXRTcD;vryYesDFg!s7Sw0(mowG z7)MdyhJFHH;8LF9Z4@Fk3ffVJ4V;Ga^QkxEPK5J1_8z2%H2q0K#i3DJ!eBPBSExCo zpmUU9wdrU7YB{ysuomScg%|N_p8_eO$41NDwqI7b#yQBqle}hVRj!Ls?{eu zi6ZZjUd_Ph5#oVBb1V_SfK?h{oHUV$1OOd@1xluQS*@*crrlfS01o=@z%Wb4c%T&I zkezVShO4(H;1^p3b+-{#;F{yZBa)KC%>gDamT^#;STxooSgGxt%UGMQC}>&YTe&!v zn>hE8I2xP$9&_Yk9pJlI>BIuc?%twl7r>m>90B(j7OhzS8Ybk)WJ2e5=egX(aw$r- zsHYX!(4Kl7>yqgeU@QhNG-hX)DSv;9B{1yAnZST(2*~zD3`7IyqynraE@6(XS+*@x zf}y(%VqNS|;}ixAm~-l_N(|&olQ%C3nz~+y7qw(4X-AkhDPa!QMIlX~287t`4MQwIvY|=R7Gz~w3c0~Lox!oV-dRku8T6L7;h~Fa5I*vE0nAC{&5?_Xtw0GD zg^6RdPHO9j>}9FW$$`^F$%z)YK{huQl(#zR9B^|1kgMxvbsWerNv)-b+c&ogSXuwm zk%1yJc4;u7T*YnaWlrj54cyQKACF@AtY+hNAd8F-N4&F^}H^p0qG$2x&&`4+2? zaG^=|JlxRD+yQcNao$R}+ieh;zzEd_sT#=mUa~cGI5mhqa?Z6t!tS0HaCAuc2+pkocfEai~>7hEDd5{VRQp7e3-TYSSSLo^FO zGa`y2ca`~BftUH~_#eQH=MJRFu{E?l@U z-Y8>64uh~xKG9%~0FooQ3YruF*w?a9qB&)q&XlV-D??A?7O6?)!BpO{&=Tt%RO>lF z$0A$cu*nsg5vYQ}uRzr0&VcX)A2(BuVRRiFMIaNY{X;)JC@EXq`L|SeY-$IUsIT5B) z6-(1tisyvP!kys=gm^e%@**TNfAwe)+kR#?~;~%bqJt8NuPar72`hW86G<5^i8d zj&Zmy9rOl#=uoBLF2R*!vG$G9+iKZ52NgX9#j=Ru*1Uyy!iu9)SFp6e`}GO{;PA6r4yNRNUD1T|4~9$%WJrOPC=5bSyi)Lpvj3WpPiyi;x!JMvRPO*u z-&9i3n&>S}M-RbFsu)%=VtBL~jot^pgNA^LHz7O>=y;d5pvazrjwPFFVrXw@z7Qbk zBwe5dX}HBJTDZEEY_V#b%`}cl0)8o>vG*mae8|UtY*5Q;pJF$`v@*n_(!laDOiIj5 zaM;wRX}TzDW`<-D^af>Gp@&Jqq5?53ju&z~Lx>^Q#!Ad)Xu@o~W6N65#h_6^wmF+Z zUZ|tCy&DpZ;F=O=hGU5qCgROZvRk7XG_i4!l3p?;sZT=&7Z`dW1lW>9VS@ybAx^LI zGW2Imw#;IJ#b;xWXHl-k%IN_9TX=m5SyM*pS<6Y9X8AaF;a6-0;sg^qkRG^%(Pbcu zLNw}ukPx*nSm!3vTIB!mV=@6zfIM?;~Y>kPOkSL8i z_+odYLnW3VVr#46SR+%zB{3YGq`+mSPYMvD1q%$Jai$WNR9X1Lq=N`N|3`V%vM7Vp z;{MQ9X#(SzhoQS+hK1@u0)diHTacUcnf@P~h@&Vzp9JaZHYfcU&c;Q=kT`>Ns59RD~_0Yvt6_X0F@cUZQm!=0|WWnx%5=ucx-Z zFhKU~zY6U8*JbxRHZSDYQ$HZR(d>KM2`%p52VdMzR@Lw+a|Y`DDcOIU+ih&wQP-&6 zfhJ+!2qM?2K&UQ?8BvnZ>`#tMS+YYD}CN)y~oAmg*aUk0YYq)SP4== z^lBi=a;yxC*OQcE&m0dFJ}NQ|vdrMK6u=B@gq1b3ldhHEMqT1=0$fFl`)hQE1)9KB zC~^ggdnQn|Q7bPr=kiWDk{QF6Smp{WVjo>naNOK-7OOn1&EcoCEk}bOA)flGm`zra zIUc#Z39{i>U%*6N(jTGlwe>o-*WbISNLQ0VV?t)Hzro#0CUaaeya+P>l-@+HC*$dn z+7Ye>6Zfbb_`9tM{$2_oWgYGCG#hvY{5QtzksuXeA^Ri9?yLEYy=_nxnJ14SEe2@f zlB0pM6?va_1Wi80{V8+$iae6+&%tdgpZpTvtkXA|-&CRqkM|X(>&WKtr(tG$F8$&U zVdHxBsRg1o8PSIxtJB+1!oh*K&PtpHiY-k9(;!OLEZNl(3}i0p1w5W36C4IvalpR z7LwLF`W-^E6r>WE2Q4U@Pn>pygoEG#s026bP4p~rWGw=QdlSeI_tO*;!y2` zv4=SpMB)#kktK&9aT2D;pi`lwYHyO1A>tQEWWixc!#?I(_^GBNm`Q;N3r&P|QiK!j zs3oXR#SKgVWf-{o_2+-^&#HI$`a2`Hx0E-Z7z&~5YD{W)PL6Kz66&LdIL;6&wi%!- z>kv|)gLaZMAP#A18B-Pe!HiJDt}^OK4SXQD)Q1k*2MAWx|Fwo<`)s)=NzuT7-jb6! zvNL%pMm~%;z1?~8a4YL3^H6mHXtgM^Gocxits+{toI$pTXc$3SJB{QeZHD!zY`YUh z4jYkx-WZ;P7_^+p#YLT&%5ZG*m?Dg0R_rq1=FY;S41I{q3+`p zaSs^XM1_;lD;=q)%$M?q2fM&k%w_x>t?IofvbK<($?)^-Hw+Np|?M#>{lgwRi_?9r>Ln9@0jeY zXLU`J{a00mLo!Na6h{ly=UAP-RX0aiuT{Td_;Y2cFm52PmM@(OE)#>S$>Pw5GbW&* zp2BcPT`hWZbmAaO>`CNYa{_&Oqw|$?N(mmTV?5G1I5_yhjq$Bkr?HTkE6bW?LD`TX zz7Je&p2S%oJE%G;%~)J7lQ=U$LNI=e(fqh4h4W0jm0lT32#{@#0T?M^#yt4JsDdN~ zHk6Zn9z;1U4$9JXuCX%9lu@1&oHKTJFpB|dosJ<^`5PqMuak^{3?W3NV5)*eptZow zcBV-+K!cl885~X^<1;kmBEfhoAs}QUW12(7jZwbo^9i_dOV?G9xFOr(oDP#S3^y7w zaTk(YMM>f|A^97+(Cg`8#+6;P(RIPh*l-g(EY5L|xREgU?}Xk0-ErXm zImme-6p*R=@rp#U(cmbR;SocD;-)=;KXpZ!EeOF;&{9-U1$9F@9-g7O;sObvWCL$7 zsrJ7ff&>(&Sl6_01r&{BII~Z6%Kpv73&kzC=*c1#sgfF`FeM@)>GYdG;Q~H@UVX>u z6gs9Jkl6n`&{ZJ_y{&<-|yRJs6Om}xcR#ieD&p>~^pM z0}#FbMsRO(p=0kQ?mh+Qzt?-Sd<)=o)ji#9dD(bUKxnlt%I<3l_;l_72-f0WAq_98 zBJWjq-0$j@eZtJyQvvL|uT_ydh-}`c{Ru$4b}KSu@2Ph+8K^hNbXPp@!3`@4>kdI?1r#taVqJ4axk$J_ z+C|*&_CB*vRz5^R7L$;lkb)Da(i-;6yH`ElIXJKV&AYDewGy2DMJ^xro6S4m@8hBO z4gF+N5E#e`t@f^2>)k^|LI@dui2}$~<}4rgW4CrD;L#Ce z&uQNbO+fl!(~5B;_PjCI}1?%klRGV7)$z zo8;oJ(jmxOpna){V_vp7dt`b8id?+J>byBHF1CKjjMzG$r|$DOXC}P{ZXeARdZ=zYb3!}vf1U#_v3QIVHd2I zdc&m4moPR@TZ#Ms&SZ77958BuDsi%26-&(hR;-M7o;lav2BuoH$m&sewGvrr8Yd*v<3)=e4PhH}Fl88GWEA2Yl*Sz)nC-nMjZ z>DJo;Th|1gPts}bzLM^MG&OSEaW81eP|Xh;-?&SxEKF8rI+IEhR2-SJvS#i`)lQ%w zNEaybEIm|^1j64=ss_^mRBu}bck@pQtgIWUt&Hs?Xk_Aszh4T)fS6p1yDK$6F7I4E z`?xlLpfc;=VUVwBM8j$2Ka1^T0QU8^RR_*SRm`mW-zB#S8?)xQ<<9a+_-h`H+7F>Y z;KqpkTFqSUJcLRks9yT^g#2xz=I8RIR3z|z7u}4HDaa@Jskf`i39jCXtjuxAMb3u$ zQ0$rksj&?Z5(zsCf!ViwiH4dV5t|$SS=}7XS&my*zQEZ^w#z+0HjIaWFHS~X zny|3XgI`KW5>Nha6KtPUYOvPXtMU58Un2&U%8>?Y~9jU@I156dT z=YYVS=>>T6PSej0aB;_&EMro`*U5FOnVwTwuQ0B@;1Pu0+VmJ64N70clWPSYa=^|Y7pl1zl|#v3 zV+8%`oV@Lo5RApE<`9WkaXgH;U-NY0g-)Tf<|Q(2dN{znG(Xk;5HR2jq$qv#J>?{N zi-~ovQ^JihiKbyeISvLeGM;4%v9FTB1pO*bK?lhQ_h4AIO(&1RMZM^GfGw-^kB*QeRi>Fb2IP z-MPjHGB=5?3mCD89q^32@$%v)Y1lWTb~=;HKAQ;)E883h#C{hDVXQ!JiQyi@=VV=U zZmMsNU89F``%0@Caetog8yU?qV|8SP+@|&TP3(VX>{69j35EsImoedlk$+> zk~9H1K}F)vg`F1wsV8#nx>hGM3*L_pUv!KW+IT7628hgFS(gmsqX+cez;V4jFrwjd zYlMh+R{L3FNOoqJJ5*q3##4xu4KM`?Lg(HQ#KOo2 zJUzBy104#CSOkp9y6NXviMg8Dkn}hi4sI0b;GM%W@p%Wh1qn!M(uXw{d>~zZX2^u> z*OHCU#P$Ut`({{p5^QNn4&AIAeK}j#ts^ z$4Bkf76c&6GMCuTW_STTI%>GwXEj9FYmg1&Tgijc2{f1xf=YW0ZyC^lXb?HmkAy3e zcz`v_?gZX%Cc_#i8ld8EhC}fbOn6vaLhn?mqG%3ifj!9kTUy?CXjh+;LiUrRer3 zaSZi3(`3GvRln%sedz8r<4JVNQI^s*3`839ori(Nhs8&NY-_H*L}!yUe|63l`0_&P zOVsG#nGvCJf^Ouw;{;K4Du)INiB*#*Z*crc1_GmE!NWDFhv~kWWV$d$AOjB7J7YA+ zLcr-ZIEHC(H)~rV52aQ3 zNf(4J64bL#rei9Z$8xfjq#4=}Rs2TA^_0dzgg=y;3^7cU*aT_YOd*pHSh~3mM`NIw zI*u`Ok;Gw%k0DAumLrQEc(v_$L)!9@DH3m=lr(-13ofCyET>qfyPUBoThH-yNW(uv zlO?z%3mNnZeXfecQPK?B%6xo)ecBB=syK+yY>@*2uE{4!zkPdo;-Noe(%(oz5`!VI z)FnqIf}7N6g332^04`t%2m8`zl=m6rzSBOXCw;Z^O{Wc+ud8?XlqISvT`Jv{cHM~E zzql^wNs&Gy@l##Bw^N~-opMiHW22{pN-ixpTfcO;%(kE*L^VyXQP+<H}siq^pI)^g=>Jw_VJgpiEhn37?R zUO}?eBx!)&Ds)%p^=&Yp>&qjf!w!>qOt`5x<)CQRu( zstZ(BczBD%X)umc8AcX6n28{j^$Rx)k?$G=OrV35TsAk~;{Nn*d2i-3e+Srp7*C%W zZU$P=+!ceEiB1_#Fnak#zbd_?FaMjDih{(K7xGolESUK9_YN@6eU40?EU{9+I3l$b zS>xjk&&A=tpP!r1BR)I;B-}|ogoOoi={bAFK@DB;Zw0 zy_;=<0UqDUd-g7TyJhUYT+bib?T>qY(CIl?#hEd<;1~XFOis-8yvSa@z2qJeFTEg_&`%O<_O&hr*E z_rV|Uz3rMD(0aQMu%43(pYHbjwkwZ>`+Msg$$AKz>B>(UPWfc1@2(FDjWI-S>#^LvhM;xu;)aC*MBl+n1WhpT{T0y*=-by1!-FO}RTss`pP6>Ne8h8*z0CK0G0ZpNJMRgS&MMZ#qY30Irg^S~K|wG69#lYJ10c!B!o$f5Z?D&QVo*qB!qZJShCT03+?MX2}dIw;wR`lx2{T?}9TX=DN{O@z)ZxrvJ z!(K$KvxFkc!kwo)4Nz5rO#}w_!D~Hd{_5B#w&DVsnDbHi3cyz2xGL@$nv4(9X89B* zFOmH$fq@JtyXcSz40b^BNLt3lKXETK0fH|<_3E5pfWr#jl^JLKQ?BP;w(mvH=<%L| z=P;}^{r4?UgQItNoV~D*xS8kHtqQ@n0@vSPikd@3Cj$bLK!g3-Lh2nh_*uAzS$W)C zD&4K#i8;zoWY4^xoAf?q2k&jT?Z(_$H)gx%p?BDLe79%w(+Wq^KXj5YAs1GS@1BEg zrJpP-_{V+TY#|_qfj_(Vyx~2}*t^ENl^s86;|Xyfv+5pJwf7(pw10oorb*qN!5_|X z;dIXdEbU%v?4LX@bbCH|vhY1%M*1giGJ1Q5d%9U^_uhibTd4a|xVhmTYd-LPb6O*T z@Afv!s)vF4N@$s$ZarnOlr;#|U|ja({RF=I`yRjmd*lr5t{!&bV16Oft{t6vlI^7K zM1dV+h4;Na*7FzmyXXVYhE1Ncx(9!-_1K5^PCO_^_b9OAeV)Zv@nH|iiShJcRmBtc z_V}&AY^Mjk!zYrkE(?QhlWv>wIWeDxr5M&_r2p&}{iVg6WZ=GylF`j{jcx{bSKP zwgp?D$h&k`rHfq-Q@N;U28;oIbJefHnBzS0?U-kkb)s0+W|ec0G|$69LT< zf^VK&4I#KG0WzBW#zT}N)K^3?6LW|}PcOm>NNg(t{b~=^EEgADh)=P{?%2C;G`sKz z>kbOfv)#C{am>8#i9M2!d*tmf>$!02WKP_1U0i$+3piJGUR#tcD=K>CH{F)!b|O>n z@SGuVopQ7rYL-;KTfJ_xG}xq1RxiBKB~SBpqjzYTzM57IxTf3lN>E*snCF}4AON8z zJn3MYK4XSw%ie;7F?et8B*EIx(|XvmTh|zSJ?I?>30Gi*vD!c2J?!P1HsK;3{5};) zP`wy~_wr*-c;?v(&%tL3e}${enE1M><*0aS*qGG)(06%DVU|K0cy(+K<`|xXk9cwz zUi*57)87N?D{*VnbP-16XH5K`0^>4yKV7Pxv9Qtu+}v+}bk&8&W-nxwoXiM|fdQfnT!mP?3s+DxUXYbH3?L&uN5{4Xp?#TlEfba`s<@%k5S} zz7CGqJFvq$4XU-{U_pQK{PrEjj`4nndH$~3v(uxU7w@TK=TRW6O?@9*5AWyw%DJ+h zLWAl)JY+!g`N~p1uK>)Lke0vx^~VkZGmG)6H0F0_7OHn3vR~ufo%WFK@h|5l9JJKT zLiEt205c1IM)&BKa|PWkQ~!sZJW>33yyyK}j(s|=ty%0&3dZiGDFmVHYBoTREI%d% zm{|-~GmG!6L-ddLa)Cd4c4G0S1dn0iSW>vRT$y-`#rqXy$(} z^7l9D6A#kNV#Z&--IJ3;w@zQfX8L23ZQ{Pz;8T8unMLJ?^+nle(c0*QM+&BYi^y?5 zigZL_&;2k_;pUR@3dhw2gT*|{?7rpL8;N|{$KAenbDo1Qop+GE@v!*EgPzU5a#41R zhFEKJg=%JT$|nsY+uQvUKk&5_J{``j5_HopZBC2K<<`#kh22Sy}|NOIS zK1^JbE84#Wy@R=B-6`FKvRfMVr{-9xxiquz%%Akec+bD=({_XhcU)WWa&GkgmIJS` z6Ap;VO$E~``NX|^U-zQ7TNdqY>bW>J2h)@8N!zY1ok$*BB-ztB#%TUzk3IO^Zd|O_ zHf5-r-{6_RPWNXr;rr;WR`}Hmf$ZS z=Y_edcNl=Od)a}{LJIxzu$|i#uISZ-%=+&gUCXcj2oS8QNEbKv$NzcJd%zLx`seGm zbzIs0?)C|>v!Dy?Yp}^$`^Ulf${L*fp^wSc%$PczydFLZ8R0Rcg<*!*T1zEvNcKI zucHC)x_!dqqqhSicGLdv4|EkF4^IcVYxuk!9kcHN_rXzHK78=NKYUEGb8nrnUZ~iIQGid-)q3J&yyi_l-YaIPvQ3b3dNY1rOG(MKxUWNY@Pn)w|-w z{R;B-DkunZ-h1r6A5M5_wvO3nYniF@dm{-=deZh{0URZ(V^YQ4rp6I&i zou9*F?>*kV7C6Pg(FI!VHQ?R`6@cCR7-{mW7k=5b=Q{HD)oTGNyp6zj;fkkT_z4s` zF!~2n4gdDkHh}MTU3vX~fARwM0lxd@6Aw;*XAcm3e?Z)?j(#EV;DJlmj_!J*A0W5q zqSptdz@7Yf!j31pWaC(r{N3!YQRJX!7AjZ0+40Uc6yicU19^A_RJd%-MLT|Z^@;&x z9RC|AyD#rvJATW(KWyK%XTkTbN_Fh|_}T*}ZhrdBfwKR$kT1=hztTo*=t0`c2+fnH zz7m>e%@F}aD-`x;t1H_9ctot&Uu>)!6{8~CD>U}KfN`_Y#%qTK0@k{U8X>N)PH|uy z1Pp0-?Aj&LDqB|u?y-s-3wWiW@fwBT%TXj?X(78NVB`!f`+bmuttA8CMx7>OVVTRF z7@!HrWUM8eG>;LWXo}lfO~8>xgvEY>?5LB;V z2~yCTh)uPu;2q!=fi`fHEC~f;-!=oj6mJx)-bjU&W2GuG5J0@tpo+8=w^62-$FL~b zsW$&7CNf}BWXJedC>RK^=gJ5m*I1VTd5lj6wNbSJYm6={YDFxtDpjskz=MvHE^AO| zwP6$7{?%Nwp-I}g892odl1juxY`M{DV--7a%L4wF0LRUPidam9g7=`vy7Niz^147t zz+ak(HOJ;ur)--y9&eDVHUh17* z0b~!eeD^#8?iog^65(N~;TQ!Bl?Fs#UT4O1f2xFJ8@JNg0l)ySBO$|#)D^=ukh9fv zRHM2j@LeZu+ng;imO2vP#(@A;Qgs4VTmr`yLvN*tWdM9v0;>0pyEULM`I?Osj+#mc z99x}&t74YXYcu zSipuRT;LU5Lqf>acw5ZjT;Q25$e-pu>SS1nhWzUVZtoxoa|cUzU{#G+6h9j6I|lZT zDw&aNFX7KRSOoyGbsbni5r8x~MXdZ5ogl-qfU~SG1{x3{P~nF_Y%@ltRd_5N-$DWo ziZJA$;ABE6v!O2ZZbj>7KL9jv- zU(i626(Hk@z8S^pkL1`IJj}P50)sW0?ZQbG7FIdMi~-$>tYqX9ywVW`+R}2nIj*sK zr?fOtp_6RDrjhYkGf`1U(6OulWKDATKJH87e6h(5219#>ud9lE$LN z8Ncyh^9Jh5?84W8yCuhZ`KgzO9X+eX1Y__&D!wqdk$dgo3Dy?$;%wY^aPT0J9$KHK z5$F~0eo4WAK=bKqvG9rVx8>8ER&Xnyr0S28mJATNZm3x3y-2oljWgkSjTD>W-bQ*+YwZ`aut38A&>>N z$-~;95<=XN4RB+UJ*)o$?8HZ2YU&Silno0?n`#Frh{W9|8)x?$e{{*Nm8VL#cR;Ot z)g%W95Xk5E4Mn!p7Pq|AuS?65@MEwnOR3!^rsEY{a7)pDSZ8>y%$a)QgfkMQ^Bm@l zW(xxuV;~ilU|5m`4HU1|(3UAarl~Brtz)D|<<*NS66a{@J7Lgt#?b~yC@}z1z0U*mZjTxYYK;iv~~LR6_jAhIE+$XJ~NG8 zAiC8sj{(&x!%GyLJpu~H%~Js+S5PC?Nh|S#+qmQtoQEa*X)DSKpi?f)k%xSycLI|a z37?NcU8@Y|>VgSF2c3j=Qfs3kQQ!VDb3Uo?ap6yTM}8|uo3iMpXLWD!Bwz)Z73 z-V)}=Bar40&ZwYQsW`g;nrc=Uh5mt>2Gte0lZrKav35~ilE{6@&gby1OB5y3p8GZk z#6SqRsrg8vQ}aR+`0wV3+kmYo7o6(Fa5|eK+gm(Njf)|=I$R9R^GTOVJO{Tx_ESj< z2Mzivox26~9_PK8Lx0`2;L_j{p=$y#kh)evilSZ0L_hzb0zubg|G}e_lO3G{Vh41D zG>}t|hVdOg7@F~Ol*ny_a9Y9~&8UP>NpO$o&IzYIhe}5W%@;*khBaxZUfp;li7wYO z4Fiel&aJdY<0Sg+;qI8=wF{vjDXc360XIq=G~jfj15rr(%n3!Yx*E8nRb&ph!Dgp8 z-0x7YKPxm$K{6^RL&hs0n-rX7x}9j@u{eo&S1Rrl>1}QzWXuwl#W?A5-`6}T40Fn3 z7=|nialB$uxD6<~&3Tkv*RdMHfPhq*#1T87^9NEZS;^L;!X*V9q}ghaVXRhOpfmwG zXq+^;HL>xSaA&1fD(iom@dxLQ*bolmY`>_97BwaD;Fz#Q$(#mQSz58PW>(Rna;<#z zNf$WKq~VH?$Mm)~Ct`*2flIXV(B;Dy8=K~={CyQ&t<{}qc`wdE%hVpnp|b+veXm|FqIXkOB(jsSgK2Oetywd7{>dOd_)|V5UyM01FCt78dK$X zTOFXml?Yc@9K&a>CTeE6R?a4GHIyb;lvl+L@HbpVZZ{;F3xheWEMmfSwt-=vZG!RU zcf)}=!-!lH1@b6y#}TWQ`b9jzoRKJ!O~Yp)c~-ufw06iAA6qVyto<=JD|wI z^(~0($`K^6z@lCM29ZaD+31(N+1N9XX z5Wwk@CJ8e-G}B7v4VOd)7%b)?D?!GO(3+`8nFOqKR8Iqc*3|7xE3UHW@C;gS$cJ|) zHfYdW!P1r)lMxB{MpB%CU{A7!!ce+^RW?E-%xM6KElZZ3ox0vAzj|!g9oAh`DpU#j*(kK zzT%&q?B$`_q77QR){wB+xb)nW$$~4Gu?iPotwr3iNeFHn%}f>mnm1WpHauE<8y2fz z{{VwnYlt;p(SmsiGi!(9HE~4IaIC}0_~5eErjkN^`EsjSH*0~iD}gFXu1d->#_sGS z4_*QV)7k@ZjI_80Z8@tL)zI1uy;&}SN&}8=0-fFD(}&^02~BR$SrFYo4C}lkrB-l0 z{LN51m_A~#OIDCVEHUbQIF=hB0j!cg+9F~4f=(-3RZmQ<=(2V3hol+7T%nETX3?!Q zE~p|6YMSJKR_1o3u!F``Rr3_L0a)2u1@PV2EEbKRxg-I;>s)4(0q7T%#?7dJ@k&S_ z-|VZcX4H;_p{muKg4%J)Ap|}E+pVZewzLwhY6iGPwqT0Y#g5*W$1qfB zjv`$wp68dS>z8t2=D!VHGPpe+;>Nj%;PzU10AFVK>EPBX+PXoPp2Br&7*sUJC5$2; zfmp~85aLthQ#r_zgY5B0iX8D}BGP!;uq1B8_h`6z_6XF& zahwfp(fCmgCIbT0-lU|tI~En&mq`135*+24y1=fDYb-@%!;qL&3Q{beym(I;6rp5? z@!Bq?3RJR;Qj8rg6s)K~vtq2C$ytzs1+@gi&%rp`oKsG^Iget7q8Bv@c+((Zyuh%g z38)YF9FN1ia7__3DJsj6nMue_X@uWPuEMER)ew?PKyhsdWV4t-mB#(b?Ofs&4iB6l zsvS)7Sa>{qD3Y5p$0}kV z2I(DdG!4e_qmrq%99C;Mq~RY|2i+|l=`UXZ za$OS>TgXz`S5vz#=_v5@U6O>glbvlQ^bubc> zj#8LOgeLI8mN?}pVWNtysoHl*xKq*c8#;hSf{k$Xb*1Nz4*XH|F_3Un@*D+U|Dx(0 zz9HC7ppf1`*tW~CRK=*bFJ9w9nSS`tN%vy)iu)BAnoO8^0N|we?SE)hE69)afvU#e zYQ1mfzs$Qk(?0LlE}|@OMdxEdj=utB3c%LSMj?GSkNQeDu5bVRwDUij_Y@#+yMWBP z0t&i58Tp?h_5k5Dy`L~*mtvV0x5zsHOFQpfQt;M0?`(PZu5r&_G5wsGSMIuR<_q(l z`rr0>ZJX8<1AkTtA%I+PKlD(qCd-NY`WyHB{JxuZ-|!H)pLqVsJ6krcC?VY3nR}kR zg}5{Ab6vdOW#c}+V)~kyFWk5Kb*$>Ks(t<+Hjlfr1*@~)t+;=FA68F)Xw{=wot+%} zbm;9_)3N3X6*>2zU$$RDLek$~HtK%G4G`Pe^A@ivhhtsiuW-rtjesU6wO!HzA58bUaS>ke2k*-gG#_T$FMe%!$iVRI$H0BL` zZ4k!ixm|Op#kzVEV)EG01dY5Iu**b_&L1@mvg>va=~ZyqVp0&Y-(F4l$`7pa&dV3+ zl8>Z!uYz!_lKs;MH?KH_gph2kwnJCL8K^W>B+339LcSt*_R1pFJJ4#SPTHoAQ@=Je zPr$E|?5f!Lgge0`ilo`d+C_ENgbLs&AqKjY-fMEZsIa9IT`ek-OgI#K* zlbUQ=a-JinHkdCDE3TXEZHz@Kon^MY>CBdRx@pPQx+%rq5{U#9v{wOT*SWdg>ntuV z#v?YrIlDZTn;Y(2n!HCYwG4c#UO4H8_^KF?x3NTd=d;SO?)tY=$Iv1rF?_0Rr0pb@ zj}Kz)lH$mWfWP_bg2tFA&k}C#%^=enH$#Sn>XPLR8zEp>sfO>SgET=nZbr)pmarQb z$PU+JrZ(&h!k5ZZTS8*Cw_#?)c2Y_DI((S3DzFmxvqhHRv8$4{^Q_$n^3wFG`cPxh z5`3qQ#{~Fb+*@95WCBB%ZUAYB6{< z;O9%r9BCKeqw(gn1_cqp(2DZ)5HuU3DAq(ttA&M6cYN?QFpz6!mo~(bBioL%wG9uC z38rt>3nQq8*htp++CJWyw#!*ZAb49QFvFZ4_KUe(2rXg^O=@je4hY=g*gOKr|71O1n^@f0wZN?I%gvo0FyV)RAn3Gg^%5# zH^?ShEXk%KKgX4Z#ebERsK>O2k(KG&u|(1r6Y>?gtzTXJg=Q9CC1#-@39+N3_ztNh zTy12vqLj5W3KC*eW`q%F<10s&WkzdUHtNj?ZnP?KM+rq)MO@c4jiWUhWz!gmiBpvC z5foVAuP?sZihag7ao|;H+8mxJx}>CnJ(NL{+xtCU4Pl>;U5hw5ZfTM|2R#ZAYa?8z z&vg+6HsKTj$$FEd(0dGu0A{QZBula!kZKE91bIVZQUMshQ<+8wqy!DgP+NflRfc)e zjGZL0`v}K|TaFLRVXG9k+)X*iq3M`lNNL7Rd;$VE@=+cT=MMP2-b2$2;Bm_2D{1M55rSP^n3eQ6D1-X$GhOpTd4%x0;^=yV~ z#GX%i(cIDnqkqg3VLH>*vk^fL9X7%X75Kav>QMnmLjCbx#~XT7Ca|2PW`f?79-IlAV-}XDQCY}JP>`>Zt4f^mIaNsS0r#-lLLAtHt~4>hWiYPg zgy>}%gd4#dLIX_&yb#+}A=j8%VYmEyAGX zL>jP4+UZneb-k##U}TXHXH;a;%zSoLV0c9QXn1TIt7wRDXi}a@8-+JJfu&x7@t{w^ zN>^{fadq`Z$4`1g2%~U?09!YL`~UIwJ@8Rf*Z%j;PS#0S$Yfb?NvXY)Kfa*Vx1d-W zE!B*nem2Hg|wXV^duQIU6NfF#xO26P;oZ1vgDGq z4EHP_ij^{26WSAnG+E*~)`NGI47Eq6G_}7~(^A6C#eQlwG)W3+h{BeZ?6lC*F(CmV z^$D!wfsm4j^VD}tA~i^;OC5h_8HF~FI}H#@P2)9ISgT!z5j`QWY{ zRZiyQJt%`{?A5c@z&`86hc3o!O8Kqn)mm4B)#R(;=i3J%3>G7L*#Wa)~8f57n4JgGmTk z*)_}pcl&hHhkgA#au%|?S}+!fD7lVO0w{?~o0o@l5_cd&c$ze5A;1%02}%Z1u)be* z-Y0qPT$CPpD1>Ma>eLC+OJXaE66nAqa#jvZhIbY6;k?Cm!y(;vuoDu+Of-rEFQ9@B zAfGsX=;XoXkO*YA+n98omY6E*YNA~sY-Cn|$o?7jW57EhmBS`ZYPMQ=LBOiul~0vA zNo6N$nxR`XYg^?_@Lvui*4|BulispVZ^HyPsQuALIG1j7BYi~ z^5V@2)cXrL<6js`9<-S`4Ryyj(nNZW^8vIc#IQ6=Tv2iE zdBokyC;1`8G$RlcN>H^S!OQqCGtOB-h#Cx^E$Kn@qZ1hM8Z`{eVSlK^G-a?JeA;*u z3l>oC_(KW71o?zBU_UbW8)TnJm6IKS2k_0+K0NPhX|Z^fITK`Al9-B28kNuqj+F|U zAQ~7Rvwe_lAO^Bhj-QSuf<^Xc*K{hB#t59d;3Y+{*#tQ%`qY?)AE>zVi4@_Qqavup9)B<;SvUA)d1l(wq z#HW(-L_2jvE^ArWNmw!S#? zK`;fKq=6Pe6N||v7vs@ovRxWgqnJ$6b7*8XNttF2M*g9i0a78Wz|oL&leQdbm(17_ zo@dxYcx6u$W5bv&u=D}k9K8g3qCW^J=%Nabl1K`AuNS*rV(Tfjz^YnS$m11~UdMdk z5QD6Oqa9$jWKBj8#Rtohcmo+0CbXgjZ|V_l$U~n5PN10$rA6o$#Ou#N3`mm5o?fzu zLjVdDC=@bdAp20lA^%>3r=rA{3zDbM`j1AFKF0#G_Y)+PE}}JC##DODI2TC|3HRkYitk6(Kg3(n zs!N9%7<xsOi`YAx(0t1;8)PSw8t(#k^&4DJGeC6plu6w|(cf*G{K*Rm+ z7*g;nn)`+aW^ab8NcKuZ*-z7~I`N*PmFRqNtxbrhri36Ci8l~}- zE1%DKFmQKueWRxdnS<=39lNvxTlEupE>Ml%CHv&|<=0jc*zwJ?f8=qKCWL`Z=A>7_ zoSCJt(%V(ua}|LG*X#Z5vF-gp*>Ef=nDfcb705nkk2?xMiyyeEdhvlphY1?l%ip1O)$8OL-4S!tq*RN_#ZqS-+%b5c~XUbLDCpjS>n42=hfkm0$ z$8_mHeH_=tKY@VJxa(y6r#?8w))|CoWY(!!jrxine|Z;SmWGBhw;4!cF&(9+2^TFY z_>kMY{IWQ}cWa~NAdhZw9jAu{S(n1Zho_+CZu9geB(W(2^`^~N0k#HnZpx9bOQlY> z@}hdkwc?8$cg1KJ-)_4-b%FSnx@t@}1Zo=#Ojij1*;sMxzb70=FZ}Alunv9#vnK(TD(DLEl$-uZ!tMBO7{=GvMhT+Lah1ctt<2`2^?4F9Z?GjtJ8k3> z|4dusyv0h#S@Xax7Lt|A&kdrBjCqAvFoEH354O&6+-(Gjr<1teN;#I5geFzxD>|0j zxD}4eK_fmd0o6On$tdAqsv)12Yo^Y1!rSLMt_f|{j`7HHW>a*MVAd-axB*8%u5G66 zL{$vcC>PpQUas=+el_^6D5_m3x*p+9kHQAj&CA(T;1ow2oj_q~n0ka&?&w&~9$6BM zv~I-&1s@xLCMKZ9ki2&DRZwtSuoV8F4B;whq8)qDNjbJhTS?;seYpygWUg60niSMf zelbkJXdy5@<+P>8fE%*}UOv(OhGR9i=PF7tKqrD*TUiqF2k)q#Xq4721W0qE=y9FS zA=?P2SUZ-uy(B(Oh)0H?e1`C%JdGR+Mx>`;Gc+ONPm}$(g`7e5-$C~DP7M8cdlmw( z82w{fj#6wDAc%)oLb)4Vu>{-*sDMHR_}rdz`>W}rOboP;4j=l&q_ zr#JyG^h*>)FjC*TfQP0qV>ee4lMRf#fV+Zy75!K`MSvXB%9!ml$O_5OAHWHSiW$03 zktL1k2L9=cTtPvQizYEF&Gs7NmR=|Z=~zytJ;<3Dhb{_9VpfGjOv0t7hBE|^y)Y+# zqJKTn?A)NmDk9B@QJ{;c?22PwKR*{(5S{wo2cILONeS_iIxBKJ}*QZ4ML`R4nrx|I%Pd=&Yb+P4)vN zg$n;F)K2B5KgiQdOGm~h8$hewM^Cg+h3E%BuAi!kvJrB0`MCHzjG^!A#6nJh!D65I zno@;Ic3f*}8P!ghJ@G2rE6Tzq>flxgaQC6o0=fA=$auRUiTeEp?y5OX+V6qEf~>Jt zH8)u~900k17`}qGkMF~u?SZRkMqO&-JHu0)6k*o`^%Z!(m}rj~z!DZ=3ylu~_VpVY z4Az=l22*sq1m*~|OFWENY10<(<7BeLRr_*P9NYxsWpXsFF(Gp(b#z@e6}XlQ92QR& zm@vmp`-wb`6vU*;ILN0cpPHOAUMtAMZmP4@P4U%$7{03+ji+rWl~X?2%$`*w0reRy zG1I|iap)aSv2a7uX>wC37ebVuH1Sg2N^<4?9>7C{YK%!09i`XIGWTIyeTuRq+=`Vn z68R*&kBkQ#fB|x8c@|UzO?T@m7xbcq$ z2;h~%4VRCJ#~t88W5EQ-+x!%z*8q{J6giiYn7Hvz9@Ls>vK9hvB75d&GNn$Rt4cru znjowkC}aTl8H9XzWc%f6T{;L|ASpTyEHMQUyb|_9A{QyI7H3Lef}dlp{5>Uh3Cb{1PjGAU75_g9N}uu0*e(-mw6^<*+dK<3Ci znJP*|*&b*1Ll{4En^9{G3vS0O5eCG@p^gw4rqHaAF(o;M>`{FQh8ZfVw-t-AW>c|v zW{kmpb+P%78Ed`R43~wrFf(jhKz&ujO+nGYQ!qfWD?tRdTLIC>C}Xa{?f~a~QqUvp zp(MuNuGBT7t}RWETlw&ak>H}t8xPD121YGgHyz*zw7)zL_D5+FnS#(K3TGx+2SYIk z$`B=_2!*DaUn^)5x6LCssy@9X+5%>w0CyQ^lG+4;W^h2Hz$^mEHi?WtBFh=yd(3%6}imdhHS=+ zb~tWH8Abo5nK3~?W`dat#Sgq5p^l|MK?M&&gf|hPAT_TfPIZvE-@YG@;WRQcG>OtO zAD~Gt$oxs)uc1jEcSRpHe-eYfIM)_)oG5&%n=hkqa#E0VMNA(cAcDL(IMqTeYKX2>3|2cCcnG_3LZQ=7v*i; zy9j)j@P5C0-fRtG+YfyD8VUJ6to#k|XRmzloc)-ycx++!(mfmA+By8ZuRQ)%^^U_B zaesT7Mo+zB)pSMQ1bOT*g ze?LL>>IoZ+&zDj%EZ(>1_1OoeJ+||)^21+TRsG5pn6o&L_aJFPgZ!5c5OObO3%a*G z_8ZJX{QT&)m6*fP-1p9IgyH1x?~eJ_&Q9ti3;o)moNZf!ii;edj-XjJ2umTh>m z`R?k)2cN7S2?XDpJlN%XwFj5LsjI3n&vNf<$c3?p(iw7_lEv1AY+F($Htkw3&#Xi@HZ+$O+dEz5O2cU3@A69Th+~(_B&(0Qc<1Xy@uFFJEA$8!m8vx;)I%%S!=XRvni=SY4Z{>`G6Bpyj`7 zTWgoZuMK{#!KrGmU0BuA*4UB(KyJ@Jm4;_kU?3ohac+ES;Nksx5N#M>&7wj>U4p)$yq4X~q*v?GgUrSmn1ZI5Lnb z*p6F0=}IIV!N0-6`4}7l#PIgi`PuY7@(Fc#S2s*XS19E?DpTC`V@$)16ry*l5qvG` zW6$GiZPGE94lQi)2P27Vp?6BG4qxxwZ@{tXP!6IkMEzi$#A}I+O^>$0u{CA&aUt%) zI?tXoCJ~kdKfwSq9G}ceYh$7{p5$)YaWMLk_xD{8au@j|{mqM9AmN(pgKLbMV2OOA za!ry~A{olNh681{ZA>Iy5iUhOY2V*iY5LJ4PmdYlwgs)KKYE$17Gou@19D$Sol>6u z-EEqjj}rbC&>Isfm~TOpP}0LGc06um2!(~YWKw+27!u%dLlAM3tLBqWfT(yq(h{09 z+l8)+;fVjm7}eL2ksXXsq@<3|9$eUCgFp2%ly#bQ8+R z=o1IbmrZH`x-OA9m%H)fr?x*yk@(l_w48_tKHVh8-j#IAP!N^{6+_^C!E588gVIMq z15rs#OgN@24kgFvLOeiY#O6uvJkcFq$3`B-6-35JnJs&;=M~wA2gE zl4a5qp%$y85PhSOMK$=^fn^0#o|+MQR0rYxNcu53ExweMENO5HpH5>WfC&-ClNLQl zy;@^|)OKQs02ff(h&m;Zig`ZpzhDM%~OjadW8D>AoL3{BJG(Q}f zofTRHoikcwRG<{1#5|C%La3^})RV*f33-6Xc-mmO0T7}n=G{Rb%1W@&EE`o^38Zd; zpxb*zH8hlX$jm8eRuSD+jEn3{EHI`kRm1{MvIRz2J1)8*3ZI8F!9=^lpcDEYB?5ge zbSol^Hy7dhEIq10PqKu_Td$SYG&+Ecd?59a4t+uQOeqQqt(Jwz8i1x?slbV^w$ zOF#YGCS?cmOHMXSk{ES?UZ5Cqd8gOT7mN_b2P zsBJQ*|KSj#`WV8A{U2-F0ksG)V*RVlB!Ff&JAZInP-_n#fo~lFsu~uco1O zKmNB39nq4$1jRXh@uq9O7OLU^9ZQ4!x=x5Xk7C4J#ZsyCt~1AoAHOiWxeGu+_t)$ybIT)!+gw-}$q+J91TTpUrhCdV`>G=C*x^Hux#!H{fq0QoGk#ty>z< zrlSPWDRB_ z(nCkI55eny&ABBkS-v`%9M`im^{`5p7IwJjgrhgmBv$8YOM9@qWofBbzt2aljp#sD zOZGJ(v081F8Il=ILO8G_IE~3nK5GHR){nYtoBcl)kO9_(~ z3KD2=*aD>Ueu{EYC;4P2xY5$6r+k7aSJy|0Ox!t53^dBn#+>J5K{ory9ygvqwa?K| z%>nyry~%?o3-P$Im;?%rR@xkV9PE0~{|%Fs0mMzg>HDI6s(3VvD}p>TqgRB^wcClG|Ga5MxsCz?Q* zuvx}XAvMU_0WvdCBr#T_P-Y7>RT8Zhjk6mhf1KXrtQA9m5-e7^QdU z;M)sSn^Zkf5c)XqV1a}OQCqWQ77S%RKMleag(KKzNMPEbg7F%2H#`NMuv0Cuq6Q;N z=s9oJK7b)gLAQibaFq^Nv;`)r(=fl{k(j8-o#?s^=L!PpxhxtO5Jt)<41-$!azgGL ziRaA1G3+9oOGQ!QJ{NJEnARA4yM%z4wRGI2nS?vwLM;5)4h|UOEk(o-;&&L?%mVV@= z!^zf2vRS|t-$OQJ70Mv5V2QdAn9kCy+9{IJ`(R)n-zt&O!qb;NDr?~Fm>j`wW4%?!+I;}aexU?RQzqezd_ z9yXavkp|%k305Zo%&9?rE^+}{Wun|}*Uy-CtHc?CT__cPDm;6MLIKjx7}V)^@HB!aP@lq8eWB0%JY@iPOlqQb zKov}-tU(^3Aju$PG=X!IF7pA#3v9K<09dy~(9_&r<|9<43 z-)2pc^&Vp{{W5m=t|!Gt4iz+!uWu^*8Fz7rFVdy0^Po3b|0t$=Za8^`Y|?tP=^Eipvd z!w$;=CO_57e|;aH{k1_y7~c(m&ZmIjJO8$*2dDe`@lRd$mru;9+w_Vm1>#q4-PRno z?SpTxc<_ja5zBjj2(Y+<+W;0vFVBO7vI|7n;5)r_v(TVu1TfWvclLi~0qlF|HoT97 zI0qQu%ip<%WIx0fzm@#rvzX&f{Jb~*9MG+}M&lJ>x~yL1|V_qUtH%Ci3xAZbKht# z_yhaQ!*VgV%HJ3au;alAz}8(h;h8PHbtS#P!U6_zK%?wx5Ye38KnI5GVt{pd=)i!) zOW+Ol_37>3l!d=bdl^6lPXpf_s*$riy|s{mD7%*vpw>XBUe98QF|2O_Z3`&7z#x2T z!qzNcK6`sVfBuQ09RMVL=${r0^p8IGJm|?j?dE$Dg1a6E7FNGwkC<(}Pu-Dy&u2d5 zo5rV)e5`E;ln%gJ-A|>%gMeN$Ha%5*=|h1dfMCU|L$I_vG(hg<@2Ck!no1u!A8*-l zdGt-dYi4SgFb)lV=1B2`BNN_|m*w1)=`S51^8=I)gtD8tywxgxr})Hfr22)Mwx9*j zyNK<4*|&;Hhaq2%6rU3rcaTl^Gu|8QN3z!t$>Ls>04DEWS??BhQQ`@_@*9i?iCbr# zzXFevN{6SRk_6miu5`c^ZbS%~l}>%VCr~;JT3<0CE31E$ILg^ydik$r`|kT%(Oy}-URNg9WQH?-8*~Vxbx57n9#dzLhtU5Ub}<6 zTnzAClnzaIB8jPX9p}r2eZ86D>4Hj!rl!(os_)NU@^AZ5Ivjdp;$CoU4m`xIqK9BS z%7dM~R!MKhcU<7hSwh+Mtn^BU+cg@vCPR}fDM)VB{`Sy;El?+9g)SXV1K%C3Q8!^c zL0so#XT8d$m;JIA(7VeB_fOL&zuK zem^1NdmJdc{f<2n7>F~bWKJOyx?;!;^TIV||i1ia&Ha%+m zY)|o*Q929;S}l?nu(UhbZbP-#oGoVoXW{sTTM1?N=th(d?HC!T`F}-!$`psbHsNJ? zVb+VT-TTQM`TI=*8x2-K_13iVPn{?}p^E?V{Dqq~1H)lQf?YmiYhnIVfmY0lkj-Wd5#MkGGD<;QygVq4ssC_aAJ{JK2vrQK`; zsf9Q4nw)z0fr2OO2xW+*%6d4m!ae-e!IOGRT;{X>}Xv&Y+Et>EL|Yw$?OvB zeFaUj;68it_Ms6r^;qV%EMv#nMhH26);nKD=`b`KK3ZxoBhlV z8Tt)|m7FQYfXPcbP_audwstG7b42oq)*H?ye=}ZuD){bI8k6@Z8Q&*FdyvGUG(H`w zmu^tNj2Omi_S%r_d-w=qeBq+syz%^ZkNeo2d#OcuO7MNL_y4|lWblOEUqO@i7kobk z%IHWdE1D{)Dt6f_zf`qGMho$lQ=Hdx}GPTH?Yg`#_c`-on_`17Zgvl#y`BJ zZR75-Pt+B&_|E&S$;&Edbye0McNa_d#@!EQQU(+Z{CE#?S$@#rc0NYmgEQ&NnY>Dn!OuFh-uXK3uh(|Uq zQFSOC*yn(#`06mM_o}Kd+6znd%?n@1(p?em2 zSDlFEN(Zkl0VXec7PA_6B^tM$zY%b{;GXf|pVvT>ho4S-uLC^`YXX7q>Yjz=HXwK|1r>e^uZ&6^}T+YBzysF?kW>K3lth4d+5M;trIYkdKRAEMY?AJEL~qOii?xL zcQq#O=A$|AT`k0O6$Ib`&24C?UY3LE#SIGQU*;=*X#wwj3O$Rn-b@&q*b6g0zw5-H zM-6u85rJ~*l;G1I{9rwcALn}(o)C8X$KA7F=}UCmVF1c*A1rMjQt10a^Zeau++SY* z1fXNTcIW!99|OEAfKQMAxa7JQetPvfppF8~6DY7Ze*Y?9mcDf0%eTI`?zVdm9lP#@ zTlWwO>Bd{1yXf#=4_$xRu63X41hq4&?fUVq}4#uEOz7P67Zw-NUX#}?jP^wL{^V7(2R45BDsdgqtm1~TjS z$DUYo;IFs6@R^&_PXHsft$oSs$8Ozt4&Yv!_uq1B@A{#8-#&Kh4xsD;I(A$D)JKjvq*-2B2>Pp&`w*IPepe0lu=c3&|Rn0v&1 z?xvn0w{QB|vBUe>C9t^joGjlX#AUv}TR^ivPqa^wCB{{tAj+n)u*@K-h-eslZ_ zKmQ%B=RY^(7wf-%>ER#Tx@*krHQ;C|SbdF9xjpLlJ_swa-keDmLSZ94qr*S7=W z_M9IadhXU;?*J{B677ZchmIZEc=Mmuk?h-YIIcJNAqxuPt}pY%M#>QDUnjlcL6FD4 zq&@h~-QVAe>~o_BkbC@6WRKpTz5=~|z6*MP>)3@JoG$db8fl4qvTOa}gVT?V|K0iv zRvr4$tuOuL|NR75!Y};%*GDy+E;84Zhc=Giv%dHq2zg=ruU9>A{q$oOUcK&t-yHhM z%`XBs_t3_FdEr82&F8M!5P0IJho9Vj>8-y7847~D?%)2SV#8N=tv}Rp;7dPy=`&w0 z*mdk?!0G<{iACR9GyBD{-}&u410$8PxMvGZPh>w&AlefMeHI@`aCkTb~s z+vHs8v={Uu93dRnCeidau|MAx&9gQLM9!v)T8%4uvXB5o5_wrgl+_M5mB}w|7|tlJ zcrqTm=6e;K{|aERCKnB*37g2QW{lZ1x(VR0moGQ(h|~g9733+B6s#Zx1IuxpL)j(N z*YtNqr-&~%o6V*w4P}ZSklma)#O;wulRESMN-m1(YPNtp#e?c4?og*_a&R{TO{M~t z7C&)B83Mekhy~3a_YV3zA3OpR7>jj1Zsg1F5Vn42?k))4eV2;UwwcbjKLrVdR+ z*ygG!H5PN1IgH1bSFCWG+6gz;Y$~glvx5Fk2Op-D`rS5V0hzT_EEC)B14eA8QzAhU<5WSmhVRa(g@pD>$i0FYaXrC4wktFrw~4x|KY?yG4XL)>Nyl0kj~QSf`L zp>XUS;tOMf!K&{uEo2!b@fed(b~Sg?dEgFKY%V)XSlID-MIn;GK8F>G*|TJwx$Hci zL~0;)fSXHtN2Y+gW;3h=S-Cs{AraHVDK?i?oJD$4GLY;L$U5bP1J{{u1*?h=4=!g- z;-+}q50Q?J(&Wf424s7g4IU&Rs)o{1kU2NG5*flN0Z472bux#n63T4#H8PlPDl6e5 zgFxA}M0~hon^94(<`^VIU_&&c;*JWO1mRfvu?Fa^$;&}*7+zu5D9miq z6xBVIR)YZ{O)wTH(qN*eb@n-0)$Iq2?z+*#G9;AGa~DQEzzDW=JyU+-BYBvVCjx?S3wP#3tvv1fwPeSlfo9N!-8Psrr+rrNDWIovUkHe@ z5jF=XWvVd{3;T^CZ5tZRtb{95;sfyV%nTaR^zF?YT1tQEb+hL_W=HgIi7?^#*E~iDn(Jrh6KAqn# zNLdX~KMhT)Vj&_o)P;m~2t1>8#71tHIaGod$x5O@@Tz!I(^jKp?>t;F}%RmN&EegNz;F#CXN3acws zGF(RrrV3*TgOWi?KLa;GWI+>3yD^#^2RD&p5!cHQz`g@Fxz6Evd@yhuj35X#8ByXc z^ll|Ge2^zo%rui5!$h+tGl~+afuaoGS;m3}$Uu6Y1K2v|U`dKufKR_=0_!D8SSp@m z1+2hnEn=0>WF#_j7(x=;>tI!ZhIGaPDab}<(>@^u=^A=>0<&u%Su2QakGWn0fMr!l zs~MURcOq|PpODVHkTb}B|71gZ@cgH}(t&-1K`}->)nq;jTFF4c*2glYWCi!DX2ld^ z95lhGrznFQ zd^jbsOppvVD23Yt16B#-gNX$ebWUqzY?@)Apjdkf*ISWwl(!kY;YY>6bbOc+Xa>JA z3XGV*XNJ_X>yV;I5flG9gtT6ajiDG-jQ>fCB5lu;iQY$ug)1Rd)N>?j)B-E%&y-i=aT z%?-qmKs%5ttg=WmZxZcg*!h$SRjiS59c-q6ha4t~VzxpdI&zK%Cv8o|V6AkCIV&AXJEikO!SIezy6w=@2;;YnFh=%_wkL;&Uee+KTXah>-Kj*C4DCd%S~b&- zjD5OsR4P6>+*a9C&gP73gAnUE8;uAjEMdpCA3%1jv<>z9>M8b^(XR8uWV~!zdY_DJd#_5`K!9I_&MU}UDjfB=@z=-rz zAblhP6*|1Jk%%TA0c~fhwwKB^ehbRYeWElXf3FD0e}1r za@vt#L;I4rsbxm~$P2;u|G2&m*tRX4a?}dc34S^@SJ*sw~PuW0F2u6Pts|M z*$~o6Q34}&bpklA{vSxE9wN~ui~~0}*y7v=7~qn0hB;N5+o_)uruT^8bn%*Ny$NME zj&0aTlRnU3lnx|&N{Go8rXXdRI}jyHgkX$QD_ILysn*H1!Jl_ZWx%hS%TUl|nG}$hV=|2{gzlag4)P;* z+QzGhvg9dlWzl1T?1(iJWX48p!R#HNdSMQbSZgX6TevOMBKSU4cd?nOBHUcJ+(^ZI zBmQ9eMHNbiXiFvI%n0|STv3&X>_>Y(9J^R1(oW&-B130O>q#<)TU%E|E>ZuqIu)K0 zbg!+^1*tYZRE8Sr{%qBCC4X4JG8(5?>11F9DV4OQz`v6On>CGGRX+!grDPbfm#)iIGX$y+}F+(3knzB>G}T$wQ+CNtRqr?~W>>j0{b}K=fs%iq}!&2e}R* z&BH)rMpcAKII43f526^rV}I0o2Xx9KS1Da$&a>v=3pyAVrJe=2t74~GsmYLait+y# znbeoJ%=n0V7G8^u+=5wRMX5qTVcd&Xph#q_%J>5seo^0M#%fqG$35aHLJ5qUs3Rnk zX0d@3`X*i@gG&jbAwU)ZLX^c1Ng?oE;O1r}o)`onz~m)z_6QE#m(4O}okcy1h`@o# z2o8pUfB>osTvau!29mw_mJ$B5wTVc^774I6~4LG49FbO^SYMA3t9QYkmmWT?rNzJnra z7<9!mXlo+)d|R>rfiTG&QDxe8NM_L+;1fw#OJKEkk@&Pgw$3VWI74BjJ)C4R`)AZa zlLDv4M1>=oDew^n47iOX5qKAT$ic#NYe5HYu8@U5s{(hhnH8992nk7&zkwnJjSa3O zi;N@0WJR0lTMU&yUtsX<5|N+fuo54r6cmJTdKx=P;)wQp0FUTU=EI38i3}!ba=I3{ zq9Dj_co2ciWs(kXj?%y00j}x`s_!g!A{ep`9`#&TMk>(5^d*i~2T|(Sq$X3EY6`Ta z8#pHg5CjPj-i2uvsWMx%*P)StJ%XtFtI(j-9T1zo&4rq>9sFLTY!C^e?*Sxekf=rD zgIkiW&pnh~8dyW{}&*Q)W4-+a}#zw-J6Kf-SH|8;Knq85O`uH3n*6x`#1D@xmT zLkPAU+3+mL;{hEz{!9uU0CenWdY7Jw`wUk8NE`n{58+fpnLZ0B)8{>IfWczdjR8IZ z_gCIt_}aPM*aKwanwDLA&V$~U&OUenJb2@4_f#(W@15hURha^?>KtK9pVf zq+!MefWdnH?u3U;Iz_ z`>#MAo__GYT!y%^d*_-pD|bPYo6la8ckI3J$>W|+4qkUQG$AX2gxliD#s(P?wZTR`HU7IF6zW=K6Uja1oY$A8ReDBT;kKVm={mrkw_FJGD z|NENbzgl!C-F-v%uQ!BOVm~3R$*cEK)?B;x0xi3RqHM_fLaM zVq^b}2cV$#-~+%{?$cX4_FaV_N>7NFIs<(2{>Yid<8!ABWk{#)4NjW=~E}yx!XJ|M+C0J5B_XqXy1)T*g z<<2keS$^?4H&|3V6PT#HQf|+RE=39!P0uShWnRjvkCYd*RMA(ziA-~F_UG#itM&%b zfQ@e1%9Jnr)As3^baQ}Or18;0LU)rp44-M6mFeZcw#8@W7gTDX*_J8*x(y9g_>4%_ zPkN88*J(K_?~~FgPtLUC^D3*bnTRqwQ`kFycsD*aj!*W3+hK5k>)u!3t_YfI;ghPF z*wQ2=WPO9&jVNi$eoMq+wKLLww)Zu9du? z3&3SV}0=v3x(T5N)9zE(slp?7|>h9tyE=~t7Y5TvDo$=(IIufc>$sf z4#UUY*s-2)ibv_a^IIC`fgJoX<&!CXQVw}gnC{m*LDuFVS7{+|K-Wr3xXsI_vu31a z0$FoZr3^bnMn-;ky7DjQ@!gEYt5`gY)M>(Jfh!DrH)xb5Z9jxupf%|}pF?)Z%B6^M zGCY{{Hk<)eFGY#}0U0^>0@@yVCd_dhKr>c$H8t=a;47Ge>knL&z z`Vsdms3GJvnP`g=Ch$isDutF3wY1RO%;+{_uGxh~CpZ*tG6U@R9~04!5&4v0dnE9H z`?LT-xq$di3Z_Y-b0L3I(X}zC8Ao8B5kr8K5xO=LwDa{>nXOY^45 z&ZH$oMM6=#6j?4lDypATI3n5r`Xq3L&8j4A$|%W`SnLU2RVouUW*wAOB{R&og$!V( z`DxPH&gNajCE1|=(aXh&w3)V+e3Ha9YeH&q0VZ^Gs8dA2Mno)uUn%I40=0>?0s2Y` z88jqBP@`D4gP|liBs3tZ7c9gDI#Wy}4o<{WP=xiERf;q~$pd+2fhemQX{ieIS$I(y z!j zhQ@^%TpAV7)?#b86q*&=oMzw@EEEB|u}nZF{so)nr)LMDcg70M&NAqV^w+`bzj!Km znqX~6?%v<{fhM*9$fC*1(SuQ@f#)zvmJ9R(ee|KpuS*BX928v)wNnrvl_;OET@wnU z4~NW!hP=(@WJ}1dsdeg@lfp<7!?qy9>JX(&V2iF)3Q<<&G(ky>%1|;Cpzo>)8Xw?J z{hfN(r#kcIU_%aVWkcRd;k3YbG!lUNI=nS53&{9stU#DcOp|0hu?0(@6a=T@9Mi6)1ex91#N1}x!Rl)@2i6Xs}v z|8AqNTR)Ap#`ii>b~@Xdgoz!}LV_)a@iiquR*4I^h2wYW@q^;wTF&)*S znovh#;QSocI2}S#d?t5bjw1M$Py+28!*KeWHz*9!@bCugxi8FejdHQU6xSq?0lo@}M1Bjtu}C64DAc zR!Rf1S1~g^nfwhvqiFl+30KuR zPLzqp&91UkTStvyAde*cXaiP8c>(3vI8x`67*P((1+prhD$z;&OH*fLO0=m72HB}) zl)q8nQCd2sFy2cBW{48EfKd_yUKv89jKg>c5!%XTolh`ciMPRV61J0cTQK+A>_?2H zES@k~?PfStXP2S^8^HY>) zWh87XUeM%cDJR5j>nZ5Xe8E;US14<0E8jy1al`n3^ewhOwNaN2Hqe+Di$-za7=A+O z7ot*B1Bm|P4}@tI910!2Vp zs!tQ46*LAa7>3@a>epMIY7WYGV8lXTbQ)<=K*Oc5Qjw6T$1OM+-)s)Pcm*nsWfD|2 zk$mD5s0vgrA=?)LH%&rMjBaJFuf>EEeu+JlO|hp;iCVJ{RWfv_E~ zJS!C1R-6CgF{6I9dpHg{d%dXxG6fHl=>erZ{H0LgSgGYf;OC2gm7SLH+*XB!@-ZV#A!uewim!X14FbD>twjO!bV9R zM49K$aR9KJ2 zLJHGsMP(cYpkZvXX;NaVNs^+UFa~JTiuNJOMZwxjtUK;w8M{c%!Tbq>f=zmmn|QHi zk1<&C(=eoh$pvUenq_b+V5gVaW*j^M%z_?i$BALK#;_L^ z97ECLIax?#eM&!A5&^mwwAQB57oNj8or?+_OqBfS4jK|i+ft^@D;%6!nr589g9`Cc!0BJNcnaGrxq5MSvQFo}GvBvTMA5UJ6AJ3alehTos1ONSX4P5nq=J5R#?q^-M)o_=i)@Jg@RfC1JA z79Y5!dua|mb$}-Cik`aY0lkU%-vc13WkY@r__X@z0kYqP47r#pc{0zfeeyb{1%TtK zxmQBa$^k;QcF#UcB>V$C^|e(uz?|y(`!DJqdEiE8=h#ILfIMT@s!N|gI8$TtVsrJp z?5DBIxd+&Ktfl_-MVZGTNMWg{f)<_ zfg751U?6^mry$AJyULS-8)jdRk#PvXBtoBp(8f#Rg)rwz^0z01$a_dZy;VACf;kH> zL+l|T4`3Xe!6=0{WP7isQdW?i+-?k*rIiPnt-fRhcub{2n>gnr{q|@A$*R! zA#cuW>u%A&0hmF_ZDgO*1Y~SB|Kh6g1g8rN2ksL;1Kd-8ja~c?Eb5(o;LYwAwPSBP ziYLDMBl5}3M`!;E+sFTGZug5zy#4KaHMd3x(A)Lr72H#;H_0at(q{8{@>K)O(LNby zj`lYt@yhC>uMrNyo>JP}pQ1#r>UZpW3V{R8lxQ9d@Vj`hUp7()0`B?UdAf9<&W!8g z|6TU~{zu84Y=>lzZpSEX!^GfYK&uVcE)cHE1!1jbmSV&9$(RyId1Ja7r7Y+HjK!9Z z!#3<;+U1-y@t?jyxeM6?$WjaG?B^bH5*%4qvd>D|%iW8T_Zi=@+(TwP0C?BNsH=r#ousTHOl9%3bIUFXm=q1TY0KnqX)K)5?#}S5jR~&D7zyuK5rEv zN^K>!$xho?SwurLj`jIQYlD8=dJD_mqYcv7y8bOJOC* z{=8$yknvV4WSbZq0e7GoJFG&%XjA|bkekH4&7KB9 zP!PTHE^s%eu{sFcVk@4&OaX+XO+>qGD9{6g;VF=fCrOi!B-JxiBI%sYd2&BWkc z@kUI+EUfHut18*qhV8#I7>Q3bSbSe4G^upms?lz9a9WqA34G#M4S+7z#^u$>%kIAN#!)gA>tt1R&+g+=zB!mE(3?g&jlZ5F8vH3o3MWIPl zxS_F*xy4Sj?HD@iYq91peTj@mlx>bMJC-Nhp1KjWF#~wKmH3Ay71-j~ixP=OQ4UbB zgj^N(6!ggXtch`l*z$1gB8M=L6(vL3w%hzbryj@+`T1P+p_3`fpncJ6A9>G0QDZ3A zUZPVe^wFdha0=^<(Go**8vU*y(Geo}r=}Si_2`6`*($qNbhNTMK0<2fUBmnmPXB0d29CQ$f2PFEg zBa0RP9vL+BqXlmGByQ=TKoX3#q1~<|{6~wyGCEdVAqdu-pE^9g;WqFllRg$oQqgsND( z*#O5_BXE00#D=^T#x;uk296@_vUBs%fbu~@JL3-IDVWM31JPh4$x~j7gi;@QcrL9= zhxaVeKEyH#yM?2)WZ^)H(@F!cl)t1T+#DWvQX%R^N%c)1`CIuq;q)T+?^JN~yIYw$ zmFz+F=Ezi>;{(lpO~&auA&F%WLrc=o`N8r( zY%D&2-j_|`PKlu2Z_^mFw!XdyYN5%JO7G#8e;nIKv+%YkZ)EyPp2&_eUgO{P>Z zk34JyT660Lyk;$ceJNJ~JRol+qSXox4c7yt88a&5vLLw*M-u2J1=dfKKgL;v-Mp_8 zZ?wVS;QZ{ zNqHfTpmT)^)ha`M6T{Y5mWZ?pp_H%{kMOu*P<;3vL@+K;?Gm?Nn-gGV0mdV^gL=yk za)}Z_)b-F?fXx*sbDoUR_8j+>G*bZbd3_4zx${Cu_DO@z{X+W5ujRQ<#zTb`N3YPv-|Pvw2t&R2 z@L^M*f*3>h#<@@ONohXH7av|eWgtsIwAaLx3_ zY5#>MkUBIzzu_b+4=?J|Lu$Ns|{b_K#T^jaK{GV=xECKaS{#d~%dW zcWxRt`{3D^?l^emiP;A((hBbUu6EV)fG?i?`jtp|%qhH}XMG;g3Th#DLJ*9uVd^vwCsoWFsDdw{ra(d4B>?x>!z6x@AS!kC4CIotA%^%4zM zB1&Y4j_P$Ut}61(0S5B^i@J7v4rFMuZDk~|HIF5HB@#_@pQ_1HLXie{zk<2^eKNVv z-+6OxMwAn-I@N=HR=%r{4~hGp2XEA+!)kPW+_dfuX5bsR^u46%V}b~~1*r&eR$qHBPHz+wY85YXnL zSDSKHf?Idg^JHxf@|SP8{9Ukt*+55iG=D{PXOZU?Sc) zxIMnPzm>aJj&XQcxq+)>Ui3`{uyi>+(v@@FFzK`gUz+O1S&kI`7(6AFIGZC+jv zO%jN5wKXr1{5FJyMtNy`;ap4|3HP4mpY2+k4P%&Ej}2J+ zL@vjk1VIS-`9#xt&w=stob4dD_;G7(Zrp*$De@q6)~RDtZ=c2;G}(cWR3*8|?7*1D zd}Ii4*AnO4N@U~_YDryb?X~u-sc)16#Bpp_$HIB+PUP>7q191v7dBRE*)X28(3(uv zAN6Rm_TanaSmO3jjlT)UCXw<;0@v|jSP5y#>aF>CAv|aNN%@=1d2iWT69~~({w;2M zgSV1;U<)PRyctOQht3T}j~iJ!QfpDM$tAnvrsYGsX*8|OSLlcmhu8Mv0_XIPh9>rG z9D-(^DH(I5HyWYaU39lED4fwhHN*OYCu~3)(YwXb_VsSjwaqd(ihQ#V=M;*iLTk>9 zh0a5N57$2Q4?IC}TuDzk<{Rphp5kpGc2$!UtP9=6kRe$>VDVj+N!eplBRfmuD!M0y zAN1epinELmxZwYvy0?LkqB{4-&&*ENNr*660xps2nIzCqtzAGb6fHRkkuH?0YedbZ zzU+e1h_@EStNp2kvthC>7BOPb==EL`3kX&%*Eg)RWqBzVTi#l0t@Q=0)gP!J7A1dFGkt?VLSx&b*v2c}Y(Q%qE-cS|nN+K5A#MK!Qzx1!GK8 z6WAdea8De>(Mn9D6g&aEr$^KU^u>fp&nz-DMOZ`p0f?{Fa8SdGn7Gv=G);09CaGR2 z0w0tyP9K9y6l)*=YwqZ@h5J?$%akg1D8_vbhWC`{jP6Mjl>!vKK+l+lGz=|nxD23f zB>yP6pEy7WCFI)R3aN?Li%pG<)Q@L@XgdrY5Wr;HEHs!2W5s+c241@RgWA{EL#R&E zG?4Iz$2OQr!D{>gVg&WrGr=h&IDphbnI_A#?<{onW5Zrt4H4X+k70Sfd-fULG&vt8Nkfc6UoUaQVa`e~>_T#c4F4xN&Pe#~t=A6{>H|=XS3k z$`T75^o8Mxiq-|NJ*QIL75~emD=M9!vKu(A0U*j+T0$dHC8z%&0ifgbLmf+fcbvXhM*PMZ#-2}lJ$BHHK#QGErOj(1Pn@-ZiwLPy1cxw@W z#Fi@roHVQ;v|26PFoQzX@iYYa*BjIj0pt#zG$Gy=t*N5g!JYhjDBfbadO-k|fO{$` z%?)fEV~3*Qh~PC{Nu3B`R2;*vTEsK$D@0d`-WuE9I%wd8Y5;fWWa4ggBS@F#`S21X)lN)A@I%y2nn>{~kW1Fn zlY;NYNWgs)D_+A;Xc@+1CBNNbJstglNbkV)T?|j&26s*(AwL^!qCw2Z@U1P42OqbP z%7JP$&21U}_OY)pP5#okqUIL4IiP4$HnZzFwmm?In&`5QhcyOmMi43=?G_&jx(JAQIt8f@h*{qnZ*(pBli{{SvE*wpdxj_u&$%v zOeLYzl?xdMnYSw%z2l68Q{s$6pQJs+nKq2$zlE;~pk_zdyZz1{U>I@W2+q_ZOfexB zI9rF500ub)A+`=Al40U1D(NVMCb0lCwv_PR3QVR@VR2>zl;dumps!2jqZ({@fj2B@ zRHA2!z*oM`Vs&f!u_vJORyH%hLZ{DT!yHn;HQbzJ2#rb^7yuqW`P%YmDoFluwbgv1 z++m0cqLHCPaK%s3eSZT!aUzjt>N%SGZ7AtMjbTWp=>oSRb-@gyoMM9)=D}Tbya=QZI?)eUz9wszi|K$2w`X=N!x4A>Vu& zx*@2A>a`?{%iP+~%Oyf+Vi_;DRympgXNqfk*Ex0t5^Sp9i zyUC}L4Up?5e~UeI89vd#cM=jixa@MTZD<0PAn}N#4bCI$(aP2FIMFl?BEB&LjVey# zsAnE6Ff3LeB?vjC?zz-TskLF?tJ9l3Xh39u)PY2eZu1UhfdD0nKk($*ZWZmq03?n- ziK&6nP8xOZDIG-(&LgUyV zk!eUULaLm0+|f4t5X`2BOCgC?y%;Q9Etu;642dYCb(?U`leNdGq z(Kl6sXo(i&u!Nq_3W+j4dc>P?b<)xoswXo<5|mLQnTTeOq~%e#Doe`q$%>kvb(4dT zAS@)l1PuVb*C5kO`VPuyt)x&C3H^#fM}yI_(hx&ocZe9-1eH@sl0N*0;BK<%*pU+H z1vhQDpfVDjjEKk7&R3ObZ#;ACdxr=Gm}P{IWLEw1`hHn}X0opXx(%poF9-g)+#G77 z9)`6!RO{t9K}T;Az+DMez5P9&{Qw0(*COvVDG;PykMu%BT>%U3hzT%{!B?DN_kWN* zjm`as(3pNt_Oks4$7K(&llKDFiQODp{rCO{+eJ2g?*0S7+nuu|VxJtjJz|Zzx#{2E zV*lqq`_w-in7;Fy!Z?1>wvW#ea*WinQCVdECtSd*wZ~njS+LDRcy~K)i^4^){p#SOvcEg^cSqH~$KlNK% z<#G4#ef(e8fPI>HgUveAn3WD}|5f$Yy~oYo@r&xUt2;BBH;EJWoclh&tMQ=tO#FPtYYk2?tHS~r4OgenX4(Zk7e zGIKgtXs@ihVbAYZ-f;Z(RbPAMmb<4lAe^)H5y$Dw=%t4geO<%nB^7p?Zf=ShzVpl%pOcKEXpO#{d-2=jbp68e{f7 ziCV|SqdG$edrA6He(XKjKe2Z>5IpEWWp>V5sHCxIwjbaY(L2Bl7??4t|9;$|b}O4c zdH(_4??Cm-Gm|H-cyEO<^7VC>U&Ma+X3=w#wf}zll&LH@YwxOQ8S5JvK(*lqf>Aqq zhi{>Gc#r+bsGg-id=YwweogNL?mcj3!OVX9WJ2c~evqnQXuXPQ@Ew!?{WmK;T>N9z0r(W`Fxf8J1nI|9}ND zh@jOkqmQdR+9_ZDvCBXc`%uMhFx74 z=(|(jy4P&6`W##_=W#4CAkb7tkd4$kpatXqeP%zPckjhqwa+gO=!eegmt}qL*!I6! zdAv;auVbsh-5QtH8uI;&a$?0 zBGa!YgWdru>6dV*VCfV#reR>e4vgC$69jmWjwEY?9Cx5+Y_FKjKue_vlSwE0})NwK!IOomP*@ylu zd+!B@mjB?;Rr@|(a{ou|{r+~>oHu1P$RE2_1hSRcEG{8;W)H~fe*i5P4Y@kI(LH#y zd{p)y=N@Qbt2gS`3N2zlh`x+n_LcPx^pj<5Y4NciJE)n3mKN+~`th;KuTNZD{I9=V z%b3itR~}(6ZVvo2X%4MHFK-VrXt>aAW-+4Qd;IHmjwS+u-PS8vHzHW})p_vnrdq<4PJu_xoV^ z{^!}S*a{3%vo!1s95mAmWEw@QnFUnRDEd%v`gB&dY*5zi9l%ZGz(MjKW?&RkO*0FS z_x$FHNui^n%( zX7QujUf5K;Z{Nw=X$motW)>qqaAy|#y_v;c6>nS%KljXH>j%F$fSUi)Z?27L2UHcW zF)#ayXBMNEs@_3?s(R3xgm9{WPd%*FV^029fvO%Ptkpb~@4m!)vt+Xi!P>*XeM(3V za%apJGRKuY_LT)d!tI>-ao<;-BJS&7+27@S7D8TG*=5Od3iTD-T|&MN?tQZl5S;FI zMJDc>UD-G1hw3lNoqj-+z0@UNPeLA9xAV*!UvNQe^SNE;r-6I+%VQyX6pccNS-ed?z`tz+i)GB3%adS22MQglbtA8Fm{<+a>35D@)3wqFV$3 z221d+l}X6nIHC?@z|w-8tbCS) zG`p4NH~~)xxzpcCj)sBv8trgL)lLVW5EY@tNgmKe=-<<2AQ$A>ts7l+>2bU5WUgI;rdbLhJnB}1+k9N- z6*&|lyBiqrf0N~4s|RlGPmKu+CGdZ%qH+RMmY48O`B!l5*Ic zuEc(b*Bfg*=Uv%|AVS4LmCZwlx62RRqh)Ya{*dN!OjZ)V@(^T`ksYnmKnSfE%F_)>NxfV!$jyL0PUbA~%`XqX=@h zvE@6j$T=aO>sNfZB_!mpW8K~XpGXoY5CPzrI-%%r1+McM$wNL_NQ`6`5^xV>uO&nz z+sv4H%o)abl}nD2CCz|~CQA~G4+D%6xB;661Mz{fskb<`;8o`XPzyp_GQf<$T_JO_ z6&X*=lsmw-9e+o&&%Ic4Ws{dvtfe4Frz+XE5_hUlz@K46LV6b&!`joCkRz zIq%7?0@@ODItt^20&7cHQi4!*Gy-uB2D;7<m`t! z^k$N+4@}t>gb=!w9R|)8WTzWV+3Ik{sy3A3iBg3zHLQ!~@lg#UK|3+sKka*d2lpUMN(&hXD?XEgD7<3@si;?!+j9eF$pG8A4>g zolv|@5z^Ve6u9n?mwu>-o8Zn+^Asu~r&XvpIe+|=t_Y?(@Rqb50hX{ZZyiVwSqclQ zwIhwdmXq_q*)nWVk*>EeA z>ajqFqd~S@h!z`I4!CI8O%PeI1Z&()g)A62p6b$uoyu-ccD_4+`trPFoc#^l*atVE z2X=9nQK+J|v3vvbh2SKh&MCVOBj*YEBuhwh?7SMccVJ&2A=0^|=SoK}pbFfFxc){8 zl>D>RQS3AIP+co7p|aVRawg-*bRm%4N_+}sm#IZWyf<1*h!Je4y0Oy2Nyr+>SX%9m z=GdS$Yq*o83y|qf0$%RLiwewCLNtbB%+;kHGqVf_frymIM3SU2jvUE9!1Rbq;O@rH zbH{zc5w;L4^9~6rbBWBP3`ly&__jFmri{R~qL3_Mr{d4-XkiA{xs9XDaQgwNlDNeR z&J3*yUIJWB;!SG`74E9in);R=Z(%1gt%7)`@yMC1z?jE_qgap4B0S=5RfrHqG4YHCpqBMgT zAbIC1b?`%iRLE-K`#GABXlyM+5*BCe4DTRBIhsUVw5&KC3#4K(7;w{>!n1@MISZ*; zSh1^4NBu9qe=*-6D|zn5)RsaT!|d zlJBx5gb+Dl64^-rI#vhxE|CYh{Wi$0iF7=wx1f;HB#P-N5FC6vJVD2;lD^ z2Z6OJxH*-s?+NdE6OMzNvf=!A@)AeeNOl|C!7vL0r*S2Kj_oktB$ZbpRKb$eG>Ngp zpp?Nn!qZ3NwGmt5Layl|8L8S>R18as^cA|EQYu4tbpZ2iTr3Fk@zWb1qj(Q5Nli9O0z;V#`MYHUQ7|B+F4KI5^!q)sB{AhvSkbh zf^Rv2h}yt0b*Rb}V)8Ik8Hkve;~E^JDM6z~v_XUl@A)T^Jc{^2b{J5e2&@_k88-H5 zJmsJo!u^f)_N}O$SnJOiKXqyg2`Q>wp@&*=v!d{IJo5gB&8+SE=41(Wr!wJ7N&E5riB<&{2aM=6XV~3<+7H zk=-p$f@GJFJ1)0oDj~{1i!4biA*wG~aU9kO`TzJ|NX0LDtFca|A^&aS~NS ziVUnTYc|_$pabhoj;6=VBF2^hi&LmUDoxq&<4e=%|INoGpnC<`jkhL=O#SO*r-LPE zC~nE0EvuazM5UpMV^*b2mXsiZ9npGRlJR>dmCZ!19RTt$K%bL=%OC{!-9#=y*EkH& z$dH|gLS<=CRf883ig#X2{7_l7AXB_mr;&?bj=PJDUJmYX^yQk_r%h_CylQ-Diux_V zY7rdN&WsXtU$$8vOTnGCT4i?_MZ*Cmb60r(6dQ_!p3XE&S&OTMW!#LXG! zZRn618g?@twcRR&&RY{^9HS4%M)RV6%C!VS%^CvR&1*hgzlJWmsX;_^`CCriSF2;| z_QO*tChj%opNL61=JgJThpM}fUSdMBKM%R~A7A`UY7BgilH36dmIK1~10I`DBPH6Q z0hNC`s9y3Y61#?#7T5&v2TTiJ0Dj;SWaBtR4wDoar!vcAkOf_K+E*B{T7am+eQ-Y* zLQE{>K`A33L=9T`Ss)web6BybJxB~zF&$0EX=JGA5u$n~8yR;`k&p%Gjd-Y}3>%?# zmB|A8c)<(fMEoHsQ7SXkz?)R(V&aa)Nt9Mg%xX%3PXmcX5aD=DB3FZdgO|=nSwv<+ zwnm5N(R(6n_-GUvUKk=>5;o=9g+LM9@lvjqJHH-i;aRDrGI zI3p0iFkhb9FQC;i{vF6FjGYc4-w3R&5e#<^7)zEOU&(Y^p&AFY3{Ec&l82EhWH1au z`YVrJs9xIl6D|@cQlL3wQEa-B-c@uC$%mN6=L;?n@ zn@itcwu4{Pe4Et`X9H059YTN+HRUA9?()7x1x1wNpsGJ?-GfpSC{uz~HwhU3<)tng zjwn-W*r~=2pBsqtv%z+LL2iOz{geQr7h>6w9^5;>LC~>3Up{!(tiDSPth%94NC_af z8@C4?dpOzU&c|^*k~>Wbu(fCr7Wz;^u4-3yKl>u+M0pz~hzI^iA*F5_0kbO%wjk))~jwXcSy?i+q zvpG_za@e+ua_)3hv5Lt~R9Y`}*-P!*4NHD^)d@G=4EW+pzX|6%+$uh_eau_s7mXkx zfG_^dqeOme;i5hFm%TL+hzajLG!MuF52sK&ZWW*C%f@2!p50ppY&l`f&QnH_kYB>U z-$3@_bDk-B9;^5$gz9%6u`k|z?ZfRWv1AWGgBJi|ShcFBmtVa5nnMquLHzFHBh-8z zx#Q=T?7ZnwSORF|SDW{s(%yPu%*$5+VtBJ}NglV~PJFw2iQpIDei@7VY>TPhA&L)F zoo1Up3GE&G^GhaAOlXIz6!q%+V?{0?OZs=W5s%)5DU>;B?{6A{*bqhbBu`C9QN4r8REg zjs!Qpv<{mgYcQ5!5n>I=n&AyQp|V-29N66S$I;Pk*2RnrjBE0@5Rxj(*$u~g@sgIj2N{^W#ErV#0NICC zgXiTGH-b^}vbkf(;ymZOWNV0tAsro+HihCH6$#t;ZCu0h@dKR&3n81nfw!aK9cLKL z?E$QvL*Tn*;zBm&;x%@(Dq=fJ?1YnAIADHj$eLJBAB`uXv@X9ga6~KQqb>&$YC=Oa z1Egn8@h&AH(J2EX(Or;@ReY9I0ERF$u-U=1t-ii2dik&h@tVE38LJckzF2Eo7@pX> zm))G#Dxj{^IUzKf$gv@Iv?h+`qjeYytO3s2kXZ||=>@icBG}a+x?=LBk*yD(px)vA zBMvT()d4l2@`Oh|trAI$G|+NKMcxpMi22DK6y{TMWnq{)Ef&IrJJM4JP-a|}yg?kv zb!EF$k4!u59;L^ID@w+t`rNaehL1=XVhUC0mRTC^h!o&}J2a+a z-jFgsRNYRY9bT$&m(Ng6M2K7C$e6MKAHld#4MBm@rD8;8cJ{69$EN5+KxJWQPr}W86IeBXCm>WkLH|Nl@^(E?7Ax1XXy3qnENF zm`qiH$$&Eq&sNXVYB@7FgFCDVOXmuFuAa7nF+Ib)l(X9`VWNuRwgTs-RPln4rpdT5 zBlsUVs7y-DkgfQZJD``Qp@?zFsN~GnFyTUoRG{z{HK<2PHImsZfL0?p7Ddj$^cZfG zFb$_koEACCsmJ1werJaoI|zo3sF^Y9jF^t@99E$-I)-FSt86=U_EA(0geB<8yDVw` zECzP;aA#Tv;>_3IhQ*T|!YsuyEIHYU9^A_LBappNh4VrM*?t7apce=gzq5_im^FbK zR$wnEz>j2Z$?2H=hNBGyfLQ4f*q!*rMu(4#v9ke?D{|EUz*a(|>5^^bRGJCxI#vKc zVtlRQGz<_GIp|p8o{vyTK;CMBvf(rE18zKxeedCdrgyK(iOp$jq~dr&g#RBe_}Nt$Kd?!%^QmfWatbn zfQCFdjnUC-u~MzkIbH?Uz^e)2os)}2!*8dZXAQR(;5LHX@a+>cOCKHn6UmPI?xZ}G zs2wvptz$CNs^o1#+>*jQbUieB=LLFnVnHBQFg%HO9X86f-yWdpBTV)@xZ`GRfnDJ= zi#1MD5YHVj0I~Az&Q)==%bf+ymA2Cq8c>qKQvK%%SfbSy+T19Z9>_F>23qA`#qTn& zu>pB_o9Z3%&{MSn>M}nMK4)o6;LMsDWJeNmHpwn11r9*OnoRwv%dN;tWt1$@xGx0V zZq5?Pa%439963)VE(wqg!r|_OeeO7M3kO~Mk|0d+$#lP`rUpGU>@JqPI~mj?xziOY zf&nJkuJb{dpqJu4I3FETDr=fZHm#{XzgGicNf?(s3)~#P`*vjsE*4}79?nxtT-ixd z2@qQgKOG`->%1Dt#F_#q*A=%UvJP$p0^CAVUg|ZLS(k=^X9ut%e!*N;335G70(Y8Z zABPKDfiXA1NKTIUIN8c%4Pgd0{l7HEiZO%4KfGhz}ip_mv#7eVz# z0SuIyBuk22AyD~Y3oO}zuqA4?ah8OE_&2Z&W0^r>JvASJb@jA@%CsIWI4Lv&l~w~- za5gS6(k{{Nqw48qcg~$|uZ-LA-N~4Wa5L z#Q*JlK{7{!+CNnF4v_TKCas4$^4d#15Bf*Et;>r#=`B&Tj*tYpl}cAS#;tiwUb##0 z5o9yQ^UgX_5f+$1ynKXv`-L@Nl8}qVYaFSD#a&AB&j&Lf#sqp1StVrNk^FKVSry6b zLPieLAY5P@#UFDus9WPY7N(NAg+rzp<7jp`X0;5YhZy=`tByEzi<~v^gQr9SqWEnw zB@WUpR*Jz8>^~JxS*=M7LhKq4S|pa+mwL!G7Bd#4Zc%fsAoAx;6q7=-LsoLfHLo{O z9KRoEu8o0_RS9$$2FFP)4(t|snALGsD4JPG<*>`)JVvWn+K$C|lQzMeSAc!nVdzoV zJuIo$2K9883JNSG141Z8b-YkkRV7iZbPyvwjDDsNFO70M0A>Niu2r*~rrKICa2AzN zW)#&{z^oQf6j7?}kuoXhh(uq20`&-ycAeR0H1!TrWY-Y{`jsy~-qy6d9Z4ZEf-Av}QviwM{^=cUVi z19>^b7qa`JRR?y>dXf%pS)^91zhKJ?V=jK}qGv8yPO@)UvWGx}5h`WLg<~$=HSG;N z&N$p1-;!=#UN{2eCkZlQ(;}R4_XyOE-!z9%i_NRz-Wmjq{4&YynS-i#pqZTGVCJ0i zR`kY138Z67##4wql^biZMkrJk=UXzu2QPyq9WFOsh`|D;-rC}>f&6g0F`@1zJIAg0 z~|O672;y3KcnT=2sfliLWK3Jlkx*lJ|&rFcpHzf#E7oc4Lm4=J4Rw@yr4SA|8%Cgk;}B zRlK%8d1%se90&oNZUj?%rBacB-8|ps$`VDsFuXBQQHVo+&AYPk5Mi2M4Z|0wMnvW} z!Esar16e>wD+$p76BvPkCzVY~RFEZPHw3`Ig|GyzHtq`{a<`bcp&DWk_X6BQs?{t( z?hNm6G91hK>JgG1*WDk9%Y6hPUmCgOmk%7vnT6i_s3-q*5XDiITvgs7mq%cu<5Y;mXc7szjIfw03pE^LLbj=7 zCmbiPkxF_j@2kY6qRSFov3s**ATVN&YG7l+Zg!^H&Um=90X^~f0QK7h`r^z_*yx}M zJvAJ~RonGa>r&Ze2@ZkWad=E{nRDtAb}ASG>^ua(60BAaK^-K7$c{u0zk%L&s0c@A zYJ}ASmBW=vaO31$yoo!dRN^`b?i#3^HryH?O3q7R(f0A>3dGj6MAc3jqnHMdJfpyN z)+cO6Fu=Ezh3hs1RA6yi6Ma(EdBtUbI#Tqv-vJ0!PDc6V|Z zoWwmIWU^v>_n0Ul5hCYef=YwjJ21de+^7P03NI5C>r+%h)HScgp%gD zAO+D@64!+Bx&pYl5e#V#(1C&UT&9F*uQ@WVrGh7vI7S1!1i%i2bZdj5@Q-02xs$G; z2*!<+u2HBU1kSgI8#T=-M!ZRg6AMoamJdgq!v>9~;)E%MALUKD{uF8_~&qY=pC|74oDyBGbLzQ3`e>l^m9Erhl@5T$0gv!5Eo|3%`cb;@FY+W95|5 z>%@|zk-I1eIsqvs8#Mf<^C|bpXh9$gG6LfT$w6)+A%-Asi*sEM*2%cX9k0Y%?XpBd z2u>rP4MtZiN|H`<$%J>WEP)!CaRkU*FsXz{&X`c#N(hSd@&Xee@91wyQ6TX0zg`Ej zy31&zfUgx8Z7^TK8r6c~>nUgw8dT0#LU1^$RdQgrsLP;a7!SY_FhM28ZJZ-uoXD^Q z)fS@KVH#{g!hKFeFo=?7k}HKgf=e95M9D_(Igb*^_@g%fXrpp&&_+rkYg7aoJDiG& z8!B--6l6apR80aZVXNZCaoasjlfKF*!_{AK;s7@|YL!G!B|YOYWnAYtVhD*Fu|^!9 zJVBre6(<9QBugNHyJIq-Hsydm3WcOtuKMPFWoJx>!Ydr^(x;0zc4__PJkN{H#mflOMrrA$zLFeIo(;dU(I@;=6(+@BVt$8(UTqS}oOl znJ;?*Ky0@tAqr(zk#Vzp!9lta5AfX=#teqtH-9wi_KTOz+I^Ti#EpCOhZ{I~d6$>2 z?4va{JUqz7WXtMrp^7OcUhVXB-sR3BCU5Kk%I>Ro-gwE|FU)`?@8VBc@+v@sU%h`U zyuAgOYT!e7c%a zwc!w;Oz{^Qq<~NJ$!cD9kD7gYWSYXlZXdbL7oyxz++FTm0XQ;^8%;Pv0*>r1QW?N4 z>fvpTt`JhGrfZ&T2=QUme3jtr3RS)0o&;{(<95lON{M?8Lm{7mY$sqKH!`6@IL~0< zXvlTFB(*N6syQ7KUPh33oPnFr)pC}^?R#*B6Lh)hNdc+EqYSt+1i-$^ot6}C0)TA# zmiXKuHfbohHK??qE?!|Q02r(jnlfQJrX0k5g_4b1C;)V;S3-OPgK<2{VD~t4L&eeQ z)_=x^pV3ygLvw<-PY*2NRwwj7K(m_{Pn5K6Olb2rK;;GxBNmn@*<=MCIphMXx2aH} zdLg?j-Ut;eq2~(VR^$z64AXJnfnWmT+*mrb0yBNYq^q4!Oj5WxA}O$l-t_+TK&5Tt zmG*p8@%meU4%|dIhM{}S1Z#^5-mE7$d8qv-xf3#@(@z9iUZTys=8DBSLZufG87PwkZ#WE=bcL#? z5O8)iAFATd$!%SkJeJQajym3HS7WG{;*kNDmBvv1-x0@9ns6T@&?z)p6Ye>*>nGtC z+^zq(VPXO8=LUklhUnn}BDn_?WR3Lsm>T?YS0<^=Pu%}N7ep?w=O?aX7CG48JK{E( zJ3;{{g_QyCflBVc;RTZodAO8D(=c!&d4)l3K;X?N0TCRtRA$V>N`%aiML24zx3WyD z8B+sRG_Rfkz)#~Kx6{~}Zj>_|AR#fF?9Oon5wJH1hmtiYxT#2SwudB*n>l3DckdGA z4F2-Mg*}pNNNoHBPB+D9gL99Pl5{Vl)@2|gd!}2JlzciKh;bHGZ+JTP^0R^syd;LauRKze`h85 zHz?VO?tLpd z=Ps2g|5}zhte5Yyy8(9N9=9(9m!Uzpp3O9e;tb6NgT-h7@BJ4#50o*H?`7O}FNi>W zrR$`|+cw&Gy3u{$ROidaBbdBvLOh|h6&2vxj+n?35h`43V7KXW!%J|_zr8G3G_)L+ zXfUt?1CR>igg~LQ{!Uz-LT09>#J8I)nU4$NBwU>u6vVLBoC3Zrsa!u$n$w3y*p)lm zq8u+ZB>2+B^@E%y%bNJ6)qrOqN(e5W#O)8WP>=Z|B-joE>B^T2mFo_SgWW`?Ck5nA zFa%6q;tsJ1Yuqa~4HC!_Mb2+073dd^wekiz`>0* zhk&vWX-_hHmHF;PWGxE566DFiP2)?l{{Y7o*vHLoCVq0*cl1WvKp#_+si zJOT?Lj$>IhZ=O`Lv1SdJ$qNrXZXFU>WjPRDDm8$`yMNHsRwoi<&J%Zf@Ewe{ydFFBZ`L7as-iaFeqkD zh3<(?Q9ZiGsfX{LLU=>~ionSla&-Qh%yEcZsmm2`bLAvg2Q!qDuPULyy`s0V5J4SJ zKLj%>)yocbI6!}1(l(+%FLL9fN+XFazE^~=;E?!NRf^@`CY5$TYPpgv!H5fMrPOhe zI~~uF%Hdj*(GCWTAn^8eX;g+X8pCQ@%nAx2*hW#rVz`AaB7#LY?BKq=285X!c2yFT ztNV0n7nv4;O0vUCDu(_}_idcvnT3{74>xdP*Fn)$^aATi;W&VcuU(8UV{tcATQ5kN zX5k5ywGiVmL({orcsfRR|10TkpTuZKXc)OVtfY{^M>H!NHw4$AVlQeZQXx62MUYSff1fIG#VL4>8ShgQ=~D~H zo%lHlJ(T?Ds;{Vb=x!`myKWB8yB<(T#+me+nqu-YkC*nSUi?;-N2Wu5;#8n&>7EXA zA8o(;@!t2ur>~rxN}Qv9p030knRYp4f-*OeQG$vt7j~O)GJNdD)g_{7WLhOjLyyAoz|Jxx1P~XtlrVl)X9$h!YK|p{ z%4FJNK^#I27!tWgKMQ)?2a?92S|w9(wI#kD$*(q?rp)sgdgY~KU~@B7KshIX4ydG% zuX_mKya`7wd;_85Q4U9$w;(fgIImIj(Ge1mWjh_h*QvC*2Qve%n6XDms$6AYrzq3e zMiaOtijo-|8z#9S+=B)yav!pI_Tn8hbs}?fVm^A}bUC5wrvxD!QzQX(LJ?HPyNbuU zw7L2Jliq9CHn~EHq?DLA)Ha_NmfEIqUg@8n0s=&&98@$ z9%R#Pwuj3uOj1+qA-hm2vf|E@eFPyMSxL#`_Jk<1L{5K5pNp(4p|d4rIUzmBdF~LK zqzv4jj_fk`;Qo@xar&wE?Bo>ra08F7vZoM_|4Z9^d%x-(xDqHSM@NO^YUZjeDgRvL z2%X6(b1TRb5{ZMr@pr7rbm>rP%zTv`B)ZDN%X~8Sd*jY0@4yZxLU(s|fWYzBojhU( z2wXK>i4tVf#vHx_Imcg)n|92|4&+>gUDB09S3E~FP;r9|LO3$togFCCeoi(O&3sEp zLoO!ca@_gk9bn=3gAk8wWqbp>k+IXwz@rOM+&hqS{3+R0IZJkc!133m62)#YK{uJ> zj-$-+m*bWwn#1GBIsS5FDq<;lA(O3M6;si7hl-z#%zpZ2 zx3L2zm#pi70LjZGH$u7HPf_reI>kDvGRH0cFBJn9=LpufGgSU_j$rhiN#!$yPtgMR zQ4wo*_{kG8$=_$5kU!=Ko{-apPw|B?z5O&`vhHO&zxyE_3!LS1_(Hbi2%eCU!l!sb ziZeced6t}-BY2klj-93NV1SwI>&%gKaD*sePXq5Y6X-a32N8-T#=o`G_7__=E87_Q^Z;8+{-QB^o*h`)zYcZPcW=TYtfe*F4$E{)S8TJk^2N*lR z!o>yO+ncV?PpznJpI@}%HZ0w%i32pv4#1cU z-O=Co_x-^BDo60#IW6N;Ja_uE`vfnr`rq05?lE~f$KeV2W~Q&~7T7+GP3&rL&BT&7 zR}r`VXD&~aj16XGCH2@c_C%TocVL+-7Ib2YaO&So4U)Bt)=|2cIQnqPRr1`~&?RI_ zh7PRg5;D1+2&Wt_q-bZCCF<9sKS6vddA0MfzwcM^H`bZB#nF#t#=hsyT8i4&0$e4K ziS+wbjVnYMm@fn_q7FY}(t6`R{O5m;i*}>X{ayh);qK1==%mdHK>ORi@-pnt{&L8t zk?eRkDw%sGo*}n237fo^Qe#pM5_}Sni z=kNaI?Ci=n_ZD=Hoj3a>CHtfoSMI(Dkc``(ob$6^yma;UJzJmp;p&;soOJ*G-LHOh zFdwb!=NdCg0iXXWPa@BI1f zSD!>o-p_#+ zn`Ynt=yx|JHr@nF zzPEID=hZL%%eqlYHdNlN+<_9`9k+cy_u9{IeBr6@KlY1NbN*{=+2gyj*R2}6edHrB zaP0QidvL$dyO7UKR_*)anU~z1McPZ~eo3ALlAzIqLNsL3ew6mVG%~ONrJ(^fQCgJ> zXYkUxB-aSkQX1n(lUAJM4dQHIM%tQ|3Dw5wsx$Rmt3+{3yotc><&3t5N4ql7yeuL%{ecO+B-NGlnc0Oy@#WzPAHuJaoi zsmR3yK!|1t21eab`8b+z$IJ^Aur4nuZK+Fg7AgxFb6M1k>c(76$xcHWRKh?Gz)Xfc z4f~}IvxY`PlN(&wBaC*Yv1hR{0(Nv^2%*A9zhsH6=@-`;&4w0f2wCBRJNOjbJp|b% zgVL#a?F|F%P{CYIxQ@;u$QJciIFK~~i?kz@TDGTP7 zYdfL~9T;dv7xIvKCl^VQ{ead4bEP4xUc^^}##*?inSjv6*fJO_L1l z(8@OsG&UMWdo)yt{rCjH(cfD4-3=l6tbFDjZV=Cc6v_=L~Fy-Brr&4s(Wu?`!NL0W2)Q zJsR*M#biesDn&D)77+jm2ij6NRhr^JuknSzPS5!k-}yp6CRMfdi&uO42Y85>vG_FTN{!Fyk@~&w)s7@`Q{2UUdVtjxg-Kh z96bGNs}0p_%|MZzzy_|z<9tAlrb=7wi)$m13z~uK64eL5oeD%$8m)`N(}d209e6$u zXh|DWk}3B%46TaLZd#X{=LuHk@BjZwXF`S82boSK?Btevmu*V ztwR{#&s-RxwN?nBDrC_n)ifC*Sh^^I7^q5%QAGVplbTrzuw|+prkBDJj+OvRKt_Ww zsL~j?8Nu41K_txaEP&6kh>UguGVp<5_cDRHlnJa4$q1+>9tQXE4gj@EN1#4Tk%^O# z#y;m;&iu@OG}M-&Yk-hqeRS(7Y-YH28&qCn)(+IIvk?>Ev4T5d{tw|!r6 zvD-VaFF&DC9wkZK_K}QhvKCBI4-u<0DQEF0ZhcrdN~vAtU0DW~UB-0En9HuKQkWBk z634w0=7PlF^+3i9%5bMo19db#EJDHJ;3GEhY6ep>v13Juq>?t*FR87;FSY$*UZfmXUF_UrZnE zNe<~OE(K#L-183ztCHSn5YjMYjV@Z)H|)Yd-A}9PDhrFN7*h{CbpdX6JgFiaOML~T5(fUnPP=yXhPRnky%n0sGTVJshmE{Ql>PKjfI61n9ex>N^#3{Md=#Y zFV-S_c!sCb3LUsLDpxQpbD{5O(Xry9N;;iyhYg-)O*H~sh=PTOy_}wKv~$HHRnABU z$29?aLHwaeNm#<5ty|ep;wMa!QH|=DM}n0C>Nq_Wv`xI-K=C%1FdZUaM`S#V)e1G8 zxA^Cgc_hTpP-_C@Bop8k=qizLHmzaa5+d#6PcUnZHSE>nnL$3+G70C8ABS^=4rh8v z2w-2Vpz5GGGb~b3!mLd~Pr@p)1S%0YjsjW??PBXE$<#Zrtj^M*L{U{U)?>ciE@#F+ z;n-~JhvbbN&{+Z2D@r|a0rgqv=xFn$kZ~2D4mt>&Y{myorS5!4R7B86ak|7oCHfNs=p7j&e>1OZtFghU(wQ+i&8yE`Aj^@mjUsln&=WJwV$0bV7zbpSXLc6dvx z9iR)jqwEHG^AL3Y0L*0C5VT$g??dA#)@~x_*8(O`1y+_iT5)acS_s7tvrl-wjURat z;I1BktX8rcfSEYEBH0e?!TCmM++5p$*Ox0Gq_X6$g1{LvIH(2p$21GOI*Bu zLDLn+l~G{7cWPrwouRKS1W4h&Cm$?>5njU5J!N3USGm*(|C66X1Q zaPv5X$V9`AC@%BE=2n>fW9>}x;*HcjokBlmYb}$FtWKMc%Y`{EQjfm17_wmyMjCJj zXBSV4QC)IjmRp$sx=kWCQDgH)F}k&BBd0n6awQZ z2R#YSMoflbqnz*FV*Q5vg@46lw>hq}iF$|k5f8H2jWyKUjP`wi@Xtxc;QBBjgp3K< zd>F!jkyjfU16d)WL4`9|g+VH7)*8u@QbKBER;ojwcL1&^*lOwLrU9vu#;yW`Rz+^W z6v7=v*M@-9Xq!_2?+R`mRe((#NR7&!KdKQUz&8Uxfi!Chftw32Rj1)-XrvI50Bj;m zPK-Dy^m1Bf%)|d<`oOpPumSWb-Ac5E#G|b1e>f27GdC`X{7#s%jFd`Vmw93NI zrJzZaI&c@7;f~^7lWJ!}!}S23tZX-da$1%uKo414diJTV-6gG!RWq#m+Ge{j!Ge0L z-8@9rTCFUFE3bvQ0v6Q9I<*kO&Ho)uBlPeLSmM}?m_K1tV>gUffrTBqkcnj!Z}^DN zg;S=ZValMhI{Lk1azmlQbpT$b1=T!}Yq6n-#!iO=AY;5wWZg<76gR2?7aRP7TN4fF z><~fh&%h7^mQYN9w+!ymW=-;U6@3v5k6D+7m z!~6uRWU38bSE!c`v<4c3g_zOi*zj^nNy=EFWTWxXG#0IhMT#%9Qd*`$C%jdefa~$= z9F3;6^n2%oV#*dLsCF&y+c@nA{NWNo#lb|z9@GRf8NnoV_##7x=uKA)>J;jxp_n7;!KUPN zOp_Vpq^p<_j%FakQC3qQmyA6m&NQ{ns4_Bl7y6K7SFU_fyEMFY6LfNnV>$Nl-M-DN z3`|3>z*uZ1naMJ7WCh7OND^9qJd_-cCRQB4KHO6;N*e|TCyxk*kvH72V5cZx#pA)E z^U4f1tc5aN2)vT)h6*nOBGLS*!rq1e5?4pdmg633r~;RdD+ zX$mZNCsijcoLe%j$X-FJ;~ApSA?V}XGIbOR)zPaIaFpc zV6)O`tV*51>;oeRI?7m*RgFNLPzhY)@RpaOju=j7lhH%MKwR{2oRZWdXkZXS=M(v` zMBJ2w%Kt#Qu8Ln#_Wlj3ckqz|@qPLyA|86+kiB6qUW6~&kAm)Z!vjm7oV8@nn1zeB z-2L%eGw`|n+y6tLmZvRk$5-dQV@4iM1`1>jdyy>Z37EMjXW*`jubT6~(j{1L0J5?8 zG`Pzqy*`i76dzqW1YffQ@eu16@9d5ld2~w@>gJ_8hkX;FYG2&!+I^0%a@kH5L4aCr zd0Jr@J~RUhA-}$SITkHg+Rg5Zuuj4<7Z&spta$vJdlxN$kgHF)@#3Ase*XThn;w|{ z$c4>^yqLU|?c@>U~O-G2Kv zxO2^;OCPyu$pUb{N-_B^$oKs6gFSe z;Jqq>YiEoC8Lg@lvYWA@w-=MC%5D;}6y)xeHjHBOP|77gNh%SlhPUUzz-bSqlEi)D z-7s(uU@D8(Ew3UR&811SlZWno9^9@a%iAyBRf7obTk> zmbPxW^UUAPxM9rAZ*?{M`NVzlH@-2odhErWcR=N=1rIEtm{7dSX28o!$erDXHDu)u zar^Ci$p+Yc<3(d`?p*#Fkso{mOKg0sVuA>|G3nxlYzpj`N`Bz=e^MA{XpoIIu zgJirWPo)I|@eSK2qY^sP5{p|ylZ*T5Q=&DY!DP2caA#4%&ZPRs)RGeChpfUYAv+Gl z!#wBDSD($98;=kZjXTX;D_sy8Af2(rjelNq_RKY9!C^yJbz)hMg^8H9$?#xZ?^`d?h2h1 zeNKIK*$|Bf9)^MI?+X1Xm0H*k{lmg=<7;*lOA#2&S0tJ@gi4L35u>B)n#v}{;ZDso z3GQ~lYeMam36PCt75z$+ODTd{xB<&Tn(>Z?y2LQY8OHEE;sD~FR3fd7i5hTg1xdi# z1aX@Hi!DdLM|NusMRuaeDdbKtHh{jM72`r-EU_V`Bx)Qdt{G0G)M=7sg`{%YkOqMW zKA%Y7v&{m?#sfJL!UYyYXlics8rz6)6!A{Od4>fMnyWOnU?IbT-z<7QEv<<0EmgxX zS~t41bpcjBI3J(+CTxZ;I_EbJv+;dqE55u`LO?FW$_j8N?xZk1f`QT6veAgQS%p|T z%PHQaPT%@)^xCpA67p=#kSG4MJl=XYntfj^HyMkN?C4IrhE#4^tQ%PN0d|K@G_S!j zdk~Vm%EV{5PV)k^!6_rc&t4ITiYte(0fil8pj;4Y6CzX;-Cfbpa3yzIhiS&rPz-l* z!bSC#Rd|h!bt^R|3A^uxC63;3goZ3?2dWm^@CG;L7HUSYY@jpLDQc(>l|^kdHWd>u zg7ET_e+~KV`_pn;i&DpGX3=BtBXHN7RT`Y(PPpENhZ0QJjex-lF`&W#3?nah7^O@6 zV;aMZ&r539s7rtM(`+$zq-PNrQ%6Np1IE}GCPPicuEn_5lo%MQ#POtBTJh4JgF5$k z&s;EG3EaiBh8z6LbOVi8@!)O)G*Yp$$yBpR6robms1W~=f+{C7kg8aL%Z~XCrrYGY zayP<_(7d1NLD^_%p|To5F=H%2M|HD}wfSKI!+M*Dzlo3dz07(ZdZc?M(7(n@S#z8R* zh%n$hZu!xQ2Y+Bf!kt>H404c=8OxwVM95S+fk{#-sBC8_4}f1Kn%k%(RbIDN`uDlGPtf(y)6u%nMDw+PU^B0Dnk7^Q@QEDyzYFk1T|$E;fFC@}65I9- z#E1VbcZ`|nKz%YUZ#gn9DgrZG>9m-wOW7!mWIxYn=TFo_FHHcMPms(13oCQvm2trUIc?h@iA<=HiW zMoM)T3J+>+Mf&GG>yx`-vcx`wHHJU!v(H2Wii+5(&pxe>MN>*Gg?z0@Yq3DpK2k*E zLq4s5`~A+H-DCr3ZA<+wWOnAvnR9>V+&lOG+yf*EH~)#l401z_#T}-G?<=Fx7^x$F zA_OYKF$Qp(O3R`!sWZ(5sMKkw&j{&u+Tsx;8WvfhMvXXB(P}z`MOURbhJrLejGu6Q|oCL@x={M_4;#<0Y`20X2zhoNOn>i1YV^tzkKC$-;a6614LyQqa+*>uf8vr4@)Zzdbjxqp@ zwOX*ra*U!R@-2(h5%rU?i-j4o?d3=vr`1=);VJ@JnyA}Z28olu4i?l)V?2~dkwulJ}!bfMRxzLgTIGC2M1qV$)2p zdpqVMzm5`f>;5)o@K^nLvMF9{)$1WE7!P1iX7>MJUE9eGPIFkE3Sk(;Qlpan<5An zO%?eHiE!=x3aP{Ln5mQF$r1IY6-kNnt!XiSr&&8DTHH6Bl`YZf@dR9K^wmoKlH zSb->$VXL`b5abu>1(Kk2BV9)~~c~q_D z8-!aqKh^D^h7_YD87>p!ti+pqzF;2-KVJf`vtOJDy(_s_Aw73zL6*U(@NYv^B zSv6;vR+(=z33ZSHlnfffLMSK?AsF(6Y?L3cH@NFFWM(Mmr@|irfoWlhhU53CQYPH6 zV6(vSs%dl&LO}K-{n(ulq7xDZn?M^P;}~#dXSpT2;Oj(AMsU!lMsd_e8fKiA`SFbT zsKqhLdSI)rk!;wJ`;#~%39>OQs52Qf3=EAMejhizYY?y28VRD+RPaeia-)=1n4>`= zf(EzZ)AEox41Y1?SyzRPW@O|V)b(V+q%qUf1u`2$({fr6t)oG8AS#^(>%|!SY2l(-$J=AT!^rJ4U>q z!bD%Af^wb<}Z0KK(O+1mrPM!2CwC+horoIwU(l3nPNR9XyO!-BDCYE^z0t)LnQ(#5nIo1-$%4pA2(nP1d8*z+ zBZ-`cSdr)|;091?^irv3iO5++@=hBg__|>(V`zqAoh05q7UalG8j}o?5u`=annHk| z%@oEuvOTStGax4#KGqFYp9GEg;vPv0~*%q4PhQR<7VCfNwe{QtIia^R! zo1Jdv1_7}u8R#A!au^B%mq$ny6Td|$5YpU_Pr^8fmYH9Q@IUnTiolmH}op(keTG=9y#Aa{N)3Oolyt-69OF6OuLAv@C^F%ZIbFGfAvA&F;V11*kSdzWnGL zYj2tP5CI&*{l;3?usQkU`5(+!zq2zV`)tT2ZVd9To>U3h%HA8VQ9D6j*S~cA8<3sI z2+7);k|CoGK+d`08bs+Lxss5qE`{<_=KP$^$woX=L7YX)_&JhCcTykb_ zXR@Gc@69d!H^YJGV&y?KvG!8$bK7o#sX$(`Y1=cHx4_2M6s6+E2GOt}Z4iwa4%kE` zT6P!pD_75;m*kzqJyWLt{CWZiB(Sw|f%%E+ckP+@_5N$71l%p3Pf%uSP+LAf1=%pw zywl)@)69&$pE!~thvA3lq$n3Q;MS?+tgoQ$q)v5Z&kAyz*iqORBJP^PreJN4!!eZXi^%+m@Oaov zLX`4;Jdshp=|g1~VAS1^wY0ah1^H82NS#ISTJ%<>;gnahP9N)O?PzhMgzV~A#;~s2 z#0L0ZRJh?h%C(6x0;A%>JEid}_hF2n(vek_k3WJh1svZe8mHn`tqTy`JsW%w(h(3! zoea6wc1D%fI0-+-UwvZ>Vm0RWyz?DqPe`swG6znW8bjEUSw*RkV1OalUzMBkaQT>D zY&or%CBPD%h0!k8y=Fx>P;XW zp$<@(O^Y?h(aN1?JD9TuNc7?sq`J2$#vSM4BIiXCg6M>Aa${_gH~dy!$KyB9o|RT7 zxg34Ab#{1htgsP#csd&9pL~|FZAK)lH;)bXmz%Wnb{0u1xEmnoedE{w6XEizGgF^yBPVP=n}Ew2j)zFlbK!=Ykml3p*Ev zxvofh4gFx$mx85+r``6MOUWF?u&a|Uc&0^1O)w#_9irQ!6sFdNWi+7a1{N1(gCXgR z)8V9`DJZ!_ftEa$vq^SFBe1^uB5~f(+c1vArYo?A}~n@9Z2%<5k{5UOw|JR zDD*`3=cCu3iLxk4d;(IrPG=;BO~gC^f}WB%=Fu4|#Hb<+SMLF@0ELl4Xh9RZ3Ya;N zq=YNQRfoIc=zgoBqx&J58D|UW3Oue5x}Oai+W|6e(=Cdcf`B>#1dushBM5bb@*umB zDMAL0qziCo;m{ccp>ZWyV!%c+6}oT~49aPoA)q6Gqs5xhISLsP=gg4wXR+z*C99FPzK8kSe*0iD3&FIi;&Zzvo8FTdKEezi3!|+2Ggev zsis6`B)jLCBOkg#7V9(e1baYP7+n|2x=`4Evc^M?obj_2rYaq%_{uGy&wOEo&3O}6 zv0UElYZ|SO+pcwu69bwwqErnP#an%)SYYfHqWcL+xvDBQ{B`ADty0H$&F)<8JKI@P zGS+PkXaUi$ITg_f{(N6C75?Vw+a-U-M<*s${LX=eXxP+L$c|*jRG2>394Git@3X$z z1Wn6d3GCTY{s6hx99G4dv6xi8E=%Byb0Q00fpK&=<3z>s6X$^ag5z7>?kc|yb>!sf zv3R>N7sWXiMkgi)T6Vg!TVinBc%U|c2?R{EqrQPk^e)9brW4Z`Rkpr~fk7luaK~b( z;-EZi?E=iU9aQQhP<6sJH{oVjP5>vO?F|z%EsZiFyMD3{Xs!@Msf2V-rtD*YoIp#1 z8hlqwgr{*W(1ZyDCpM3g7+XFE82o?!^N>v_iQ5xJNCrINdLnHDKqKLs;ie9ewZKBk znoz!h+B}%yQ29ig4Ed70oDMfEA!&mJA;7ga073w?jJq|qRZKHh3#YTdc6(Bw#V$`c z2fB${E#E-fL7*wrPKHIaWWoHg0Ekvnta~!MxH?~Qd)o$*4Kp>Ul^20v^@?O*u45d9EO2tKno^eHwL-=6%3K1Ed5(xrSyA$m6jd0VhG!Sb8K6f>z*RL}|3+m?D;p zY~Uay6ykKyD+ry*zQdBO6)dSU-fnm>h1FHe%J4RF)FCyrfI>?47y;BDU}Y!+xGN-| zrBH7PAERM$6LHxjTjD<+(R8YBG%rp`XN`W)@KH$O++B+dZbb$3b`wUQI7zYn&fSs$^ zWPyBQMQf}_#aS_Lt0n^>X6BO|j`kp9UAmSJA$~!!g~S>@B{M1FsKYhR;*-y+@1B?x z9P2~MVPA{C+6u9lLr!6uSe8LCW_I6+#4pD8wE{+C$d?>cBpP%z$_&afE^Z=I>L6rs zS4pP0frN4|2Dfpuoj5WUlysWqrgKh*;X;VO>G0Q(+1LpmYtJI-g*jBN;|1X_<#j=0 z%=800$Gc*voQ8|RfEUlD$wAUza01yCm^+{bvwEK6Sv1@c9UvgGza>O=j)P<=o8k?u^GG7l%_%=1Ay8IpEs&p7ON=qZagG0STgSUS>`CIqla|0!N*V@~T?!dtCu06L*??1A6Z~u(@cmBhr zGy)7Hk?ob?4r1H+fT-2binXbk-&sB_!eDR1vsHD%5`CHwxY z!^|u9OjJ=mv}el9esVnN0=#mVL%|Fg1LkX|ytQ-fu{qn7ko)Ewo^=08$bJJO*SJMU z#$oCE-aidmaQDvDAir3Oq3=Q2;5>?D`)X(%ksXrxfXJ=sAf3uL4``Renjhzim%^w6w!jL<}CJL`1=S&`Pv(q zv|I_p0$`SV=YP4}gu5SPAZh8Iv7Xcc3JAe83E9NO{n9ftK)z)+#{Oq+9EvhC-Jp;t z+4DBHY{Zn*GiuI)$UCxRnkg7IB~UtuBm;2gT1vDD*(i4ol9genZ_HTm`wI6g!hPuK zA$4-N`$>6lFG6N;x#ufxOgUuKxzgo+`*+JHLiy6$`j;R>KyF6J8=a8-qLPg#)LaX| zRgR)4VXBM};{FfVd&fN)GR;Cvx?c%dJ>}w^Tc*5x=vPzb90ZaBG^2DRlRBlY5SS__ zN(GQSdSr*%d= z@SR2ojU3ywBD5oYx;Q|0jxs@l6YH5%#P<a!rQ<$QJl!2V<4w z+tDF^l*3&JwL=IzB6Xic{%%vOvh&{B2|devKl;gi6Q2rK_yXf%cCu++bq_4aIw$_- z#TO@f!wrQPj6K@Pc#3HqmrL6e#Eq9wzU@jMB|z=%@$38;No!F;~MX z0C=~;e8qx^W1C{ycH&-+u6;gponE2WjLtMxoT4*L*sTH#1eAvVFe&i4dDZL0BG$VS z(XNE-4a}@xpeAg{uH6khUTue|X_gl39SPhbeQIN6WslLxP#i}tlQr^=#&o&axpKygV z2gH24eP*1z>Rnuzfu4lT7FXCBnS+mP_JKsMo4X^AMw z_G?R^7+wN7G~@gjplm z!=n2IW}tCKy~%Q;i+)}AfPT){bk%yTiKxa?Zj-YHZMMPSA3XcO6MZ+e0uAnG5fdF6 z?lKA!Jyu;9nf93k3tDP|WaoA_h>QR>iDz?zfuzB`ut;kT0H7x;z@ef^;uq{#3gvb} z8T!YXoTkwXkEGV9&R||I(B=749Q`S3Q&St841thRJW@r+tjT_A&vUv79dvq7Ceax) zm;%OZP?_F}L!4la0iTq(@(^N%eUl;H{4|NgU4#@XRv<#;8#&BnSf-E&}odJ|4kEGN=(+^bSKxmj}pO+4(Wyw8GjW~ zqTtb?B1mZ4S22z=1j%7x+o%E5yhy5;_N}JRUJyfslyp;GfKkn%%@sF3pr7aYK{?%v4mCXEN>n=8)Yl1xOL_d)6oxP z%WdMGY}!K(9J3CZ-IDl6Kto7QDv9qlqgYbk4cR5%$!ooO-9m7)nEhQ5JK*Fm;!f*1 z*XFIn7l7Z)6zB8pSMR}qdA@HP1P!XQeZFd!;7e5CZVoK`p9ZWlusyM5qJRH`K0{?`Fs=@{7kxZ*faunTuP*@|5yx%BhOWIB>Lo1o=*b zZBz9&s7}=WH3>nJ!dO#^ns4g3rUe0v{iFJfaszD#|HHn|#uKG20VMGv__}nXgr>ui z_(vwSU}AW}H&RUr%ykp3$yT@x_`1wSsnRm4CD7(cBmxTHQ1uxj+!8GqCm#*Txyp4X z)!48J;dZ^VUgrq_1tt(MIU%$$nDyCCsdFif@c>Vas?zH>UriB#6>rWw8(LXfN4WB9aEA%R;^pn&pXuC`7930$E& ziF~*9_&=Ta9S#Eh5<-yIEY}G21fABAd^$akH6g$ zqDr4?FBA1u8MHIxc~tWVjhHo#0|Kg81K*$Oh7gi1^Dr({z4)DW+~H&o!>Cb=h%)ob z2!|W8w*XOx<(M%JTGLa+4UJ$b%)hXZhDF6Ddz1+lrF#ROG1(Upl74Zu;Y z7uPrq+!=|z5Pml@&_K$lrY2i2gg`oS?1 zT#$lPHE|1<0)jbS3t~l(tcthd++}oWfA8bv!@b@79ixNJtUwfsM%|Fg0tPpjK(34E zXt)W+abFiG4qWwNct(HpST@M)$w&LG*Ts3_dxGR-p9~rl587+W%wePwPE-K-BL}o1 zdkXydlBQIu=$k9)K8n>aEKo!<0N4l#0ZJ)lT#caS$w4xLgHPBs5jA+Ly3LUh5!wYr z(DD}p`-u@DNqLlHaJ+x?i$VkcDQ8PuQGh+eWKsx_bRUgqEcr*!1w?TaFgQ0PuJMAB zVcSWL#%Zd<5DY=WHf40;l9`H`I340SR?OF(-6{Ljt6t>59Nf1u9^2 zLdrdtSrX5lFqB6s!VJ5b^mK0$+)Pl&fE&S$DSLx{vwWyETp^SWE>7B-i8w?ON1STd z>R0{J>=~V)tmDY zB%H2YUiTSAAYyL7Co;GMAfBW+5RjZ)(8p3y&tgK4n_eVvf#m1c$Mv}e{$o=GQ0AMM*bkiRAULS2gOW-QIZ`2Mi|ROtfyiyl9Pyh!VT zGp!$wO5ZdxUGoqBnz|(Yd^*k8F6KGT^c^FwXLjONyn_(BOvje%xTAk7Alz zMG18fC1e-WYnuny=C=mwes5Lx|1xh!XwpxordOE$7xMmLVDt}f%0D3{q+d!8geF1A ziK)jmepxRny!aAJrb^T4<|1~(aUeNSUU!t#8R%Q_((H74c6vRy!@Evg_^0UR0b_Hz zZ*$55_m<&`)V)B41vi_TK7PY-ZFBnQ=mDb@Herwr^5@e}kKD`Z-hchv6unk;DHk_n zyWGlx+v*4jxP^zvWpzhA14iA;sbvFwlh$ZyHe%Q1`LDBcFMGFmz(^l^^yQQ%{l?bg zr2K^A+Nv~g=c1Z=oXDU4-TsmL4OaJJU8)dvWo+s}BI9Iq1_{}Z42vk4lAyz|#7V(L z0(&cfFK)>I9FF_NExYbz5HCl1^#qNE*2Nq0Xn49{to!5RyV3JZ-4^<;BOF(gQc7k0XiMu7T0% zw^s+nDoRVG{%IdN)5Q1B`weZ{lwZqGj*A5{m%-(yEZ ztnMI7qo@?Rj8f^K$%w&fC}*Ms{i{lc9i!`NM(*vK^yAbdysF4kX?@d8tnT>ebl>O! zubVOtQC92nGk%)V0TI)SeNtGXx8R+;$otzG@0*d!UQbQha&WM8@LW{*Sk0(S>$n%M zQw@>Xvg5aU_G?P}QFUp&PN118*JDEiWT(+-r|$R0XaD7&^RNi%6;FB`Kl}LT6OqC# z19k6+3(^B=TATD7^2l+ItkGo6C{187&E#|%Ho1>VhxCeF1FN9Uz_v-1KT4+q>DjNO zkI$R*{;JO;R}GB1@I=Ffgv8vEs|H>^;6Uksf3ECR>9@eGZ|S|9WCzmh)m=}`-|M;S z^8RxPvEnCFx!j}E16d1_Y$_d&Pd)Bwy>P$-B=0<6jydy_I4&2Mn6iNR8A3HA<>lt zN=v#$0Z2S3OGf7cJPq#WtWQGuBJ45C{9l7Q+7okBhM+`Cvt{&7rq4}3FlN9z_5H4E zQnjPsi(g;=+_!dkYMvW?q-JEF%0B5-`azTqTgRn5$9Ev^RRbpA)umFA=UI)1y(rlp zWVZdQ(#I*~(|uYRmDDTggXPCPBbL53;-*XQ9 zJ%K$D1e^^p4ZaoxL+OCx0zqw+pw9l1!>-Yn3-8-ICVds91Ahg1d(+lE@3P~&@~+>H zOr(jmT(d1}7q?c48t;nsinikNhpmA(YRg=Z$y_OZ=hT?(^b2FCbYRy|>7ZTzUi_%nGx2rLW!}-p9`(Aij|_}{ zkX`Z)`?|%pUj|5@A29HNjOT@cnupnpysgu#P=t+s2hFgzKbihn-M)dh(tH%V>-`6c zk82~A{_lvJV0-3;I;RVlrt%&}yXCI*kFkbK0Hb8K}^;+qi6BX^z)8b6CUZ9l?r)Y4t-;4%q{7@)w9JSDjlxa_017GJfC>x*C-uS z&!RY6I!rjOtDZ%UVcA*Kv(PJ9_k{y!d%dVjw`bv!5q-HrvN?s)feh;+K>{)$8SGgo zBxLW+1G-9L7vON*FK#)Kx&pXA725KG_VQ9Fuix~)x!fmaPI$ldV(MA2J=C-CT>swI zqjeMBKYT;o&dtZ3c-WPl?z?_~U6KyNkIs%=z_Dt;*n(I2g}yqJ4o8EZKgdp`&q}k+ z?-?XJ-S<{HGMais&{Fj**p{@l1?rqG)cx}4!%gWlaG#albN=;EC$cQvcV|l9oepKv z^6y`z|LMo7boje_+1+a_yZG?2n@UH)uV`xFrAzlcIqKe>zgc=be;M41-ZYuWkR{kpT6zaqn53`QF+3B^6*Ose|zh$CvVsSSG_M^_goJidV2hxNr(6T z;uDws_Q^Dytp3YyF5mU`>+nB&-sdm5@u%C5d~@HEUwh*9_m=(!{%H>@fNNcV_aE`U z`KNDw;^Cj(f8yP*rc3XcbmFD{MVIe8qEcrLobST_>{Hj>bKgO!)KO&ehkB#yzWB9Y zK5)xz`%Vm!e{t-eet7l1$1=E=u0MS2)_dOn*(HaMEjTi2mQn{^cCUX7>g;=DXWeVZ zzIo^~yVkz{t3^*Q-S^m1xENl#`@i=*dGOdj9J}D2$6kBywPWw^JND+Jm)}&TI(+N` zO0B~$ZBI}69X!h3c8>rGzew48*OQyTO@`g~`fqRBvxQ8xbl-nJ2O*aqyWomKN z{N8PFdHdwy-+t-oui__7Nqk8*O4!78OS^}Jy8e|ZL)`e=jJI0&&HW9$?7n{Ns}QpK z#^1u7?r)wry!GIuukL$tbQvDnd(N^;4&QRq_bvbuH=n?QPv5rpI+Zmk`y6gl_MZCa ztH-|m{mXy%trf=>-$LYH_5M4Ac=-G;yuTwq{-2xD7d?Gm`hWhXZmxfk%YDJGo_si0`ICh} zHeM<64h$`GgyhIRiF_#xr?@Fs;k~@|IPe|bS)RDKF zVV`A02>t7LfM zbSTf;KQnS&MV$XL0G2$*o4y=w_QtseV|ZJgIDeNs568O>ig1~+%&z1=Bi!v|DxddG zq-FRn!(-cQdoezbzCGFqGPqmZ91G=(b8@WhW*;}7P@<7rT1p0Z!cE4@urr}=#JYM9 zZ}e%(-j2B0h)3bXw%KSmM!+X8B|}9M6CHj4WSJyxB=VhQFqNLTef*tVT%E5H%@CHpd+=mcSFGqRzB~e1MWH(nS?p&KFA*Y(EDvJbw++210@7>Zt zk~1BAn2HU;;e5xjHRW8#!1Wm*cSDHs=Of5hkj;6)Ddg1!09P{&HwKF`* zNKdt97YM79$W1jL0?;eM&F}`~f!kEek?_qFvOZHn>X7@bYRHCLB_=DX;M>;16cKsC zb!^7i0+uk~RtZ`PuwlLx9{u8{D&GW0RIW`}Qd78llx*@t#0#R#VRv+4x@w|WZJR9x z*ir)iXTwbv^OCFHq;A8ZQ*?VOkk7R!_IOLM&h_g;Kf+ci<;s&&uM5x_v$DIr*}7T~ zZmqB=VdudK7I|)i1(R^TJ85LFrHPghxE_&YH$E(aVPox4Q}?x1=W(;i?zUHjy7&Cv^2y>PC@E`r-6F;XveV$*m*VW5q*S3aP#=7`f> z6%FgY-dI;<(+sPPS+VgD5_G!EtFDFXa#+y(vhsv0X`?rA2ZM;2Y=WU2uVhtlsMW%{ z&7g=XQ<;UyPR*%&pdkW#lLbRQX=@b)Az!DFgzLVfF7j=Bt*Zx|YEh=mg*r)67T-z& zH#tWv*TCj$V=B=oSG9j=j?J2iyw|yT5W}$qkn*4=-Ly<}0|^TxkO!;h!nK!NUxf^z zJC*QPRmfJvv}ggi5tkWyGy-)Q!-wvjHjBawUnd-x!NZ!8-BLwAf>$G(8?Zk)M|&Ky z1N!3>C2O!GlN!j1I~opkVC6WukEU$zg<;`IYv=`RfR98(*@P`N$W(aD=?*Htkwk_N z%i);A2KfVDS2CS+xrc;&AU6p$GO|h8;Lr2i(g6zpeS@KSG{lhqX^IAO5(woigky|O z*;(q?u8z3}gDy-(u~2d427JP(dR{bz49g?LS+|gM!X!PTB4L>KCkl9js8$&sp;5iT z;L6Ti@MH*n$3mDEU zVQsyzE2JRpU^t20A4v!)##yV7Hd50CKuEZ(z&%ehR%C0~EXK63ewfmwuYz{MrA27+ ztPm)|O;cr9Mo5$vUan*-%Wwf&9@a>}s}zG%TLDO!ASEN)xj})+UeGV1af47l7Cnbu zbR|7QByx~T!Q^z*1RW0m8vP>Rqf{MC?#0lN;-khc-H2#BqV8A~&>rE6lz}XbDgvvr zSy)66MP{9z#?a71Fa!b9qYy&ZBuxSJ(Fp=+q0`zod=Jjm{S+uJIGniFq7y*}0L%u? zvuCIKRdMIsIQcr~*6B{QEqCR#9Z-g$z)*z|DlL>rs9y2&HgxyTrw(Cm5lX*Y@#(l?jGlob4b;8u-al%YrJ#E zNN|s9Na~tVIXh~W;bXKma^0CQT6v%hu4A1=Qh4=?N}@>Ox!)yw7tHlfjBJes_WvV1 zk;XiHMJV7mIu}>{!L%mt+;kR@=sTvd%Jznrz^#oR-{NCN*8{9^u9g3gL`D98fW(e` z3Jas0%7Vy^f_0XQi;J$P9pzn7TR5V|*Fxljzpr_`dRZkL&9)gZU4tLW*A~FbuJxb6 zHv6nl-+H4H^&&jola`I48l~m4e#hvXfU4OS=_Gq&cMf>jeZXVwK$KyWc+BA@RmS84 z*$gF5%|0*{>%oq3BG0Y6| zL6lX{bebZv$sjfN=MXoyJMf!B_C6w1p%5|>tAK`U9$%`~B zmiuyRc$cy-pvr8)ty)g$05eh&P;`u*blXzYg88WADkz@eMn)SH0&*c+2_fTgHzvEx zxhS0j$ff~RIz-Vfc5J)?e{kLDE)>gs) zNit!3JQD3ovY6ZFw z>IG?;ONGX~Po_+LOyW~`VVaB3f5OX`1eq5`(DqH#GP|nD`U5j67k(MI^B2KSG4m&2 z!75VL=(-Rk&rD}QAXX5u8oDLkgru}qYq%Twp48y)w=mLUvkEVo^+isX*)S7GV(nNI zk1Q>33^$DZ3B7zMr}R7ERlA@;NN~gdEXr;T07C*=M9_tUso<>^esi%vae>Mw1wj)K zpruYos1t_D5%7cPmpJ7TZ<*zoNexV80u6aop@D?WD)GK=P+F?AL+;A|?IGbzT ztwB919gIx&QMjS}FfY3qb#A(QP#xmVYN@!Dkdw1!R{7I%pOTSJ;pP-YCQAAd+iv?S zdlqi{61nxHFx1l1$>Bbvhq z)V9XTD73r~IQ~#WA{2ZMhl^_H6p1?+z^$nZ;)n1EOEFeqc;bT`I5EZm46+*q4>2H+ z``N*O2a%74f@-3&saP%TDrO0~XTY55#BBz`l?toL#GXX~)ijJF+KhGaUU`p4v}}8NZ^E|;9xY6wMx)b{!cZJIIARq=nj_FBI7``^=IW94BR~{nLEZG?lYu-_ zy9|DFE$1-9wpDj+MOP!AQs?%fD##PYRlulu`VhHF9Z^;!01z_SVy3;8Dyq?+#g>^? znbix0Q&0!7O5AmiT(!JY>YBAPEptnQG=L+rRbz-eIzeKq&rNik5g+tRsiR=+9MKaU zAw>t=gRYsNPExQ71(@4w&^-YFLeV&mrf%Gc$DophK999E#XMRSHSncM2&E5p1CtEh zqaq#6T^44aR&jXQ7$1X#yo)qu$pTbO=yP(ELP)fbQN!^B5?$$oQ>djYbP5RwnZVs# zm1SeVMt39~fJ>?$9Ps?<0}T6Tf=b~(!}CG6|8=t!&w_ zzBcO*_4z+8nKEwPM!3A4^vH&8?7Z>Rf2`ekPnJ8^-Dr-GVdRx-ryLsYS9J5Xrx{BX_goqJs6k16N74-)sTcNLQ7Z@9W<@1cJ3 z;5&*OwgSl$e{2Ky@-NRh^j{n2{0u_uB~N5zXVcQZ5mDa%t1NCJ!=voOiu*8ZGKFM6 z=yLaG4SPRi!}G90a%IM2@*~|hlY?Aks={jsH@Ig!azQ1zFNW(_g?s$CLl2RV2hZCC z*^hi@HTIx+@Q-AZhi?D+Rse)LJD)0T!}c*vK%#IfAF(qOz@Ng2ts-YU4^uKs`41H( zOa(8y|AkFqAcVM|a>;w9-1^FY-Hts`PLtWP>QL(J#%A*mLUv0=9T$mG=MZg>f9RQw za}G?p;P>mXxyn=D`~Dlg++6kGBkLEh-}Tf>6y=u}DkK}RZ~p0{{MN%HyWy#Se`42T z8Fe;3J?F-oAL&_t>1&X^dnNMs+_SNl%LDM>doph9%`@bx7u>Fv1n~bCxyxFmN{28$ z3w7FT`Xm%iRq>VRM|H&ck+|nWw$|Odp<~tx$5(i5^TfbEpI;en6y+P@% zzO<;hB+%$OgH7Zh5g!o=xsJsi9oMKYTo=0PXspM&DzVIGO@H1R$NDQp)Bf_FxMp{i zl*}Y8r~k?-H5V;{^1?8>>GNK9r&4%*g#cxfyj+`fD00jEYDbGj9jDm1W4R8Oct5nl zQCozgway)!zC0fzM_F^4W^{~;EVRQdLEGuEA-e_Acsd?XZMS zPF1-Xw&O{-0S1z*SLA!fHbNaeh9=(P@?JULW-EMzyG6`qQ@o3K;Ab)3yTaziDhzI| z(BZJPqqK0o-C}AgEqfA$hBLx9NBmN4+75NP9k``U?DTotTCul-t7QwYOXc#`U*dSM zSIie$116LY#VTdnqF8U@m(~i(5K~*v3eTh6TdSR)R9DK@jxqQqJFtBQo+VVD`0l$o5WXrN2y1!56jeqZi*>bH{O*Vl#_{!fyU;4+wEeC9*S*+*7b8O4(n#q)w3uD-D=AlaL z&{C2};Op(#`sBt%d|@DVvD3CN<}7QT>0e%KiRO|>OZEJg?f7Q;e1P2Ho3&z@Zw|cd z2Es?r4~K68?rLnsqWfj5udu$l%XmKO+QM z4nvX~rB!+BU)i(xpvK4t(aX>tlV~CdYGQh8(A29(yN*>!f4B<$3v>2AqV1XrfrZte z!S+!@YKRf7uW0tF3R6w0p($sgF?}!A4V0=@V$x(?8VmSCg&Iwhge}XY7PgSOO^;$e z2S*S-BvfJ?fd;;HCKVSp9i?B5+Ld~{$WVhqvUX?#9_fB?Ox+xO0V(K56JhmB=S=6A zK?q=FL(;^wpJb{j=(|?kbH4)=1gS`m51OV%(@ZJrF)87*HRscu<)KrZ7n1PDsiC)) zCv<$BXh;be-a=BGLny!qG=PnGBww0lDaTFt&gygZ#WW!dmTblztESp~HmGqS8Ma=f zwlBU?Gcnq(fmh=ybu4VCgAqhB8B0Mvh=!(hF=-YWGf5>TqG)DwTaw%q{zxxnDV)+( zm-ijFFU>e zVpTd|;0R?DV^oeX9VJc#3twXPnId;PZ9??s`wXsI=jbnVnFXIM5}IA^o82ZCRmGv`eHA zG21G#yA6jm8?yN`ki8D6Grh3Ju9;bTmg4~6@@9#>s=VPoF&#*P8l|OCk9}fD9pZ*F zSe2IB?m#2Mn27O1?1ydvH!5;Ud8`u|(o&K-8UyaODuzwp#zbI~mYXr59J8gbJm$33 zGr5hN*utG|q~06m%0X^#wKnsakvj7wl-DBIafece z5)A+;vsIC6WXrY_q)x&Y;ku8Bz8bbL$|(;TtBM53$Uej!CL}_`HoYV3gV55+2vKBG zXLv>il5TjbMO%&eoqS)B<~s*MD$Nx!K9kuor`OR)dCiBtVj^6aF&+kY+Z~K6fcd`3 z0Ei7?x9PHCxk3Umsy$a7)DaTfwTU3=1%*WOQ_VNoLY=|t=@1+P(coqng2%=nsP)0j z$Qi8eu^~i<|JX=Wl9qTjpRsbqtHasj+E}%|isa zhSjH0lm&Ssa2z$0)@WFLTZ;%FitM;+@A?|fBz)T@H8wR^WQqbTMu1jdkHDsQ6<7yk zbJm3kOEQ%KGeP-Y;cgQTE%TXKP=UORmWWEUtwBUHGLP!>OcJ*UJQSP;LL=Hj2&%5P zBJ@m=kT6vq$1z`#Cr8k9GN#5jk&%{e>O`{{0)#;_S|0zdqSQ2y3)-Y$M!I7j%&?VNZK7 zh)m_lV^;zRD`N2uD-trH4u-LHEw93i!yN!&~(A%K(&YhidZ zNHWPugde3E=7l1hL2Ja_16rI#WD@T>1+){wjzq)|0*7Dd<(RrRs2fmiKC_aH!UQq=6=ZSJVrp;UNkcCimhmJ?coJ+%ROt9ICQa&h=k*`rB4j zI?Te5?3r<=>v}jn#hYjU^|V`fB}SOLca2$cKL&<(zj5}I>keZm`5;E}4`U!6UPxE2 zt=pYNvT?}0D@a6cfoym<-GqVujAz#G4RQ~XF^<0WoDBCSlx*h}1gFa$ck{{P= zApez3E&WH}50&drqAPoSAO<0;;X(Du@w<1ZWXSkh{r3Ht z4dG|*T#lXaF*0U)k0&4qzHQ&YCi&o= z)jto(KyGOj8Bs#Zt22Ah-@N{JgXAdyh$wFcZkXzcW(cX-O+pS`Q;Nw6H!W8qm6STS zWYxhfaDSV$oJ9_D`!PGyzvLOR$@85{DtA6P<>>Pea*W6~zd5HLNt_!ckqIEcWyn{q z!F9C2hZ3Q>bJgQ{I?CzWGycJh5aGP$=@xA!HHq$wt`Y z$BQd>c1)QGA=5v5&6IH)m%KK;e@V}ktB*Z_jJ6x(IsLPy%zSu8+nfV%zKcERpT7$> zx#z)gKc^^_I&Wo_CvG=G4716Hk(D|hnfsb-l!Nv@MfT1A1Condao^iJPL&SS;cy)M z{oOorX&k%NOUP$)Jdy7-E~;X1BHEp*;k}(DEVZFyiW!=M4azHNkaakctXtZX$Uf}f zNgI@B$mBx~y||DCAsp%ywK~=1&N3hCS%yu%g>M}1uk;p7yTOm)Z>OY#^(1~7X$&O; zjW;4nJD3yYI&ASyawM4(l*vw7$0bbI=+^sm|Yl-<@Ks4O?L_= zs}3QF(WHvytORC|xvOQT!xa)l84%CnR+J_u2zO=AdCgE>4@?hX00T%s?sVp3$9f;8 zGA1hLd`e4Oaid2rv4e0R8q((k7rPtTOWeW&C};}*vv>kiF!)QjnJoukZJ;2Au>s*P!z~Jx{w8e0 zg4K5Z3gp4ZeOEn>5tfrOo0BNaWVRAA75xoUM=75n+hnTTtofnb5cKD`t=WT7D%sZm zwLY$%1+CCyeOQrZ>4I8ZlJyxI(YBHttKiK?zk}iKVa*=-S2c>bl0mI|bobPGj|^iC zk{qvHLGmlHidh#ztdnfKIa5TK7NkzC;{1S?-bpPsiG@uWe(FfFT22jjE^*3QnKhS9 zHN=YxAfz6nCS^=Mhiz{JZi+I+;twMx zj{ZU!Ye!#$p+9kz)*D9a8dDSge3BmG0`@Z`)6g<@6$dy>QK(26MB$Huu1mDDM>woc zUD6Fnt$&UAGpKzq9+>cCtL~sho#A7EF7PaZE)7-(eO*wr7Yw!o^m9n z|KFi&gDM^V)=~!r>7Oa+P%k8`IFr5~w=}T-W{YAzvwu>X6AZ`(T}$Yt|8|kg zJEfq5-;+#zQ-q;pR237!8Jmnb_)OVa?2=0{TOSK-U#umZD1?+2JFDO|<~m<{eM}3O zU-C#)T3CEgl%Or%uPh7H3md*xvFcat7JgDm+BvmJs#FI^`Do{OR1Adt( zRvvs^bq-LJJBF3p+GJM4B!LsBh-gIxAHD9(3-7JsQf;0Ets&+q+^b z_fPc|-LVpGX+MuChpqfyU;w<6c5j34NpIqu$>ID=GC&=;r9~B2)V>mC%B^oQHHah& zX1GCe4iFNzB3FKM%b|{)Fk&$UknF98d4IxC%i4wPB_GCe1ja>BtHzp?<^l|NT6+m!T?MO5ilmD6~ z{7L&j5uD(FT&|*AiRp%hk{>OMlFQr57aY5LIgr4(frSma721zPTMR9My}^Q(fw7`N z_riMA0&|?8hW4fAD0`O#43)p{>vioN&)){`LJBvyPs05X$o7zyAAuY4v&ryLxr?t@ zO{K%zT$NIij&4DmsYlKrIZqf=>7n9;gZlzgatu6`VGNHI*SCgI{$mav_Z;p<33?WZ zDnwCZB1od)e?E(n0~;o5h=%`~G?wMl*cfC)P|IL{AFJ{KA4SqJpTZEXS&1 z&hFfwwB)ml#Vs}}!D3V=LIRg5JObH<5`uG(5hNWzMi{b*jbfj9DligC>ZqHX3H-`D zUc_`^7{cYo09QRK2#Hq3DMBVEm8c z6lAwz#}7wDI>m|~9iWXcoiP}eSyCD{eI$uzEv!RE;P}&Bo3s+Q4)MZZHpnKIh9S{Z z$j1d{;opkzxDLaq^TKMGd{n#IaFyQ_>PG3Bt_13JDOho>G>v$Y5dN^m1eX|yt5xSEo> zitC<2q$TnQnixQA_HwT-Y)p;Oig)3atsyH_4;RUr1a3Tmm}qf?(lXl4%J3v-Aw@3m zNgs3;IRF*+Fy*s0!4o;AVjmZoO?l+w>RB*>f6xn-)R?9j2E%{TYDa@k!b)5x6XXV- zdvUsRWVfM!*Fpt|SApY5`4@xH5iVhPq?L)pz~m9~J;(@>(hD!{FhT0hha3z{A*$Qz zwW2IV^Oy9ta(u0a2D(xtg6Jf%3H;$)rLJ_jagjxfGtKY8)hLctJ_Sso+t8(RO7QAIyUEdYZi0Kg}ix%EckSdCx)GT~R4U)!TflA!K4=(d?rUK8vV3xo&5D{*I zLHv^bEF5^23pYrxnH1_QbEtBEL5F;>XM)M%bOwUZr7JaxcTWXfy$$Yp=9sxNj7Ar3 z0incZw(qYWG#Jx_L>f|G4*yk8NakVuf-JeF=_o8T zwveW4T?Jbe3#TJyIH96?25&h+5sZMjotVJ68PG%cCIkhGDw1)e__!``g8Xg!guv-o zx}q-383<=Y$cN$xysS!xqiXz84T5IJbZPkejFZ*qGPz)V^3oGKe>LUS8&s4R&N(;< zW4hlyy7O#AiQ(^)T$vIQ*L5t7yKct3g&F|{2>?sMU5fb-I8}AYAi21AgL?+KGJOK$ z#hZTjBF2mN6plNz=PCG~RYSd3et*epJJ(J=`o>xet?zMNuwpFQ9q0Z)<*!_eVf#I| z%sH%vzwaaNuPP)@U3UnA4iOpS^RAmz$Oj33060_0UQA>EuaTAy?V%}{&A0BK6yNy+ zOxV103*o-?kc<0Pnm~YW+ik0FN$og$@|;6wkH;qxX$S)O2npG`bNN%sRL7RQ2|**X zxSxOW(s6I@nKI{fcYOX07m2dTzk!Uw^6P+PCHc)&xB*a+;b?Y}a!Y$9EC~5?Zphd~ zMTuw$H^^D;MG!C~dnPP+JskVabd`T~$J&fD*lQ@tE%O?wZV*e;(k=S@UQNlZw-ueeo)AT9rtArB$R0L*0M#p@fgQC?3%G80XgLiT&J zNFCsw-al`ZlKsrh2BxIQWAd%g5(x%%mQ)hJ=kI~?lrnoBq(oDudS+f5NLl~NSCczk z$lsQWL`_bB{0fx+*8NEJCw8qZz3z{DO2LVYj2Wd|Zm5&ha)>; zCD%TFUjR8eUX+w}>)l zP0Z?DWsPOndEQ*mahVxoaOeg{urXW0vl@-hf4Dm`%RArh*+8Zm50A2z6_=xRvE~>;Tmd`o~On7`X`QubfD-G9p zdOF`K?_KMg(Ys2ISbxBB#I1JHyeXP$jcM&njCEET0gG&+kOZAIQM<>1yFGlbF#9}k zgN!aRrF>qZ@RM?-&lJD+mVy*0ggR?t<*nO_i&kvIFv?sVBOpcV!t?DF=+-}+@4GhJ z+i!%w-|0f@ZJl2$JDbP0Cw!j}QGHybs(X7l#xTH8X^is2zp^LLyI>-Dg!}brGaES# z?sj0R&gC%G8vSl@p3iF1KweyFv?6t`puvk$D?GOpoUr#Ie8K?iviWp57UKf0OFWEH zK%J*3$_|iK9WCK5RYz0LlX4~CnGX-dU|^>;Lv=8cH7Rtx z(NY{>HcQDKIFIu%D=ti|mlTm&tXyJE51w?qra>gd znG*;j0=4}Ky;qlB6B7Z#Bk;YsAiB*M*EBDERW7L0VS*+RnY7B4D7VAJl@N`0k`(kW zAk6^@!O}S-bm<;6*)hWq<(!vEh92a1{vUJS0vJVg?tf->cA11VJ6R&E5zCo8+JG%? zKr0m_XP1Zz*DEWcMn&1+qoCCb^+ErFa5ij~*iwkdtG2z}Sn#b_U-UxTERajFMd8{i zKEQ~tD*_q?ib9h8|GqQ3Nl-xBs<#I+JM+yq-}%1poH_3~KNd_8gA@kCr{a(;>5`=d zqmQA9poDQwJdnX8QoB(65N-4ZrLx3*iC!XZ_BVrSoZrQAfinU6Q37%lQOne=tpY!; zYC7*l2ga~X9ZQ7OGApFuucF1M$N&t{YJX1GRFYX`DzbZ8#eW z++nhoM#$8Vod8?q7h#dMYI)FLg4hftNHhYw5q&MwAh9$QVIZHAK}Qed6VhJNZJY$@ z_tSwGy223`UDP8^_z_oM=;HNjzJ|5~)d{s%{c#~`zQLn^A1U+n7opN$VCDLJPq<7L z_zUs50g%^GVs;gxmAO*BXK9%&MAd{f3Q?@hf2jfGHpo~Ya0&`iRkn_)twp(A+v2I! zSfa6T;T0F%8=|MB=Rts}D@b{RVeHX-R$->{03$SS72Wqzdx9}nv zZvZryW(ve)5Ba1aONRG=4%~&{3s))bWG{f!&+luRf3wU>N$=@)3)&6|!%vNynuwzy zwPMP2kQ4gR+78KNp+laGXuG0Rxr(BUd|waL#9Y7F6hf4@G#Sauz-+=2=%uCq%u%{sBfl*-hi5b<_|p5XvrUXDZ7+UL2dCmMhz|9qsn6UV$(0c>Sp|#>SNt>79E4SO6x`L=HwPPxKsq?wA(Nk|mC@!#Z2evQ&aL`*CeKOxI9JRPkwTCG>D8!r43iO^ z{$dop&c>??)JH-C5sEkmK{XUDP7rioP+I`wcc}WHiIT?Epd5yfb=3Fy92{&kJuESH zk2TJ;zFBZ)NHFUqB-SE9xuB!IWVUm~ogXqDvefeVoT<(ohG&s12(@(J8V+hlPKpEd zF%hW=AhKiqtj9sBd{Krhk5Ny9)$s<6 zO#GJ!;@DbfXE|+$V{?3IcXZ?y38#gSd)XMg13H{6nI2KuK&zlk#=!7T2hC}TQQ|aG z_C|s*qfxaNSqTy)s2g|-46Kx=ApVhL^5a3gcX(BBhFl8GgJh2&iBb>CMmkZMk$`d; zRFZ;7+43OENd&`VP~O79;}jdNLGkLZ=9My1*^kf*N+jkje-q?h6jRS;~xv1bPS>jrG&E!AfP~f$SrK z>QUkeL?@sN33VdHPa1zvibD7EDyD2H;@mspnB{kV$|T(rbbx9QW{`dGAc7%K5~14w z4Rzeqf{3b-N!+2*!733bX?0Do$myVDh2SRi3pvTD3oa$hp}t~+Cb&RR!g1RP5@gM! z4x|v4fqk|ho?=7DTA=doJkLt{-0&^4Q$LM z?EqC>)!qWxJ%DCM5t0)n=_)|=9!`(Qh>i}{!6N;LySygh%lxhRU4L_iz;`d|aQ&^_ zb#S}ywl?2mMq-*J|0quR6T1)?u`%|8n|mKh`{eHysmiO$VJ-U8rB zsH{(TRip-GTm{An*}lVu51PD29;`eB@~DnI`p25@z+6Xw`Jj6iJMj>r z1nt;C!2oAq&h?qw72+54ezCb@Z017dy%3s=O8;V2$5w#h4r=}@vSF~5m1JC*?F7yT zxV;RrCKgFB_AtvF5E-NnQtSZ5R+aW{c;1)ME5Qxa&35EF=a#;9f&H}KgIYD9kQAn=UKwUIPAP{6pxe?N?oMvACOt!#oPKZf$u)+ z`tD}enOlA5wfU?9W15En-W4d2tMq2dmiZq5Cr^yH1s)vMmO3f38Q8W)8)4g?EDN+L z9@LF0;3uX^hXNqswi~(90r#NbLg2VEuZw^uhe7WgobLP)mGjdM5rkHtsgX*f@ZdBmACjGO{h>}@ChFa?e<%QQ+eQ0A_WmiZ%mgYO^3!;rY6HtltJ1*@&|Fdw<{&`v zs;O;&x4Sa$`aYJvj|}sJw2smt!}=(tP$^Do>!peqq-FjzD>IZd>9{0S1ytkx zsLvGJKXyQ(efarL!rxysz_C=EsG!;`f1IG&4##P~)1rvwf z%Feu^?IW}uPOEE2b9=3;S5%B_IdQUC)&BY!8FbGDpt6xnU#xUk>grh0tMhU|$MzH6 zaXvl=xr9nJSLg3s>2*F|+IJB3P5R8VwKBtg##|d_A*1>B1ySM}LT-SAi77 z%ZElbN(aH9_sT5zu6IN*KSgf>0I?PLE?7x#7{eV9+cF^de!%`nuc`~B!+uOBoekHxsuj%?4g11`52VYrRsKg#3{TBo|5tM0wmmQLaUlEb$VGQQ4quYJEet2te@ZU4y+@vF%BnUU zSM}rHbdAe=bbJoFYy3T>Lz-s=IvuhK@%8j9*zK-f+l+WYu^k_v zg`ib^()*q$A9~xJCI04)=oy*leoXAG(?=k&4*+QP^LrMjc3wKJV7FnD7(BZAK<&q>5`Qh|4IU}{kQEpdKTqTVDh48ffhB|^Ux0Fpl5Mf7N^)hiFy|2 zQZwI$o&_*@pYT23{E8U5f9zCt>b8#S_UNer2TIfC1J^Y^Ai5xLg^HZefzyr}`a!f| z)=|T~t>s(O@?9ggHmCLc0{=nQJF_eQclv1^e!3ea<9zG@4?j?(ZAa;VPQm`F-RUZ6 zF|=a#x%Q2qw4|PefzpvpTJMtK%GObt`&}9IFWOEhYCG-SMma}j9t@wO%+fl0WwxGn zaQ~p+r>lOs{^YiBW@ztBN8a`-_oM@>KHQoGJ9a$qcOM;1j{6vz0?3*we4z$FS{xzn z7vojwppaR=5D@3#91!Q<$qi`Py}PHa898b7BU|4d4k7(`J7hij=QH=toISH($LdG^ z2uRRvJ&{7Mp}0N`33>xSYzO}m@UBx|o&D<5bMCw0@U6f6VA*{)to{C)D_>o`_wG-A zG_rN||9gAy;m#coFMfLO+FRFLoLT*y-|h*V-}-c~)YMVeZvRI7oy~`*-CYIQEt>|- zY+XI>sXed$$M)It0N^^~l`C7%+>?beeQNJtMsDeRX4&S$7xmC&&aZc^1<))2a(6rA z=l2eWp5qjh>34rlK(n3I+W^73>!Fd`R$qV5f|;{-e0y2H&9Bz3`Np$;m@{*B>%mD+ z>^U_1iYxEBZ|BF;&MNr%!M(5Tn*EJeS6}a5b$HGvA1uA^f7{M{`m)T>z5n^ZZL_!B zH*@8805tplvgVzKtDd-Q(tVl#oI3Ny)`Pc|fAH$;9q;|>zGn~5&iGruwdb{6*Ug%= z?9!EQ9iIKt;CW|84$gS`$}RhzZQb?i?AE*fqp7`l&QChGJh2x*vxM(^sO7(|eC_Ff zU%hwN>?MC_y>D;k*2A6SR`1+!|C^mxbo@u%9YAJ%`sFiW&J%wJ@nl*B`4=ne%xX} z-tPEA-6A|RvjCvM{pjh{8DR8&3L};jR2Z>PaM?A7s}Bzz`{Z}}>{&Zx+tcG7e{1*b zD@Ojg-y_%WKRmeg>5llj!{==KTffwvHMeaa{FD1&<>lkHJ-K)5-l3CPpBnIMM6qKK zpn4Bqy>>8MMF7yPtN(5FCWR%u`q_QA9KP*8p8A1&_bgxsyHY;@dGJDH06+}??|pA? zeE0I%t!pO{s_~8+=MKGL?af0x$R6oU!~gKBWxZ2VA$#zKr}iE`m_~*iQ9s{5A^T1##3dBC=1CtpqEh~HS;-(?dLuBoLWhA76fE(t8##RfhV^cxm5i%bE?s0~d>aG;pIDas?yB(I8wC5rScWTVsC$m`+gyOjfXOAs5jYwidEAT}(4z zye%}#^0@gXq5G@rdB_5MaUwa_O*pP)@z}6N(=3XYFg)EWVZm6!h+W4qUyu*I5XmBU zUE&TtrH|Eja6AgC3&BHLq$m3I#Pbx?y1A3F} zWFlU6sXrQZuPghJz%{sS?6uZ_`{(t=3Qz##ibyaqS8*GZ@)19_5RDW05`!EIS0s#v zX|U2?rpQT>4K|QjEK+PL?kSN<;?|kpBdfvYa>!5t+_=sqgF~k4I}xSnFKeh~xUwxa zm#}TI9qc`T(}jZ7TE!GUpgkVoH3qW1HW}b}i;PsY0dC}~b^0b5UKEK>*32n>PXm&T z$Ypo(8j#&-$DI4IJJytMfE?$W)w@$dKJjAIHx)$(F7ZErD;7FZK15MUG6zD+p{}Gi zZAhLy#t-;ndWcaTbZ~QzZq6~R{A$UhnIS#d(gE8&0_RP^UA0F&2tE0|QHp7b0a0Nk5Mk0r8w-DE4_ zO=@Gp*2NZsY%?|?0njTG&~lorm0`=H{Wl8;Q~#|;TbVi`j9I3H4Q`7;7GCC1g2Q-l zLz93A_(h^g906tOxJnRKka88XE8r>!fhPKixEnJs#zV};icn1Exf;TOiwh-VS_EG^ zH^uQ7WH<2qf3y4n1^=d>4qQEk1vcSzoh)V;GdoNykhqq`2U}n;HOEW*Ij09uK`6 z38N31G{H*X>e-S7F1C_IOUMV~xom>$I{(AvZUXB)iUPOroXsH&1agee3 z{y;$D>1<`WR%VLE1ly{c;iw;=f_V&Vln3*|!Nn}W@*sqHY(OLHR*F(bX>!aQ3)DkO z4S^l^5|x}YI2NCNHjHl6fqj=JNH(Dw16Zv{623Aa_=5XlJS1)TJgk&RdFU-|FNA;$ zZZap~nphXr*@UJ%fz4veIB-Zu`=v%1!mk4<4?=YK7a3W%mQY5HvGH_QRFFBC-CZAD z!EhZLrt^eW!8U=+!5uE5BqpDLP35Zkuj&Pigq33v*YRI&ojk|}Ip0~ZFCpLs_yU0w zkCnCfN^Fq!I**jx~1boXIhki_aAfF6&4ml z&Be{Ij?Z_o<19|0iS4By71X*MT`-dCjLNVIb)$l-pX`BdWpDQ&tA)FHtfYZv;H89Q zto^0?6-21O$^sBbH}x<@A~mRiwgWRjriBd%YOlb`qCDI+xSRqHrLiQ4c3T95BxG{1 zmN9|a4zuk>3i%r1;aNB#XravFiApsh=vo|afHOXVQN_z#)7$Mn|0m$k-HOhiE~hoYCsM`#`RM z0o{aSorz=J_$TQb&vJSeRQ~lu+;r2m1M>N0k^yx{C2<86U5Ij&rAK8YFiTxl!i6X& zJ5jFBMVW;gbu`J(Dk$=(95-w<0}@4mRYc)$8Yptvw}8EfMUs%k>VBih5Gl-(qJ)d3 zPPocScw{-qeN@GgH?}bOYZ>hS#v-K&%5A6Zz;V|x-jLMB0r;+McH_7rN<(v8H59D@ zs9?KFsj}^lnlKyyqAaS02uEu`D{lxRUo3XP=HRe6G)b>#i|r7Q#{nS+wy~Dq#E&qc z@IV%n8-owCx=;hvWd@mpny(gn6os*~(E5SPO9EtNgJ30#j2Po$G$2RUYYn4O$*lml z(6=tQhLy_j_ttwCOsjY&FeF$9N1LTU9!8U5H1^<@+DX@vV`IyAM#6di1`BuF>KaDp9sIT07r3h_Tib5Aldz(+$GLML)<1=^QIo)pspqf5{()+z+jJ{7BojU9i|Tc{LGPh(O` z@M010_+^aIztOcah7w)o%jwcnG)PO zoHW7vo@_!9qZdokB@ zuNZY_5-J$ylB>?Fh>7t$`uFo?C>OFXfQj7W_0TG03D2T$(W8-}oy*yF4#9kf_guh#F2hh+# z38|NnRZMaK5UZCjX6D1csK>)dc_b3dfuqr<9F5jK+8@{!lr?G%;b}^C$V5z~D$0bZ zTK{3kvAD~L+<4TiIT~8yEgP*;$IGxl5v2j8j$|oYbx(j>3{ZBB$i)|aOIthOq1( z<7lB#ix-Va9Pyk4w~qELJx9S}0+-nfMNxp2x-#I(6WycYXgz5W+Iq%2I<9UrxUIeqh1)Xkw8vu zRGf&cnnV*O^yJi)0T~Bvz0rUu8=}x3K6?f%m3=rwz$FNdUXH6hM8KD!2pA5*X9ss0 zAt*@geBJ16u_!Y>q`3PKiyO5tMf7d@K{P?yQwr;&h0;aiY=T)$(Xi9k;V8jkXxusv zDphZShR_Tl=tNI9oogJ^_;c>&JPsk*`-)58(g}FcRd7;9I2YYV^;`vAQ=K7El0a|* zH9ATP&M{5^B5-#|NkmzKgG4;siM&z$ia2Nau(XH`(kd&2CYVH0AroC_2CP$4HR zcl~w#YOl{wrGtZT`c9(dr&sW;to?<3e3rCDLyzMlm?#aBMu8Uow6vRFnJ%h`F7_kaHm5lF3Pn}5+tS4gEn)hy-#1W;!h<&sSdat>=UirlFAZc>nBQs6|8Lk@i zClYe_fd^(yC3CPr$(q-m`m_gOyaSL61#>@`~H-E*Z}2$ zitSnBw;)RFi}D~v`QE@gi2Ko%*q&z1-Hq8Oqr2~DBC-cj{^>zda2Q27@%n78TCs2C zb3gfU{eoq~?`p zxkm{(evZ9QWe7O7E780FJAM?ddjIj|aBPWEaQ6eGw?Z|3PU(Htd$(<)lz-?Yg44wY z`J~B$Wor&0$_Z~i@SBOB>{I#VwY9GhmN3AM2TgqQK>|A-Oenh_+`SyeXQ6t(gR~rq z)cK+P+#l{_GHr@@*vX<7E8EtR^buNeEflLvM2I*H2EB>#B5!(ATr| z>XXnO*q=QSH~(33DSd8QRKLId*Yb719W(0umpyYKPuk#tGEG)XWJ{1i%w6>(~HN9cTisizWV(s?U{-Wb%CoUa*1U{Y`cbbB7Z^CR@6Oq zQNpt*|I`av|Hy~INDaQ=USiv_u5kjs%pFD$!)C1=5%9_49zMBn5q)bbASlsNuFP>X zX#}_Ccu=dw2h2tI*m!y5e;Tn5O*tx7Za_9ZG&T}RBM|AUgw&91v_T=@BKX9NSWENK z>yOk_{(5n}&DlnO(N7b_{l$2z*jwuo*zR=263yQDoWH;9yQu&Dec-BE2EbOkMYDN} zXOWet!>;ldEFDG);uCWtjwn5r0iO(uTnJ43B5e>7wnrF;x&xO(`U&P zWo_?E$;u@*Gr%2Buz-2yD*+&50*p>mnGH~Wcp?}fY`tsBBSP3K*4awIWWs+|E=pLL z&{CRF$1X~&Ulh!t11r59ZV2hZg{Du;fhCMRe<&F&0OXq3gN9Pah!3<|l6|%L3&0%) zdAdCX3a(f((A8201>r%=h*J_rB0KT%|3JPXyS=P=ncm;rWt%Ky$L9~si%Y*d8|4LN z=phl=QNHMJFaa`kIKp?=bIFe0G1$!1|R<XR+YD_&A-{%6 z8T9H}kg|y@}6fwML?J<)(?J>qUL`bytR1jP^C)DIqtuo0ZT9wRC-Dl2t84W5g zM#IsA(SR|$Fzg=4&}vj)7#ob5nACRc3Q9!R6`iDyxPl@Y8kQ6U{xpZbPOd;>5e-Qh zjaQTb8jBj1&WN|5b|?vn(xW(Kap#%1>SB>;2$B@RN3A4L;>6NY(0N6X^e`5VVQin~ z+rSdWpQJethu^ZXrUB1bpi#gCfkZqMM2yT=ffUg33{oI+4!AjbZjKI6>0v>4@F>1e zwo^C>YSbX6`$#v5Qx#Y4h)aYt?intodDG^6X5b^oGFA;Tb%~TVh*}6br`7W$#6k{G zk3nw}BR9ApJSjazdQ%e}bRqDIAS4b$cnVLE2Dng~(C={q1R$79P>hJ$B0nJzN*wNy zC^iUj;5@GD8q24fECx>e=Q!08#A0CFfP(3d$Z2#FK{Pga4QxZ>rJjS7P$?e6H{F8c zI~K%80^oNc(zuJ=W01e-BYaZYD{)TI=W!vKza&9Pfjd(D{OcXgZ%}Oq!BAM#WAq87 zJkwE{Me(^Us-Z8BteYq)iOWI)w91{HatUgltF&HSjB0CI)tB-#r}|0xz^*NkUg zY@0_4u2@0}_EAw1-ftvSsGkV#d8VUx33l260Oolb7lij)&(N>|PO)BGoJY{HwdF!; zsTFZU7#rrV#lS18}uViPrm*CB*tLxXDHQ`vt_~YeR<}VJx z%E(l=fCpDVNFv!dfuXh*q8dK2!^I?|3}hr4RpKfSVxJGvo4Eab9Wp6c8A^ftQ%?l# zlF>0h48uw^-*yTXwB>xpume#qY>jo6n7|rpYPGSXV1fy78;yPZzW_J(=Fzk~uGKsK z_L3%%3CQ|gK2gSZTX|%*Cs&cbzcy~G;Fqd&u%ZI~4~1~h+y;(E0&)JcWJ$771d!|! z)Y}*_8f&rYxSoGuNN_FB{cV4VZ7jC5;Ae3~NfV3@_E7Ld4+?sts1T6HRy3q>4%u94 zCm3GcGKwznn=vaoA)PiyoujeAA$NZ{s+4&yKttKGE(lk$Y5{ZXdG%_yk2|?gvNajP z0G20oB2~nQQeukSiE`AZjlY1NKf+avqcYm6$T)EA929nT==30yfW`*1AZ{6g@tS57 zQDq+NHmA@{6XVrI^r@j05<1C7m7=-NMSW&~EH%K=N^Sx2)|A>so`hkv6h*aa4MOme zgvPM2JmKFBj@%4aLCAQ4r48_jG(v7Sbb~p53FFhaDWC~tBNmWRp~CoTi9OOBG8#`% zknXXtfi964!R@}rE-o6952U`IVjh> z1njFk$mGBM6iSpBeWyXS#u}k9^Bj>fSe!h?^u=gdkZiOqVxcfvSB2pA8xyeRG7WN! zv1uU*dkPIS=+K1Y)qu*WWo0Z>&7v}n)v3iLMv;)rv@Q^7Ok8>O#1{+7>B|uWKVfb62h>eD{8d=CBveNI_~iXyp)+op~wI zMK}bSUV`$EIFzbTkWLA^1MD1!Y*$9-I=U!}6~SCA)Du|!tXooI_biwr)GY{cggIBd zQNV0SQo;oq%o;-(gqs))7vx%s4!>73)NUOA5GZb)PT?*Lwo~>c7QBDB&MHU`LX0Lz zZ5_wY1Ve&d7obNBCDKJAEzMA3IO2`Kxwx$&#U;k>p)HnAImRPOU8n~g4zQY%ECIh^ zbekD61q$;z<^&s zLpTxf2`W(#BSI|Z_!kY&ZdIkjMHnCbdqF-il$-@VJuXsc@eAFuv0M0sgeY5o z-s)i>4+l)DLX_?Q$q!d;Ie?McEXe2a;YKm#uySJ-nYCN?BNKP;Qvjl!jt~N|-HzSr z&)ap$edjNk@d!9~Ea(TQ-Wfo`oxXD7?yFWm_taHS6)d~$uM3jOc+8%BK4$5l9_D1Z zfuOo<&;ioq`fbHIpS=Hj4VJEXVe_wUo494$8fTYt7~ie;rBIMIu|MyVn;vNe83WoR z`_kPPtWiSNVeB6uwjhCg8&YS@6}x6;5oL)SeBrqz(ECD_46`8Uxu>wj`?58(+5G6; z+pZ%aQMjsrkZ_O0pM^GAO@=t;Yy(fm_z_T z?l5q#ny56nvXm=UkQZN%9zZ5Ifc%KSN|?XZ6l;XCVwQ zv7&aYFTqCkL2#HQ_pU7_rb zoJGLCyQc3|Q7)MdsNOXfJ=gE7{{N52J-3e^q|kwBv-#Z@I>s-#>07O&$x?7$f~-Gu z)x=L`-gmT&?6``ebW%sj{%kUQg=A&rO{tHcRiy)UX4Dqge=nGpGl4J~JtDO$=dp!^ zY`M6Y5KbpzG2JUdtF;~#!w290UH2A2qi-pb1m0^4CPcF1bG4G zL&C+yW2SOT$^MR=s9O|G-OHljhLBP;hHOJBA(av-Z;l!g95cPtJGR#Sq}Toq($O-O zo_N_<-H*-vF=s&vYTtQsG1Bs0e^ls;kfX}IARGAnBhl9%1OPN4+$ze?3i$`fHf4yy(^h_6Jqv-BBN|X@#8?wNoNdE#Rzdx4)lj2m*5?Om4^v~Y zE8wXp!whe5D-;pDZU{FSo>5Tu0%ykF$7^eaZ?bI zj;?@SBH4L#N~{&m3DUu!Gk8dmvs4MP#5q452Tf|Y?RRmUV;e;27zP3aIAxe+>rGqTN0kYE=%u!KD zTz|2EhC2dRM@c^%QY-?wP2CFS$hEXqBhf+%I>zU?bFz;^_9){?3oJcBl+fEV z1e^N+;sK5ke&9RfdJW<9K*Z?WQTZ5 z9k`dG8I(2t3z$P5{Cc?yKT@RwR|S%!qf@jxr6DJRD*gWvvNQUmibvFY%q++pwRN|K&4o_;0%NbhfSlZhhfK)gqi(`gWN z9b@xo;A8Mga$Lhg29%#>F=#@kkc}WD9d#G|peBb9a0^v26E_9(d{p(sEm)bH zh7;WbD%I-&gMk|&C63BbWDX}y$RS*6pl5|(=`y%Mr<6y)xE@BG^M#keHAKiN=yx%V zqb(z|QNmT4R6-=4pg{F~UY1)C6j^X}F6o$ylFm6Da@@q36GG&K4rjOwIyFUEj7g5^ zTjGW*!V-2MqTawQ;$XmcrYRjW@Wjd^B+ag!5A&PqBZb-sKu zn}wbJX!n<|K5()LDHF%wZ5re}$0EHVVVg1<8M1%uS-8F)f^u)BWH8WNeQuz;~|aJi2#)klz31OFNeB1zBW>g^V3uG zh*C$=6$Q1*$sln~khv5561NJ7!@~DDWOoS&(L6*;FCH4%Cow53zkp_#0mHl*buiSY z0x>GbXho6M7{N{HM1fBuD1SpTo>!Wfxb>NE1PK!cjD@>+)Kkpp!27R5rKwS`RdBNFXn{(gV+G*|woVU*n4_vA z#5S{YXBhUuO%qu!0dE+raS^E_B#6gw2ksCHSHJ%^hhKK6(%}HcM~?(2Rim{35SeBn zjt27S4BKP0{r5wk+C+o57|_O;E{$^&qU^>;_F)8gcvqBDNeBkvyWvJZt>9)g7Tr_t z0}l5Z3=9vRxce__Chi*FJnI>l^81_0-(Pn3hYMDN`^BN8;Pzd^LB4SBE5EA9!K6-n zci++U&YANkXo9i%E(Mj97~t=z;ENcKrqO(i&1cEy-*wi-ySEJjSgSLd-;;YAjdMSw zFnJ$&^QreC`~3gJ*#EY(qPym-a*&5_TDf3JSCm&`XdO?iJpb&Q9!7#Wn!Esit0$^svgp7R<#WGR zQyD7ChqC4<@=dAd?s6tZDRs}%RF-P`VlTxinm z!3E1OqeMQLkyDU{?J)&Y3~qAOLPrx4(&ZCJ2q}0x@_xuBE4{mJ`e5SJn^wNIWE3Iz zs#%{;UL2W4Om;lDEFV^`akA#jE=}HFHvE>2OEG70go1BV9^A4FUu6KQclS-Fk%F)N zeg^W%ADqRHegm z>h>h*@1KX>I-ZaffI0(oYz@YWmrn@|Ow~;-m*^cDbJ3-`b}7b+-AB=cNIl5FkRxvY z_wX6Ra_Zc5>Afg$-nHo9O&LhYtS!muc510Vk0twDKK7e>ek5rB0^b$1^hM#mz`CGi zo?ZhS*I;B+X=B!Sl%iAE4#t1x%Q; zd`e7Po?m-LB01Gw0T8+s_d>aM@I?uHGUh7a{Z6qHec4GZNvjw=Tfo+7ce5C)OJb-a z$$)K(@r-NnS8M;)thJ>F?t+4eI(%j@+K>9|jOCj^LTB1Y`hNy(%nB$N32DpCP-$u@ zZpjG)K+fUn{T71A-{^W15Zy_TJt8vDZWvQrp4iAM7kjM!AO|=JxgN&T=m%s=g_IW+ zS7B4GsRMr|Kthz@yfl$)1mYaHX>34)7Pa;YlD%|MvC;%q=GAg=yIbn|9Y>QRG31nN7>`jK(!}O>=hyPYy)leW`7ZzrFu3~^=TGCXQroOg1@yANW(DCZ zU+u5KCpyJb0B~oV10-C3AYS0F!I#)kSV-B&NZRF3e$$F#A!@+97InMJ{zbk9E2o!Q zN$Y1T>g1&{jPtPCa~d!n1Ef67F#O+3NvlSbjn8K{lrN`Yl;rfGp2YYXjNVvELE!3< zV<~lxWsXJuKB|>p9od@j-k099IBru2{Wy)$N;GD0j1;@jE3IHBSj;WZ*gK+$m3EqD zpKVfk9g-!}bdu$=&;rx3NX5nKRF_v1h-e5)qiYyoLJVbfSZ-wMg+_^GG;%{q$-)J~ zH5Tnh!MxByqS=SPPX&(aoL1DF#a~V>U9sXwJh_0jBAwC-Bkso{9zfaF^kl$C(u&nN zf|rDwY#5YBE1qJ&EDQ1+8mHA*+mBNz%wF{4R^1Mq6;c=834+mLCyswZ@c<@*^vfce zqZg5-;^Neb7U-O){tn}6kb>@T=22r38byvk5eMl&L7=%Tjk!oB#D=xh*xQ&6D#&#Z zqJl<8la&bN862@`l_41xMWREOf)HW|;FE7D1-5UX`@-Pu*{3eC{ zfsz1!8ad-jTa0le#zZMer;2zetZKkDV%hj`LI0*SLO?MDEg2Z0}57L<; zAPXBzVu(ov@3AH=a921i|3}Bxm%rAwLn3)uAo7+!5U#a9~u!gZ~{U+nCUj$g?rh*uoUtpcmunINm_x;v&sQHeFGFL!rM{1HIXDh9p-KG3w zrJ$-R=ApVs>Hw)PvBYHPeiiBjR?bk7qZ*>NLyhQRj;boCPEc0*%`trW*jkLAUqw~i zn8dx9R{(dcwiMvIwF#in%fzCS>uCkUJE%gZlO|1xMKobk98jpQS=nYS79N0`qMSD# zt}1~~n#i28F2^c!*qGYb@+r0PM3ST8@{lGqk!$t97&sOcZsMNW6j~W4D{NbkA>g;& zuF5sx0%L6Z;|PR!YBj3f=ucVMrW{+JoMsy(eZB{iSmXzYHWXh@-%<7g*ldq_vbwf% zxv6n^52d^X{8@is>^H%!QeJDBS8Es5Q3FKjoh5@amruIMCXsSvGN+{fE|_CZFRxF+ zT}%D_F%1I+X%?ah#%rEr?ftN_xQJwb--)szhKZn9ZL-cfsfA{Ju0?z8Dh_UYv1g~| zPFlZ`5HjUzf8eE^P0K~BG*M9L@?_hXCQ=@g6Teli5@;oj|DGk$q`kH{P^}V;6s)C5 zk1t@35|T5g+sdzpY(3cbOK&?ItDMv6MxGjo;i#s)tSB8pb%CKA59S)wz&_Jbxu>0S zl}c4r+TuszJfd?Pt+6=pUUKJ%D<@zuId|Iq zizlh#7u}T4p1~d!BptP&P(PSzp|M>AVW~9}5@zX^*QHV83AW*y+G!NXSW-<_3(eIh zuQq2|8I$omOGwU*raGY-cW@`;CDcwj9iMBYI9eLaZ~iAHBC3&Pq{cO=NeMw{CKy^k z6AVErvk{AQ=1z!qydZNobB5)vzn0&RM|^Fi1KA_+IkWPQN-LyI`9SR1r7|Fk5rdPv z=*)RN)!C;H&co_8p}dD6b@<%?MbK4)#>ym}H9B`g91Df83JN)~p(s^|AM$lkbUb(j z#iI!#6f%wDCr=kRer|A-0GrYSzYu{)ErC?79nTE{NO-aw5je8%@E&C0@Ic zB;pyvkd5(fv6NwjM$4cW{~C2pcakawbRK zb%MkXcb>(W`kX@jLYp3eN%Jt@kb$efx{`6hn_U{cvoYkoSb zfUA;{I0vAY(+W`%&@5oA+8h$lmZC(%m8Q^^jDBxgk7ZuTWX2C=W6qhy+O?|AJ!ej1 zz`~jXCgK)&AkzWat1?>&k8=0(uFaw<6WLN}Zt36?4W8LA+pW0p!;i&sC6U`0pY%6E&Pw}Tnend7xXjuUwlhRIAZ^TT!}tucy$TohcLXT!0+%!j1|_LHj|Drw?ms_b>o9}+SE=5#)`E!`(` zXu~AFIghz^x4SQwzSs8;&!iWoDMJt}Xvg&( z9jo@0m2Dxtf83nT_^^IJVfJ;vL;_OYw;r;U@jcc5mpMQA+^@HEKrTCVE&CXy!^=z` zp>O;zK;HU3`cZreOWZEt6>RkTxuF0kvsLMeLUbsImlwGt(`2-OzBaD<*u?_w%F~N#MwW5H@clY3#?V-HRLG3yP}k* zR=Em-UWU(>4!BoJB>^%q9T`E#;{E$I(OdvNUD)RBx4{$4e4NPyHnW}KcGm8?YvitF z(}1$OVh-w(ER<;}&?J3r3)@xc@IL7s%)HK6%$1Q7HRH zMnLs$ZU(&Tn*b!v1OYkPXJaEEM`x9%GE+?|9RR*dj%DyS@Hn%j!_G_ET>B9LZg{IQ z>*&dZH zH2zD5)E`yppnuiMX%i?0*RY{!R`@@`ah*VJ75KuUbB!t;ZqEA~%X>k9M@Wk*SQsKiHVON{h{(IAS zz4tz|-wWcjE&E-a%=^fwPKojb73>L(C>>NwlvEJ33KL2PbHxA=Rmi;{*-NB)F00}# z^9Buem-)@9A~Th3V0T{lF$TH&&3?DvG(y>(lcvm|f~$QU>mBrc_`4w=roH-;E>`Ax zcB_ju`i2Xpi-Idy5ayijPL;9fR^Th0yHI#_5j;VgY@RAkXQ?t5+gi;U&pk^((}L3p zlQ&&fn1KPtmNo9}6y*S*?82NhqBGoVR42bH`(m?^L@O&W*_P}p2EFs6IT+y0anzpe zD94z)qm8g_8<4f}fD^imui7QB!))e2*(&B5s_6(R#FLUyKxxTl!D$MP=D8Xr4yfc?tV(00)5n(H&@L` zpNL4a!OT|~13M8wpU8PsI#`+aQ96u5=`ai!+-<#^p9JD< z)xO}4Aj?$2di@WN_eP=7@zQCTXQ(V;?9l$XfBYSajRI;rKuWqQ)7JJeJ7ZA#m&`S5 zG&|77dOtRNZrVtnp2_fw&~|9QE3(hXUpb}2SFhR#io!hsJ&VG_vKr3z3T>9FvmHH)RUiF`N{20YrN2+Mp2biGL|uWNg;(P>iSnp-?i*J8rsvV-zUbV@$Op_5ca#o8&tmhLH(B$aO?$WG zFRYHZZq~N^o*S?Cy8K~1*#fLtSB5?M)Ty0uG#ps|ioD0XJ$n|qO-lRruWECgMOP}Q zUIcm;4w)K~*`5UfpME=-Z{B6yJ9TVk>3E>KU-$`U`kAxQv+!oJr31JTPHjiYv~|^i z_94U4zP~JTZ4SGhh*W8&FOO#oerOgt1?XA0Q{kbLA|tVze;iYRylPl{vVRcsUz9@6 zA~N~`^V~f2EXuFUZ*KQfZ!0t2x7J5J3)h)cI(X*-lNb4qI$_s$+NJ8{gWk<)D4-X3 z{R%f1r|4M>iaIdBLuX`AI*ge$?BCwiPs@<;bLV?{ln#Wl%h*By`xPsVlJM|i*A{l%<EdMX_b3`&{oWWN-6 z^}uZo3yx6=emhv;>)bkDA{vBJwDFmiNNc6Ne$?E~ekl}{4o)&SLjYYwIw1wmXk)5p z;X=jWQ zJ&Vjk=$Mr}xMEOq`mR&9PU>WHetUiAPFLqWy%Q4#9lE!fPtxp5w^VLsdf8M&In|#Az0Oamiy>}TQvnuknF9RFYAs^hk{QcrvcPyRs^doC~ zkQJ!v&59d{vYpFTe~|h9D+Rz2eQno_Yg<=O{q@_2uf5{^Wvk!*uWQ%*pmpi(ukE_& zR>0D({NV77pMb1Tb}wIjdm5OftpGlSf-m2@X1hsu6psQJ==h$+WG{@`({5gc;4z~5A$Nu+tK9T@7;TN&dcA}Foc8* z0FdwDJx|Pj^}b72#(z6&`;GI4t~-P%Cmr1T%C76@ygF~@%I_b(9p?Pk)XeHNS1w%r zHmsbzo43U+~R_{DKJJ`&`-zdK zbiNELZ#{heBqZ7+nc1yF=BysGZ`NOLTsZXEwPzf@62`x>=b;;0S6_PHmVLAT`^H~_ zdn8=<)ZQ0&tsUIDdiRrWeL^U^x9r_lwfpwgp?foXJ7t)gWQetcC!LW)k$w_ZZJ#|C#zGT# z5ZPx|>zSXn^4Vh=PkMivJ0}}LdwTE-kf9)8fTvCUa|WSJA%*fd2ef9LK|dy8m^#q0 z{{Xq{*g`5Ii?9=y%`0MvE8Z>J0pGP%l=Zg8#3E0^qm=-H)nd>D3YNvoH8vJ7D2BVt z{01SR@iAh(fAP8y7Qp+<>j_>L-*6arln{4yycVqi0&Lavh`*@Oc!^_=L7?o~=in~p zm|SI%A>dZB#~ajk6&7(DCZa6UxG8WQF9QN9e3BraKyUnrZoOqfIqK^@`nSLFtOi~m8$b}}6NMUsx9(